patent_number
stringlengths 0
9
| section
stringclasses 4
values | raw_text
stringlengths 0
954k
|
---|---|---|
abstract | Provided are a long-lived target for a neutron-generating device and a method for manufacturing the target therefore. The target is for a neutron-generating device and includes: a metal substrate retaining a target material; and a metal thin film for sealing that holds the target material at a retention surface X side. The retention surface X side of the metal substrate includes: a frame portion; and an embossed structure including: a plurality of island portions that are surrounded by the frame portion; and the rest recessed portion that is created by decreasing a thickness of a region other than the frame portion and the island portions by a thickness of the target material. The metal thin film and surfaces of the frame portion and the island portions are subjected to hot isostearic pressing (HIP) bonding to seal the target material onto the recessed portion by using the metal thin film. |
|
063226936 | summary | FIELD OF INVENTION The present invention relates to a waste processing system comprising a first mixing tank system with an agitation system, a first separation system, a slurry tank system with a shearing system, a second separation system and a second mixing tank system with an agitation system. The present invention also relates to methods of processing waste. BACKGROUND OF INVENTION The disposal of waste such as drilling waste (e.g., cuttings, muds, reservoir pit, fluids, etc.) from drilling various types of wells has become an increasingly difficult problem due to restrictions imposed by various governmental authorities and agencies, and the desire to minimize environmental damage. These problems are aggravated or at least amplified in certain wellbore drilling operations, particularly in offshore drilling operations, wherein the disposal of wastes normally requires transport of the waste to a suitable landfill or shore-based processing system or an offsite commercial nonhazardous oilfield waste facility. Disposal of nonhazardous oilfield waste (NOW) can be disposed of by the above methods. Another method of disposing of drilling waste is to dispose of such waste down a wellbore of a non-productive reservoir of an exploratory well. Drilling operators, regulators and government authorities are trying to determine whether the above method can be applied to injecting productive pit solids contaminated with naturally-occurring radioactive material (NORM) either alone or in combination with NOW, into non-productive reservoirs. SUMMARY OF THE INVENTION The present invention relates to a waste disposal system comprising at least one mixing tank system comprising at least one mixing tank having an agitation system situated within each of the mixing tanks, a first separation system having at least one separation device, at least one slurry tank system comprising at least one slurry tank having a shearing system situated within each of the slurry tanks, and a second separation system having at least one separation device. In one embodiment, the waste processing system further comprises a plurality of conduits for connecting the mixing tank system, the first separation system, the slurry tank system, and the second separation system. Conduits or flow conduits are a piping system that connect each component of the waste system to one another and is subsequently connected to an injection pump for injecting the processed waste into a wellbore. In another embodiment, the mixing tank system can comprise at least one mixing tank having a jet line and a sampling system for testing the waste. The sampling system is a system that can be installed throughout different stages of the waste process system that enables the user to test samples of the processed waste in order to obtain a more controllable product. In still another embodiment, the agitation system of the mixing tank system can comprise a gear box, at least one motor and a plurality of blades. In yet another embodiment, the first and second separation system comprises at least one separation device having at least one screen or a plurality of screens with varying screen mesh sizes. The screen mesh sizes are dependent upon the size of the particles of waste being processed. The separation devices include, but are not limited to, vibrating screens such as shale shakers. In still a further embodiment, the slurry tank system can compromise at least one slurry tank having a shearing system situated within each of the slurry tanks. The shearing system can comprise a gear box, at least one motor, a plurality of blades, a shearing mixer, and gun lines. The slurry tanks can also have a manifold system. The manifold system is designed to re-circulate materials throughout the system so that a user can adjust the fluid and flow rates of the waste processing system of the present invention. For purposes of this invention, gun lines are mechanical agitators of the processed fluid and wastes traveling through the waste processing system. In one embodiment, the system of the present invention further comprises a second slurry tank system connected to the second separation system. The second slurry tank system comprises at least one slurry tank having a shearing system situated with each of the tanks. The shearing system is similar to the shearing system of the first slurry tank system. In another embodiment, the waste processing system of the present invention further comprises a second mixing tank system connected to the second separation system. The second mixing tank system comprises at least one mixing tank having an agitation system situated within each of the tanks. The agitation system is similar to the agitation system in the first mixing tank system. The agitation system of the present invention includes shearing devices and shearing systems. In still another embodiment, the system of the present invention further comprises a pump system for pumping waste through the system. The pump system can comprise a plurality of injection pumps and centrifugal pumps. In still yet another embodiment, the mixing tank system may comprise at least one mixing pump and the slurry tank system may comprise at least one shearing pump. In a further embodiment, the waste processing system further comprises at least one holding tank. The holding tank retains the processed waste until it is ready to be injected into the wellbore. In still another embodiment, the holding tank can be adapted to be transported to the injection site. In another embodiment, the present invention relates to a waste processing system comprising: (a) a first mixing tank system comprising at least one mixing tank having a first agitation system situated within each of said mixing tanks, (b) a first separation system comprising at least one separation device and being connected to the first mixing tank system, (c) a slurry tank system comprising at least two slurry tanks having a shearing system situated within each of the slurry tanks, the slurry tank system being connected to the first shale shaker system; (d) a second separation system comprising at least two separation devices and being connected to the slurry tank system; and (e) a second mixing tank system comprising at least two mixing tanks, each of the tanks having a second agitation system within the tanks, the second mixing tank system being connected to the second separation system. In a further embodiment, the first and second mixing tank systems can comprise at least one mixing tank having a jet line. The second mixing tank system can comprise at least one mixing tank having a sampling system for testing the waste. The sampling system can be installed throughout the different stages of the waste processing system. The sampling system enables the user to obtain test samples of the processed waste product. In yet another embodiment, the first and second agitation systems of the first and second mixing tank systems comprise a gear box, at least one motor and a plurality of blades. In still yet another embodiment, the first and second separation system comprises at least one separation device having at least one screen or a plurality of screens with varying screen mesh sizes. The screen mesh sizes is dependent on the size of the waste particles being processed. The separation device can include, but is not limited to, vibrating screens such as shale shakers. In yet a further embodiment, the slurry tank system can comprise at least one slurry tank wherein a shearing system is situated. The shearing system of the slurry tank system can comprise a gear box, at least one motor, a plurality of blades, a shearing mixer, and gun lines. In one embodiment, the waste processing system further comprises a plurality of conduits for connecting the first mixing tank system, the first separation system, the slurry tank system, the second separation system and the second mixing tank system. In another embodiment, the system of the present invention can comprise a pump system from pumping waste through the waste processing system. The pump system can comprise a plurality of injection pumps and centrifugal pumps. The pump system is capable of pumping a certain liquid though the system. The pump system may also comprise at least one pump having impellers for shearing the waste. The mixing tank system may have a mixing pump and the slurry tank system may have a shearing pump. In still another embodiment, the waste processing system can further comprise a holding tank for retaining the processed waste. The holding tank can be connected to the second mixing tank system. In yet another embodiment, the waste processing system of the present invention comprises a wellbore wherein the processed waste is injected. In a further embodiment, the present invention relates to a method of processing waste which comprise the steps of: (a) providing a first mixing tank comprising at least one mixing tank having an agitation system situated within the tank, a first separation system comprising at least one separation device and at least one slurry tank system comprising at least one slurry tank having a shearing system situated within the tank; (b) mixing waste and carrier liquid in the first mixing tank system using the agitation system; (c) separating the mixture using the first separation system into at least two portions, a first portion being solid debris and a second portion being processable waste; and (d) shearing the processable waste using the shearing system of the slurry tank and slurrifying the processable waste using the slurry tank system. The solid debris includes rocks, shackles, boulders, tools, nuts, bolts, logs and any article that cannot be sheared by the system of the present invention. The solid debris can be stockpiled or fragmented into finer particles by a pulverizing system. The pulverizing machine is any apparatus that can fragment or breakdown such large debris into a processable waste size. The fragmented debris can then be processed by the system of the present invention. In a yet further embodiment, the method further comprises the step of (e) further separating the slurrified processable waste of (d) into at least two fractions, a first fraction being coarse fractions and a second fraction being fine fractions. This separation is accomplished using a second separation system comprising at least one separation device. In another embodiment, the method further comprise the step of (f) further mixing the mixture of (e) using a second mixing tank system having at least one mixing tank with an agitation system. In still another embodiment, the mixture of steps (f) can be further sheared and slurrified. In a further embodiment, the further mixing of step (f) is performed prior to the further separation of step (e). In yet another embodiment, the processed fine fraction mixture of the present invention can be injected into a wellbore such as a non-productive reservoir. In one embodiment, the system of the present invention also includes providing a plurality of conduits for connecting the first mixing tank systems, the first separation system, and the slurry tank system. In still another embodiment, the method of the present invention further comprises the steps of pumping waste through the system using a pump system and retaining the processed waste in at least one holding tank. In a further embodiment, the pump system comprises a plurality of injection pumps and centrifugal pumps. The method further comprises the step of disposing of the processed waste product. In still yet a further embodiment, the present invention relates to a method of processing waste comprising the steps of: (a) mixing waste and a certain liquid, (b) separating the mixture into at least two portions, a first portion being solid debris and a second portion being of processable waste, (c) shearing and slurrifying the processable waste, (d) further separating mixture of step (c) into at least two fractions, a first fraction being coarse fractions and a second fraction being fine fractions, and (e) further mixing slurrified fine fractions of step (d). In yet still another embodiment, the processed mixture of step (e) can be injected into a wellbore. In one embodiment, the mixing is performed using at least one mixing tank system with agitation system. In another embodiment, the separation is performed using at least one separation system having at least one separation device. In a further embodiment, the shearing of the mixture is performed using a shearing system of the slurry tank system, wherein the shearing system is situated with the tank and comprises a gear box, at least one motor, at least one blade, at least one shearing mixer, and gun lines. The slurrifying can be performed using the slurry tank system. |
050671448 | summary | BACKGROUND OF THE INVENTION The invention relates to radiography and particularly to equalized radiography which improves diagnostic capabilities by selectively subjecting denser parts of the examined object to higher intensity radiation to render them more fully exposed and image them with greater contrast and detail. In such radiography, a fan of penetrating radiation sweeps the object while being locally modulated to vary the radiation intensity both as between different sectors of the fan and in the scanning direction in order to selectively equalize the radiation delivered to the image plane. In conventional radiography, image quality and diagnostic value can be compromised when the object density differs too much as between different parts of the object. For example, in conventional chest x-rays the mediastinum and retrocardiac area could be underexposed, detracting from the diagnostic value of the image. Equalization radiography, which is capable of varying the local x-ray exposure to areas in the image to compensate for the local patient attenuation, particularly in the case of chest x-rays, can improve image quality and diagnostic value. Typical examples of such feedback-controlled equalization radiography are discussed in the commonly assigned Wang European Patent Application No. 86308224.4 (based on a U.S. application leading to U.S. Pat. No. 4,953,189; see, in particular, FIG. 8 showing wedge-shaped attenuator elements) as well as in Plewes U.S. Pat. No. 4,773,087 and U.S. Pat. application Ser. No. 07/242,644 filed Sep. 13, 1988 (see, in particular, FIGS. 3 and 5 showing overlapping shutter pins in two rows). Further examples are discussed in U.S. Pat. Nos. 4,675,893, 4,715,056, 4,677,652, and 4,741,012. All of the prior documents cited in this paragraph are hereby incorporated by reference in this specification. Further background material concerning the subject can be found in the documents made of record in said Plewes application. In a typical prior art example, an x-ray fan beam scans the patient and a modulator unit locally controls the x-rays before they reach the patient in order to modulate the radiation differently as between different sectors of the fan and as between different stages of the scanning movement. The degree and kind of local modulation are under the control of a feedback circuit which locally measures the x-rays in the fan exiting the object. The goal of this local, time varying modulation is to equalize the image, i.e., to reduce the difference in exposure as between different areas of the image. The modulator unit can use a row of modulators or shutters which are individually and selectively movable into the fan to modulate it locally, e.g., by varying the local attenuation, the local beam cross-section, and/or the local exposure time of the x-rays impinging on the object being examined. While such prior art systems could provide significant improvement, they also could introduce certain types of image artifacts. One type is in the direction of scan and could appear as streaks in the image. The inventors believe that this type of an artifact could be caused by ineffective smoothing between adjacent elements of the modulator unit, especially when at any one time during the scan the settings or positions of these adjacent elements are very different, and that artifacts could also be caused by limitations in parameters such as the sizes of the focal spot, the attenuator elements and the collimator slit at the modulator and the geometry of the modulation arrangement. Such artifacts are also noted in Vlasbloem, et al., RADIOLOGY, Vol. 169, pages 29-34 (Oct. 1988). See, also, Plewes, D.B. and Vogelstein, E., Exposure Artifacts in Raster Scanned Equalization Radiography, Med. Phys. Vol. 11. pp. 158-165 (1984). Other artifacts could be in the direction normal to the scan direction and others could be in other directions or positions. Of course, it is desirable to minimize any deleterious effects of such artifacts on the diagnostic value of the image. SUMMARY OF THE INVENTION One object of the invention is to improve equalization radiography by reducing image artifacts. Another is to use a modulator which provides smoother variations in modulation from one fan beam sector to another. Yet another is to smooth the overlap area between adjacent modulator elements, especially when the settings or positions of adjacent modulator elements differ significantly. Still another is to derive benefits from factors which may have been considered limitations in the prior art, such as the finite size of the focal spot. In an exemplary embodiment of the invention, an x-ray source/modulator assembly generates a fan beam which is thin in the horizontal direction and tall in the vertical direction. The assembly sweeps the beam horizontally across the object being examined while selectively and individually modulating sectors of the beam, by special modulator elements described below, to vary the intensity of the radiation delivered to the object by the respective beam sectors. A detector/film assembly receives the fan exiting the object and, as the fan sweeps across the object, one part of this assembly measures the x-ray intensity distribution to generate feedback information while another part forms an x-ray image of the object. The feedback information, along with information related to the effect that the modulator has on individual detector elements, is used to estimate the effect of the object being examined and to control the modulator so as to increase or decrease the local amount of radiation delivered to the object. In a single scan mode, the information required to adjust the modulator is generated and used substantially in real time, to the extent permitted by inherent circuit delays. In a dual scan mode, the first scan is at reduced x-ray intensity and can be either equalized or non-equalized. The x-ray intensity can be reduced to a level that would not cause any significant exposure on the film, e.g., by controlling the x-ray tube filament current. The detected intensity levels coupled with the modulator element attenuation positions can be used to determine desired exposure settings and to calculate an equalization function used to perform "real-time" equalization during the second scan. If in a single scan mode the modulator is locked to a fixed position, the result would be similar to a conventional x-ray image. In order to reduce artifacts due to the modulation, special modulator elements are used. In a preferred but non-limiting example of the invention, they are in the form of modulator pins which slide back and forth in the horizontal direction into the fan of x-rays. This fan is defined by a vertically extending pre-patient collimator slit aperture. An object field collimator provides adjustments for film orientation and also for at least the lower edge of the object exposure field. The size of the slit aperture is adjustable horizontally to set the fan dimension in the horizontal plane (e.g., from about 0" to 0.5" at the slit aperture plane, which translates to about 0" to 3.5" at the image plane). In a non-limiting example, 35 modulator pins are used, made of an attenuating material such as aluminum and arranged in two rows which are along respective arcs that are centered at the focal spot and are in a vertical plane that includes the focal spot. The parts of the modulator pins that slide into the fan are in the shape of wedges which have generally triangular sections in a vertical plane. The bases of the triangular sections are along the arcs which are centered at the focal spot. The bases of the pins within a row are as close to each other as practical considerations would allow and, as viewed from the focal spot when all pins are fully into the fan, adjacent pins from different rows overlap so much that any ray from the focal spot is more likely than not to be intercepted by two pins, one from each row. The attenuation of the fan beam due to any one modulator pin is a function of how far into the fan the pin extends. For any one vertical plane in the fan, the attenuation due to a given modulator pin is a function of the area of the generally triangular section of the pin which is in that vertical plane. Stated more broadly, the modulator comprises portions of a radiation attenuating material which are adjacent each other along the larger angular extent of the fan of radiation and individually and selectively slide into the fan to: (1) vary the radiation along the larger angular extent of the fan smoothly while individually and selectively modulating the sectors of the scanning fan to reduce or eliminate objectionable artifacts at the image plane; and (2) make uniform to a selected degree the exposure which the fan exiting the object delivers to the image plane. While in the currently preferred example the attenuating portions are in the form of individual modulator pins, which could have rounded or truncated wedge ridges and tips, in an alternative embodiment the modulator uses a flexible diaphragm of a material such as leaded rubber of which portions are pushed into the fan by pins which also are arranged in one or more vertically extending rows and slide individually and selectively horizontally in or toward the plane of the fan. Further, while in the currently preferred embodiment which is described in detail below the fan sweeps the object generally horizontally, other scanning directions are possible. For example, in another preferred embodiment, the larger angular extent of the fan is generally horizontal and the fan sweeps the object in the vertical direction, in which case the modulator pins (which scan together with the fan) slide generally vertically into the plane of the fan. The detailed description below is for a fan scanning in the horizontal direction, but it should be understood that the invention is applicable to a vertically scanning fan as well and the same description applies with an appropriate change in the directional terms. |
060020636 | summary | FIELD OF THE INVENTION The invention relates to an apparatus and process for disposal of solid wastes in slurried form by deep injection into rock formations. Aspects of the invention include the parameters for selecting a desirable site, preparation of the waste-bearing slurry, injection conditions and methods, monitoring of the site during and subsequent to the injection, and particular apparatus for carrying out the same. BACKGROUND OF THE INVENTION The deep injection of wastes of various types into deeply-buried rock formations is a relatively recent field. This approach has been suggested for use with radioactive and other types of toxic wastes. For example, U.S. Pat. No. 5,310,285 (Northcott) relates to the injection burial of radioactive and other wastes of varying toxicity. The principal advantage of this technique is the potential for stable retention of wastes within a deeply-buried formation over a geological time span. In general terms, the process involves the preparation of a water-based slurry within surface-based equipment and pumping the slurry into a well that extends relatively deep underground into a receiving stratum. The basic steps in the process include the identification of an appropriate site for the injection, preparing an appropriate well, formulation of the slurry, performing the injection operations, and capping the well. Preferably, monitoring is conducted during and after the injection to assess the slurry injection conditions and the conditions of the surrounding rock formations. An appropriate target stratum is characterized by pores, fractures or the like. Fractures may also be created within the formation by the injection of wastes under pressure. This approach is taken in U.S. Pat. No. 5,314,265 (Perkins et al.). Alternatively, a target strata may be selected that contains existing fractures or pores, as described in U.S. Pat. No. 5,489,740 (Fletcher). As well, it is desirable that the target zone be depleted of hydrocarbons or other potentially valuable products, to prevent later intrusions into the site. Selection of an appropriate permeable injection stratum leads to rapid bleed-off of fluids, so that the presence of a carrying agent is eliminated and the solids cannot travel far. Furthermore, once high pressure injection ceases, the solids become permanently entombed within the target stratum by the imposition of the great weight of the overlying strata. The choice of a site with a high permeability horizontal flow system is intended to direct noxious or toxic carrier liquids or leachate to flow laterally, in order that within a reasonable time frame they will not mix with potable groundwater. The choice of a target stratum with adequate volume, for example a depleted oil reservoir, assures that sufficient storage volume exists to accommodate injected fluids without a regional increase in pore pressure. The choice of a sedimentary environment which is overall relatively rich in clays means that the leachates which are gradually developed from the solid wastes are rendered more innocuous through cation exchange and adsorption of organic molecules. As an example, a site with multiple low permeability clay-rich beds overlying the target stratum will absorb substantially all noxious ions and dissolved species before long-term contact with shallower groundwater takes place. Also, the great depth of the burial results in long flow paths for leachates, slow groundwater velocities and a relatively high cumulative exposure to absorptive minerals. A persistent problem faced by this method is the potential for the eventual migration of the wastes out of the target area and into an aquifer or other undesired destination. This danger may be minimized in part through the selection of an appropriate geological formation to serve as the target for the wastes. However, it is still desirable that the spread of wastes underground be monitored, ideally through the use of surface monitoring techniques conducted during and after the injection process. As well, in light of the costs of disposing of wastes by this method and the stringent regulatory environment surrounding the disposal of toxic wastes, it is desirable that monitoring of several additional variables be conducted. This serves to optimize the slurry mixture, injection pressure and rate and total injection amount. Appropriate monitoring permits an efficient injection process with an optimum amount of slurry being injected. In this way, neither an excessive amount will be injected that might spread beyond the target zone, while generally fully saturating the target zone to make efficient use of the well. The slurry fraction injection ("SFI") technique for disposal of solid wastes in slurry form within a porous formation involves a limited hydraulic fracturing of an appropriate target rock formation, followed by injection of wastes into the formation. This method has has been previously disclosed in general terms (see M. S. Bruno et al., SPE Publication No. 29646). The process includes the step of identifying a suitable geological formation, characterized by flat lying, laterally continuous strata. The target stratum is ideally relatively porous and permeable, overlain by relatively impermeable and non-porous strata. Formation of the slurry may feature the addition of viscosifying or other agents. The slurry is injected in a series of discrete injection episodes lasting hours or days, separated by interinjection episodes. Monitoring is carried out during and after the injection process, and consists of wellhead and bottomhole pressure monitoring and surface deformation monitoring in the region surrounding the wellhead. An object of the present invention is to provide monitoring to assess slurry absorption within the target stratum and the spread of the waste body within the target stratum. Various types of wastes are suitable for disposal by subsurface injection. Potential candidates are wastes that do not react with the target strata, can be readily granulated and can form a slurry suspension in turbulent flow. Wastes that may be disposed of by this means include various substances that are particularly difficult to dispose of in the conventional waste stream. An object of the invention is to provide a suitable means to dispose of a variety of wastes, including: oily sand from petroleum industry operations, as well as waste drilling fluids and drill chips from well drilling operations and oily sand from tank-bottom clean-outs; PA1 soil contaminated with toxic materials such as PCB, heavy metals, cyanide compounds, hydrocarbons, etc.; PA1 dredging wastes; PA1 municipal sewage sludge from which the organic wastes have been largely decomposed; PA1 waste plastics, glass, and other solid materials; PA1 fly ash, clinker or other residue from combustion of wood, coal or municipal wastes; PA1 flue gas desulphurization sludges as well as recaptured particulates from smoke or emission abatement processes, whether in solid or aqueous suspension form; PA1 high solids content sludges and residues from petroleum refining, including high ash content coke, heavy oil residues and removed solids. PA1 injection of slurry at a surface pressure between 6-15 MPa; PA1 slurry injection rate of between 1.5 and 2.0 m.sup.3 /min. and 800 m.sup.3 /day, with injection being carried out for 12-14 hours/day; PA1 slurry composition with a granular solids content between 15-35% and real-time waste concentration and slurry density control to maintain density between 1100 and 1500 kg/m.sup.3 ; PA1 process 200 m.sup.3 /day of granular wastes; PA1 enhance slurry mobility with waste materials having about 10% by volume hydrocarbon content; PA1 capability to accept slop or sand as waste material. PA1 a) relative ease of handling of waste material; PA1 b) screening of granular waste material on a continuous basis; PA1 c) a real-time monitoring apparatus to monitor and record injection parameters; PA1 d) variable speed controls linked to the monitoring apparatus to control the various slurry-forming components and maintain consistent slurry quality and delivery rate; PA1 e) relatively rapid set-up and disassembly of the system; PA1 f) slurry formation equipment capable of shearing highly viscous material to increase slurry mobility and infectivity, maintaining slurry consistency within a relatively small range, and being capable of handling relatively large amounts of waste material, in the range of at least 100 m.sup.3 /day. PA1 a) identifying a generally permeable and porous target stratum, overlain by a layer of relatively low permeability strata; PA1 b) calculating the approximate total available storage volume of the target strata, based on the approximate average thickness and area of the stratum, the average porosity of the stratum and the mechanical compressibility of the formation, and the target stratum storage capacity according to the following formula: Storage Capacity=dP/(dV.times.dt) PA1 c) calculating the optimal injectivity rate for the formation according to the following formula: Injectivity=Injection Rate/(Press.sub.inj -Press.sub.fmt), where Press.sub.inj =injection pressure and Press.sub.fmt =formation pressure. PA1 c) preparing an at least partly cased well extending from the ground surface into the target stratum; PA1 d) positioning a pressure gauge at the base of the well for measuring fluid pressure within the well; PA1 e) perforating the well casing where the well passes through the target stratum; PA1 f) performing pressure fall-off and step rate tests to evaluate flow behavior and injectivity at well bottom; PA1 f) selection of a slurry having a grain size between 2 .mu.m and 5000 .mu.m, a solids concentration up to 40% by volume for grain sizes less than 150 .mu.m and up to 20% by volume for grain sizes between 150 .mu.m and 5000 .mu.m; PA1 f) injecting a slurry of waste materials in particulate form suspended in a carrier liquid into the well in a series of injection episodes separated by interinjection periods, with the injection pressure being greater than or equal to the fracture or overburden pressure and far greater than the natural water pressure in the target strata; PA1 g) measuring the well bottom pressure of the slurried wastes during each injection episode and interinjection period; and PA1 h) terminating the injection process when the target strata is generally fully saturated with slurried wastes, as determined by the volume of wastes injected and the calculated available storage volume. PA1 i) monitoring the slurry injection and emplacement by means of measurements of wellbottom pressure within the injection wells to assess formation pressure response to the waste injection, as well as permitting pressure fall-off tests and assessment of SFI and formation mechanics; PA1 ii) monitoring wellbottom hole pressure within observation wells displaced from the injection wells within about 400 meters to provide assessment of formation pressure gradients and SFI mechanics; PA1 iii) step rate injection tests conducted within the injection well, to assess fracture extension rate and formation pressure response, as well as closure stress gradient and waste containment within the formation; PA1 iv) fluid level measurements within the offset monitoring wells to assess distribution of pressure gradients within the waste emplacement zone and to provide a measurement of waste containment; PA1 v) tracer logs (temperature or radioactive tracer injection and measurement using geophysical wireline logs) within the injection well, to determine the extent of hydraulic isolation of the formation and wellbore during the injection process and an assessment of fracture orientation within the target formation; PA1 vi) monitoring of surface deformation in the region about the wellhead through collection of tiltmeter data to assess the fracture orientation and azimuth, which permits as well a reconstruction of fracture geometry, horizontal and vertical dimensions and spread of the waste body within the target formation and the rate of change of same, and deformation within the formation, as well as a further assessment of the SFI mechanics; PA1 vii) injection parameter monitoring (real time recording of injection pressures at wellhead and wellbottom, casing pressure, injection rate, injection volumes and slurry density) to permit a correlation of formation response with the SFI operating parameters; PA1 viii) material sampling of the slurry is conduced regularly and frequently to accommodate various local regulatory requirements. PA1 composition of waste material (e.g. mud/sand/slop/water ratios) PA1 daily slurry injection volumes PA1 produced sand grain size during injection PA1 fines/clay content during injection PA1 hydrocarbon content of the sand or viscosity of the muds and slops PA1 formation grain size and stress state PA1 formation geology PA1 heterogeneous effective stress and permeability distribution in the formation PA1 repeated loading and unloading of rock stresses PA1 wellbore cement quality PA1 wellbore completions quality (casing, perfing etc.). PA1 a) drilling (and not boring) of well; PA1 b) selection of a mud system having a relatively high circulation rate to keep the hole clean and reduce filter cake build up; PA1 c) cleaning of well bore; PA1 d) final mud flush to clean filter cake from hole followed by scavenger slurry flush immediately prior to cementing; PA1 e) cementing of wellbore while casing is rotated and moved vertically and using a low shrinkage, pliable expandable cement; PA1 f) perforation of cement using low impact perforation techniques or casing cutting or slotting techniques, wherein the perforation interval does not exceed 10 meters in length and comprises a perforation density of about 20 shots/meter, with covering between 90.degree. and 120.degree. phasing. Apparatus for the carrying out of the slurry injection process must meet several design criteria in order to dispose of a substantial volume of wastes at a high rate: As well, the apparatus should be capable of operating on a generally continuous basis, and comprise an integrated system that is adapted to receive wastes, convert the wastes into an appropriate slurry, and discharge the slurry under pressure into a disposal well. The operating parameters require equipment capable of injecting a relatively granular, highly viscous slurry at high rates and pressures. Preferably, the slurry formation and injection apparatus should provide the following: An object of the invention is provide apparatus that addresses these requirements. Monitoring of conditions within the target stratum serves two functions. First, it insures that the injection procedure is optimized for maximal injection speed and overall waste injection volume. Second, it provides evidence to regulatory agencies and other outside bodies that the injection process is being properly implemented and that the wastes are being confined within the target stratum. These goals may be furthered by monitoring and recording several variables in addition to those outlined above. In particular, slurry density, pressure, volume and composition should be monitored and recorded at all times. Alterations in large-scale permeability within the target stratum, excessive pressure build-up, abnormal fracture pressure, too-rapid pressure decay or other anomalous reservoir responses can be identified and analyzed to decide if these present problems for the continuation of the injection process in a particular well. It is an object of the invention to provide monitoring means that address these requirements. In one example, the use of SFI permits permanent, low risk disposal of Non-Hazardous Oil Field Waste, comprising waste material and produced water. Waste generated at an oil field location can often be re-injected at fracture pressure through existing wells into the same subsurface formations from which the wastes originated. Another candidate for the SFI method is naturally occurring radioactive materials present in produced water, scale and sand from oil fields in many regions such as the Gulf Coast of the United States. SUMMARY OF THE INVENTION In light of the objectives outlined above, the present invention comprises an apparatus and method for optimizing the quantity of wastes that may be injected in an SFI process, and for monitoring the resulting waste body to assess its horizontal and vertical dimensions, and the rate of change in same. The method comprises in one aspect the following steps: where dP=Injection Pressure-Shut in Formation Pressure PA2 dV=Volume of Injected Material PA2 dt=duration of Shut-in Period (i.e. inter-injection period). Preferably, the process is accompanied by a suite of monitoring procedures carried out before, during and after the injection process, as follows: The method is further characterized in that the pressure and flow rate of slurry during each injection episode is adjusted to maintain a generally steady pressure at the well bottom, as measured by the wellbottom pressure gauge. An injection episode is terminated when the well bottom pressure climbs a predetermined amount above the steady state level, indicating blockage within the target stratum. An interinjection period is terminated when the well-bottom pressure drops a predetermined amount below the steady state level, indicating sufficient dispersal of the injected fluid within the stratum. In a preferred regime, the SFI process commences with an initial injection of carrier liquid (typically water) at a high rate to initiate hydraulic fracturing within the target formation. The formation pressure will as a result fall to a stable injection level as a result of bleed-off. Particulate solid matter and slops are gradually introduced into the carrier until the selected target concentration is reached. Waste-bearing slurry injection ("SFI") is then carried on for between about 4 to 14 hours. This period terminates when an increased formation pressure results in the vicinity of the injection well. The slurry is then gradually replaced with a clear carrier liquid, with about 5-40 m.sup.3 being flushed through the system. The well is then shut-in during an interinjection period of 10-20 hours, resulting in a sharp drop in formation pressure. The entire approximately 24 hour cycle is then repeated about 5-10 times, followed by a prolonged interinjection period of about 2-3 days, following which the daily injection cycle may be repeated a further 5-10 times, and so forth until the calculated storage volume is generally fully saturated. Mathematical analysis of the pressure and surface deformation data may be conducted to determine the orientation and distribution of the injected slurry. This analysis assists in evaluating containment of the material within the disposal formation. In one aspect of the invention, appropriate target strata and overburden are selected, with the target strata having a minimum average thickness of approximately 4 meters, a minimum average transmissivity of approximately 0.5 Darcy-meters and a minimum porosity of about 15% in those regions that have an average permeability above about 100 milliDarcy. The overburden confining strata will have a minimum thickness of about 10 meters with a maximum permeability of about 10 milliDarcy, and will preferably be relatively rich in clays. Preferably, the overburden will comprise alternating permeable and impermeable strata, with the target stratum being capped by a layer of low permeability stratum. In a further aspect of the invention, post-injection monitoring of the target stratum is conducted to ensure that the waste solids entombed therein are not migrating from the target stratum. The post-injection monitoring comprises measurement of surface uplift and microseismic disturbances in the region of the injection well and determining therefrom the size (thickness and horizontal spread) of the solids emplacement zone. These measurements are monitored and recorded on a periodic basis to assess the extent of any spread of the solids emplacement zone. In a further aspect, the slurry is prepared in a method wherein slurry additives (including viscosifiers, surfactants and adsorbing agents), slurry viscosity, slurry waste concentrations and slurry specific gravity are selected as a function of the following considerations: In a further aspect, the waste pod monitoring data are analyzed to provide a quantitative assessment of hydraulic isolation and containment of the waste pod within the formation, as well as wellbore integrity. In a further aspect, the injection process is controlled in response to the data generated by the monitoring procedures. The factors optimized in the SFI process include slurry composition, slurry density and concentrations of waste materials, flow rates, durations of the various injection cycles, injection pressures and injection volumes. In a further aspect, the SFI method is used to dispose of viscous fluids such as oily sludges, municipal waste water treatment sludge and industrial wastes. In a further aspect, an injection well is prepared for SFI as follows: The apparatus consists in its broadest aspect the combination of particle sizing means to remove oversize particles, a mixing-averaging means for the combining of particles with water to create a slurry, and a pump for the delivery of the slurry under pressure to the wellhead. The particle sizing means incorporates a reciprocally-driven multilevel screen deck that removes over-sized material (i.e. >0.5 cm) and foreign objects, and a means to direct a high-pressure water spray over the waste being sized. The sized particles are received in a receptacle which houses a rotatably-driven auger to mix together the sized particles and water to generate a slurry. The receptacle preferably houses dual rotatably-driven augers. The first auger is a mixing auger positioned in the pathway of material being introduced into the receptacle, and the second auger is positioned at the bottom of the receptacle. Pump means are linked to the receptacle to pressurize the slurry for delivery to the well. The use of dual augers serves to shear highly viscous slurry material, thereby increasing slurry mobility and infectivity. As well, the dual augers permit delivery of a consistent slurry in terms of solids content and consistency, and permit efficient movement of a relatively large volume of granular waste material. Waste receiving means are preferably provided to receive the wastes. These means may comprise a hopper linked by conveyor to the particle sizing means. The apparatus may further include control means for controlling the system and data processing and storage means linked to the device to monitor and control the operation of the device and to monitor the injection process. A real-time monitoring system may be linked to the control means, comprising slurry density measuring means, pump/surface injection pressure measuring means; means to measure slurry injection rates and water input into the system, and a data logger. Preferably, the various components are driven by variable speed hydraulic motors controlled by the control means to provide consistent slurry quality and delivery rate and pressure. The apparatus may further include a computer adapted to receive information from pressure gauges positioned at the bottom and surface of the injection well and within one or more monitoring wells. Preferably, information is also received from one or more surface uplift indicators and micro seismographs in the region around the injection well. The computer is programmed to receive the data and assess the approximate localization of the wastes within the injection strata. These and other objects and aspects of the invention will be described by way of a detailed description and drawings illustrating preferred versions of the invention. |
description | The present invention relates to a nuclear fuel storage rack stored in water in a storage pit of a nuclear fuel storage facility in a state in which a nuclear fuel assembly is housed. This application claims priority to and the benefit of Japanese Patent Application No. 2011-022623 filed on Feb. 4, 2011, the disclosure of which is incorporated by reference herein. For example, a spent nuclear fuel (a spent nuclear fuel rod) generated in a nuclear power plant is stored and preserved in a nuclear fuel storage facility. In addition, the spent nuclear fuel is housed in a rack cell of a fuel storage rack as a nuclear fuel assembly bundled by a grid, and stored in a storage pit of a nuclear fuel storage facility. Here, water is stored in the storage pit, and a plurality of nuclear fuel storage racks are arranged and stored in the water. Accordingly, decay heat is cooled and removed to be maintained at a value less than critical value, and radiation is shielded. The nuclear fuel storage rack is configured such that a plurality of rack cells extending in an upward/downward direction and arranged in vertical and horizontal directions are formed. For example, the nuclear fuel storage rack is manufactured by disposing boron-added stainless steel plates in a square lattice shape and welding and connecting neighboring steel plates, or manufactured by disposing prismatic bodies of boron-added stainless steel pipes in a zigzag shape and welding and connecting corner sections of the neighboring prismatic bodies (for example, see Patent Document 1). [Patent Document 1]: Japanese Unexamined Patent Application, First Publication No. 2002-189098 However, the fuel storage rack in the related art is formed by welding and connecting plates or prismatic bodies formed of boron-added stainless steel. For this reason, there is a concern that distortion may occur due to heat upon welding, and quality such as shielding performance of radiation may be decreased. In addition, in Europe, manufacture of the fuel storage rack by welding boron-added stainless steel having neutron absorption performance is prohibited. For this reason, it is very preferable for the fuel storage rack to be manufactured without welding. According to an aspect of the present invention, a nuclear fuel storage rack includes a plurality of rack cells configured to house a nuclear fuel assembly, and the rack cell includes a plurality of plate members containing a radiation absorption material and configured to form a nuclear fuel housing space configured to house the nuclear fuel assembly, and a fastening mechanism configured to fasten the plurality of plate members. In addition, the plate members include projections protruding outward in a lateral direction from one sides (one end edge) and the other sides (the other end edge) extending in an upward/downward direction, and concave sections formed at one sides (one end edge) and the other sides (the other end edge) by the projections. In addition, in a state in which the projections and the concave sections of the neighboring plate members are engaged to assemble the plurality of plate members, the fastening mechanism is configured to fasten the projections protruding outward from outer surfaces of the plate members in the lateral direction. In one aspect of the present invention, the projections and the concave sections of the plate members (sidewall plates) are engaged, and the projections protruding outward from the outer surfaces of the plate members are fastened by the fastening mechanism. For this reason, the rack cell can be formed without the use of welding. In the nuclear fuel storage rack according to an aspect of the present invention, the rack cell can be formed by engaging the projections and the concave sections of the plate members and connecting the projections protruding outward from the outer surfaces of the plate members using the fastening mechanism without welding. For this reason, like the related art, concern of causing distortion due to the welding and a decrease in quality such as shielding performance of radiation can be removed. As a result, the nuclear fuel storage rack having high reliability can be realized. In addition, the rack cell is formed by engaging and assembling the projections and the concave sections of the plate members and protruding the projections outward from the outer surfaces of the plate members. For this reason, in a state in which the nuclear fuel storage rack is stored in water, the water can be interposed between the neighboring rack cells as a moderator for moderating fast neutrons. Accordingly, not only can the neutrons be absorbed in the radiation absorption material of the plate members of the rack cell, but also the fast neutrons can be moderated using the water as a water trap. As a result, critical safety can be secured. Hereinafter, a nuclear fuel storage rack according to a first embodiment of the present invention will be described with reference to FIGS. 1 to 4. In the embodiment, for example, the present invention relates to a nuclear fuel storage rack configured to store and preserve a spent nuclear fuel generated in a nuclear power plant in water in a storage pit of a nuclear fuel storage facility. As shown in FIGS. 1 and 2, a nuclear fuel storage rack A of the embodiment includes a rack cell 1 configured to house a nuclear fuel assembly, and a rack body 3 having a cell insertion hole 2 configured to house the rack cell 1. As shown in FIG. 1, the rack body 3 includes a base plate 4 having a square plate shape, a plurality of support legs 5 protruding downward from a lower surface of the base plate 4, and a cell housing section 6 integrally installed on the base plate 4 and formed by arranging a plurality of cell insertion holes 2 having a cross-sectional square shape extending in an upward/downward direction longitudinally and laterally in a horizontal direction (a lateral direction). In addition, for example, the plurality of cell insertion holes 2 having a cross-sectional square shape arranged longitudinally and laterally in the horizontal direction are formed at the cell housing section 6 by assembling the plate members formed of stainless steel plates in a lattice shape. Here, the cell housing section 6 may be formed of an extremely thin plate while securing earthquake resistance. As shown in FIGS. 3 and 4, the rack cell 1 of the embodiment includes a cell body 9 constituted by a plurality of sidewall plates (plate members) 10, 11, 12 and 13 stood to form a nuclear fuel housing space (a housing section) 8 configured to house a nuclear fuel assembly 7, and a fastening mechanism 14 configured to fasten the plurality of sidewall plates 10 to 13 of the cell body 9. A nuclear fuel used in a pressurized-water type nuclear power equipment, in particular, the nuclear fuel assembly 7 in which the plurality of nuclear fuel rods are bundled by a grid 15, has a square rod shape (see FIG. 4). For this reason, the cell body 9 is formed by using four sidewall plates 10 to 13, and the nuclear fuel housing space 8 has a cross-sectional square shape. The sidewall plates 10 to 13 are formed by using a material having good neutron absorption performance (a radiation absorption material) such as boron-added stainless steel, boron (boron carbide)-added aluminum, a gadolinium-added ferroalloy or nonferrous metal, or a boron- and gadolinium-added ferroalloy or nonferrous metal. The sidewall plates 10 to 13 of the embodiment are formed by projections 16 protruding outward in a lateral direction from one side end along an inner surface and an outer surface (one surface and the other surface) at the one side end extending in an upward/downward direction T1, and forming projections 16 protruding outward in the lateral direction from the other side end along the inner surface and the outer surface even at the other side end In the embodiment, the sidewall plates 10 to 13 are formed by installing the plurality of projections 16 at one side and the other side in the upward/downward direction T1 at predetermined intervals. That is, the sidewall plates 10 to 13 are formed by including the projections 16 and concave sections 17 alternately disposed in the upward/downward direction T1 at one side end and the other side end The sidewall plates 10 to 13 are formed such that the pair of projections 16 of one side and the other side are disposed at the same height in the upward/downward direction T1. In addition, among the four sidewall plates 10 to 13, a first sidewall plate 10 and a second sidewall plate 12 are formed to dispose the projections 16 (and the concave sections 17) at the same height in the upward/downward direction T1. A third sidewall plate 11 and a fourth sidewall plate 13 are formed to dispose the projections 16 (and the concave sections 17) at the same height in the upward/downward direction T1. In addition, the third sidewall plate 11 and the fourth sidewall plate 13 are formed such that the projections 16 are disposed at the height of the concave sections 17 of the first and second sidewall plates 10 and 12. That is, the first and second sidewall plates 10 and 12, and the third and fourth sidewall plates 11 and 13 are formed to slide disposition of the projection 16 and the concave section 17. Insertion holes 18 passing through the outer surface from the inner surface are formed at predetermined positions of the projections 16 of the sidewall plates 10 to 13. Furthermore, the sidewall plates 10 to 13 are formed such that a width L1 from one side end to the other side end is slightly larger than a width L2 of the nuclear fuel assembly 7 having a cross-sectional square shape, and a height H1 from a lower end to an upper end is larger than a height H2 of the nuclear fuel assembly 7. Then, the cell body 9 engages the projections 16 and the concave sections 17 disposed at the same height position of one side of the first sidewall plate 10 and the other side of the third sidewall plate 11, and engages the projections 16 and the concave sections 17 disposed at the same height as the other side of the first sidewall plate 10 and one side of the fourth sidewall plate 13. In addition, the cell body 9 engages the projections 16 and the concave sections 17 disposed at the same height as one side of the second sidewall plate 12 and the other side of the fourth sidewall plate 13, and engages the projections 16 and the concave sections 17 disposed at the same height as the other side of the second sidewall plate 12 and one side of the third sidewall plate 11. Then, the neighboring sidewall plates 10 and 11, 11 and 12, 12 and 13, and 13 and 10 are perpendicular to each other, and the projections 16 and the concave sections 17 are engaged with each other as described above, assembling the four sidewall plates 10 to 13. Accordingly, the nuclear fuel housing space 8 having a cross-sectional square shape is formed. The fastening mechanism 14 is a fastener configured to integrally fix the four assembled sidewall plates 10 to 13. Then, in the embodiment, bolts 14a and nuts 14b are used as the fastening mechanism 14. In a state in which the four sidewall plates 10 to 13 are assembled, the bolts 14a are inserted into the insertion holes 18 of the pair of projections 16 opposite each other at the same height as the first sidewall plate 10 and the second sidewall plate 12 and the insertion holes 18 of the pair of projections 16 opposite to each other at the same height as the third sidewall plate 11 and the fourth sidewall plate 13, and the nuts 14b are fastened thereto. Accordingly, the projections 16 of the first sidewall plate 10 and the second sidewall plate 12, and the projections 16 of the third sidewall plate 11 and the fourth sidewall plate 13 are fastened (connected) by the fastening mechanism 14. As a result, the four sidewall plates 10 to 13 configured to form the nuclear fuel housing space 8 having a cross-sectional square shape are securely and rigidly integrated, and the rack cell 1 is formed. In the nuclear fuel storage rack A of the embodiment, in a state in which the nuclear fuel assembly 7 is housed in the nuclear fuel housing space 8 of the rack cell 1 and the rack cell 1 is housed in the cell insertion hole 2 of the rack body 3, they are stored and preserved in water (boric acid solution or pure water) in the storage pit of the nuclear fuel storage facility. Then, here, the rack cell 1 is formed without use of welding. For this reason, there is no concern of generation of distortion due to welding and a decrease in quality such as shielding performance of radiation as in the related art. As shown in FIG. 2, the rack cell 1 is formed in a state in which the projections 16 and the concave sections 17 of the sidewall plates 10 to 13 are engaged and the projections 16 protrude outward from the outer surfaces of the sidewall plates 10 to 13. For this reason, in a state in which the rack cell 1 is housed in the cell insertion hole 2 of the rack body 3, a space P is formed between outer surfaces of the sidewall plates 10 to 13 and inner surfaces of the cell insertion hole 2 by the projections 16 of the sidewall plates 10 to 13. For this reason, in a state in which the rack cell 1 is housed in the cell insertion hole 2 of the rack body 3 and stored in water, the nuclear fuel housing space 8 of the rack cell 1 is filled with water, and the space P between the outer surfaces of the sidewall plates 10 to 13 and the inner surfaces of the cell insertion hole 2 is also filled with water. The nuclear fuel housing space 8 of the rack cell 1 and the space P between the outer surfaces of the sidewall plates 10 to 13 and the inner surfaces of the cell insertion holes 2 are filled with water. Accordingly, the fast neutrons discharged from the nuclear fuel assembly 7 are moderated to be converted into thermal neutrons, and the neutrons are absorbed by a radiation absorption material such as boron or gadolinium added to the sidewall plates 10 to 13. Since the radiation absorption material having the above-mentioned configuration effectively absorbs the neutrons, a storage interval of the nuclear fuel assembly 7 can be reduced, and the nuclear fuel can be densely stored. The space P between the outer surfaces of the sidewall plates 10 to 13 and the inner surfaces of the cell insertion holes 2 is filled with water. Accordingly, water is interposed in the space P between the neighboring rack cells 1 inserted into the cell insertion holes 2 of the rack body 3 as the moderator (radiation moderator) configured to moderate the fast neutrons. Then, the neutrons are absorbed by the radiation absorption material of the sidewall plates 10 to 13 of the rack cell 1, and the fast neutrons are moderated by the water (water trap). As a result, an influence of the fast neutrons on the nuclear fuel housed in the neighboring rack cells 1 to a critical value is securely prevented. Accordingly, in the nuclear fuel storage rack A of the embodiment, the rack cell 1 can be formed by engaging the projections 16 and the concave sections 17 of the sidewall plates 10 to 13 and connecting the projections 16 protruding outward from the outer surfaces of the sidewall plates 10 to 13 using the fastening mechanism 14, without use of the welding. For this reason, concern of distortion being generated due to the welding and a decrease in quality such as shielding performance of radiation like the related art can be removed. Accordingly, the nuclear fuel storage rack A having high reliability can be realized. In addition, the rack cell 1 is formed by engaging and assembling the projections 16 and the concave sections 17 of the sidewall plates 10 to 13 and protruding the projections 16 outward from the outer surfaces of the sidewall plates 10 to 13. For this reason, in a state in which the rack cell 1 is housed in the nuclear fuel storage rack A and stored in water, the water as the moderator for moderating the fast neutrons can be disposed in the space P between the neighboring rack cells 1. Accordingly, the neutrons can be absorbed by the radiation absorption material of the sidewall plates 10 to 13 of the rack cell 1, and the fast neutron can be moderated using the water as the water trap. As a result, the critical safety can be secured. Next, a nuclear fuel storage rack according to a second embodiment of the present invention will be described with reference to FIGS. 1, 2, 5 and 6. In the embodiment, only the configuration of the rack cell is different from the first embodiment. Accordingly, in the embodiment, the same elements as in the first embodiment are designated by the same reference numerals, and detailed description thereof will be omitted. As shown in FIGS. 5 and 6, like the first embodiment, a rack cell 20 of the embodiment includes a cell body 9 constituted by a plurality of sidewall plates 10 to 13 configured to form a nuclear fuel housing space 8 configured to house a nuclear fuel assembly 7, and a fastening mechanism 21 configured to fasten the plurality of sidewall plates 10 to 13 of the cell body 9. Similar to the first embodiment, in the cell body 9, the nuclear fuel housing space 8 is formed in a cross-sectional square shape using the four sidewall plates 10 to 13. In addition, the sidewall plates 10 to 13 are formed using a material having good neutron absorption performance (a radiation absorption material) such as boron-added stainless steel, boron (boron carbide)-added aluminum, a gadolinium-added ferroalloy or nonferrous metal, or a boron- and gadolinium-added ferroalloy or nonferrous metal. The sidewall plates 10 to 13 of the embodiment are formed by the projections 16 and the concave sections 17 alternately disposed in the upward/downward direction T1 at one side and the other side Then, in the cell body 9, the neighboring sidewall plates 10 and 11, 11 and 12, 12 and 13, and 13 and 10 are perpendicular to each other, and the projections 16 and the concave sections 17 are engaged with each other, assembling the four sidewall plates 10 to 13. Accordingly, the nuclear fuel housing space 8 having a cross-sectional square shape is formed. In the embodiment, the fastening mechanism 21 includes an L-shaped metal jig 22, and a fastener 23. The L-shaped metal jig 22 is formed in a cross-sectional L shape. In addition, each of one side section 22a and the other side section 22b perpendicular to the one side section 22a has substantially the same length as a length from base ends of the projections 16 of the sidewall plates 10 to 13 to projection direction tip ends. Further, the L-shaped metal jig 22 of the embodiment is formed such that a length (height) in a direction of an axis O1 has substantially the same dimension as a height of the sidewall plates 10 to 13. Furthermore, a plurality of through-holes 24 are formed at the one side section 22a and the other side section 22b of the L-shaped metal jig 22 at predetermined intervals in the direction of the axis O1. In the fastening mechanism 21, the L-shaped metal jigs 22 are installed at four corner sections of the cell body 9 in which the neighboring sidewall plates 10 and 11, 11 and 12, 12 and 13, and 13 and 10 are perpendicular to each other and the projections 16 and the concave sections 17 are engaged to assemble the four sidewall plates 10 to 13. Here, the L-shaped metal jig 22 is installed to match an upper end and a lower end thereof to height positions of the upper ends and the lower ends of the sidewall plates 10 to 13 and match angled sections to corner sections. Further, the L-shaped metal jig 22 is installed by bringing the outer surface of the one side section 22a in contact with one projection 16 protruding outward from the outer surface of one of the sidewall plates 10 to 13 and bringing the outer surface of the other side section 22b in contact with the other projection 16 perpendicular to the one projection 16 and protruding outward from the outer surface of the other of the sidewall plates 10 to 13. In addition, when the L-shaped metal jig 22 having the above-mentioned configuration is installed, the plurality of through-holes 24 formed at each of the one side section 22a and the other side section 22b of the L-shaped metal jig 22 are brought in communication with the insertion hole 18 of the projection 16 of one of the sidewall plates 10 to 13 and the insertion hole 18 of the projection 16 of the other of the sidewall plates 10 to 13. Next, the L-shaped metal jigs 22 installed at each of four corner sections of the cell body 9 are fastened by inserting the fastener 23 such as a bolt (nut), a small screw, a rivet, or a split pin, into the insertion hole 18 of the projection 16 and a through-hole 24 of the L-shaped metal jig 22 of the sidewall plates 10 to 13 in communication with each other. Accordingly, the projections 16 of the neighboring sidewall plates 10 and 11, 11 and 12, 12 and 13, and 13 and 10 are fastened (connected) via the L-shaped metal jig 22 and the fastener 23. As a result, the four sidewall plates 10 to 13 forming the nuclear fuel housing space 8 having the cross-sectional square shape are securely and rigidly integrated to form the rack cell 20. Then, like the first embodiment, in a nuclear fuel storage rack B of the embodiment, the projections 16 and the concave sections 17 of the sidewall plates 10 to 13 are engaged with each other, and the projections 16 protruding outward from the outer surfaces of the sidewall plates 10 to 13 are connected by the fastening mechanism 21, forming the rack cell 20 without use of welding. For this reason, concern of generating distortion due to the welding and a decrease in quality such as shielding performance of radiation like the related art can be removed. Accordingly, the nuclear fuel storage rack B having high reliability can be realized. In addition, the rack cell 20 is formed by engaging and assembling the projections 16 and the concave sections 17 of the sidewall plates 10 to 13 and protruding the projections 16 outward from the outer surfaces of the sidewall plates 10 to 13. For this reason, in a state in which the rack cell 20 is housed in the nuclear fuel storage rack B and stored in water, water is disposed between the neighboring rack cells 20 as a moderator for moderating the fast neutrons. Accordingly, the neutrons are absorbed by the radiation absorption material of the sidewall plates 10 to 13 of the rack cell 20, and the fast neutrons can be moderated using the water as a water trap. As a result, critical safety can be secured. Next, a nuclear fuel storage rack according to a third embodiment of the present invention will be described with reference to FIGS. 7 to 10. Only the configuration of the rack cell of the embodiment is distinguished from the first and second embodiments. Accordingly, in the embodiment, the same elements in the first and second embodiments are designated by the same reference numerals, and detailed description thereof will be omitted. As shown in FIG. 7, a rack cell 30 of the embodiment includes a cell body 35 constituted by a plurality of sidewall plates (plate members) 31 to 34 forming the nuclear fuel housing space 8 configured to house the nuclear fuel assembly 7, and a fastening mechanism 36 configured to fasten the plurality of sidewall plates 31 to 34 of the cell body 35. In the cell body 35, the nuclear fuel housing space 8 is formed in a cross-sectional square shape using four sidewall plates 31 to 34. In addition, the sidewall plates 31 to 34 are formed using a material having good neutron absorption performance (a radiation absorption material) such as boron-added stainless steel, boron (boron carbide)-added aluminum, a gadolinium-added ferroalloy or nonferrous metal, or a boron- and gadolinium-added ferroalloy or nonferrous metal. As shown in FIGS. 7 and 8, each of the sidewall plates 31 to 34 includes the projection 16 and the concave section 17 disposed at one side and the other side and alternately disposed in the upward/downward direction T1. First and second sidewall plates 31 and 33 and third and fourth sidewall plates 32 and 34 are formed to slide disposition of the projection 16 and the concave section 17. In the sidewall plates 31 to 34 of the embodiment, each of the projections 16 is formed to have a slit (a groove) 37 extending from a tip end to a base end side thereof. In addition, the slit 37 is formed at a substantially center portion in a height direction (a length direction) of each of the projections 16. Then, like the first and second embodiments, the four sidewall plates 31 to 34 of the cell body 35 are assembled by allowing the neighboring sidewall plates 31 and 32, 32 and 33, 33 and 34, and 34 and 31 to be perpendicular to each other and engaging the projections 16 and the concave sections 17 with each other. Accordingly, the nuclear fuel housing space 8 having a cross-sectional square shape is formed. As shown in FIGS. 7, 9 and 10, the fastening mechanism 36 of the embodiment includes a plurality of lash metal jigs 38 and fasteners 39. The lash metal jig 38 is formed using stainless steel or a material having good neutron absorption performance. The lash metal jig 38 includes four lash plates 40, 41, 42 and 43 having a rectangular plate shape, and three hinges 44, 45 and 46 configured to pivotally connect the lash plates 40 to 43. In addition, the lash metal jig 38 is formed to pivotally connect one end of a first lash plate 40 and the other end of a second lash plate 41 at a first hinge 44, one end of the second lash plate 41 and the other end of a third lash plate 42 at a second hinge 45, and one end of the third lash plate 42 and the other end of a fourth lash plate 43 at a third hinge 46, about a pivot shaft extending in the upward/downward direction T1. The first lash plate 40 is connected to a lower end of a rotary pin of the first hinge 44, the third lash plate 42 is connected to a lower end of a rotary pin of the second hinge 45, the first lash plate 40 and the third lash plate 42 are disposed at the same height position in the upward/downward direction T1, and the lash metal jig 38 is formed. Further, the second lash plate 41 is connected to upper ends of the rotary pin of the first hinge 44 and the rotary pin of the second hinge 45, a lower end of a rotary pin of the third hinge 46 is connected to the third lash plate 42, and the fourth lash plate 43 is connected to the upper end of the rotary pin of the third hinge 46. Accordingly, the lash metal jig 38 is formed by disposing the second lash plate 41 and the fourth lash plate 43 at the same height position in the upward/downward direction T1 and at a position higher than the first lash plate 40 and the third lash plate 42 by a length of the rotary pin. In a state in which the projection 16 and the concave section 17 are engaged and the four sidewall plates 31 to 34 are assembled to form the cell body 35, the lash metal jig 38 is formed such that an interval between the slits 37 of the vertically neighboring projections 16 is equal to an interval in the upward/downward direction T1 between the first lash plate 40 and the third lash plate 42 and the second lash plate 41 and the fourth lash plate 43. Through-holes 47 and 48 are formed in the other end side of the first lash plate 40 and one end side of the fourth lash plate 43, respectively. Then, the fourth lash plate 43 is pivoted about the third hinge 46, the through-holes 47 and 48 of the first lash plate 40 and the fourth lash plate 43 are in communication with each other, and the fastener 39 such as a bolt (nut), a small screw, a rivet or a split pin is inserted into the through-holes 47 and 48. Accordingly, the other end of the first lash plate 40 is connected to one end of the fourth lash plate 43. In a step in which the projection 16 and the concave section 17 are engaged and the four sidewall plates 31 to 34 are assembled to form the cell body 35, the first lash plate 40 and the third lash plate 42 are inserted into the slits 37 under the vertically neighboring projections 16 and the second lash plate 41 is inserted into an upper side of the slit 37, while pivoting about the first hinge 44 and the second hinge 45. Further, the fourth lash plate 43 is pivoted about the third hinge 46, the fourth lash plate 43 is inserted into the upper side of the slit 37, the fastener 39 is inserted into the through-holes 47 and 48 of the first lash plate 40 and the fourth lash plate 43, which are in communication with each other, and the first lash plate 40 and the fourth lash plate 43 are connected. Accordingly, the projections 16 of the neighboring sidewall plates 31 and 32, 32 and 33, 33 and 34, and 34 and 31 are fastened (connected) by the lash plates 40 to 43. As a result, the four sidewall plates 31 to 34 forming the nuclear fuel housing space 8 having a cross-sectional square shape are securely and rigidly lashed and integrated, and thus the rack cell 30 is formed. In addition, in the embodiment, as shown in FIG. 7, bolts and nuts are used as the first hinge 44, the second hinge 45 and the third hinge 46 (rotary pins of hinges 44, 45 and 46). Then, when the hinges 44, 45 and 46 are configured using the bolts and nuts, secure fastening becomes possible. For this reason, the shape of the cell body 35 can be rigidly maintained by the fastening. As a result, material handling can also be easily performed. Then, like the first and second embodiments, in the nuclear fuel storage rack C of the embodiment, the projections 16 and the concave sections 17 of the sidewall plates 31 to 34 are engaged, the projections 16 protruding outward from the outer surfaces of the sidewall plates 31 to 34 are connected by the fastening mechanism 36, and thus the rack cell 30 can be formed without use of welding. For this reason, concern of generating distortion due to the welding and a decrease in quality such as shielding performance of radiation like the related art can be removed. Accordingly, the nuclear fuel storage rack C having high reliability can be realized. The rack cell 30 is formed by engaging and assembling the projections 16 and the concave sections 17 of the sidewall plates 31 to 34 and protruding the projections 16 outward from the outer surfaces of the sidewall plates 31 to 34. For this reason, in a state in which the rack cell 30 is housed in the nuclear fuel storage rack C and stored in water, the water can be interposed between the neighboring rack cells 30 as a moderator for moderating the fast neutrons. Accordingly, the neutrons can be absorbed by the radiation absorption material of the sidewall plates 31 to 34 of the rack cell 30, and the fast neutrons can be moderated using the water as a water trap. As a result, critical safety can be secured. Next, a nuclear fuel storage rack according to a fourth embodiment of the present invention will be described with reference to FIGS. 11 and 12. In the embodiment, the same elements as in the first to third embodiments are designated by the same reference numerals, and detailed description thereof will be omitted. A nuclear fuel storage rack D of the present invention is constituted by the rack cell 1 configured to house the nuclear fuel assembly 7 as shown in FIGS. 1 and 2, and does not include the rack body 3 having the plurality of cell insertion holes 2 configured to house the rack cell 1. In addition, in the embodiment, the rack cell of the first embodiment is used as the rack cell 1. The nuclear fuel storage rack D of the embodiment is constituted by longitudinally and laterally arranging a plurality of rack cells 1 in a horizontal direction and connecting the longitudinally and laterally neighboring rack cells 1. In addition, the projections 16 of the neighboring rack cells 1 disposed to match the tip ends are connected by a connecting metal jig 50 on the same straight line in a longitudinal direction and a lateral direction in the horizontal direction, and the neighboring rack cells 1 are connected. Here, through-holes 51 in communication with communication holes 18 of the projections 16, in which the tip ends are matched, are formed in the connecting metal jig 50. Then, as the connecting metal jig 50 is disposed at a predetermined position to bring the through-holes 51 in communication with the communication holes 18 of the projections 16 and a fastener 52 such a bolt (nut), a small screw, a rivet, or a split pin is inserted thereinto, the projections 16 of the neighboring rack cells 1 and the connecting metal jigs 50 are fastened. Accordingly, the neighboring rack cells 1 are connected via the connecting metal jig 50 and the fastener 52, and the plurality of rack cells 1 longitudinally and laterally arranged in the horizontal direction are integrally connected to form the nuclear fuel storage rack D of the embodiment. The nuclear fuel storage rack D of the embodiment having the above-mentioned configuration is stored and preserved in water (a boric acid solution or pure water) in a storage pit of a nuclear fuel storage facility in a state in which the nuclear fuel assembly 7 is housed in the nuclear fuel housing space 8 of each of the rack cells 1. Then, here, the rack cell 1, in particular, the nuclear fuel storage rack D, can be formed without use of welding. For this reason, concern of generating distortion due to the welding and a decrease in quality such as shielding performance of radiation as in the related art is removed. The rack cell 1 engages the projections 16 and the concave sections 17 of the sidewall plates 10 to 13, the projections 16 protrude outward from the outer surfaces of the sidewall plates 10 to 13, the projections 16 of the neighboring rack cells 1, in which the tip ends are matched, are connected by the connecting metal jig 50 and the fastener 52, and the nuclear fuel storage rack D is formed. For this reason, the space P is formed between the outer surfaces of the opposite sidewall plates 10 to 13 of the rack cell 1 by the projections 16. Accordingly, in a state in which the nuclear fuel storage rack D of the embodiment constituted by the plurality of rack cells 1 configured to house the nuclear fuel assembly 7 is stored in water, the nuclear fuel housing spaces 8 of the rack cells 1 are filled with water, and the space P between the opposite sidewall plates 10 to 13 of the neighboring rack cells 1 is also filled with water. The space P between the opposite sidewall plates 10 to 13 of the neighboring rack cells 1 is filled with water. Accordingly, the fast neutrons discharged from the nuclear fuel assembly 7 are moderated to be converted into thermal neutrons, and the neutrons are absorbed by the radiation absorption material such as boron, gadolinium, or the like, which is added to the sidewall plates 10 to 13. In addition, the neutrons are absorbed by the radiation absorption material of the sidewall plates 10 to 13 of the rack cell 1, and the fast neutrons are moderated by water in the space P between the opposite sidewall plates 10 to 13 of the neighboring rack cells 1. Accordingly, an influence on the nuclear fuel housed in the neighboring rack cells 1 toward a critical value due to the fast neutrons can be securely prevented. Accordingly, in the nuclear fuel storage rack D of the embodiment, the projections 16 and the concave sections 17 of the sidewall plates 10 to 13 are engaged, the projections 16 protruding outward from the outer surfaces of the sidewall plates 10 to 13 are connected by the fastening mechanisms 14 and 52, and the plurality of rack cells 1 formed without use of welding are connected and formed. For this reason, concern of generating distortion due to the welding and a decrease in quality such as shielding performance of radiation like the related art is removed. Accordingly, the nuclear fuel storage rack D having high reliability can be realized. In addition, the rack cell 1 is formed by engaging and assembling the projections 16 and the concave sections 17 of the sidewall plates 10 to 13 and protruding the projections outward from the outer surfaces of the sidewall plates 10 to 13. For this reason, in a state in which the nuclear fuel storage rack D is stored in water, the water can be interposed between the neighboring rack cells 1 as a moderator for moderating the fast neutrons. Accordingly, the neutrons are absorbed by the radiation absorption material of the sidewall plates 10 to 13 of the rack cell 1, and the fast neutrons can be moderated using the water as a water trap. As a result, critical safety can be secured. Next, a nuclear fuel storage rack of a fifth embodiment of the present invention will be described with reference to FIG. 13. The embodiment relates to a nuclear fuel storage rack including the same configuration as in the fourth embodiment. For this reason, in the embodiment, the same elements as in the fourth embodiment are designated by the same reference numerals, and detailed description thereof will be omitted. As shown in FIG. 13, a nuclear fuel storage rack E of the embodiment is configured by longitudinally and laterally arranging a plurality of rack cells 1 in a horizontal direction and longitudinally and laterally connecting the neighboring rack cells 1. As the projections 16 of the neighboring rack cells 1 disposed to match the tip ends with each other are connected by the connecting metal jig 50 on the same straight line in a longitudinal direction and a lateral direction in the horizontal direction, the neighboring rack cells 1 can be connected. The nuclear fuel storage rack E of the embodiment is formed such that the rack cells 1 can be divided in plural in a height direction thereof. Then, in the embodiment, for example, the rack cell 1 having a height of 4 to 5 m is formed such that the height of the rack cell 1 can be divided in plural heights of divided cells. Further, here, the joining point M of the vertically neighboring divided cells 53 has a step shape, and the rack cell 1 is formed. In addition, the joining points M of the neighboring rack cells 1 are disposed at different heights in the upward/downward direction T1, i.e., the height of the joining point M of the neighboring rack cells 1 is delayed, and the rack cells 1, in particular, the nuclear fuel storage rack E, is formed. In the fourth embodiment (like the first to third embodiments), the rack cell 1 is long in a height direction T1, and the nuclear fuel housing space 8 is narrow so that a human cannot enter. For this reason, in the rack cell 1 of the fourth embodiment, a special tool is needed to fasten the fastener 52 in a deep area. In the nuclear fuel storage rack E of the embodiment, the rack cell 1 is formed to be divided. For this reason, in addition to the effects described in the first to fourth embodiments, the divided cells 53 can be sequentially stacked and connected by the fasteners 52 and 14, and in comparison with the rack cell 1 described in the first to fourth embodiments, the rack cell 1 and further the nuclear fuel storage rack E can be easily assembled without necessity of the special tool. In addition, when it is assumed that the fastener 52 is firmly fastened by a long latchet wrench, the rack cell 1 may be formed such that the height of the divided cells 53 is about 0.2 to 1.5 m. In addition, since the nuclear fuel storage rack E is formed by adjusting the height (offsetting the position) of the joining point M of the neighboring rack cells 1, an effect of blocking the neutrons leaked from the joining point M can be obtained. While the first to fifth embodiments of the nuclear fuel storage rack according to the present invention have been described, the present invention is not limited to the first to fifth embodiments but may be appropriately modified without departing from the spirit of the present invention. For example, in the first and second embodiments, it was described that the insertion holes 18 are formed in all of the projections 16 of the sidewall plates 10 and 11, and further, in the second embodiment, the through-holes 24 in communication with the insertion holes 18 of all of the projections 16 are formed in the L-shaped metal jigs 22, and all of the projections 16 and the L-shaped metal jigs 22 are fastened by the fasteners 23. On the other hand, for example, as shown in FIG. 14, the L-shaped metal jigs 22 and the projections 16 may be configured to be fastened by the fasteners 23 only at the upper end side and the lower end side of the rack cell 20. In addition, here, the L-shaped metal jigs may be disposed at only the upper end side and the lower end side. In the case of the above-mentioned configuration, as shown in FIGS. 15 and 16, a space bar (a spacer) 60 may be inserted between the L-shaped metal jigs 22, which are vertically disposed. The space bar 60 may be a steel tube such as an angled steel tube, a steel rod, angled steel, or an X rod, but its cross-sectional shape is not limited. In addition, the space bar 60 is formed of stainless steel or a material having good neutron absorption capability. When the rack cell 20 is inserted into the cell insertion hole 2, the space bar 60 having the above-mentioned configuration is inserted between plate members forming the cell housing section 6 of the rack body 3 and the projections 16 of the first and second sidewall plates 10 and 12, between the plate members and the projections 16 of the second and third sidewall plates 12 and 11, between the plate members and the projections 16 of the third and fourth sidewall plates 11 and 13, and between the plate members and the projections 16 of the fourth and first sidewall plates 13 and 10. Accordingly, the rack cell 20 can be stably held, and the nuclear fuel housing space 8 can be appropriately formed. In addition, the space bar 60 may be inserted into the rack cell 20 throughout the entire height thereof. In this case, the L-shaped metal jig 22 and the fastener 23 of the embodiment may be unnecessary. In the first to fifth embodiments, it was described that the fastening mechanisms 14, 21, 23, 36, 50 and 52 are installed from the upper ends to the lower ends of the rack cells 1, 20 and 30 at predetermined intervals. On the other hand, when an external force such as an earthquake is applied to the cell body 9 from the housed nuclear fuel assembly 7, the external force is applied from the nuclear fuel assembly 7 to the cell body 9 at the height position of the grid 15 in which the spent nuclear fuel rods are bundled. For this reason, the fastening mechanisms 14, 21, 23, 36, 50 and 52 may be disposed only at substantially the same height position as the grid 15 of the nuclear fuel assembly 7. That is, an installation position of the fastening mechanism may be appropriately selected. In the third embodiment, the lash metal jig 38 of the fastening mechanism 36 includes the four lash plates 40 to 43, and the three hinges 44, 45 and 46 configured to pivotally connect the neighboring lash plates 40 to 43. The present invention is not limited thereto, for example, as shown in FIG. 17, a lash metal jig of a fastening mechanism may include an L-shaped first lash plate 61 when seen from a plan view, and a second lash plate 63 connected to one end of the first lash plate 61 and pivoted about a first hinge 62 at the other end, and may be configured to fix the cell body 35 by inserting the fastener 39 into through-holes 64 and 65 formed in the other end side of the first lash plate 61 and one end side of the second lash plate 63. In the fourth and fifth embodiments, it was described that the plurality of the rack cells 1 of the first embodiment are longitudinally and laterally arranged in the horizontal direction, and the neighboring rack cells 1 are longitudinally and laterally connected to form the nuclear fuel storage racks D and E. The present invention is not limited thereto, as in the fourth and fifth embodiments, the plurality of rack cells 20 and 30 of the second and third embodiments may be connected to form the nuclear fuel storage rack. In the fifth embodiment, the joining point M of the divided cells 53, which are vertically neighbored, has a step shape, and the rack cell 1 is formed. The present invention is not limited thereto, the joining point M of the divided cells 53 may have an arbitrary shape, and for example, as shown in FIG. 18, the joining point M may be formed to be inclined. In addition, the joining point M may be formed to have different shapes according to the sidewall plates 10 to 13 of the rack cell 1. Even in this case, as in when the position of the joining point M of the neighboring rack cells 1 is slid, an effect of blocking the neutrons leaked from the joining point M can be obtained. The present invention may include modifications, and may be configured by appropriately assembling the configurations of the first to fifth embodiments. According to the nuclear fuel storage rack of the embodiment, the rack cell can be formed by engaging the projections and the concave sections of the plate members and connecting the projections protruding outward from the outer surfaces of the plate members by the fastening mechanism without use of welding. For this reason, concern of generating distortion due to the welding and a decrease in quality such as shielding performance of radiation as in the related art can be removed. As a result, the nuclear fuel storage rack having high reliability can be realized. In addition, the rack cell is formed by engaging and assembling the projections and the concave sections of the plate members and protruding the projections outward from the outer surfaces of the plate members. For this reason, when the nuclear fuel storage rack is stored in water, the water is interposed between the neighboring rack cells as the moderator for moderating the fast neutrons. Accordingly, the neutrons can be absorbed by the radiation absorption material of the plate members of the rack cell, and the fast neutrons can be moderated using the water as a water trap. As a result, critical safety can be secured. 1: rack cell 2: cell insertion hole 3: rack body 4: base plate 5: support leg 6: cell housing section 7: nuclear fuel assembly 8: nuclear fuel housing space 9: cell body 10: sidewall plate (plate member) 11: sidewall plate (plate member) 12: sidewall plate (plate member) 13: sidewall plate (plate member) 14: fastening mechanism 15: grid 16: projection 17: concave section 20: rack cell 21: fastening mechanism 22: L-shaped metal jig 23: fastener 24: through-hole 30: rack cell 31: sidewall plate (plate member) 32: sidewall plate (plate member) 33: sidewall plate (plate member) 34: sidewall plate (plate member) 35: cell body 36: fastening mechanism 37: slit 38: lash metal jig 39: fastener 40: lash plate 41: lash plate 42: lash plate 43: lash plate 44: hinge 45: hinge 46: hinge 47: through-hole 48: through-hole 50: connecting metal jig 51: through-hole 52: fastener 53: divided cell 60: space bar A: nuclear fuel storage rack B: nuclear fuel storage rack C: nuclear fuel storage rack D: nuclear fuel storage rack E: nuclear fuel storage rack M: joining point O1: axis T1: upward/downward direction (height direction) |
|
description | This application claims priority to U.S. Provisional Application Ser. No. 61/045,997, titled IDENTIFYING NUCLEAR MATERIAL and filed on Apr. 18, 2008, and U.S. Provisional Application Ser. No. 61/052,072, titled IMAGING SYSTEM and filed on May 9, 2008 both of which are herein incorporated by reference in their entirety. This disclosure relates to distinguishing between classes of fissionable materials. Fissionable materials produce fission products in response to being struck by accelerated particles having energy sufficient to cause fission in the material. In one general aspect, a system for detecting fissionable material includes a source configured to accelerate a pulsed beam of charged particles. The system also includes a first target configured to generate a fast neutron beam in response to being struck by an accelerated charged particle included in the pulsed beam of charged particles. The fast neutron beam includes neutrons having an energy sufficient to cause fission in a fissionable material. The system also includes a sensor configured to detect radiation from a fission product, and a processor coupled to a computer-readable storage medium. The medium stores instructions that, when executed, cause the processor to cause the source to accelerate a first pulsed beam of charged particles toward the first target such that a pulsed beam of fast neutrons is directed toward a physical region, receive data from the sensor indicating whether fission product radiation is emitted from the physical region, and determine, based on the data and before causing the source to accelerate a second pulsed beam of charged particles, whether the physical region includes a fissionable material. Implementations may include one or more of the following features. An image may be generated based on attenuation of the fast neutron beam by the physical region. The generated image may be analyzed to identify regions that are not penetrated by the fast neutron beam. A region of interest that is located within the physical region may be received. Some of the fast neutron beam may have an energy greater than 1.5 MeV, and the slow neutron has an energy of less than 1.5 MeV. In some implementations, the system may include a second target configured to generate a slow neutron in response to being struck by an accelerated particle from the source. The slow neutron having an energy sufficient to cause fission in a weaponizable material and insufficient to cause fission in a non-weaponizable material, and a second pulsed beam of charged particles may be directed toward the second target such that a pulsed beam of slow neutrons is directed toward the physical region. The second pulsed beam of charged particles may have the same energy as the particles in the first pulsed beam of charged particles. Data from the sensor that indicates detection of fission radiation resulting from the slow neutron interacting with the physical region may be received, and the region of interest may be determined to include a weaponizable material based on the data. The system also may include a steering module configured to steer the accelerated pulsed beam of charged particles relative to the first target and the second target. The steering module may be a magnet configured to control a direction of the first pulse. The first target and the second target may be spatially separated from each other, and the steering module may steer the first pulse of charged particles to the first target to generate the fast neutron beam and the second pulse of charged particles to the second target to generate the slow neutron beam. The first target and the second target may be located along a target ladder that is a single piece. The first target and the second target may be the same material. The first target may be beryllium or deuterium, and the second target may carbon. The system also may include a track along which the source moves relative to a region that includes the physical region. In another general aspect, a first pulsed beam of charged particles from a particle accelerator is accelerated toward a first target that is configured to emit a fast neutron beam in response to being struck by an accelerated particle such that the fast neutron beam is directed toward a physical region. The fast neutron beam includes a neutron having an energy sufficient to cause fission in a fissionable material. Data from a sensor configured to detect radiation of a fission product is accessed, and before accelerating a second pulsed beam of charged particles, whether the physical region includes a fissionable material based on the data from the sensor is determined. Implementations may include one or more of the following features. An image based attenuation of the fast neutron beam by the physical region may be generated. The image may be analyzed to identify regions of the physical region that are not penetrated by the fast neutron beam. A second pulsed beam of charged particles may be accelerated from the particle accelerator toward a second target configured to emit a slow neutron beam in response to being struck by an accelerated particle such that the slow neutron is directed toward the physical region. The slow neutron beam including a slow neutron having an energy sufficient to cause fission in a weaponizable material and insufficient to cause fission in a non-weaponizable material. Data from the sensor indicating production of radiation of a fission product resulting from an interaction between the physical region and the slow neutron beam may be accessed, and, based on the data, it may be determined that the physical region includes weaponizable material based on the data. Accelerating the first pulsed beam of particles may include accelerating a deuteron. The weaponizable material may be a special nuclear material and the pulsed slow neutron beam may have an energy of 0.5 MeV or less. Accessing data from the sensor may include accessing data collected by the sensor ten milliseconds or more after the first pulse of accelerated particles is extinguished. In some implementations, if the physical region includes a fissionable material, a second pulsed beam of accelerated particles is accelerated toward a second target configured to emit a slow neutron beam toward the physical region in response to being struck by an accelerated particle, the slow neutron beam including a slow neutron having an energy sufficient to cause a weaponizable material to fission and insufficient to cause fission in non-weaponizable materials. It is determined whether the region of interest includes a weaponizable material. A location of a region of interest that is within the physical region may be received. The source may be moved relative to the physical region such that the materials detection system scans the region of interest. In another general aspect, an apparatus for generating a dual-energy neutron beam from an accelerator includes a source configured to accelerate a pulse of charged particles having a single energy, a first target configured to produce a pulse of neutrons of a first energy in response to being struck by a charged particle in the pulse of charged particles, and a second target made from a material different from the first target and configured to produce a pulse of neutrons of a second energy in response to being struck by a charged particle in the pulse of charged particles. Implementations may include one or more of the following features. The charged particles may be deuterons, and the first target may be configured to produce a fast neutron beam in response to being struck by the charged particle, where the fast neutron beam includes neutrons having energy sufficient to cause fission in fissionable materials. The second target may configured to produce a slow neutron beam in response to being struck by the charged particle, and the slow neutron beam may include neutrons having energy sufficient to cause fission in weaponizable materials and insufficient to cause fission in non-weaponizable materials. Implementations of any of the techniques described above may include a method, a process, a system, a device, an apparatus, or instructions stored on a computer-readable medium. The details of one or more implementations are set forth in the accompanying drawings and the description below. Other features will be apparent from the description and drawings, and from the claims. A pulsed dual-energy neutron beam is used to probe a physical region, such the inside of a cargo container, to determine whether the physical region includes fissionable materials and/or weaponizable materials, such as special nuclear materials. The pulsed dual-energy neutron beam is generated from a single particle accelerator that accelerates a pulse of charged particles (such as deuterons, or protons) toward a target. The target produces a neutron in response to being struck by a particle included in the accelerated pulse of charged particles. Two targets, each of a different material, may be used such that a dual-energy neutron beam is created by using the single energy, single particle accelerator (such as a radio frequency quadrupole). As compared to techniques that use secondary targets to produce neutrons, the techniques discussed below produce neutrons directly through interactions between the particles from the source and the targets. This may result in more efficient production of neutrons and a higher flux neutron beam. Additionally, the techniques discussed below allow for detection of fission products between pulses from the accelerator. The dual-energy neutron beam may be a train of pulses of “fast” neutrons that is followed by a train of pulse of “slow” neutrons. A train of pulses may include thousands of pulses, and a train of pulses may be referred to as a neutron pulse. “Fast” neutrons are neutrons that are energetic enough to cause fission in all, or almost all, fissionable materials. “Slow” neutrons are less energetic than “fast” neutrons and are only energetic enough to cause fission in weaponizable materials. In between the pulses of neutrons, data from a sensor that is configured to count fission products (e.g., neutrons and/or gamma rays that are produced upon fission of the material) and/or measure radiation from fission products determines whether a fissionable material and/or a weaponizable material is present in the physical region. Because only weaponizable materials undergo fission when irradiated with the “slow” neutron pulse, by irradiating the physical region with the dual-energy neutron beam, weaponizable materials may be distinguished from fissionable materials that are non-weaponizable. Thus, the techniques discussed below may be used to distinguish between classes of fissionable materials and used to determine whether fissionable materials are present between pulses of a dual-energy neutron beam. Additionally, the “fast” neutron beam is relatively intense and penetrates most objects. Thus, an image of the attenuation of the fast neutron beam caused by passing through the physical region shows most objects in the physical region. However, the “fast” neutron beam does not penetrate all materials, and these regions of non-penetration may show up as dark regions in an image that is based on the attenuation of the “fast” neutron. Identification of these regions of non-attenuation may provide an indication of materials of interest (such as the lead shielding that may be obscuring nuclear material) that require further manual investigation. Referring to FIGS. 1A-1D, a plan view of an example system 100 for distinguishing weaponizable materials from fissionable materials is shown. Referring to FIG. 1A, at a time “t1,” a pulsed beam of charged particles is created by accelerating a pulsed beam 105 of low-atomic number ions (e.g., deuterons, protons) from a source 10 toward a first target 115. The source 110 may be a particle accelerator. The target 115 is made of a material that generates neutrons 120 in response to being struck by the accelerated particles in the pulsed beam 105. Referring to FIG. 1B, at a time “t2,” the source 110 no longer produces the pulsed beam 105 (e.g., the source 110 is off), but interactions between the neutrons 120 and a uranium object 145 produce delayed fission products 155. A sensor 130 senses the delayed fission products 165 before another pulse of neutrons is directed toward the physical region 125. Because radiation of fission products is isotropic, the sensor 130 may include more than one sensor arranged along the physical region 125. The sensors in the sensor 130 may be, for example, spaced equidistant from each other in the vertical and/or horizontal direction with respect to the physical region 105. The sensors in the sensor 130 may be placed out of the path of the pulsed neutron beam 120 in order to minimize the occurrence of detection of fission radiation that comes from the pulsed neutron beam 120. An imaging sensor 132 is placed in the beam 120 and images the region 105, or a portion of the region 105, based on the attenuation of the pulsed neutron beam 120. FIGS. 1E and 1F show an illustration of the timing relationship between the sensor 130 and the pulsed beam from the source 110. As shown in FIG. 1E, at the time “t1,” a pulsed beam is emitted from the source 110, and, as shown in FIG. 1F, at the time “t2,” delayed fission products are detected by the sensor 130. Referring to FIG. 1C, at a time “t3,” another pulsed beam 107 of particles is accelerated from the source 110 toward a target 135. The pulsed beam 107 has the same energy as the pulsed beam 105, and the pulsed beam 107 comes from the same source 110 as the pulsed beam 105. The target 135 is made of a material that generates neutrons 160 in response to being struck by the accelerated particles in the pulsed beam 107. As discussed in more detail below, the target 135 is made from a different material than the target 115, and, thus the neutrons 160 have a different energy than the neutrons 120. In particular, the neutrons 120 may be “fast” neutrons and the neutrons 160 may be “slow” neutrons such that a dual-energy neutron beam is generated. Referring to FIG. 1D, at a time “t4,” interactions between the neutrons 160 and the uranium object 145 produce delayed fission products 165, and the source II 0 no longer produces the pulsed beam 105. A sensor 130 senses the delayed fission products 165. Thus, because the interactions with both the “fast” neutron beam 120 and the “slow” neutron beam 165 produce fission products, the uranium object 145 is identified as likely to be a fissionable material and a weaponizable material. In greater detail, fission is an exothermic reaction in which the nucleus of an atom splits into smaller parts. Fission may release energy as both electromagnetic radiation in the form of gamma rays and as kinetic energy in the form of free neutrons that are released from the fission reaction. Detection of delayed fission products (e.g., gamma rays and/or neutrons) from the region of interest indicates the presence of a fissionable material. The delayed fission products may be fission products that are emitted from the region of interest after the fission-inducing particles provided by the source have been extinguished. The dual-energy neutron beam may include a “slow” neutron that causes fission only in special nuclear materials and a “fast” neutron beam that causes fission in all, or almost all, fissionable materials. The “slow” neutron may be a neutron or a neutron beam that includes neutrons having an energy less than a particular threshold energy, and no neutrons above the threshold energy. The threshold energy may be, for example, 1.2 Mega electron volts (MeV) or 0.5 MeV. Thus, in these examples, the “slow” neutron beam would include neutrons lower than 1.2 MeV or lower than 0.5 MeV, respectively. The detection of fission products after the “slow” neutron beam is no longer irradiating an object (e.g., between pulses of accelerated particles from the source 110) indicates the presence of a fissionable material that is also a special nuclear material. The dual-energy neutron source also includes a “fast” neutron that causes fission in all, or almost all, fissionable materials. The “fast” neutron beam may include neutrons that have energy greater than 1.2 MeV. In some examples, the “fast” neutron beam includes neutrons that have an energy of approximately 6 MeV. The “fast” neutron beam may include neutrons having an energy that could be considered “slow.” For example, the “fast” neutron beam that includes neutrons having an energy greater than 1.2 MeV also may include neutrons having an energy less than 0.5 MeV. The detection of a fission product after the “fast” neutron pulse is removed indicates that fissionable materials are present. Thus, the sensor counts fission products after a “fast” neutron pulse to determine whether fissionable materials are present in the region of interest, and the sensor counts fissionable products after the “slow” neutron pulse to determine whether special nuclear materials are present in the region of interest. Accordingly, the techniques discussed below may help in the detection of special nuclear material and the detection of fissionable materials using a pulsed dual-energy neutron beam and detection of fission products between accelerator pulses (e.g., between the pulse train of “fast” neutrons and the pulse train of “slow” neutrons). Because both fissionable materials and special nuclear materials (a subset of fissionable materials) may be detected, the techniques discussed below may be used to distinguish weaponizable materials from other fissionable materials. In greater detail, and referring to FIGS. 1A and 1B, at the time “t1,” the pulsed beam of deuterons 105 is accelerated from the source 110 toward the target 115, and the pulse of “fast” neutrons 120 is generated and travels toward the physical region 125. The target 115 may be a material such as beryllium (Be) or deuterium (D). The physical region 125 includes the special nuclear material (SNM) object 145 and a uranium 238 (U-238) object 150. The SNM object 145 undergoes fission when irradiated with a “slow” neutron and when irradiated with a “fast” neutron. In contrast, the U-238 object 150 undergoes fission when irradiated with the “fast” neutron but not when irradiated with the “slow” neutron. The pulse of “fast” neutrons 120 enters the physical region 125 and interacts with the SNM object 145. The physical region 125 may be surrounded by a container, and the “fast” and “slow” neutrons are energetic enough to penetrate the container. The interaction between the “fast” neutron pulse and the SNM object 145 produces fission products 155 that are sensed (or counted) at the sensor 130. The fission products 155 are delayed fission products that are present after the prompt fission products (which occur concurrently with or shortly after irradiation with the “fast” neutron pulse) have subsided. The delayed fission products may occur, for example, approximately 10 milliseconds (ms) after the end of the “fast” neutron pulse 120. Thus, the sensor 130 may be configured to count or otherwise detect fission products at a set time after the “fast” neutron pulse 120 ends. Sensing delayed fission products may help to improve performance by reducing the inadvertent counting of neutrons in the “fast” neutron beam itself as fission products that are produced as a result of fission. Thus, sensing the delayed fission products may reduce the false alarm rate of the system 100 as compared to a system that senses prompt fission products. The delayed fission products generated from the interactions between the “fast” neutron pulse and the SNM object 145 are detected by the sensor 130 and the presence of a fissionable material (in this example the SNM object 145) is registered before the source 110 accelerates a pulsed beam of deuterons 105 toward the target 135. Thus, the system 100 may be considered to count, or otherwise detect, the presence of fissionable products in the physical region 125 between the pulses of accelerated particles from the source 110. Referring to FIGS. 1C and D, at a time “t3,” the source 110 accelerates a pulsed beam of deuterons 107 toward the target 135. As discussed above, the pulsed beam 107 is the same energy as the pulsed beam 105. As compared to the position of the source 110 in the examples of FIGS. 1A and 1B, at time “t3,” the source 110 has moved such that the pulsed beam 107 aligns with the target 135. For example, the source 110 may move along a rail 137. The target 135 is made from a different material than the target 115, and, thus, the interactions between the target 135 and the accelerated particles produces neutrons having a different energy than the neutrons in the neutron pulse 120. For example, the target 135 may be made from carbon (C), and interactions between the target 135 and the accelerated particles may result in the generation of the “slow” neutron pulse 160. The “slow” neutron pulse 160 enters the physical region 125 and interacts with the SNM object 145 to produce delayed fission products 165. The slow neutron pulse 160 enters the physical region 125 at approximately the same place that the fast neutron pulse 120 enters the physical region 125. The fission products include prompt fission products that occur concurrently with the irradiation of the SNM object 145 by the pulse 160 and shortly thereafter, and the delayed fission products 165 that are produced after the prompt fission products subside. Similar to the “fast” neutron beam pulse, the delayed fission products may be those fission products that are present approximately 10 ms after the “slow” neutron pulse 160 ends. The presence of the fission products 165 indicates that the object 145 is a SNM. In contrast, the U-238 object 150 produces fission products in response to being irradiated with the “fast” neutron beam 120, but the U-238 object 150 does not produce fission products in response to being irradiated with the “slow” neutron beam 160. Thus, the U-238 object 150 and the SNM object 145 may be distinguished from each other because only the SNM object 145 produce fission products in response to the “slow” neutron beam 160. Accordingly, the system 100 may be used to determine whether the physical region 125 includes fissionable materials and whether the physical region 125 includes special nuclear materials. In cases where the physical region includes both fissionable materials and special nuclear materials, the system 100 may distinguish between the two. In some implementations, the physical region 125 is probed with the “slow” neutron beam only after it is determined that the physical region 125 includes a fissionable material (e.g., by irradiating the physical region with the “fast” neutron beam). In these implementations, the source 110 accelerates a pulsed beam of deuterons toward the second target 135 only when fission products such as the fission products 155 are detected by the sensor 130. Only generating the “slow” neutron beam when fissionable materials are present may increase throughput of the system 100 and/or reduce the amount of dose the system 100 delivers. Additionally, a portion of the physical region 125 that is identified as including fissionable material may be probed with the “slow” neutron beam repeatedly until fission is detected or until a predetermined amount of time has passed. This allows the system 100 to focus on portions of the physical region 125 that most likely include weaponizable materials. This may result in energy savings as compared to techniques that scan the entire physical region 125 with the “slow” neutron beam. Additionally, repeatedly probing the portion may improve performance by producing additional detector signal that allows for fission products to be detected from weaponizable materials that would not otherwise be detected. Referring to FIGS. 2A and 2B, a plan view of an another example system for identifying fissionable materials and for distinguishing special nuclear materials from fissionable materials is shown at two different times, “t5” and “t6.” The system 200 is similar to the system 100 except the system 200 includes a beam steering module 210 that steers the beam of accelerated deuterons 105 along a target ladder 220 (or target track 220). The steering module 210 may be a magnet that is controllable to steer the beam 105 along the target ladder 220. The position of the beam 105 along the target ladder 220 may be determined by controlling and/or positioning the steering module 210. The target ladder 220 includes targets 220a and 220b that spaced along the target ladder 220. The targets 220a and 220b are made from different materials. In the example shown in FIGS. 2A and 2B, interaction between the beam 105 and the target 220a creates a “fast” neutron beam, and the interaction between the beam 105 and the target 220b creates a “slow” neutron beam. The target 220a may be, for example, deuterium or beryllium, and the target 220b may be carbon. The example target ladder 220 includes two targets; however, in other examples, the target ladder 220 may include more targets. For example, the target ladder may include both a deuterium target and a beryllium target in order to produce two different “fast” neutron beams as well as a carbon target to produce a “slow” neutron beam. Continuing with the example shown in FIG. 2A, at the time “t5,” a pulsed beam of deuterons 105 is accelerated from the source 110 and the steering module 210 steers the pulsed beam of deuterons 105 toward the target 220a to create a “fast” neutron pulse that interacts with the SNM object 145. After the “fast” neutron pulse ends, the delayed fission product 155 is detected at the sensor 130, indicating the presence of a fissionable material. At the time “t6,” another pulsed beam of deuterons 107 is accelerated from the source 110 and the steering module 210 steers the pulsed beam 107 toward the target 220b to create a “slow” neutron pulse that interacts with the SNM object 145. Delayed fission products 165 are detected by the sensor 130 after the “slow” neutron pulse ends, thus indicating the presence of a SNM material. Referring to FIGS. 3A and 3B, a plan view of an another example system for distinguishing special nuclear materials from fissionable materials is shown at two different times, “t6” and “t7.” The system 300 shown in FIGS. 3A and 3B is similar to the system 200 shown in FIGS. 2A and 2B, except the target ladder 220 moves relative to the source 110 and the physical region 125. Thus, in this example, instead of using a steering mechanism such as the steering module 210 to steer the beam from the source 110 along the target ladder 220 to select a target to irradiate, the target ladder 220 moves with respect to the source 110. In particular, referring to FIG. 3A, the target ladder 220 is positioned with the target 220a to receive the beam 105 from the source 110. Thus, a “fast” neutron beam is produced from the interaction between the beam 105 from the source 110 and the target 220a. Referring to FIG. 3B, at a time “t7,” the target ladder 220 has moved along the direction “d” such that the target 220b is positioned to received the beam 107 from the source 110. Thus, a “slow” neutron beam is produced by the interaction of the beam 107 and the target 220b. FIG. 4 shows a block diagram of a system 400 used to distinguish special nuclear materials from fissionable materials. The system 400 may be similar to the systems 100, 200, and 300 discussed above. The system 400 includes a source system 410 and sensor system 450. Together, the source system 410 and the sensor system 450 determine whether a physical region 405 includes fissionable materials and whether the physical region 405 includes special nuclear materials. Additionally, the system 400 distinguishes between fissionable materials and special nuclear materials. The source system 410 includes a source 415, a target set 420, a beam steering module 425, source electronics 430, a processor 435, an electronic storage 440, and an input/output module 445. The source 415 is a source that accelerates pulses of charged particles (such as deuterons) toward the target set 420. The source 412 may be similar to the source 110 discussed with respect to FIGS. 1A-1D. Interaction between the pulses of accelerated particles from the source 415 and the material of the target set 420 produces a pulsed neutron beam. The source 412 may be a single-particle, single-energy accelerator. In some implementations, the source 412 may include more than one accelerator, each of which produces a different type of particle. The target set 420 includes one or more materials that produce neutrons when struck by a pulse of accelerated particles from the source 415. The target set 420 may include multiple materials that are arranged along a single-piece track (such as the target ladder 220 shown in FIGS. 2A, 2B, 3A, and 3B). In some implementations, the target set 420 may be discrete targets that are physically separated from each other rather than being included in a single track. The target set 420 may include solid targets made of, for example, deuterium, beryllium, and/or carbon. In some implementations, the target set 420 may include gaseous targets, for example the target could be gaseous deuterium. The materials may be the same or the materials may be different from each other. In examples in which the materials in the target ladder 220 are different, the materials may be such that interactions between the different materials and the pulsed beam from the source 415 produce neutron beams of different energies (such as a “slow” neutron and a “fast” neutron). The source system 410 also includes the beam steering module 420. The beam steering module 420 steers the beam from the source 415 with respect to the target set 420 such that the beam from the source 415 strikes a particular portion of the target set 420. Thus, the beam steering module 420 allows selection of the energy of the neutron beam produced by the interaction of the beam from the source 415 and the material within the target set 420. The beam steering module 420 may be a magnet that controls the direction of the beam. In some implementations, the steering module 420 acts to move the target set 420 with respect to the source and/or the physical region 405 or to move the source 415 with respect to the target set 420. The source system 410 also includes the source electronics 430. The source electronics 430 provide timing for accelerating the pulses of particles from the source 415 and for controlling the steering module 420. For example, in implementations in which the steering module 420 is a magnet, and the beam from the source 415 is steered only when the magnet is activated, the source electronics 420 may activate and deactivate the magnet. The source system 410 also includes a processor 435, an electronic storage 440, and an input/output module 445. The electronic storage 440 stores instructions, that when executed, cause a processor coupled to the source 415 to accelerate a pulse of particles toward the target set 425 or a particular portion of the target set 425. The electronic storage 440 also may include instructions that, when executed, cause the processor 435 controlling the steering module 420. Additionally, the electronic storage 440 may store predefined values that define the duration and frequency of the pulses of accelerated particles that are emitted from the source 415. The electronic storage 435 is an electronic memory module, and the electronic storage 435 may be a non-volatile or persistent memory. The processor 430 may be a processor suitable for the execution of a computer program such as a general or special purpose microprocessor, and any one or more processors of any kind of digital computer. Generally, a processor receives instructions and data from a read-only memory or a random access memory or both. The processor 430 receives instruction and data from the components of the source system 410 and/or the sensor system 450, such as, for example, a location and/or other indication of the presence of a fissionable material within the physical region 405 that causes the source 415 and the steering module 420 to direct a pulse of accelerated particles toward the identified fissionable materials and a portion of the target set that includes a material that produces “slow” neutrons when struck by an accelerated particle. In some implementations, the source system 410 includes more than one processor. The input/output module 445 may be any device or module able to transmit data to, and receive data from, the source system 410. For example, the input/output device 445 may be a mouse, a touch screen, a stylus, a keyboard, or any other device that enables a user to interact with the source system 410. In some implementations, the input/output module 445 may be configured to receive an input from an automated process or a machine and/or configured to provide an output to an automated process or a machine. The system 400 also includes the sensor system 450. The sensor system 450 senses delayed fission products that emanate from the physical region 405 due to the irradiation of fissionable materials within the physical region 405 by the source system 410. The sensor system 450 includes a fission product sensor 460, an attenuation image sensor 465, sensor electronics 470, a processor 475, an electronic storage 480, and an input/output module 485. The fission product sensor 460 is sensitive to fission products emitted from the physical region 405 in response to being irradiated with the “slow” or “fast” neutron beams emitted from the target set 425. The fission product sensor 460 may be an array of scintillators that detect treed neutrons and/or gamma rays. For example, the fission product sensor 460 may be liquid or plastic scintillators and/or germanium (Ge) or high-performance germanium (HPGe) detectors. The sensor system 450 also includes the attenuation imaging sensor 465. The imaging system 465 may be similar to the imaging sensor 132 discussed above. The imaging sensor 465 is a sensor configured to generate an image of the attenuation of the “fast” neutron beam that is caused by the “fast” neutron beam traveling through the physical region 405. The imaging sensor 465 includes read-out electronics (not shown) that provide an electrical signal proportional to the radiation received by the imaging sensor 465. The imaging sensor 465 may be, for example, a plastic scintillator. The imaging sensor 465 is placed in the path of the neutron beam such that the imaging sensor produces an image of the physical region 405. The imaging sensor 465 may generate the image of the physical region 405 by building up an image from images of slices of the physical region 405. As compared to the fission product sensor 460, the imaging sensor 465 may have a smaller active sensing area. The sensor electronics 470 include electronics for powering and controlling the sensor system 450. For example, the sensor electronics 470 may control the fission product sensor 460 such that the fission product sensor 470 is inactive while the physical region 405 is irradiated with the pulsed neutron beam from the source 405 and is activated after a time corresponding to the time for the prompt fission products to subside. The sensor system 450 also includes a processor 475, an electronic storage 480, and an input/output module 485. The electronic storage 480 stores instructions, that when executed, cause the processor 475 to determine a number of counts of fission products sensed by the fission product sensor 460 or an amount of energy sensed by the fission product sensor 460. If the amount of energy exceeds a predefined threshold, the sensor system 450 may produce an alarm that is perceivable to an operator of the system 400 and/or the sensor system may provide a location of the source of the energy to the source system 410 such that the source system 410 may perform a confirmation scan of the location. The electronic storage 480 may store a pre-determined threshold value for an amount of energy or a count of fission products above which a fissionable material and/or special nuclear material is identified. The threshold value may represent a background level of fission products that is expected to be detected regardless of whether fissionable materials are present. The electronic storage 480 also includes instructions, that when executed, cause the processor 475 to determine a location corresponding to an identified fissionable material and to provide the location to the source system 410. The electronic storage 480 is an electronic memory module, and the electronic storage 480 may be a non-volatile or persistent memory. The processor 475 may be a processor suitable for the execution of a computer program such as a general or special purpose microprocessor, and any one or more processors of any kind of digital computer. Generally, a processor receives instructions and data from a read-only memory or a random access memory or both. In some implementations, the sensor system 450 includes more than one processor. The input/output module 485 may be any device or module able to transmit data to, and receive data from, the sensor system 450. For example, the input/output module 485 may be a mouse, a touch screen, a stylus, a keyboard, a display device, or any other device that enables a user to interact with the sensor system 450 or with data produced by the sensor system 450. In some implementations, the input/output module 485 may be configured to receive an input from an automated process or a machine and/or configured to provide an output to an automated process or a machine. FIG. 5 shows an example process 500 for distinguishing between fissionable materials and specific types of fissionable materials, such as special nuclear materials. The process 500 may be performed by one or more processors included in a system that uses a dual-energy neutron beam to distinguish between fissionable materials from special nuclear materials. For example, the process 500 may be performed by a system such as the systems 100, 200, 300, or 400 discussed above. A first pulsed beam of charged particles is accelerated toward a first target such that a “fast” neutron beam is created (510). The pulsed beam of particles may include particles such as deuterons, protons, or ions. The first target is a material, such as beryllium or deuterium, that produces neutrons in response to being struck by an accelerated particle. The “fast” neutron beam travels toward a physical region and interacts with materials within the physical region. The physical region may be, for example, an open space inside of or on a container used to transport goods and capable of hiding contraband, such as a truck, a shipping container, a rail container, an automobile, or an airplane cargo or passenger hold. The physical region may be a space in or on a smaller, hand-portable item such as a purse, a suitcase, or a trunk. The “fast” neutron beam includes neutrons having sufficient energy to penetrate the walls of the container and to travel through the container to interact with the objects in the physical space. The neutrons included in the “fast” neutron beam also have sufficient energy to cause fission in fissionable materials. Thus, the interaction of the “fast” neutron beam and fissionable materials causes the production of fission products (e.g., freed neutrons and/or gamma rays). In some implementations, a region of interest within the physical region may be identified prior to the acceleration of the pulsed beam of particles toward the first target. The region of interest may be a region of the physical region that was automatically identified in an earlier scan as possibly including fissionable materials, or the region of interest may be a location that was identified by a person observing the container with a radiation monitor. The region of interest may be a location that was identified with a different screening system. Data from a sensor that is configured to detect fission products is accessed (520). The sensor may be, for example, a sensor such as the fission product sensor 460 discussed with respect to FIG. 4 that senses the products of fission that are present after a time (e.g., 10 ms) that is sufficient to allow prompt fission products to subside once the incident “fast” neutron beam is removed. The data accessed from the sensor may include a count or other measure (such as an amount of energy sensed) of the amount of fission products sensed during a particular time measured with respect to the end of the incident “fast” neutron beam. For example, the data may represent an indication of the detection of delayed fission products. The data in the sensor may be accessed by accessing an electronic storage associated with the sensor (such as the electronic storage 480), by transferring the data to a source system such as the source system 410, and/or by presenting the data to an operator of a system that distinguishes between fissionable materials and special nuclear materials. Whether the physical region includes fissionable materials is determined before accelerating a second pulsed beam of particles (530). Whether the physical region includes fissionable materials may be determined based on the data from the sensor. The presence of fissionable materials is determined before another pulse of particles is accelerated from the source, thus, the presence of the fissionable products is detected between accelerator pulses. A second pulse of particles (such as deuterons) is accelerated from the source to a second target such that a “slow” neutron beam is created (540). The second target may be a material that produces a “slow” neutron beam in response to being struck by the pulsed beam of accelerated particles. The second target may be made from carbon, for example. The “slow” neutron beam enters the physical region and interacts with the materials in the physical region. The “slow” neutron beam has energy sufficient to cause fission in special nuclear materials and some other weaponizable materials, but not in all fissionable materials. Thus, if a material produces fissionable products in response to interacting with the “slow” neutron beam, the material is most likely a special nuclear material. An alarm may be triggered if a special nuclear material is detected. Accordingly, by causing interactions between a “slow” neutron beam and a “fast” neutron beam, the system may distinguish between fissionable materials and special nuclear materials. In some implementations, the “slow” neutron beam is produced only if fission products are sensed as a result of irradiating the physical region with the “fast” neutron beam. An image that represents attenuation of the “fast” neutron beam by the physical region is generated (550). As discussed above, images of the physical region's attenuation of the “fast” neutron beam may show regions of the physical region that neutrons do not penetrate. These regions may include very dense materials that are often used to shield nuclear (or other hazardous) materials. Thus, the regions showing non-penetration are of interest because they may include materials of interest. The attenuation image may be generated after scanning the entire physical region. The regions of non-penetration may show up as dark regions. The attenuation image may be analyzed for the regions of non-penetration by, for example, applying an edge-detection algorithm to the attenuation image to look for sharp changes in intensity and/or by applying a threshold to the image to find regions of low intensity. In some implementations, the attenuation image may be presented to an operator for visual inspection. For example, the attenuation image may be presented to an operator of the system 400 on a display device included in the input/output module 485. In some implementations, the attenuation image may be provided to an automated process. Products of fission that are sensed in subsequent cycles of exposure to the pulsed dual-energy neutron beam may be stored and accumulated for further processing and analysis. In some implementations, the dual-energy neutron beam may include multiple pulses of the “slow” neutron beam after a pulse of the “fast” neutron beam, and the fission products resulting from the fission caused by the “slow” neutron beam may be accumulated over time. A number of implementations have been described. Nonetheless, it is understood that other implementations are within the scope of the claims. |
|
description | The present application is a continuation application of International Application No. PCT/JP2014/080721 filed on Nov. 20, 2014, the content of which is hereby incorporated by reference into this application. 1. Technical Field The present disclosure relates to an extreme ultraviolet light generation apparatus. 2. Related Art In recent years, semiconductor production processes have become capable of producing semiconductor devices with increasingly fine feature sizes, as photolithography has been making rapid progress toward finer fabrication. In the next generation of semiconductor production processes, microfabrication with feature sizes at 70 nm to 45 nm, and further, microfabrication with feature sizes of 32 nm or less will be required. In order to meet the demand for microfabrication with feature sizes of 32 nm or less, for example, an exposure apparatus is needed in which a system for generating extreme ultraviolet (EUV) light at a wavelength of approximately 13 nm is combined with a reduced projection reflective optical system. Three kinds of systems for generating EUV light are known in general, which include a Laser Produced Plasma (LPP) type system in which plasma is generated by irradiating a target material with a laser beam, a Discharge Produced Plasma (DPP) type system in which plasma is generated by electric discharge, and a Synchrotron Radiation (SR) type system in which orbital radiation is used to generate plasma. An example of the present disclosure may be an extreme ultraviolet light generation apparatus configured to generate extreme ultraviolet light by irradiating a target with a pulse laser beam outputted from a laser apparatus to generate plasma. The extreme ultraviolet light generation apparatus may include a chamber; a target supply device configured to supply a target to a plasma generation region inside the chamber; a target sensor located between the target supply device and the plasma generation region and configured to detect the target passing through a detection region; and a shield cover disposed between the detection region and the target supply device, having a through-hole that allows the target to pass through, and configured to reduce pressure waves that reach the target supply device from the plasma generation region. Contents 1. Overview 2. Terms 3. Overview of EUV Light Generation System 3.1 Configuration 3.2 Operation 4. EUV Light Generation System in Related Art 4.1 Configuration 4.2 Operation 4.3 Issues 5. Embodiment 1 5.1 Configuration 5.2 Operation 5.3 Effects 6. Embodiment 2 6.1 Configuration 6.2 Operation and Effects 7. Embodiment 3 7.1 Configuration 7.2 Operation and Effects 8. Embodiment 4 8.1 Configuration 8.2 Operation and Effects 9. Embodiment 5 9.1 Configuration 9.2 Operation and Effects 10. Embodiment 6 10.1 Configuration 10.2 Operation and Effects 11. Embodiment 7 Hereinafter, selected embodiments of the present disclosure will be described in detail with reference to the accompanying drawings. The embodiments to be described below are merely illustrative in nature and do not limit the scope of the present disclosure. Further, the configuration(s) and operation(s) described in each embodiment are not all essential in implementing the present disclosure. Note that like elements are referenced by like reference numerals and characters, and duplicate descriptions thereof will be omitted herein. An LPP type EUV light generation apparatus may provide a pulse laser beam to a target outputted from a target supply device when the target has reached a plasma generation region. The target may turn into plasma to generate EUV light. The EUV light generation apparatus may output the pulse laser beam from a laser apparatus in accordance with a detection signal from a timing sensor monitoring passage of a target to synchronize the pulse laser beam with a target. The inventors found that generation of plasma caused by irradiation with a pulse laser beam may cause variation in trajectory among the subsequent targets and further, that the variation in trajectory among the targets may be caused by vibration of the target supply device generated by the pressure waves from the plasma. When the trajectory varies among targets, the position of the target to be irradiated with a pulse laser beam may vary, so that the EUV light energy or plasma position may vary. Further, if a variation of the trajectory of a target is large, the timing sensor may not be able to detect the target and the pulse laser beam may miss the target. As a result, generation of EUV light may be interrupted. In an aspect of the present disclosure, an EUV light generation system may include a shield cover which is provided between a target detection region and the target supply device, includes a through-hole for passing the targets therethrough, and serves to reduce the pressure waves that reach the target supply device from the plasma generation region. In the one aspect of the present disclosure, the shield cover may hamper the pressure waves from plasma from vibrating the target supply device. As a result, the variation in trajectory among targets may be reduced to stabilize the generation of EUV light. In the present disclosure, a “plasma generation region” may mean a region where generation of plasma for generating EUV light is started. To start generation of plasma in the plasma generation region, it may be required that a target be supplied to the plasma generation region and that a pulse laser beam be focused at the plasma generation region when the target reaches the plasma generation region. A “target supply device” is a device for supplying a target material such as tin or terbium to be used to generate EUV light into a chamber. The material and the shape of a target are not limited to specific ones as far as the target irradiated with a pulse laser beam can generate EUV light as needed. A “detection region” of a target is a region where a target outputted from the target supply device is detected; a target passing through the detection region is detected by a target sensor. FIG. 1 schematically illustrates an exemplary configuration of an LPP type EUV light generation system. An EUV light generation apparatus 1 may be used with at least one laser apparatus 3. Hereinafter, a system that includes the EUV light generation apparatus 1 and the laser apparatus 3 may be referred to as an EUV light generation system 11. As shown in FIG. 1 and described in detail below, the EUV light generation system 11 may include a chamber 2 and a target supply device 26. The chamber 2 may be sealed airtight. The target supply device 26 may be mounted onto the chamber 2, for example, to penetrate a wall of the chamber 2. A target material to be supplied by the target supply device 26 may include, but is not limited to, tin, terbium, gadolinium, lithium, xenon, or any combination thereof. The chamber 2 may have at least one through-hole formed in its wall, a window 21 may be installed in the through-hole, and the pulse laser beam 32 outputted from the laser apparatus 3 may travel through the window 21. An EUV collector mirror 23 having, for example, a spheroidal surface may be provided in the chamber 2. The EUV collector mirror 23 may have a first focus and a second focus. The EUV collector mirror 23 may have a multi-layered reflective film including alternately laminated molybdenum layers and silicon layers formed on the surface thereof. The EUV collector mirror 23 is preferably positioned such that the first focus lies in a plasma generation region 25 and the second focus lies in an intermediate focus (IF) region 292. The EUV collector mirror 23 may have a through-hole 24 formed at the center thereof and a pulse laser beam 33 may travel through the through-hole 24. The EUV light generation apparatus 1 may include an EUV light generation controller 5 and a target sensor 4. The target sensor 4 may have an imaging function and detect at least one of the presence, trajectory, position, and speed of a target 27. Further, the EUV light generation system 11 may include a connection part 29 for allowing the interior of the chamber 2 to be in communication with the interior of the exposure apparatus 6. A wall 291 having an aperture may be provided in the connection part 29. The wall 291 may be positioned such that the second focus of the EUV collector mirror 23 lies in the aperture. The EUV light generation apparatus 1 may also include a laser beam direction control unit 34, a laser beam focusing mirror 22, and a target collector 28 for collecting targets 27. The laser beam direction control unit 34 may include an optical element for defining the travelling direction of the laser beam and an actuator for adjusting the position, the orientation or posture, and the like of the optical element. With reference to FIG. 1, a pulse laser beam 31 outputted from the laser apparatus 3 may pass through the laser beam direction control unit 34 and, as the pulse laser beam 32, travel through the window 21 and enter the chamber 2. The pulse laser beam 32 may travel inside the chamber 2 along at least one beam path, be reflected by the laser beam focusing mirror 22, and strike at least one target 27 as a pulse laser beam 33. The target supply device 26 may be configured to output the target(s) 27 toward the plasma generation region 25 in the chamber 2. The target 27 may be irradiated with at least one pulse of the pulse laser beam 33. Upon being irradiated with the pulse laser beam, the target 27 may be turned into plasma, and rays of light 251 may be emitted from the plasma. The EUV light 252 included in the light 251 may be reflected selectively by the EUV collector mirror 23. EUV light 252 reflected by the EUV collector mirror 23 may be focused at the intermediate focus region 292 and be outputted to the exposure apparatus 6. Here, the target 27 may be irradiated with multiple pulses included in the pulse laser beam 33. The EUV light generation controller 5 may be configured to integrally control the EUV light generation system 11. The EUV light generation controller 5 may be configured to process image data of the target 27 captured by the target sensor 4. Further, the EUV light generation controller 5 may be configured to control: the timing when the target 27 is outputted and the direction into which the target 27 is outputted, for example. Furthermore, the EUV light generation controller 5 may be configured to control at least one of: the timing when the laser apparatus 3 oscillates, the direction in which the pulse laser beam 33 travels, and the position at which the pulse laser beam 33 is focused. It will be appreciated that the various controls mentioned above are merely examples, and other controls may be added as necessary. FIG. 2A is a cross-sectional diagram of a configuration example of an EUV light generation system 11 in a related art. In FIG. 2A, the y-axis direction is a direction along the trajectory 271 of targets 27. The z-axis direction is a direction perpendicular to the y-axis direction and along the traveling direction of the pulse laser beam 33. The x-axis direction is perpendicular to the y-axis direction and the z-axis direction. As shown in FIG. 2A, a laser beam focusing optical system 22a, an EUV collector mirror 23, a stage 268, a supporter 269, a target collector 28, an EUV collector mirror holder 81, and plates 82 and 83 may be provided within a chamber 2. The plate 82 may be fixed to the chamber 2. The plate 83 may be fixed to the plate 82. The EUV collector mirror 23 may be fixed to the plate 82 with the EUV collector mirror holder 81. The laser beam focusing optical system 22a may include an off-axis parabolic mirror 221, a flat mirror 222, and holders 223 and 224. The off-axis parabolic mirror 221 and the flat mirror 222 may be held by the holders 223 and 224, respectively. The holders 223 and 224 may be fixed to the plate 83. The positions and orientations of the off-axis parabolic mirror 221 and the flat mirror 222 may be held so that the pulse laser beam 33 reflected by those mirrors is focused at the plasma generation region 25. The target collector 28 may be disposed upon a straight line extending from the trajectory 271 of targets 27. The target supply device 26 may be accommodated in and held by a hollow cylindrical container 267. The container 267 may be fixed to the stage 268. The target supply device 26 may be fixed to the stage 268 with the container 267. The stage 268 may be configured to move on the supporter 269 at least in the X-Z plane. The stage 268 and the supporter 269 may be omitted. The supporter 269 may be secured to a tubular wall 241 projecting along the target trajectory 271 from the sidewall of the chamber 2. The stage 268 may move on the supporter 269 to move the target supply device 26 to a position specified by the EUV light generation controller 5. The target supply device 26 may include a reservoir 61. The reservoir 61 may hold a target material that has been melted using a heater 261 shown in FIG. 2B. An opening serving as a nozzle opening 62 may be formed in the reservoir 61. Part of the reservoir 61 may be placed in a through-hole formed in a wall of the chamber 2 so that the nozzle opening 62 formed in the reservoir 61 is positioned inside the chamber 2. The target supply device 26 may supply the melted target material to the plasma generation region 25 within the chamber 2 as droplet-shaped targets 27 through the nozzle opening 62. In the present disclosure, the targets 27 may also be referred to as droplets 27. A timing sensor 450 may be attached to the wall 241 of the chamber 2. The timing sensor 450 may include a target sensor 4 and a light-emitting unit 45. The target sensor 4 may include a photodetector 41, a light-receiving optical system 42, and a receptacle 43. The light-emitting unit 45 may include a light source 46, an illumination optical system 47, and a receptacle 48. Light outputted from the light source 46 may be focused by the illumination optical system 47. The focal position of the outputted light may be located substantially upon the trajectory 271 of the targets 27. The target sensor 4 and the light-emitting unit 45 may be disposed opposite to each other on either side of the trajectory 271 of the targets 27. Windows 21a and 21b may be provided in the chamber 2. The window 21a may be positioned between the light-emitting unit 45 and the trajectory 271 of the targets 27. The window 21b may be positioned between the photodetector 41 and the trajectory 271 of the targets 27. The light-emitting unit 45 may focus light at a predetermined region on the trajectory 271 of the targets 27 through the window 21a. When a target 27 passes through the focal region 40 of the light emitted from the light-emitting unit 45, the target sensor 4 may detect a change in the light passing through the trajectory 271 of the target 27 and the vicinity thereof. The light-receiving optical system 42 may form, upon a light-receiving surface of the target sensor 4, an image of the trajectory 271 of the target 27 and the vicinity thereof, in order to improve the accuracy of the detection of the target 27. In the example shown in FIG. 2A, the detection region for the target sensor 4 to detect the target 27 may substantially match the focal region 40 of the light emitted from the light-emitting unit 45. A laser beam direction control unit 34 and an EUV light generation controller 5 may be provided outside the chamber 2. The laser beam direction control unit 34 may include high-reflecting mirrors 341 and 342, and holders 343 and 344. The high-reflecting mirrors 341 and 342 may be held by the holders 343 and 344, respectively. The high-reflecting mirrors 341 and 342 may conduct the pulse laser beam outputted by the laser apparatus 3 to the laser beam focusing optical system 22a via the window 21. The EUV light generation controller 5 may receive a control signal from the exposure apparatus 6. The EUV light generation controller 5 may control the target supply device 26 and the laser apparatus 3 in accordance with the control signal from the exposure apparatus 6. FIG. 2B is a block diagram for illustrating control of the target supply device 26 and the laser apparatus 3 performed by the EUV light generation controller 5 in the related art. The EUV light generation controller 5 may include a target supply controller 51 and a laser controller 55. The target supply controller 51 may control operations performed by the target supply device 26. The laser controller 55 may control operations performed by the laser apparatus 3. In addition to the reservoir 61 that holds the material of targets 27 in a melted state, the target supply device 26 may include a heater 261, a temperature sensor 262, a pressure adjuster 263, a piezoelectric element 264, and a nozzle 265. The heater 261 and the temperature sensor 262 may be fixed to the reservoir 61. The piezoelectric element 264 may be fixed to the nozzle 265. The nozzle 265 may have the nozzle opening 62 for outputting targets 27, which are droplets of liquid tin, for example. The pressure adjuster 263 may be provided in a pipe located between a not-shown inert gas supply device and the reservoir 61 to adjust the pressure of the inert gas supplied from the inert gas supply device into the reservoir 61. The target supply controller 51 may control the heater 261 based on a value detected by the temperature sensor 262. For example, the target supply controller 51 may control the heater 261 so that the reservoir 61 will be at a predetermined temperature higher than or equal to the melting point of the tin. As a result, the reservoir 61 may melt the tin held therewithin. The melting point of tin is 232° C.; the predetermined temperature may be a temperature of 250° C. to 300° C., for example. The target supply controller 51 may control the pressure within the reservoir 61 using the pressure adjuster 263. The pressure adjuster 263 may adjust the pressure within the reservoir 61 under the control of the target supply controller 51 so that the targets 27 will reach the plasma generation region 25 at a predetermined velocity. The target supply controller 51 may send an electrical signal having a predetermined frequency to the piezoelectric element 264. The piezoelectric element 264 may vibrate in response to the received electrical signal, causing the nozzle 265 to vibrate at the stated frequency. As a result of the piezoelectric element 264 causing the nozzle opening 62 to vibrate, droplet-shaped targets 27 may be generated from a jet of the liquid tin outputted from the nozzle opening 62. In this manner, the target supply device 26 may supply the droplet-shaped targets 27 to the plasma generation region 25 at a predetermined velocity and a predetermined frequency. For example, the target supply device 26 may generate droplets at a predetermined frequency within a range of several 10 kHz to several 100 kHz. The timing sensor 450 may detect a target 27 passing through a detection region. When a target 27 passes through the focal region of the light produced by the light-emitting unit 45, the target sensor 4 may detect a change in the light passing through the trajectory of the target 27 and the vicinity thereof and output a passage timing signal PT as a detection signal of the target 27. FIG. 2C is a timing chart of a passage timing signal PT and a light emission trigger signal ET in the EUV light generation system 11 in the related art. The optical intensity of the light received by the photodetector 41 may drop synchronously with the passage of a target 27 through the focal region 40. The photodetector 41 may detect the change in optical intensity and output this detection result to the laser controller 55 using the passage timing signal PT. Each time a target 27 is detected, one detection pulse may be outputted to the laser controller 55 in the passage timing signal PT. The laser controller 55 may output a light emission trigger to the laser apparatus 3 with a predetermined delay time from the time when the passage timing signal PT falls below a threshold voltage. The light emission trigger is a pulse in the light emission trigger signal ET. The laser controller 55 may receive a burst signal BT from the exposure apparatus 6 via the EUV light generation controller 5. The burst signal BT may be a signal for instructing the EUV light generation system 11 to generate EUV light within a specified period. The laser controller 55 may perform control to output EUV light to the exposure apparatus 6 during the specified period. The laser controller 55 may control the laser apparatus 3 to output a pulse laser beam in accordance with the passage timing signal PT in the period where the burst signal BT is ON. The laser controller 55 may control the laser apparatus 3 not to output a pulse laser beam in the period where the burst signal BT is OFF. For example, the laser controller 55 may output the burst signal BT received from the exposure apparatus 6 and a light emission trigger signal ET delayed by a predetermined time from the passage timing signal PT to the laser apparatus 3. When the burst signal BT is ON, the laser apparatus 3 may output a pulse laser beam in response to a light emission trigger pulse of the light emission trigger signal ET. The outputted pulse laser beam may be inputted to the laser beam focusing optical system 22a via the laser beam direction control unit 34. When plasma is generated by irradiating a target 27 with a pulse laser beam, the trajectories 271 of the targets 27 to be irradiated later may be displaced from the normal trajectory 271 of targets 27. The reason may be explained because pressure waves 255 caused by generation of plasma vibrate the target supply device 26 to destabilize the trajectories 271 of the targets 27. Specifically, when a target 27 is irradiated with a pulse laser beam, the surface of the target instantaneously may turn into plasma and rapidly expand to generate a pressure wave 255. The inside of the chamber 2 may be held at gas pressure of several to several tens of Pa and the generated pressure wave 255 may propagate within the chamber 2. When the pressure wave 225 reaches the target supply device 26, the target supply device 26 may vibrate. The target output position may vibrate with the vibration of the target supply device 26, so that the trajectories 271 of the targets 27 may become unstable. When the trajectory 271 of some target 27 is displaced, the target 27 may not pass through the focal region 40 of the timing sensor 450, so that a light emission trigger may not be generated. As a result, the target 27 may not be irradiated with the pulse laser beam and the generation of EUV light may be interrupted. In another case, even if a target 27 traveling along a displaced trajectory 271 has passed through the focal region 40 of the timing sensor 450, the target 27 may not pass through the plasma generation region 25. In this case, a pulse laser beam is outputted but the target 27 is not irradiated; EUV light may not be generated. Alternatively, if the target trajectory 271 is off a desired position in the plasma generation region 25, the irradiated area of the target may be insufficient in the irradiation with the pulse laser beam; the energy of the EUV light may drop. FIG. 3A illustrates a partial configuration of an EUV light generation system 11 in the present embodiment. FIG. 3B is a perspective view of a shield cover 266. Hereinafter, differences from the related art described with reference to FIGS. 2A to 2C are mainly described. As shown in FIG. 3A, the shield cover 266 may be disposed between the nozzle opening 62 of the target supply device 26 and the focal region 40. The nozzle opening 62 may be located upstream on the target trajectory 271 and the focal region 40 may be located downstream. The shield cover 266 may be disposed on the target trajectory 271 starting from the target supply device 26 and reaching the plasma generation region 25. The shield cover 266 may be fixed to the inner wall of the chamber 2 at a place closer to the plasma generation region 25 than the supporter 269 of the stage 268. For example, the shield cover 266 may be welded or bonded with an adhesive to the inner face of the wall 241 of the chamber 2. The shield cover 266 may be fixed to the stage 268, the stage supporter 269, or the container 267. As illustrated in FIG. 3B, the shield cover 266 may have a cylindrical side 663. The upstream end of the side 663 may be provided with an annular flange 662. The downstream end of the side 663 may be provided with a disc-shaped exit face 664. The exit face 664 may have a through-hole 661 at substantially the center thereof to pass the target 27 therethrough. As illustrated in FIG. 3A, the shield cover 266 may be disposed to cover the target supply device 26 against the plasma generation region 25. The target supply device 26 may be exposed to the plasma generation region 25 only from the through-hole 661. The area of the opening of the through-hole 661 may be determined based on the variations in target trajectory 271. The area of the opening of the through-hole 661 may be determined based on the movable range of the stage 268 if the shield cover 266 is fixed to the chamber 2. The area of the opening of the through-hole 661 may be determined based on the wavelength of the pressure waves 225. For example, the shape of the through-hole 661 may be a circle having a diameter of about 10 mm to 50 mm or a rectangle having a side of about 10 mm to 80 mm. The shape and the material of the shield cover 266 may be determined so that the shield cover 266 will not resonate with the pressure waves 255. For example, the shield cover 266 may be made of a metal having a thickness of about 3 mm. The metal may be aluminum, for example. A target 27 outputted from the target supply device 26 may enter the shield cover 266 through the flange 662 formed on the target entrance end of the shield cover 266. The target 27 may pass inside the side 663 to approach the exit face 664 formed on the target exit end of the shield cover 266. The target 27 may pass through the through-hole 661 formed in the exit face 664. The target 27 may be detected at the focal region 40 by the timing sensor 450. The laser apparatus 3 may output a pulse laser beam synchronously with the detection of the target 27. The target 27 may reach the plasma generation region 25 and be irradiated with the pulse laser beam. The irradiation of the target 27 with the pulse laser beam may generate plasma. Pressure waves 255 may be generated with the generation of plasma. The shield cover 266 may hamper the propagating pressure waves 255 from reaching the target supply device 26. The propagation of the pressure waves 255 may be blocked by the shield cover 266. The shield cover 266 may significantly attenuate the pressure waves 255 that are reaching the target supply device 26. As a result, the shield cover 266 may prevent vibration of the target supply device 26 caused by the pressure waves 255 and prevent instability of the target trajectory 271. In the configuration where the shield cover 266 is fixed to the chamber 2, the vibration transmitted from the shield cover 266 to the stage 268 may be attenuated by the chamber 2 and the movable part of the stage 268. FIGS. 4A to 4E illustrate manners of fixing the shield cover 266 in the present embodiment. Hereinafter, differences from Embodiment 1 are mainly described. As shown in FIG. 4A, the shield cover 266 may be fixed to the part for supporting the shield cover 266 with a damper 680 interposed therebetween. For example, the shield cover 266 may be fixed to the inner face of the wall 241 of the chamber 2 with the damper 680. The shield cover 266 may be supported only by the damper 680 and does not need to be in direct contact with the chamber 2. FIG. 4B is a cross-sectional view cut along the B-B line in FIG. 4A. As shown in FIG. 4B, a plurality of dampers 680 may be disposed at a plurality of places around the outer circumference of the shield cover 266. As shown in the example of FIG. 4B, four dampers 680 may be disposed circumferentially and away from each other on the outer face of the side 663. The dampers 680 may be equally spaced. Alternatively, one damper 680 may be disposed around the entire outer rim of the shield cover 266. FIGS. 4C to 4E illustrate configurations in the region A in FIG. 4A. As shown in FIG. 4C, the damper 680 may be a spring 681. A mount 281 may be provided on the inner wall of the chamber 2. The mount 281 may be an annular part projecting from the inner face of the wall 241 of the chamber 2 toward the target trajectory 271. A plurality of mounts 281 may be provided away from each other, correspondingly to a plurality of springs 681. The spring 681 may be disposed and fixed between the flange 662 of the shield cover 266 and the mount 281. The spring 681 may be disposed between the face on the downstream side of the target trajectory of the flange 662 and the face on the upstream side of the target trajectory of the mount 281. The outer circumference of the flange 662 may be apart from the inner wall of the chamber 2. When seen from the plasma generation region 25, the flange 662 may be overlapped with the mount 281. As seen from the plasma generation region 25, the target supply device 26 does not need to be exposed from the gap between the flange 662 and the inner wall of the chamber 2. The flange 662 may be disposed on the downstream side of the target trajectory of the mount 281. The damper 680 may be another elastic body. For example, the damper 680 may be a rubber cushion 682 as illustrated in FIG. 4D. The damper 680 may be a bellows 683 as illustrated in FIG. 4E. The disposition of the rubber cushion 682 and the bellows 683 may be the same as the disposition of the spring 681 explained with reference to FIG. 4C. The shield cover 266 for blocking the propagation of pressure waves 255 generated by generation of plasma to the target supply device 26 may vibrate because of the pressure waves 255. The vibration of the shield cover 266 may be attenuated by the damper 680. Accordingly, the vibration of the shield cover 266 may be prevented from being transmitted to the target supply device 26 through the chamber 2. Debris from plasma or part of the targets 27 bouncing off the target collector 28 may adhere to the nozzle opening 62 of the nozzle 265 to destabilize the trajectories 271 of the targets 27. For this reason, the EUV light generation system 11 in the present embodiment may supply purge gas to the vicinity of the nozzle 265 along a purge gas supply channel partially defined by a shield cover 266 to prevent the variation in target trajectory 271 caused by the deposit on the nozzle opening 62 of the nozzle 265. Hereinafter, differences from Embodiment 1 are mainly described. FIG. 5 illustrates a partial configuration of the EUV light generation system 11 in the present embodiment. The EUV light generation system 11 may supply purge gas to a space 248 partially defined by the shield cover 266 and accommodating the target supply device 26. A gas introduction part defining a gas introduction port 523 may be located on the opposite side of the plasma generation region 25 across the shield cover 266. The gas introduction port 523 may be provided in the accommodation space 248 for the target supply device 26. The gas introduction port 523 may be provided on the wall 241 of the chamber 2 on the target supply device side of the shield cover 266. The gas introduction port 523 may be provided on the container 267 of the target supply device 26. The gas introduction port 523 may be located between the through-hole 661 of the shield cover 266 and the nozzle opening 62 with respect to the direction of the target trajectory. A gas introduction tube 521 is connected with the gas introduction port 523. The gas introduction tube 521 may connect the gas supply device 522 and the gas introduction port 523. The gas supply device 522 may supply gas including hydrogen for the purge gas. The EUV light generation controller 5 may control the supply of the purge gas by the gas supply device 522. The purge gas may flow from the gas supply device 522 to the gas introduction port 523 through the gas introduction tube 521. The purge gas may flow into the accommodation space 248 for the target supply device 26 from the gas introduction port 523. The purge gas may flow to the through-hole 661 of the shield cover 266 and flow out from the through-hole 661 toward the plasma generation region 25. The flow of the purge gas ejecting from the through-hole 661 in the direction of movement of the targets 27 may prevent the debris from plasma or targets 27 bouncing off the target collector 28 from adhering to the nozzle 265. As a result, variation in target trajectory 271 caused by the deposit on the nozzle 265 may be prevented. The nozzle 265 may be sputtered with fast ions and fast atoms from the plasma. As a result, the wettability of the nozzle 265 may increase so that the debris may easily adhere to the nozzle 265. The EUV light generation system 11 in the present embodiment may further include a plasma shield in addition to the shield cover 266 to prevent sputtering to the nozzle 265 with the fast particles from plasma. Hereinafter, differences from Embodiment 3 are mainly described. FIG. 6 illustrates a partial configuration of the EUV light generation system 11 in the present embodiment. The plasma shield 280 may be disposed in the accommodation space 248 for the target supply device 26 partially defined by the shield cover 266. The plasma shield 280 may be disposed between the shield cover 266 and the target supply device 26. The plasma shield 280 may be fixed to the container 267 of the target supply device 26. The target supply device 26 may be accommodated in the space 249 defined by the plasma shield 280 and the container 267. The plasma shield 280 may be made of a conductive material and include a through-hole 801 through which targets 27 may be able to pass. The plasma shield 280 may be made of aluminum having a thickness of several millimeters. When seen from the plasma generation region 25, the target supply device 26 may be exposed only from the through-hole 801. The through-hole 801 of the plasma shield 280 fixed to the stage 268 with the container 268 may be moved by the stage 268 together with the nozzle 265. Accordingly, the through-hole 801 may be smaller than the through-hole 661 of the shield cover 266 fixed to the chamber 2. The through-hole 801 may be circular or rectangular. The through-hole 801 may be circular and have a diameter of several millimeters, for example. The gas introduction port 523 may be located between the through-hole 661 of the shield cover 266 and the through-hole 801 of the plasma shield 280 with respect to the direction of the target trajectory. The purge gas that has flowed in from the gas introduction port 523 may flow to the through-hole 661 of the shield cover 266 and jet out from the through-hole 661 toward the plasma generation region 25. The flow of the purge gas in the through-hole 661 may reduce the deposit on the nozzle 265. Furthermore, the plasma shield 280 may prevent the nozzle 265 from being sputtered with the fast ions and fast atoms that cannot be blocked by the purge gas jetting out from the through-hole 661 of the shield cover 266. The amount of the purge gas flowing in through the through-hole 801 of the plasma shield 280 may be much less than the amount of the purge gas flowing in through the through-hole 661. Such a small amount of purge gas may not affect the trajectories 271 of the targets 27 that have just been ejected from the nozzle 265, so that the displacement of the targets 27 in the plasma generation region 25 may be effectively prevented. In the configuration where multiple targets 27 outputted from the nozzle 265 are joined into one target 27 and the joined target 27 is irradiated with the pulse laser beam at the plasma generation region 25, the through-hole 801 may be provided downstream of the position where the multiple targets 27 are joined. This configuration may prevent failure in joining of small targets 27 caused by unstable trajectories of the small targets 27 that are easily displaced. FIG. 7 illustrates a partial configuration of an EUV light generation system 11 in the present embodiment. Hereinafter, differences from Embodiment 4 are mainly described. The shield cover 266 may be fixed to the stage 268. The shield cover 266 may be fixed to the stage with a damper interposed therebetween. The shield cover 266 may move with the nozzle 265 of the target supply device 26 when the stage 268 is moved. The size of the through-hole 661 may be equal to the size of the through-hole 801 of the plasma shield 280. For example, the diameter may be several millimeters to ten millimeters. The through-hole 661 may be larger than the through-hole 801. The through-hole 661 larger than the through-hole 801 may prevent the targets 27 that have passed through the through-hole 801 but are traveling along displaced trajectories 271 from hitting the shield cover 266. The gas introduction port 523 may be formed on the container 267 of the target supply device 26. The through-hole 801 of the plasma shield 280 may be located between the gas introduction port 523 and the through-hole 661 of the shield cover 266 with respect to the direction of the target trajectory. The gas introduction port 523 may face the side wall of the plasma shield 280. The space 249 defined by the plasma shield 280 and the container 267 and accommodating the target supply device 26 may be closed except for the gas introduction port 523 and the through-hole 801. The through-hole 661 of the shield cover 266 may move together with the nozzle 265 when the stage 268 is moved. Accordingly, the through-hole 661 of the shield cover 266 may be allowed to be small, compared to the through-hole 661 of the shield cover 266 fixed to the chamber 2. The small through-hole 661 may more effectively hamper the pressure waves 255 and the particles from reaching the target supply device 26. The movable part for moving the stage 268 may attenuate the vibration of the shield cover 266 caused by the pressure waves 255. The chamber 2 may expand or deform because of the heat from the plasma. The EUV light generation system 11 in the present embodiment may further include a heat shield 256 in addition to the shield cover 266, to prevent the expansion and deformation of the chamber 2. Hereinafter, differences from Embodiment 3 are mainly described. FIG. 8A is a cross-sectional diagram of a configuration example of the EUV light generation system 11 in the present embodiment. A heat shield 256 may be provided in the chamber 2. The heat shield 256 may be provided between the shield cover 266 and the plasma generation region 25. The heat shield 256 may accommodate the plasma generation region 25. The heat shield 256 may absorb the heat of the radiant light from the plasma or laser scattering light. As a result, thermal deformation of the chamber 2 caused by absorption of the heat of the radiant light from the plasma or laser scattering light may be reduced. The heat shield 256 may have a tubular shape and have through-holes 561 and 562 in the side walls. The sizes of the through-holes 561 and 562 may be several tens millimeters, for example, and larger than the through-hole 661 of the shield cover 266. The through-hole 561 may be an opening for passing the targets 27 outputted from the target supply device 26, having passed through the focal region 40, and traveling toward the plasma generation region 25. The through-hole 562 may be formed to oppose to the through-hole 561. The through-hole 562 may be an opening for passing the targets 27 to be collected into the target collector 28. FIG. 8B illustrates a partial configuration of the EUV light generation system 11 in the present embodiment. The heat shield 256 may be fixed to the inner wall of the chamber 2 with a damper 566 interposed therebetween. The damper 566 may be the same as the damper 680 explained in Embodiment 2. The damper 566 may have a structure that reduces the transmission of the expansion or deformation stress caused by the heat of the heat shield 256 to the chamber 2 and be made of a material that reduces the transmission of the expansion or deformation stress caused by the heat of the heat shield 256 to the chamber 2. The heat shield 256 may include a cooling medium channel 563. The cooling medium channel 563 may be provided on the side wall of the heat shield 256. The cooling medium may flow in the cooling medium channel 563. The cooling medium may prevent thermal deformation caused by overheat of the heat shield 256. The heat shield 256 may be made of a metal, for example, aluminum. The heat shield 256 may reduce the thermal deformation of the chamber 2 and attenuate the pressure waves 255 to reach the shield cover 266. The heat shield 256 may prevent the pressure waves 255 from reaching the wall of the chamber 2. The heat shield 256 may reduce the pressure waves 255 that reaches the target supply device 26 and the vibration of the target supply device 26 caused by the pressure waves 255 further. The pressure waves 255 may propagate in various directions from the plasma generation region 25 and be reflected inside the chamber 2. For example, the pressure waves 255 reflected in a complex manner inside the chamber 2 may amplify one another to vibrate the chamber 2. Such vibration may be transmitted to the target supply device 26 through the chamber 2 and the components attached to the chamber 2. The EUV light generation system 11 may include a pressure-wave attenuator for attenuating the pressure waves 255. Hereinafter, differences from Embodiment 3 are mainly described. FIG. 9 is a cross-sectional diagram of a configuration example of the EUV light generation system 11 in the present embodiment. A pressure-wave attenuator 666 may be provided on the inner wall of the chamber 2. A pressure-wave attenuator 665 may be provided on the face of the shield cover 266 facing the plasma generation region 25. The pressure-wave attenuators 665 and 666 may be made of a porous material. The porous material may be porous ceramics or a foam metal. The pressure-wave attenuators 665 and 666 may reduce the reflection of the pressure waves 255 inside the chamber 2. The pressure-wave attenuator 665 on the shield cover 266 may effectively reduce the vibration of the shield cover 266 caused by the pressure waves 255. As set forth above, the present invention has been described with reference to some embodiments; however, the scope of the present invention is not limited to the foregoing embodiments. A part of the configuration of an embodiment may be replaced with a configuration of another embodiment. A configuration of an embodiment may be incorporated to a configuration of another embodiment. A part of the configuration of each embodiment may be removed, added to a different configuration, or replaced by a different configuration. The terms used in this specification and the appended claims should be interpreted as “non-limiting”. For example, the terms “include” and “be included” should be interpreted as “including the stated elements but not limited to the stated elements”. The term “have” should be interpreted as “having the stated elements but not limited to the stated elements”. Further, the modifier “one (a/an)” should be interpreted as “at least one” or “one or more.” |
|
abstract | A device of repairing a damaged area in an underwater wall region of a container or tank, in particular in the wall region of a tank of a nuclear reactor installation. The device has a guide system that can be mounted along a side wall, at a distance therefrom, and can be secured thereto. At least one first carriage is fitted onto the track of the guide system and movable in a longitudinal direction of the guide system. On the carriage there is displaceably mounted a receptacle for a repair overlay, which can be applied with an adhesive surface to the wall region containing the damaged area. At least one suction mount that is connected to a suction line is disposed on the first carriage and can be suctioned to the side wall. |
|
summary | ||
summary | ||
description | The present application is a divisional of U.S. application Ser. No. 13/948,975, filed Jul. 23, 2013, the entire contents of which are incorporated herein by reference in their entirety for all purposes. Extreme ultra violet (EUV) lithographic masks are used during the manufacturing process of semiconductor wafers and other modern electrical components. Defects of EUV lithographic masks are duplicated on multiple electrical components and thus are very costly. In order to protect EUV lithographic masks these masks are usually covered (or placed below) by pellicles. EUV lithographic masks should be inspected in order to detect defects. The detection typically includes scanning the EUV lithographic masks with low energy electrons and detecting these low energy electrons. It has been found that some modern pellicles prevent (or at least dramatically reduce) the passage of low energy electrons through the pellicles and thus prevent inspection of EUV lithographic masks that are protected by pellicles. There is a growing need to inspect EUV lithographic masks that are protected by pellicles. According to an aspect of the invention, there are provided a method and a system for inspecting EUV lithographic masks that are protected by pellicles. According to an embodiment of the invention, the method comprises: directing by electron optics, primary electrons towards a pellicle that is positioned between the electron optics and the lithography mask; wherein the primary electrons exhibit an energy level that allows the primary electrons to pass through the pellicle and to impinge on the lithographic mask; detecting, by at least one detector, detected emitted electrons and generating detection signals; wherein detected emitted electrons are generated as a result of an impingement of the primary electrons on the lithographic mask; and processing, by a processor, the detection signals to provide information about the lithography mask. According to another embodiment of the invention, there is provided a system for evaluating lithography mask, the system comprises: electron optics for directing primary electrons towards a pellicle that is positioned between the electron optics and the lithography mask; wherein the primary electrons exhibit an energy level that allows the primary electrons to pass through the pellicle and to impinge on the lithographic mask; at least one detector for detecting detected emitted electrons and for generating detection signals; wherein detected emitted electrons are generated as a result of an impingement of the primary electrons on the lithographic mask; and a processor for processing the detection signals to provide information about the lithography mask. According to another embodiment, there is provided a method for evaluating a lithographic mask, the method comprises: receiving detection signals; wherein the detection signals are generated by at least one detector that detects detected emitted electrons; wherein the detected emitted electrons are generated as a result of directing by electron optics, primary electrons towards a pellicle that is positioned between the electron optics and the lithography mask; wherein the primary electrons exhibit an energy level that allows the primary electrons to pass through the pellicle and to impinge on the lithographic mask; and processing, by a processor, the detection signals to provide information about the lithography mask. According to yet another embodiment of the invention, there is provided a system for evaluating lithography mask, the system comprises: an interface for receiving detection signals; wherein the detection signals are generated by at least one detector that detects detected emitted electrons; wherein the detected emitted electrons are generated as a result of directing by electron optics, primary electrons towards a pellicle that is positioned between the electron optics and the lithography mask; wherein the primary electrons exhibit an energy level that allows the primary electrons to pass through the pellicle and to impinge on the lithographic mask; and a processor for processing the detection signals to provide information about the lithography mask. According to an embodiment of the invention, there is provided a non-transitory computer readable medium that stores instructions for: receiving detection signals; wherein the detection signals are generated by at least one detector that detects detected emitted electrons; wherein the detected emitted electrons are generated as a result of directing by electron optics, primary electrons towards a pellicle that is positioned between the electron optics and a lithography mask; wherein the primary electrons exhibit an energy level that allows the primary electrons to pass through the pellicle and to impinge on the lithographic mask; and processing the detection signals to provide information about the lithography mask. According to various embodiments of the invention: the detected emitted electrons can be backscattered electrons that are emitted from the lithographic mask; the detected emitted electrons may exclude secondary electrons emitted from the pellicle due to an interaction of the primary electrons with the pellicle; the detected emitted electrons may exclude secondary electrons emitted from the pellicle due to an interaction of the backscattered electrons with the pellicle; the detected emitted electrons may exclude secondary electrons emitted from the pellicle due to (a) an interaction of the primary electrons with the pellicle and due to (b) an interaction of the backscattered electrons with the pellicle; the detected emitted electrons may be secondary electrons that are emitted from the pellicle due to an interaction of the backscattered electrons with the pellicle, wherein the backscattered electrons are emitted from the lithographic mask; the detected emitted electrons may exclude electrons emitted from the pellicle due to an interaction of the primary electrons with the pellicle; the detected emitted electrons exclude the backscattered electrons; the detected emitted electrons may exclude secondary electrons emitted from the pellicle due to an interaction of the primary electrons with the pellicle and masking the backscattered electrons. It will be appreciated that for simplicity and clarity of illustration, elements shown in the figures have not necessarily been drawn to scale. For example, the dimensions of some of the elements may be exaggerated relative to other elements for clarity. Further, where considered appropriate, reference numerals may be repeated among the figures to indicate corresponding or analogous elements. In the following detailed description, numerous specific details are set forth in order to provide a thorough understanding of the invention. However, it will be understood by those skilled in the art that the present invention may be practiced without these specific details. In other instances, well-known methods, procedures, and components have not been described in detail so as not to obscure the present invention. Because the illustrated embodiments of the present invention may for the most part, be implemented using electronic components and circuits known to those skilled in the art, details will not be explained in any greater extent than that considered necessary as illustrated above, for the understanding and appreciation of the underlying concepts of the present invention and in order not to obfuscate or distract from the teachings of the present invention. Any reference in the specification to a method should be applied mutatis mutandis to a system capable of executing the method and should be applied mutatis mutandis to a non-transitory computer readable medium that stores instructions that once executed by a computer result in the execution of the method. Any reference in the specification to a system should be applied mutatis mutandis to a method that may be executed by the system and should be applied mutatis mutandis to a non-transitory computer readable medium that stores instructions that may be executed by the system. Any reference in the specification to a non-transitory computer readable medium should be applied mutatis mutandis to a system capable of executing the instructions stored in the non-transitory computer readable medium and should be applied mutatis mutandis to method that may be executed by a computer that reads the instructions stored in the non-transitory computer readable medium. According to an embodiment of the invention there is provided a method and system for evaluating a lithography mask such as an extreme ultra violet (EUV) lithography mask and especially a 16 nanometer EUV lithography mask. FIG. 1 illustrates a system 41 for evaluating a lithography mask 10 according to an embodiment of the invention. FIG. 2 illustrates a system 42 for evaluating a lithography mask 10 according to an embodiment of the invention. FIG. 3 illustrates a system 43 for evaluating a lithography mask 10 according to an embodiment of the invention. FIG. 4 illustrates a system 44 for evaluating a lithography mask 10 according to an embodiment of the invention. The lithography mask 10 can be an extreme ultra violet EUV lithographic mask and may be a 16 nanometer EUV lithographic mask. It is illustrated in FIGS. 1-4 as including an upper layer 11, multiple intermediate layers 12-15 and a substrate (bulk) 16. System 41 includes: a. Electron optics 60 for directing primary electrons 21 towards a pellicle 30 that is positioned between the electron optics 60 and the lithography mask 10. The primary electrons 21 can be generated by electron beam source 61 of the electron optics 60. The primary electrons exhibit an energy level that allows the primary electrons to pass through the pellicle 30 and to impinge on the lithographic mask 10. Electron optics 60 may include any element that can affect the trajectory of the primary electrons 21 as well as their landing energy, or any other characteristics. The electron optics 60 may include one or more lenses, one or more apertures, one or more filters, one or more beam shaping elements, one or more beam splitters, one or more collimators, one or more deflectors, one or more accelerating elements, one or more de-accelerating elements, and may include an electron source. The electron optics 60 may include one or more detectors such as detector 70. b. Detector 70 for detecting detected emitted electrons and for generating detection signals indicative of the detected emitted electrons. The detected emitted electrons are generated as a result of an impingement of the primary electrons on the lithographic mask. c. Interface 100 for receiving the detection signals. The interface 100 may be a communication port, a memory module and the like. d. Processor 80 for processing the detection signals to provide information about the lithography mask. The system can include more than a single detector. The detector 70 can have multiple separate segments—each arranged to generate detection signals reflecting the detected emitted electrons it detected. In FIG. 1 the detector 70 is shown as being of an annular shape and four segments 71-74 that surround central aperture 76. It is noted that the number, shape and size of detector can differ from those illustrated in FIG. 1. For example, in FIG. 2 the detector 70 has a single annular segment 77 that surrounds aperture 76. The primary electrons 21 form a primary beam that passes through the pellicle 30 and this passage causes the pellicle 30 to emit a first group of emitted secondary electrons SE1 24. The primary electrons 21 impinge onto the lithographic mask 10 and result in an emission of backscattered electrons BSE 22. The backscattered electrons 22 may pass through the pellicle 30 and may case the pellicle 30 to emit a second group of secondary electrons SE2 26. It is noted that the impingement of the primary electrons 21 onto the lithographic mask 10 results in an emission of secondary electrons (not shown) that do not manage to pass through the pellicle 30 and be detected. The term “emitted electrons” may refer to the combination of backscattered electrons 22, the first group of electrons SE1 24 and the second group of electrons SE2 26. The term “detected emitted electrons” may refer to the part of the emitted electrons that are detected by the at least one detector 70. For example, even if the system 41 may be designed to detect emitted electrons of a certain type (BSE, SE1 and/or SE2) it may occur that only some of these certain type of electrons are detected. Furthermore, according to various embodiments of the invention one or more types of electrons (outs of SE1, SE2 and BSE) may be masked. This can be implemented by various known masking methods including spatial filters and energy filters. System 42 of FIG. 2 differs from system 41 of FIG. 1 by including energy filters 90 between detector 70 and the pellicle 30. These energy filters 90 can be set for masking secondary electrons such as those that belong to the second group of secondary electrons SE2 26. System 43 of FIG. 3 differs from system 41 of FIG. 1 by having a beam splitter 110 that is positioned above the pellicle 30 and directs emitted electrons towards detector 70. This arrangement can allow the plane of the pellicle 30 to be imaged onto the detector 70 although non-imaging detection can be applied by system 43. It is noted that the electron optics 60 may include the beam splitter 110. The electron optics 60 can include lenses or any other electro-static components (60′) positioned between the beam splitter 110 and detector 70 to focus the SE electron 24 and 26 on detector 70. System 44 of FIG. 4 differs from system 41 of FIG. 1 by including a beam splitter energy 110 positioned above the pellicle 30 and an energy filter 90 that can be set for masking secondary electrons such as those that belong to the first group of secondary electrons SE1 24. It is noted that the masking can be achieved by the position and shape of the detector 70. The detector 70 can be positioned and shaped in locations in which it is expected to detect emitted electrons of one type and not emitted electrons of another type. For example, the detector 70 can have (see FIG. 1) a central aperture that allows passage of the primary electrons 21 and also allows a passage of emitted electrons of the first group of secondary electrons SE1 24 to pass through without being detected. FIG. 5 illustrates method 200 according to an embodiment of the invention. Method 200 may start by stage 210 of directing by electron optics, primary electrons towards a pellicle that is positioned between the electron optics and the lithography mask. The primary electrons exhibit an energy level that allows the primary electrons to pass through the pellicle and to impinge on the lithographic mask. Stage 210 may be followed by stage 220 of detecting, by at least one detector, detected emitted electrons and generating detection signals. The detected emitted electrons are generated as a result of an impingement of the primary electrons on the lithographic mask. Stage 220 may include detecting detected emitted electrons that are backscattered electrons that are emitted from the lithographic mask (detecting BSE). Stage 220 may also include at least one out of (a) masking secondary electrons emitted from the pellicle due to an interaction of the primary electrons with the pellicle (masking SE1), and (b) masking secondary electrons emitted from the pellicle due to an interaction of the backscattered electrons with the pellicle (masking SE2). The detecting of the detected electrons can be performed by a detector that includes multiple backscattered electron detection elements. Stage 220 may include detecting detected emitted electrons that are secondary electrons that are emitted from the pellicle due to an interaction of the backscattered electrons with the pellicle (detecting SE2), wherein the backscattered electrons are emitted from the lithographic mask. Stage 220 may also include at least one out of: (a) masking secondary electrons emitted from the pellicle due to an interaction of the primary electrons with the pellicle (masking SE1), and (b) masking the backscattered electrons (masking BSE). Stage 220 may include imaging the plane of the pellicle on the at least one detector. Stage 220 may be followed by stage 230 of processing, by a processor, the detection signals to provide information about the lithography mask. The information can be indicative of the state of the lithographic mask, defects of the lithographic mask, shape of the lithographic mask and the like. The processing can include any known method or process for extracting information from detection signals. FIG. 6 illustrates method 300 according to an embodiment of the invention. Method 300 may start by stage 310 of receiving detection signals. The detection signals are generated by at least one detector that detects detected emitted electrons. The detected emitted electrons are generated as a result of directing by electron optics, primary electrons towards a pellicle that is positioned between the electron optics and the lithography mask. The primary electrons exhibit an energy level that allows the primary electrons to pass through the pellicle and to impinge on the lithographic mask. The detected emitted electrons may include backscattered electrons that are emitted from the lithographic mask (detecting BSE). The detected emitted electrons may exclude at least one out of (a) secondary electrons emitted from the pellicle due to an interaction of the primary electrons with the pellicle (masking SE1), and (b) secondary electrons emitted from the pellicle due to an interaction of the backscattered electrons with the pellicle (masking SE2). The detected emitted electrons may include secondary electrons that are emitted from the pellicle due to an interaction of the backscattered electrons with the pellicle (detecting SE2), wherein the backscattered electrons are emitted from the lithographic mask. The detected emitted electrons may exclude at least one out of (a) secondary electrons emitted from the pellicle due to an interaction of the primary electrons with the pellicle (masking SE1), and (b) backscattered electrons (masking BSE). Stage 310 may be followed by stage 320 of processing, by a processor, the detection signals to provide information about the lithography mask. The information can be indicative of the state of the lithographic mask, defects of the lithographic mask, shape of the lithographic mask and the like. The invention may also be implemented in a computer program for running on a computer system, at least including code portions for performing steps of a method according to the invention when run on a programmable apparatus, such as a computer system or enabling a programmable apparatus to perform functions of a device or system according to the invention. The computer program may cause the storage system to allocate disk drives to disk drive groups. A computer program is a list of instructions such as a particular application program and/or an operating system. The computer program may for instance include one or more of: a subroutine, a function, a procedure, an object method, an object implementation, an executable application, an applet, a servlet, a source code, an object code, a shared library/dynamic load library and/or other sequence of instructions designed for execution on a computer system. The computer program may be stored internally on a non-transitory computer readable medium. All or some of the computer program may be provided on computer readable media permanently, removably or remotely coupled to an information processing system. The computer readable media may include, for example and without limitation, any number of the following: magnetic storage media including disk and tape storage media; optical storage media such as compact disk media (e.g., CD-ROM, CD-R, etc.) and digital video disk storage media; nonvolatile memory storage media including semiconductor-based memory units such as FLASH memory, EEPROM, EPROM, ROM; ferromagnetic digital memories; MRAM; volatile storage media including registers, buffers or caches, main memory, RAM, etc. A computer process typically includes an executing (running) program or portion of a program, current program values and state information, and the resources used by the operating system to manage the execution of the process. An operating system (OS) is the software that manages the sharing of the resources of a computer and provides programmers with an interface used to access those resources. An operating system processes system data and user input, and responds by allocating and managing tasks and internal system resources as a service to users and programs of the system. The computer system may for instance include at least one processing unit, associated memory and a number of input/output (I/O) devices. When executing the computer program, the computer system processes information according to the computer program and produces resultant output information via I/O devices. In the foregoing specification, the invention has been described with reference to specific examples of embodiments of the invention. It will, however, be evident that various modifications and changes may be made therein without departing from the broader spirit and scope of the invention as set forth in the appended claims. Moreover, the terms “front,” “back,” “top,” “bottom,” “over,” “under” and the like in the description and in the claims, if any, are used for descriptive purposes and not necessarily for describing permanent relative positions. It is understood that the terms so used are interchangeable under appropriate circumstances such that the embodiments of the invention described herein are, for example, capable of operation in other orientations than those illustrated or otherwise described herein. Each signal described herein may be designed as positive or negative logic. In the case of a negative logic signal, the signal is active low where the logically true state corresponds to a logic level zero. In the case of a positive logic signal, the signal is active high where the logically true state corresponds to a logic level one. Note that any of the signals described herein may be designed as either negative or positive logic signals. Therefore, in alternate embodiments, those signals described as positive logic signals may be implemented as negative logic signals, and those signals described as negative logic signals may be implemented as positive logic signals. Any arrangement of components to achieve the same functionality is effectively “associated” such that the desired functionality is achieved. Hence, any two components herein combined to achieve a particular functionality may be seen as “associated with” each other such that the desired functionality is achieved, irrespective of architectures or intermedial components. Likewise, any two components so associated can also be viewed as being “operably connected,” or “operably coupled,” to each other to achieve the desired functionality. Furthermore, those skilled in the art will recognize that boundaries between the above described operations merely illustrative. The multiple operations may be combined into a single operation, a single operation may be distributed in additional operations and operations may be executed at least partially overlapping in time. Moreover, alternative embodiments may include multiple instances of a particular operation, and the order of operations may be altered in various other embodiments. Also for example, in one embodiment, the illustrated examples may be implemented as circuitry located on a single integrated circuit or within a same device. Alternatively, the examples may be implemented as any number of separate integrated circuits or separate devices interconnected with each other in a suitable manner. Also for example, the examples, or portions thereof, may implemented as soft or code representations of physical circuitry or of logical representations convertible into physical circuitry, such as in a hardware description language of any appropriate type. Also, the invention is not limited to physical devices or units implemented in non-programmable hardware but can also be applied in programmable devices or units able to perform the desired device functions by operating in accordance with suitable program code, such as mainframes, minicomputers, servers, workstations, personal computers, notepads, personal digital assistants, electronic games, automotive and other embedded systems, cell phones and various other wireless devices, commonly denoted in this application as ‘computer systems’. However, other modifications, variations and alternatives are also possible. The specifications and drawings are, accordingly, to be regarded in an illustrative rather than in a restrictive sense. In the claims, any reference signs placed between parentheses shall not be construed as limiting the claim. The word ‘comprising’ does not exclude the presence of other elements or steps then those listed in a claim. Furthermore, the terms “a” or “an,” as used herein, are defined as one or more than one. Also, the use of introductory phrases such as “at least one” and “one or more” in the claims should not be construed to imply that the introduction of another claim element by the indefinite articles “a” or “an” limits any particular claim containing such introduced claim element to inventions containing only one such element, even when the same claim includes the introductory phrases “one or more” or “at least one” and indefinite articles such as “a” or “an.” The same holds true for the use of definite articles. Unless stated otherwise, terms such as “first” and “second” are used to arbitrarily distinguish between the elements such terms describe. Thus, these terms are not necessarily intended to indicate temporal or other prioritization of such elements. The mere fact that certain measures are recited in mutually different claims does not indicate that a combination of these measures cannot be used to advantage. While certain features of the invention have been illustrated and described herein, many modifications, substitutions, changes, and equivalents will now occur to those of ordinary skill in the art. It is, therefore, to be understood that the appended claims are intended to cover all such modifications and changes as fall within the true spirit of the invention. |
|
abstract | A method for manufacturing a reflector (5) for X-ray radiation (2, 3, 10, 11) which is curved in a non-circular arc shape, along a first cross-section (13) in a plane (XZ) which contains a x-direction, wherein the reflector (5) is also curved along a second cross-section (14) in a plane (YZ) which is perpendicular to the x-direction, is characterized in that the reflector (5) has a curvature along the second cross-section (14) which also differs from the shape of a circular arc. This makes the design of X-ray mirrors and the beam profile of reflected X-ray radiation more flexible, facilitates production of X-ray mirrors and at the same time provides high reflection capacity and good focusing properties for X-ray mirrors. |
|
summary | ||
051732505 | description | BEST MODE OF CARRYING OUT THE INVENTION The present invention will be described in detail with reference to the attached drawings of a preferred embodiment. FIG. 1 is a side view of an apparatus of demolishing a biological shield wall of a nuclear reactor; FIG. 2 is a plan view of the apparatus; FIG. 3 is a side view of a core boring machine of the apparatus; FIG. 4 is a schematic general sectional view of a reactor building housing a boiling-water type reactor. Firstly, in FIG. 4, reference number 40 shows a reactor building and on the reactor base 41 in the center of the building 40, a nuclear reactor 43 of a boiling-water type encircled by a thermal shield 42 is mounted and the outer side of the reactor is encircled by a bell-shaped biological shield concrete wall 44 and the top floor of the multi-storied reactor building 45 outside of the shield wall 44 is formed as an operating floor 46 for the reactor 43. Next, in FIGS. 1 to 3, 1 indicates an apparatus for demolishing according to the present invention and the demolishing apparatus 1 comprises a concrete cutter device 4 consisted of a driving part 2 for a wire saw and a concrete cutting part 3 attached on the side of the driving part 2, a core boring machine 5 and a carrier truck 6 carrying the wire saw driving part 2 of the concrete cutter device 4. The driving part 2 for a wire saw 9 includes a driving drum 8 of the wire saw driven by a motor 7, a length and tension controlling drum 11 to change the distance from the driving drum 8 by the length and tension controlling device 10 for the wire saw 9 and a hose reel 12 for the cooling water for cooling the wire saw over-heated at the time of cutting concrete. The wire saw 9 is an endless concrete cutting saw of a conventional type made of a wire rope inserted through a flexible tube of a diameter of 10 mm embedded with a diamond grinding tips. The concrete cutting part 3 is attached to an engaging receiver 13 on the side of the wire saw driving part 2 by means of a detachable shaft 13a, and includes a table 15 connected to the driving part 2 by a hydraulic cylinder 14 to swing horizontally by 90 degrees. The table carries a pair of vertical rods 16, 16 and the rods 16 in turn provides a supporting pulley 17 on the lower end and a pair of guide rollers 18, 18 to prevent the supporting pulley 17 from touching to the inside of the hole at the time of insertion into the bored hole described later, and from disengaging therefrom in the case of slacking of the wire saw 9. The rods 16 are controlled their heights by engaging of a pinion (not shown) driven by a motor 19 installed on the table 15 and rack (not shown) provided on the vertical rods 16, and one of the rods 16 is arranged so that it can be adjusted its distance from the other rod 16 by engagement of a screw shaft 21 driven by an adjusting motor 20 and screw sleeve (not shown). The vertical rods can be extended by screws threaded on the top of the rod of constant length. In the drawings, 22 is a brush to remove concrete dust deposited on the wire saw 9, and 23, 24, 25, 26 and 27 are intermediate guide rollers for the wire saw 9. As shown in FIG. 3, the core boring machine 5 includes a vertical base 29 with an engaging part 28 to be engaged with the engaging receiver 13 of the wire saw driving part 2, and on the base 29, a core bit 31 connected to a drilling motor 30 is provided slidably by an actuator 32. The carrying truck 6 supports the wire saw driving part 2 and is provided with a driving wheel 34 driven by a motor 33 and a driven wheel 35, and runs on the rail 47 laid on the operating floor 46 of the reactor building 40 as shown in FIG. 4. OPERATION FIGS. 5 through 9 illustrate steps in series of a method of demolishing a biological shield wall of a nuclear reactor. Firstly, the carrying truck 6 is equipped on the operating floor 46 of the reactor building 40 and the wire saw driving part 2 of the concrete cutter device 4 is mounted and then, the core boring machine 5 is installed on the engaging receiver 13, by engaging the engaging part 28 therewith. Then, with the truck 6 moving on, boring holes 36 are bored by the core boring machine 5 from top of and along the shield wall 44 in a predetermined distance to remove a core concrete (FIG. 5). After the boring operation of holes 36 on the wall 44, the core boring machine 5 is disengaged from the engaging receiver 13 of the wire saw driving part 2, and in the place, the concrete cutting part 3 is changed. Then, the wire saw 9 is drawn out of the wire saw driving part 2, and wound around the wire saw supporting pulley 17, 17 on the end of the vertical rods 16, 16 of the concrete cutting part 3. Next, with the distance adjusting motor 20 for the rods driven and controlled, the vertical rods 16, 16 are adjusted to the neighboring two bored holes 36, 36 and with the wire saw 9 driven by the driving drum 8, the vertical rods 16, 16 are inserted into the bored holes 36, 36 driven by the motor 19. Then, by the running of the wire saw 9 between and around the supporting pulley 17, 17 on the end of the vertical rods 16, 16 in the neighboring holes 36, 36, the concrete wall 44 between the holes are cut along the longitudinal direction of the wall (FIG. 6). Here, as the guide roller 18 on the end of the rod 16 touches to the inside wall of the hole, troubles of the loss of driving power of the wire saw due to touching of the supporting pulley 17 to the inside wall of the hole are prevented or the slacking of the wire saw 9 due to the disengagement of the wire saw from the supporting pulley 17 are eliminated. After the above-mentioned concrete cutting, with the rods 16, 16 raised from the holes, and by the operation of the hydraulic cylinder 14, the table 15 is rotated by 90 degrees to the radial direction of the wall 44 and as shown in FIG. 7 cutting of the concrete between the outer or inner surface of the wall and previously bored holes 36 are carried out inwardly or outwardly from each of the holes 36. After the radial concrete cutting, with the rods 16, 16 raised again from the holes, and by the operation of the hydraulic cylinder 14, the table 15 is rotated back by 90 degrees to the original circumferential direction of the wall 44 again, and with the vertical rods 16, 16 inserted again and held in the bored hole 36, 36 as shown in FIG. 8, the wire saw 9 is adapted between the lower ends of fixed rods, from one hole and around through the slit cut by the preceding operation in the radial direction and along the inner (or outer) surface of the wall 44 to the other hole. Then, by the wire saw 9 in tension running between the ends of fixed rods 16, the concrete wall 44 is cut horizontally. By the cutting process, the concrete wall 44 is cut in four surfaces in all into a shape of a block 37 and can be taken out (FIG. 9). During this process, in order to prevent the wire saw 9 from being caught under the block 37, the block must be temporarily supported, for instance, by means of wedges. FIG. 10 illustrates the demolishing method of bell-shaped tilted part of a biological shield wall 44. At the part with a tilted wall, the carrier truck 6 carrying the driving part 2 for the wire saw 9 must be fixed on a operating floor 38 hung by hanging wires 39. Then, with the core boring machine 5 or the concrete cutting part 3 engaged interchangeably on the engaging receiver 13 of the wire saw driving part 2 in a tilted direction of the wall 44, and in a same way as described above, boring and cutting of the wall concrete 44 and removing of the block 37 are carried out. The above-mentioned steps are repeated in the whole circumference and downward of the wall 44. As, on the inner surface of the biological shield wall 44, a lining plate of stainless steel and the like are provided, to eliminate sooner consumption of the wire saw at the time of concrete cutting by the wire saw, the lining is treated separately beforehand along the cutting line by gouging along the cutting line. In the method described above, the concrete wall 44 are demolished by cutting into a block one by one cut on four planes and disposed, but alternatively, each cutting process in one direction shown in FIG. 6, 7 and 8 can be carried out continuously on the whole circumference of the wall respectively, and by the alternative method, the table 15 requires less changing of direction of setting and it works more efficiently. The delivery and drawing of the wire saw 9 and the adjustment of the tension thereof are controlled by the adjusting device 10 working on the length and the tension of the wire saw by extending and shortening of the distance between the driving drum 8 and the controlling drum 11 for the wire saw. The wire saw 9 is cooled by the cooling water sprayed from a hose drawn out of the reel hose 12 on the working cutting part. INDUSTRIAL APPLICABILITY The present invention presents a novel apparatus and a method of demolishing a biological shield wall wherein a carrier truck carrying a wire saw driving part attaching a wire saw cutting part is disposed on a operating floor of a reactor building, and by using both of a core boring machine and a wire saw cutting part, the biological shield wall is demolished, then, compared with the conventional mechanical cutting demolishing method or the fluid cutting dynamics, using a cutting device with tripode supporting legs fixed on the inside of the biological shield wall, with along the progressing of the cutting operation, easy movement and also improvement of the efficiency of the operation can be accomplished, without requiring a large scale for the apparatus even when the biological shield wall gets larger, and accordingly never resulting in the increasing of mass of active wastes, and moreover the invention renders advantages of useful effect such as eliminating arrangements against splash of large amount of contaminated water such as the case in the fluid cutting dynamics. |
052456390 | description | DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT With reference to the drawings wherein like numerals represent like parts throughout the figures, a plug assembly, in accordance with the present invention, is generally designated by the numeral 10 in FIGS. 2 and 3. The plug assembly 10 has a one piece construction manufactured from an anti-galling stainless steel alloy bar or plate. The plug assembly is especially adapted to plug flow holes 12 which are existent in the core support barrel 14 of a nuclear reactor illustrated generally by the numeral 15 in FIG. 1. A thermal neutron shield 16 surrounds the core barrel. The shield 16 is positioned in adjacent spaced relationship relative to the flow holes 12 for which the invention has particular applicability. An annulus 18 is thus formed between the inner surface of the neutron shield 16 and the core support barrel 14. The annulus 18, which for many installations is typically on the order of 2 inches, presents a significant headroom constraint at the immediate vicinity of the flow holes which require plugging. In one application for the invention, in order to modify the core reactor flow path to alleviate fuel rod wear due to coolant flow characteristics, plugging of the flow holes is required. A possible coolant flow path modification is represented by the arrows in FIG. 1. In the typical installation for which the present invention has applicability, there are 16 angularly spaced flow holes 12 in the core support barrel. Each of the flow holes 12 has a diameter of approximately three inches. The juxtaposition of the thermal shield 16 relative to the flow holes presents a significant obstacle to the plugging operation and significantly increases the difficulties of installation of a plug. It is ordinarily desirable that the thermal shield 16 remain intact and that the plug assembly be installed without removing or damaging the thermal shield. With reference to FIGS. 2 and 3, the plug assembly 10 comprises an offset arm 20 which integrally extends in one, i.e., vertical, direction transverse to the back end of from a hole plug 22. The offset arm 20 is dimensioned so that the plug 22 and the arm can be passed downwardly into the annulus 18 between the core support barrel 14 and the thermal shield 16. In addition, the length of the offset arm 20 is sufficient so that, once the front end of the plug is inserted in the flow hole, the arm may be bolted to the core support barrel at a vertical location above the top of the thermal shield. The offset arm is secured to the core support barrel at an upper location by means of a pair of plug retaining bolts 24 and cooperative locking collars 26. The plug 22 includes a contoured circumferential lip 30 at the front end, which seals the outer edge surface of the core support barrel at the flow hole opening. The plug may alternately include a tapered sealing surface 32 which extends inwardly into the flow hole and seals at the boundary edge of the flow hole when the plug assembly is installed, such as illustrated in FIG. 4. For the later embodiment the sealing lip 30 is not employed. The offset arm terminates in an enlarged integral mounting head 34 disposed in opposite relationship to the plug 22. A pair of laterally spaced counterbore openings 36 are located in the head 34. The mounting head 34 is contoured to engage the core support barrel in generally surface-to-surface relationship. The intermediate portion 38 of the offset arm is recessed. A pair of laterally spaced threaded bores 40 are tapped into the core support barrel above the flow hole 12 for securing the retaining bolts 24 to the core support barrel. The bores 40 are equidistantly spaced from the flow hole 12. In preferred form, the two plug retaining bolts 24 are inserted through the openings 36 and the heads of the bolts are locked by associated locking collars 44 to thereby stake the plug assembly to the core support barrel. Preferably, the bores 40 for the bolts are machined into the core support barrel by EDM equipment. The plug assembly 10 is preferably installed by first precisely locating and then machining the two threaded bores 40 (only one illustrated) into the core support barrel 14. The threaded bores are located above the thermal shield 16 and in a proper symmetric offset relationship with the flow hole 12 mounting the plug assembly. A dual EDM head may be employed. While the preferred embodiments of the foregoing invention has been set forth for purposes of illustration, the foregoing description should not be deemed a limitation of the invention herein. Accordingly, various modifications, adaptations and alternatives may occur to one skilled in the art without departing from the spirit and the scope of the present invention. |
description | The present disclosure relates generally to control rod drive shafts (CRDSs) in nuclear reactors and more specifically to a method and device for unlatching CRDSs in pressurized water reactors (PWRs). Controls rods are used in nuclear reactors to control the rate of fission. In PWRs, the control rods typically are arranged in control rod clusters assemblies. Each control rod cluster assembly can include a spider with the control rods vertically extending downwardly from the spider. The control rod cluster assembly can be lowered via the spider into guide thimbles of the fuel assembly of a PWR to regulate the reactivity of the nuclear reactor core. A lower end of a CRDS is connected to the spider and an upper end of the CRDS is connected to a control rod drive mechanism fixed to a closure head of the pressure vessel. During refueling of the PWR, the closure head is removed, the reactor vessel is flooded with water and the upper internals of the reactor vessel are removed. Such operations involve uncoupling each CRDS from the spider. Unlatching tools are used for gripping CRDSs on PWRs after the reactor vessel closure head is removed and the reactor cavity is flooded up with water. The unlatching tool can raise an actuator, i.e., a button, of the CRDS to uncouple the CRDS from the spider. A long-handled unlatching tool is known that uses air cylinders, which are powered by nitrogen, to latch onto the drive shaft and to raise the drive shaft button. It is also hung from the hoist on the refueling bridge. One person controls the actual tool while another person manipulates the control valves. One version of this long-handled tool uses a combination of nitrogen and manual maneuvers for operation. U.S. Pat. No. 9,025,720 discloses a method of unlatching a CRDS from a control rod assembly by pneumatically actuating the gripper assembly. An unlatching tool configured for actuating a movable section of a control rod drive shaft in a pressurized water reactor includes a base; a gripper assembly configured for gripping the movable section of the control rod drive shaft; a rod movably connecting the gripper assembly to the base; and a mechanical actuator fixed to the base and configured for raising and lowering the gripper assembly. A method for actuating a movable section of a control rod drive shaft includes installing an unlatching tool on the control rod drive shaft; latching the unlatching tool to a stationary section of the control rod drive shaft; and raising a rod connected to a gripper assembly to cause the gripper assembly to grip the movable section and move the movable section upward. In accordance with another aspect, an unlatching tool configured for actuating a movable section of a control rod drive shaft in a pressurized water reactor includes a base; an outer assembly rotatably fixed to the base; an inner support assembly non-rotatably fixed to the base; a gripper assembly movably coupled to the base and configured for gripping the movable section of the control rod drive shaft; a latch rotatably coupled to the inner support assembly for rotation about a latch axis extending parallel to a center axis of the unlatching tool; and a latch actuator fixed to the outer assembly and configured for rotating the outer assembly about the center axis of the unlatching tool such that the latch is rotated about the latch axis radially inward toward the center axis of the unlatching tool. The present disclosure provides a tool for removing CRDSs of PWRs. The tool is used once the reactor head is removed and reactor cavity is flooded up with water to form a reactor pool. The tool attaches to the refueling bridge hoist and is operated from the refueling bridge work platform. The tool extends down into the reactor pool and fits over a single CRDS. The tool latches onto the drive shaft to gain positive control of the drive shaft and the tool is configured for raising the drive shaft button to uncouple the driveshaft from the rod control cluster assembly. In a similar fashion the tool is used to re-couple the driveshaft to the rod control cluster assembly during reactor re-assembly activities. Existing tools require access to nitrogen/air which contributes to additional setup time and additional consumables, and require two personnel for operation. The existing tool has a tendency for flooded air cylinders which requires replacement during tool refurbishment and requires sites to keep continuous air/nitrogen hooked up to the tool if the site plans to leave the tool hanging on the cavity wall during reactor cavity flood up. The tool of the present disclosure can be mechanically operated by a single operator, and can save dose and manpower. The tool is lowered onto the driveshaft until the knife-edge on the tool opens the driveshaft c-ring and the tool bottoms out on the driveshaft. The tool latches to the control rod driveshaft through an operator pulling and rotating a single handle which rotates a sleeve at the bottom of the tool which consequently rotates three latch toes into the existing groove on the driveshaft. The top of the tool contains a jacking screw mechanism which is connected to a series of rods which ultimately connects to a plunger that interacts with the tool's two button fingers. An operator turns the input shaft on the jacking screw mechanism by way of a 110V removable drive unit (the operator also has the option to turn the input shaft manually by way of a hand-wheel or wrench). The jacking screw mechanism will raise the plunger at the bottom of the tool which closes the button fingers around the driveshaft button and continues to raise the button to release the driveshaft from the control rod cluster assembly. Using the same mentioned functions, the tool can also be used to re-couple the CRDS to the control rod cluster assembly. The tool of the present disclosure is not powered by air cylinder, but is instead mechanically actuated, and may be hung in a flooded reactor cavity without worry of flooding air cylinders, and may be more quickly set up with less space required on the refueling bridge. Hence little to no refurb is required for the tool and no measures need to be put in place as the tool hangs in a flooded cavity. FIGS. 1a, 1b and 1c shows views of a unlatching tool 10 in accordance with an embodiment of the present invention configured for unlatching a CRDS from and latching the CRDS to a control rod assembly. Unlatching tool 10 extending longitudinally along a center axis CA. As used herein, the terms radially, axially, circumferentially and tangentially are used in reference to center axis CA. FIG. 1a shows an exploded view of unlatching tool 10, FIG. 1b shows a side view of unlatching tool 10 and FIG. 1c shows a radial cross-sectional view of unlatching tool 10 along Z-Z in FIG. 1b. Unlatching tool 10 includes, at an upper end 12 thereof, a bail assembly 14 configured for being attached to a refueling bridge hoist. Bail assembly 14 supports a mechanical gripper actuator 16 configured for actuating grippers 30a. Unlatching tool 10 also includes an outer assembly 18, which is a cylindrical mast, formed by outer sections 18a, 18b, 18c. The outer sections include an upper outer section 18a, an intermediate outer section 18b and a lower outer section 18c. In this embodiment, the outer assembly is formed by three outer sections, but other embodiments may include other amounts of outer sections. The outer assembly 18 is rotatable with respect to bail assembly 14. More specifically, outer sections 18a, 18b, 18c are non-rotatably fixed to each other and are rotatably fixed to bail assembly 14. The outer assembly 18 is rotatably fixed to bail assembly 14 by an upper base section 20. Bail assembly 14 and base section 20 form a base of unlatching tool 10. Upper base section 20 is non-rotatably fixed to bail assembly 14 and includes a guide 22 configured for a guiding latch actuator 24 configured for actuating latches 34 via outer assembly 18. More specifically, an upper end of upper base section 20 is fixed to a base plate 14a of bail assembly 14. An upper end of outer assembly 18 is rotatably received inside of upper base section 20. More specifically, an upper end of upper outer section 18a is rotatably received inside of upper base section 20. Unlatching tool 10 also includes an inner support assembly 26, which is a cylindrical mast, formed by a plurality of inner support sections 26a to 26i. Inner support assembly 26 is positioned inside of outer assembly 18. Inner support assembly 26 is non-rotatably fixed with respect to bail assembly 14. Accordingly, outer assembly 18 is rotatable with respect to inner support assembly 26. Inner support sections 26a to 26i are non-rotatably fixed to each other and are non-rotatably fixed to bail assembly 14. More specifically, an upper end of upper inner support section 26a is fixed to base plate 14a of bail assembly 14. Unlatching tool 10 further includes a movable rod 28. Rod 28 movably connects a gripper assembly 30 to the base. Rod 28 extends inside of inner support assembly 26. Rod 28 is movably fixed to bail assembly 14 by actuator 16. More specifically, rod 28 is axially movably fixed to bail assembly 14 by actuator 16, and is axially movable within inner support assembly 26. Movable rod 28 includes a plurality of rod sections 28a to 28e. Mechanical actuator 16 is fixed to the base and configured for raising and lowering rod 28 to raise and lower gripper assembly 30. A lower end of movable 28 engages gripper assembly 30, which is configured for gripping the button of a CRDS. Gripper assembly 30 is positioned inside of inner support section 26f and is actuatable by the axial movement of rod 28. Gripper assembly 30 includes a grippers 30a (FIGS. 2a to 2c) inside of a gripper housing 30b. A cylindrical bushing 32 is provided radially between gripper housing 30b and inner support section 26f. More specifically, bushing 32 is provided inside of an inner diameter surface of inner support section 26f and outside of an outer diameter surface of housing 30b. Latches 34 are fixed to the lower end of inner support assembly 26. More specifically, latches 34 are movably fixed to lowermost inner support section 26i. Movement of outer assembly 18 via actuator 24 causes latches 34 to be actuated between a latching orientation and an unlatched orientation. Such latching/unlatching mechanism and functioning are more detailed hereunder in description related to FIGS. 4a to 4f and FIG. 5. FIGS. 2a to 2c show views of gripper assembly 30 and the lower end of rod 28. FIG. 2a shows a perspective view, FIG. 2b shows a side view and FIG. 2c shows a radial cross-sectional view along A-A in FIG. 2b. The lower end of rod 28 is formed by a lower rod section 28d and a gripper contact section 28e fixed to the lower end of lower rod section 28d. Lower rod section 28d includes a threaded shaft 36 including a threaded upper end 36a and a threaded lower end 36b. Section 28d further includes a clevis 38 screwed onto threaded upper end 36a and a nut 40 axially aligning clevis 38 on shaft 36. Clevis 38 includes two prongs 38a holding a pin 38b, and a cylindrical base 38c having a threaded bore that receives threaded upper end 36a of shaft 36. Gripper housing 30b includes a cylindrical base section 42a, an annular upper plate 42b fixed to a top of base section 42 and a lower section 42c fixed to a bottom of base section 42a. Lower section 42c includes an annular plate section 42d contacting a lower surface of base section 42a and a cylindrical section 42e extending downward from plate section 42d. A lower end of cylindrical section 42e has a frustoconical shape to define a knife edge 42f. Upper plate 42b is fixed to base section 42a by a plurality of fasteners 42g passing through plate 42b and into holes in base section 42a and lower section 42c is fixed to base section 42a by a plurality of fasteners 42h passing through plate section 42d and into holes in base section 42a. Base section 42a is provided with a slot 44 passing from an upper surface base section 42a to a lower surface of base section 42a. Slot 44 includes a center cylindrical section 44a for receiving gripper contact section 28e and threaded lower end 36b. Extending radially outward from center cylindrical section 44a, slot 44 further includes two outer sections 44b, each shaped for receiving one of grippers 30a. Grippers 30a are rotatably fixed to base section 42a by tangentially fasteners 46 passing through tangentially extending holes 48 formed in base section 42a. Grippers 30a are held on fasteners 46 via bushings 46a. Grippers 30a are rotatable about fasteners 46 within slot 44. Grippers 30a are elongated vertically and include an upper surface 31a, a lower surface 31b, an outer surface 31c and an inner surface 31d. Outer surface 31c faces away from rod 28 and toward inner support assembly 26 and extends upward from lower surface 31b to upper surface 31a. Inner surface 31d faces toward rod 28 and away from inner support assembly 26 and extends upward from lower surface 31b to upper surface 31a. Inner surface 31d is provided with three contact sections formed as protrusions—an upper protrusion 33a forming a gripper engagement contact section, an intermediate protrusion 33b forming a gripper release contact section and a lower protrusion 33c forming a gripping section. FIG. 2c shows grippers 30a in a non-gripping orientation with gripper contact section 28e contacting intermediate protrusion 33b to hold grippers 30a away from each other 30a. More specifically, a tapered lower surface 37c of gripper contact section 28e contacts a tapered upper surface 49 of protrusion 33b to hold grippers 30a away from each other 30a. When unlatching tool 10 is installed onto the button of a CRDS, grippers 30a are in the non-gripping orientation shown in FIG. 2c. Upper plate 42b is provided with an annular groove in the lower surface thereof receiving a bushing 50 arranged on shaft 36. A spring 52 surrounds a portion of an outer circumferential surface of shaft 36 and axially abuts an upper surface of upper plate 42b. FIGS. 3a to 3c show radial cross-sectional views illustrating the operation of gripper assembly 30. FIG. 3a shows rod 28 moved upward in comparison with the orientation shown in FIG. 2c. Rod 28 is moved upward by actuator 16. As shown in this FIG. 4a, actuator 16 is a screw jack that engages threads of upper rod section 28a of rod 28 to move rod 28 axially upward and downward. In this embodiment, actuator 16 is mechanically operated to axially move the upper rod section 28a without rotating upper rod section 28a; however, in other embodiments, other kinematics of the actuator 16 combined with upper rod section 28a can generate the motion to raise and lower gripper assembly 30. Actuator 16 is mounted on top of base plate 14a of bail assembly 14. An operator can rotate an input shaft 16a on screw jack by way of a removable electrical drive unit or the operator also can turn input shaft 16a manually by way of a handwheel or wrench. As shown in FIG. 3d, a cover 55 may be provided over actuator 16 and the upper end of upper rod section 28a. Cover 55 includes a lower section 55a surrounding actuator 16 and an upper section 55b on top of lower section 55a surrounding the upper end of upper rod section 55b. Upper section 55b includes a slot 55c formed therein allowing an operator to view of a height of the upper end of upper rod section 55b, and upper section 55b may be provided with a numeral scale, such as that on a ruler, to indicate the value of the height based on a position of the top edge of upper rod section 55b. Referring back to FIG. 3a, gripper contact section 28e has been moved out of contact with intermediate protrusion 33b and is being moved upward away from a button of a CRDS 56. CRDS 56 includes an axially movable section 56a, which forms the button, and a stationary section 56b. In order to release CRDS from the attached control rod assembly, movable section 56a is moved upward while stationary section 56b remains in place. A lower end of a head 54 of button is defined by an annular groove 58 formed in movable section 56a. A c-ring 59 is provided radially between an upper end of stationary section 56b and an outer circumferential surface of movable section 56a. Unlatching tool 10 is placed onto CRDS 56 such that the lower end of gripper assembly 30, in particular cylindrical section 42e of lower section 42c, rests on stationary section 56b in an annular space formed between the upper end of stationary section 56b and the outer circumferential surface of movable section 56a. Knife edge 42f is configured to contact and open c-ring 59 to allow gripper assembly 30 to bottom out on CRDS 56. FIG. 3b shows rod 28 being moved further upward in comparison with the orientation shown in FIG. 3a. The moving of rod 28 upward via actuator 16 causes an upper tapered surface 37a of gripper contact section 28e to contact a lower tapered surface 35 of upper protrusion 33a of each gripper 30a. This contact causes grippers 33a to rotate about the respective gripper axis GA defined by a center of the respective fastener 46 so upper ends 33d of grippers 30a move radially outward and away from each other and lower ends 33e of grippers 30a move radially inward and toward each other. The inward radial movement of lower ends 33e of grippers 30a causes a gripping surface 33f of each lower protrusion 33c to contact an outer circumferential surface 59 of movable section 56a at groove 58. FIG. 3c shows rod 28 being moved further upward in comparison with the orientation shown in FIG. 3b. Grippers 30a are in the same orientation as in FIG. 3b, with gripping surfaces 33f of each lower protrusions 33c contacting the outer surface of movable section 56a of CRDS 56 at groove 58. The moving of rod 28 upward via actuator 16 pulls movable section 56a of CRDS 56 upward by a distance Y such that CRDS 56 is released from the corresponding control rod assembly. Between the configuration in FIG. 3b and the configuration in FIG. 3c, gripper contact section 28e has forced gripper assembly 30 upward by an uppermost surface 37b of gripper contact section 28e contacting the lower surface of upper plate 42b of gripper assembly 30. The upward movement of rod 28 has caused gripper assembly 30 to further compress spring 52 between a lower surface of an upper plate section 60 of inner support section 26f (FIG. 3b) and the upper surface of upper plate 42b of gripper assembly 30. Cylindrical section 42e of lower section 42c of gripper assembly 30 has been lifted upward out of contact with stationary section 56b of CRDS 56. During the upward lifting of movable section 56a of CRDS 56, upper protrusions 33a remain in contact with gripper contact section 28e. Similarly, gripper assembly 30 can be used to reconnect CRDS 56 to the corresponding control rod assembly by gripping movable section 56a at groove 58 and moving gripper assembly 30 downward via the axial movement of rod 28 by actuator 16. Once gripper assembly 30 is moved downward into the position shown in FIG. 3b, rod 28 is moved further downward such that the upper surface of the moves out of contact with upper protrusion 33a and the lower surface 37c of gripper contact section 28e contacts the upper surface 49 of protrusion 33b. The downward movement of gripper contact section 28e into the upper surface 49 of protrusion 33b forces the lower end 33e of grippers 30a radially outward, causing grippers 30a to release movable section 56a of CRDS 56. FIGS. 4a to 4f show a plurality of views illustrating the operation of latches 34. FIG. 4a illustrates a perspective view of bail assembly 14, actuator 16, upper base section 20 and actuator 24 and FIG. 4b illustrates a radial cross-sectional view of a portion of bail assembly 14, upper base section 20 and actuator 24. FIGS. 4c and 4d illustrate a radial cross-sectional view and axial cross-sectional view, respectively, of latches 34 in the unlatched orientation; and FIGS. 4e and 4f illustrate a radial cross-sectional view and axial cross-sectional view, respectively, of latches 34 in the latched orientation. FIG. 4a shows upper base section 20 fixed to a bottom surface of base plate 14a of bail assembly 14. A handle 14b extends upward from base plate 14a and is formed by four post sections that converge above actuator 16 and upper rod section 28a. Handle 14b is used to hang tool 10 from the refueling bridge hoist for operation of actuators 16, 24 operated from the refueling bridge work platform. Upper base section 20 extends downward from base section 20 and includes the slot 22 formed therein for the actuation of actuator 24 between a latched orientation and an unlatched orientation, which will be further described with respect to FIGS. 4c to 4f. Slot 22 includes two enlarged ends 22a, 22b, with enlarged end 22a defining a unlatching stop for holding latches 34 in the unlatched orientation and enlarged end 22b defining a latching stop for holding latches 34 in the latched orientation. FIG. 4b shows a radial cross-sectional view of base section 20, actuator 24 and upper ends of support sections 18, 26. Actuator 24 includes a free end 24a configured for gripping by an operator and a base end 24b fixed to the upper end of outer assembly 18. Actuator 24 includes a fixed portion 24c that is fixed to outer assembly 18 and a movable portion 24d that movable radially outward away from outer section 18. Movable portion 24d is hollow and includes a stepped bore 62 passing therethrough. Fixed portion 24c is received in bore 62 and is biased away from a step 62a of stepped bore 62 by a coil spring 64 received in bore 62. The operator pulls an enlarged portion 24e at free end 24a to compress spring 64 and force movable portion 24d away from outer section 18. A contact end 24f of movable portion 24d, which is received in slot 22 in a non-actuated position, is pulled out of slot 22 by the radially outward force applied by the operator such that movable portion 24d is circumferentially movable with respect to base section 20 so movable portion 24d is movable between the unlatched orientation and the latched orientation. For example, if actuator 24 is in the non-actuated position, with spring 64 forcing contact end 24f into enlarged end 22a and thus preventing the circumferential movement actuator 24 and outer section 18, the operator pulls movable portion 24d radially outward to compress spring 64 such that actuator 24 is movable within slot 22 to enlarged end 22b. This actuates actuator 24 and outer assembly 18 from the unlatched orientation to the latched orientation. For example, if actuator 24 is in the non-actuated position, with spring 64 forcing contact end 24f into enlarged end 22b and thus preventing the circumferential movement actuator 24 and outer section 18, the operator pulls movable portion 24d radially outward to compress spring 64 such that actuator 24 is movable within slot 22 to enlarged end 22a. This actuates actuator 24 and outer assembly 18 from the latched orientation to the latched orientation. As shown in FIG. 4b, the upper end of outer assembly 18, i.e., the upper end of upper outer section 18a, includes a connecting section 66, with base end 24b of actuator 24, more specifically a threaded end of fixed portion 24c, being fixed in a hole in connecting section 66. Connecting section 66 is thicker than a remainder of outer section 18a. Base section 20 includes a flange 20a fixed in contact with the lower surface of base plate 14, a cylindrical section 20b extending downward from flange 20a and an annular plate 20c surrounding the upper end of outer assembly 18. Connecting section 66 is received inside of base section 20 and is supported on annular plate 20c by a thrust bearing 68 that is received in a step formed in connecting section 66 and is axially sandwiched between connecting section 66 and annular plate 20c. The upper end of inner support assembly 26, i.e., the upper end of inner support section 26a, is received inside of base section 20 radially inside of outer assembly 19 and is fixed in contact with the lower surface of base plate 14a surrounding a hole 14c formed in base plate 14a. Upper rod section 28a of rod 28 is received radially inside of the upper end of inner support assembly 26 and extends upward through base plate 14a via hole 14c. Referring now to FIGS. 4c to 4f (gripper assembly 30 is omitted from FIGS. 4c and 4e for clarity), latches 34 are rotatably fixed to inner support assembly 26 at lowermost inner support section 26i. FIGS. 4c and 4d show latches 34 in the unlatched orientation and FIGS. 4e and 4f show latches 34 in the latched orientation. In FIG. 4c, tool 10 is installed onto CRDS 56 such that the upper end of CRDS 56 is received in inner support assembly 26 and lowermost inner support section 26i encircles a portion of stationary section 56b. Inner support section 26h, which is a bushing, also encircles the outer circumferential surface of stationary section 56b of CRDS 56, while also contacting the inner circumferential surface of outer section 18c. When inner support assembly 26 is in the orientation shown in FIG. 4c, gripping assembly 30 is in the orientation shown in FIG. 3a, with cylindrical section 42e of lower section 42c of gripping assembly 30 lying in the annular space formed between the upper end of stationary section 56b and the outer circumferential surface of movable section 56a. Tool 10 is configured such that when inner support section 26g rests on stationary section 56b in such a manner, inner support assembly 26 is axially aligned such that latches 34 are aligned with an annular groove 70 formed in the outer circumferential surface of stationary section 56b, as shown in FIG. 4c. In their unlatched orientation, latches 34 protrude radially outward through windows 72 formed in outer assembly 18 at lower outer section 18c. FIG. 5 shows an exploded view of outer section 18c, inner support sections 26g, 26h, 26i and latches 34, and illustrating windows 72 As shown in FIG. 4d, which is taken along B-B in FIG. 4c, a tip 34a of each latch extends through a respective window 72 formed in outer section 28. Windows 72 each extend circumferentially between respective a first circumferential edge 72a and a respective second circumferential edge 72b. Circumferential edges 72a, 72b are formed by circumferentially spaced wall sections 73 of outer section 18c. Latches 34 are each rotatably fixed to inner support section 26 by a respective fasteners 74 extending axially into lowermost inner support section 26i. Latches 34 each are rotatable with respect to inner support section 26 about a respective axially extending latch axis LA at a center of the respective fastener 74. Latches 34 are axially fixed in place with respect to inner support section 26 by fasteners 74 such that an axial position of the each latch 34 remains constant during the rotating of outer section 18 in rotational directions D1, D2. In the unlatched orientation shown in FIGS. 4c and 4d, actuator 24 is held in the unlatched stop formed by enlarged end 22b of slot 22 (see FIG. 4a). In order to actuate latches 34 from the unlatched orientation in FIGS. 4c and 4d to the latched orientation in FIGS. 4e and 4f, outer assembly 18 is rotated in rotational direction D1 shown in FIG. 4d. This rotation can be accomplished by the operator pulling actuator 24 (FIG. 4a) radially outward and moving the actuator 24 in rotation direction D1. As actuator 24 is fixed to outer assembly 18, movement of actuator 24 in direction D1 causes outer assembly 18 to also move in direction D1. As outer assembly 18 is moved in direction D1, edge 72a of each window 72 contacts a portion 34e of a respective outer surface 34b of the respective latch 34 to force tips 34a of latches 34 radially inward. Outer assembly 18 is rotated in direction D1 until an inner circumferential surface 73a of a respective wall section 73 is in contact with the tip 34a of the respective latch 34. As tips 34a are forced radially inward, a contact surface 34c of an inner surface 34d of each 34 latch is forced radially inward into contact with the outer circumferential surface 76 of CRDS 56 at groove 70. Inner surface 34d and outer surface 34c merge at tip 34a. The contact between contact surface 34c and outer circumferential surface 76 holds tool 10, in particular inner support section 26, axially in place on stationary section 56b of CRDS 56. This latched orientation is shown in FIGS. 4e and 4f. Once in this latched orientation, actuator 24 is held in the latched stop formed by enlarged end 22b of slot 22 (see FIG. 4a). Accordingly, between the unlatched orientation shown in FIGS. 4c and 4d and the latched orientation shown in FIGS. 4e and 4f, actuator 24 is rotated in direction D1 from the unlatched stop formed by enlarged end 22a of slot 22 to the latched stop formed by enlarged end 22b of slot 22. Once actuator 24 enters into the latched stop formed by enlarged end 22b of slot 22, the radially inward force applied to movable portion 24d by spring 64 forces end 24f of movable portion 24 into a locked position in enlarged end 22b. To actuate latches 34 from the latched orientation shown in FIGS. 4e and 4f to the unlatched orientation shown in FIGS. 4c and 4d, actuator 24 is rotated in a rotational direction D2, which is opposite of direction D1, from the latched stop formed by enlarged end 22b of slot 22 to the unlatched stop formed by enlarged end 22a of slot 22. Once actuator 24 enters into the unlatched stop formed by enlarged end 22a of slot 22, the radially inward force applied to movable portion 24d by spring 64 forces end 24f of movable portion 24 into a locked position in enlarged end 22a. As outer assembly 18 is moved in direction D2, edge 72b of each window 72 contacts a further portion 34f of the outer surface 34b of the respective latch 34 to force tips 34a of latches 34 radially outward through the respective window 72. Portion 34f is on an opposite end of outer surface 34b as portion 34e and tip 34a. Outer assembly 18 is rotated in direction D2 until a further surface 34g of latch 34 is arranged between the inner circumferential surface 73a of the respective wall section 73 and movable portion 56b, and the inner circumferential surface 73a of the respective wall section 73 is in contact with portion 34f of the outer surface 34b of the respective latch 34, as shown by the position in FIG. 4d. Further surface 34g extends from inner surface 34d to portion 34f of outer surface 34b and is on an opposite end of latch 34 as tip 34a. As tips 34a are forced radially outward, contact surface 34c of each 34 latch is forced radially outward out of contact with the outer circumferential surface 76 of CRDS 56 at groove 70. Tool 10 is latched into place on CRDS 56 before gripper assembly 30 is actuated to lift movable section 56a of CRDS 56 upward to release CRDS 56 from the attached control rod assembly. In the preceding specification, the invention has been described with reference to specific exemplary embodiments and examples thereof. It will, however, be evident that various modifications and changes may be made thereto without departing from the broader spirit and scope of invention as set forth in the claims that follow. The specification and drawings are accordingly to be regarded in an illustrative manner rather than a restrictive sense. |
|
046366458 | claims | 1. A closure system for temporarily sealing a cask base element having a mouth region with first and second steps and for permitting said cask base element to be permanently sealed, said closure system comprising: a primary cover having a bottom side with a first peripheral region configured for placement on said first step and having a top side with a second peripheral region, an upwardly open annular recess being provided in said primary cover at said secondary peripheral region; first means disposed between said first peripheral region and said first step for creating a mechanical seal to seal said cask base element at least temporarily; a generally band-shaped element which is disposed in said annular recess and which has first and second edges and a curved cross section, the first edge being sealingly affixed to said primary cover and the second edge being sealingly affixed to said cask base element only if said cask base element is to be permanently sealed; a secondary cover having a bottom side with a peripheral region configured for placement on said second step; and second means disposed between the peripheral region of said secondary cover and said second step for creating an additional mechanical seal to seal said cask base element at least temporarily. 2. The closure system of claim 1, further comprising means for creating permanent welded seal between said secondary cover and said cask base element. 3. The closure system of claim 1, wherein said second step of said cask base element is positioned at the top of said cask base element, and wherein the bottom side of said secondary cover has an outwardly open peripheral groove for selectively accommodating an additional generally band-shaped element having first and second edges and curved cross section. 4. The closure system of claim 3, further comprising said additional generally band-shaped element, said additional generally band-shaped element being accommodated in said groove, the first and second edges of said additional generally band-shaped element being sealingly affixed to said cask base element and secondary cover, respectively, only if said cask base element is to be permanently sealed. 5. The closure system of claim 1, further comprising removeable means for securing said secondary cover to said cask base element. 6. The closure system of claim 5, further comprising removeable means for securing said primary cover to said cask base element. 7. The closure system of claim 6, wherein said mouth region has a groove between said first and second steps, and wherein said means for securing said primary cover comprises a plurality of first and second shear keys configured for insertion into said groove between said first and second steps, said first shear keys having sides that are parallel and said second shear keys having sides that are angularly disposed. 8. The closure system of claim 7, wherein said secondary cover has a central projection which is separated from said primary cover by a narrow gap and which prevents said shear keys from becoming dislodged from said groove between said first and second steps. 9. The closure system of claim 8, further comprising a cap having neutron absorbing material therein, said cap enclosing said mouth region and said primary and secondary covers. 10. The closure system of claim 1, wherein said first and second means are O-rings and wherein said curved cross section of said generally band-shaped element is C-shaped. 11. The closure system of claim 10, wherein said mouth region has a groove between said first and second steps, and further comprising shear key means removeably disposed in said groove between said first and second steps for securing said primary cover to said cask base element, and bolts securing said secondary cover to said cask base element. 12. The closure system of claim 11, wherein said second step of said cask base element is positioned at the top of said cask base element, and wherein the bottom side of said secondary cover has an outwardly open peripheral groove for accommodating an additional annular element have a curved cross section. 13. The closure system of claim 12, further comprising said additional annular element, said additional annular element being disposed in the groove in said secondary cover and being welded to both said cask base element and said secondary cover only if said cask base element is to be permanently sealed. 14. The closure system of claim 3, further comprising bolts extending through said secondary cover outward of said groove therein to secure said secondary cover to said cask base element. 15. The closure system of claim 13, further comprising bolts extending through said secondary cover outward of said groove therein to secure said secondary cover to said cask base element. |
summary | ||
061852686 | claims | 1. A main steam pressure disturbance preventing apparatus of a nuclear power plant, comprising: one of a pressure detector and a water level detector provided in one of a steam system from a reactor of said nuclear power plant and a drain system connected to said steam system; an instrumentation pipe connected between said one of said pressure detector and said water level detector and said one of said steam system and said drain system; and a catalyst installed in at least an inner surface at a top of one of said one of said pressure detector and said water level detector and said instrumentation pipe and configured to recombine hydrogen and oxygen. said catalyst is installed in one of said one of said pressure detector and said water level detector and said instrumentation pipe by one of coating and welding. said one of said pressure detector and said water level detector is installed at said instrumentation pipe at a point where a temperature at a steam inflow portion of one of said instrumentation pipe and said one of said pressure detector and said water level detector is lower than a prescribed temperature after operating said nuclear power plant; and said catalyst is installed in one of said instrumentation pipe and said one of said pressure detector and said water level detector at said point. said catalyst is formed in one of meshed shape and granular shape in one of said one of said pressure detector and said water level detector and said instrumentation pipe. said catalyst is installed in an inner surface at a top of an upward detection pipe in said instrumentation pipe. said catalyst is installed in an inner surface of an upper lid of a detection container of one of said pressure detector and said water level detector. a cylindrical plate inserted in said instrumentation pipe and including a catalyst plated layer plated on an inner surface of said cylindrical plate, wherein said catalyst is disposed on said catalyst plated layer. a notched portion is provided at a lower side of said cylindrical plate with said catalyst plated layer plated on said inner surface of said cylindrical plate. said cylindrical plate is attached to an inner surface of said instrumentation pipe by spot welding at two end portions of said cylindrical plate; and said cylindrical plate is provided with said catalyst plated layer on said inner surface of said cylindrical plate except at said two end portions of said cylindrical plate. said cylindrical plate with said catalyst plated layer on said inner surface is made of the same material as that of said instrumentation pipe. said cylindrical plate is installed in an inner surface at a top of an upward detection pipe in said instrumentation pipe. a detection container for one of said pressure detector and said water level detector, said detection container including an upper lid with an inner surface; and a disc plate provided at said inner surface and including a center hole, a cylindrical projection with a curved surface rising from around said center hole, and a catalyst plated layer plated on an under surface of said disc plate, wherein said catalyst is disposed on said catalyst plated layer and an outer surface of said cylindrical projection. said disc plate is provided with said catalyst plated layer except at said curved surface. a detection container for one of said pressure detector and said water level detector, said detection container including an upper lid with an inner surface; and a disc plate provided at said inner surface and including a center hole, a cylinder and a ring plate at an under surface of said disc plate, said ring plate having a catalyst plated layer plated on an under surface of said ring plate, wherein said catalyst is disposed on said catalyst plated layer. a detection container for one of said pressure detector and said water level detector, said detection container including an upper lid with an inner surface; and a tapered plate provided at said inner surface and being thicker at a central portion than at a peripheral portion, said tapered plate including a center hole and a catalyst plated layer plated on an under surface of said tapered plate, wherein said catalyst is disposed on said catalyst plated layer. a detection container for one of said pressure detector and said water level detector, said detection container including an upper lid with an inner surface; and a disc plate provided at said inner surface and including a center hole, a catalyst plated layer plated on an under surface of said disc plate, and a ring for preventing drain adherence on the under surface of said disc plate around said center hole, wherein said catalyst is disposed on said catalyst plated layer. a detection container for one of said pressure detector and said water level detector, said detection container including an upper lid with an inner surface; and a float stem penetrating through said upper lid of said detection container and including a catalyst plated layer plated on a surface of said float stem, wherein said catalyst is disposed on said catalyst plated layer. 2. The main steam pressure disturbance preventing apparatus of a nuclear power plant according to claim 1, wherein: 3. The main steam pressure disturbance preventing apparatus of a nuclear power plant according to claim 1, wherein: 4. The main steam pressure disturbance preventing apparatus of a nuclear power plant according to claim 1, wherein: 5. The main steam pressure disturbance preventing apparatus of a nuclear power plant according to claim 1, wherein: 6. The main steam pressure disturbance preventing apparatus of a nuclear power plant according to claim 1, wherein: 7. The main steam pressure disturbance preventing apparatus of a nuclear power plant according to claim 1, further comprising: 8. The main steam pressure disturbance preventing apparatus of a nuclear power plant according to claim 7, wherein 9. The main steam pressure disturbance preventing apparatus of a nuclear power plant according to claim 7, wherein: 10. The main steam pressure disturbance preventing apparatus of a nuclear power plant according to claim 7, wherein 11. The main steam pressure disturbance preventing apparatus of a nuclear power plant according to claim 7, wherein 12. The main steam pressure disturbance preventing apparatus of a nuclear power plant according to claim 1, further comprising: 13. The main steam pressure disturbance preventing apparatus of a nuclear power plant according to claim 12, wherein 14. The main steam pressure disturbance preventing apparatus of a nuclear power plant according to claim 1, further comprising: 15. The main steam pressure disturbance preventing apparatus of a nuclear power plant according to claim 1, further comprising: 16. The main steam pressure disturbance preventing apparatus of a nuclear power plant according to claim 1, further comprising: 17. The main steam pressure disturbance preventing apparatus of a nuclear power plant according to claim 1, further comprising: |
description | This application claims priority benefit of U.S. Provisional Application No. 60/933,220, filed Jun. 4, 2007; U.S. Provisional Application No. 60/922,741, filed Apr. 9, 2007; and U.S. Provisional Application No. 60/869,872, filed Dec. 13, 2006; the entirety of each of which is incorporated herein by reference. 1. Field of the Inventions This disclosure relates to the treatment of ocular disorders using targeted photon energy. In particular, the present disclosure relates to apparatus, systems, and methods for image-guided low energy x-ray therapy of ocular structures. 2. Description of the Related Art Macular degeneration is a condition where the light-sensing cells of the macula, a near-center portion of the retina of the human eye, malfunction and slowly cease to work. Macular degeneration is the leading cause of central vision loss in people over the age of fifty years. Clinical and histologic evidence indicates that macular degeneration is in part caused by or results in an inflammatory process that ultimately causes destruction of the retina. The inflammatory process can result in direct destruction of the retina or destruction via formation of neovascular membranes which leak fluid and blood into the retina, quickly leading to scarring. Many treatments for macular degeneration are aimed at stopping the neovascular (or “wet”) form of macular degeneration rather than geographic atrophy, or the “dry” form of Age-related Macular Degeneration (AMD). All wet AMD begins as dry AMD. Indeed, the current trend in advanced ophthalmic imaging is that wet AMD is being identified prior to loss of visual acuity. Treatments for macular degeneration include the use of medication injected directly into the eye (Anti-VEGF therapy) and laser therapy in combination with a targeting drug (photodynamic therapy); other treatments include brachytherapy (i.e., the local application of a material which generates beta-radiation). Disclosed herein are systems, methods, and apparatus that provide treatment for ocular disorders by irradiating specific regions of the eye without substantially exposing the rest of the eye to radiation. In some embodiments described herein, radiotherapy systems are disclosed that may be used to treat a wide variety of medical conditions relating to the eye. For example, the systems may be used, alone or in combination with other therapies, to treat macular degeneration, diabetic retinopathy, inflammatory retinopathies, infectious retinopathies, tumors in the eye or around the eye, glaucoma, refractive disorders, cataracts, post-surgical inflammation of any of the structures of the eye, ptyrigium, and dry eye. In some embodiments described herein, radiotherapy (or externally applied radiation therapy) is used for treatment of macular degeneration, and standard treatments for macular degeneration are disclosed. Radiotherapy treatment of macular degeneration presents several complications. For example, the eye contains several critical structures, such as the lens and the optic nerve, that can possibly be damaged by excessive exposure to radiation. The application of external beam therapy is limited by devices and methodologies used to apply the therapy. These devices and methodologies are older radiation technologies used to treat conditions such as tumors anywhere in the body and were not developed specifically for ocular radiation therapy. In addition, logistics are difficult as far as patient recruitment and administration of treatments because such treatment devices are borrowed from and displace oncologic therapies. Stereotactic radiation therapy generally refers to the delivery of radiation beams from multiple directions to focus on a target. Such therapy is delivered using large linear accelerators or radioactive sources, such as Cobalt-60 (gamma knife). Robotic stereotactic surgery (e.g., see U.S. patent application Ser. No. 11/354,411, filed Feb. 14, 2006, entitled, “Adaptive X-ray Control,” assigned to Accuray Inc., the entirety of which is hereby incorporated by reference) is an application of stereotactic radiation in which a large linear accelerator moves about a patient and delivers a series of radiation beams toward a target. Because the dose can be controlled around the target, while sparing normal tissue, the therapy can be delivered in a small number of fractionated doses. The procedure may be referred to as “radiosurgery” versus radiotherapy. In general terms, radiosurgery is one form of radiation therapy. Retinal radiotherapy trials have shown stabilized or improved visual acuity without any significant toxicity. Radiation has also been shown to dry up neovascular membranes in patients and stabilize vision. However, due to limitations in the treatment of macular degeneration using radiotherapy, including localization of the region to be treated as well as specific application of the radiation to the region to be treated, macular radiotherapy often irradiates the entire retina, which is both unnecessary and possibly harmful. Moreover, the dose of radiation specifically to the macula has not been limited to multiple fractions over many days or weeks. The ability to apply a greater dose specifically to the macula in a period of time less than 24 hours will have a greater effect on the disease than was shown in previous trials. Brachytherapy for wet AMD is also a powerful therapy to treat wet AMD (Neovista, Inc., Press Release, March 2007, the entirety of which is incorporated herein by reference). A major limitation of this treatment is that it requires invasive procedures involving partial removal of the vitreous fluid of the posterior chamber of the eye to place the brachytherapy probe. In addition, the ability to fractionate the dose is limited because of the invasiveness required to deliver the therapy. Furthermore, the therapy is dependent on exact placement by the surgeon and the stability of the surgeon's hand. Other diseases of the eye include glaucoma. In this disease, surgery is often the second line of therapy after pharmaceutical therapy. Procedures such as trabeculoplasty, trabeculotomy, canaloplasty, laser iridotomy, placement of shunts, and other procedures all suffer from a short-lived effect because of scar formation as a result of the surgical trauma. Anti-inflammatory drugs appear to offer a palliative and/or preventative solution to the chronic scarring that occurs after these procedures; however, the drugs have to be given several times per day and are associated with their own side effect profile such as seepage into unwanted regions of the eye. Radiation doses (e.g., from about 5 Gy to about 20 Gy in some instances and about 10 Gy some embodiments) can be beneficial in the prevention of scarring after glaucoma surgery (see, e.g., Kirwan, et. al., Effect of Beta Radiation on Success of Glaucoma Drainage Surgery in South Africa: randomized controlled trial; British Medical Journal, Oct. 5, 2006, the entirety of which is herein incorporated by reference). Capsular opacification is a common occurrence after cataract procedures with placement of intra-ocular lenses (add reference). This scarring is caused by trauma from the surgery, proliferation of lens cells, and material incompatibility. Another disease of the eye that is treatable with the systems, methods, and apparatus disclosed herein is pterygia of the eye. A pterygium is an elevated, superficial, external ocular mass that usually forms over the perilimbal conjunctiva and extends onto the corneal surface. Pterygia can vary from small, atrophic quiescent lesions to large, aggressive, rapidly growing fibrovascular lesions that can distort the corneal topography, and in advanced cases, can obscure the optical center of the cornea. The exact cause of pterygia is not well understood, although it occurs more often in people who spend a great deal of time outdoors, especially in sunny climates, and has been linked to long-term exposure to sunlight, especially ultraviolet rays, and chronic eye irritation from dry, dusty, and windy conditions. Pterygia can become inflamed, and the symptoms are often treated with topical eyedrops or ointments that can help to reduce the inflammation. If the pterygium is large enough to threaten sight, or encroaches on the cornea, the lesion is typically treated by surgical removal before vision is affected. However, even with most surgical techniques, the recurrence rate is often as high as 50 to 60 percent. The systems, methods, and apparatus disclosed herein can be used postoperatively to reduce the likelihood of recurrence of a pterygium by administration of radiation doses, and in some embodiments, doses of radiation can be used to slow or stop progression of the pterygium prior to surgery. (See, e.g., “Long-term results of non-surgical, exclusive strontium/yytrium-90 beta irradiation of pterygia,” Radiation and Oncology 74 (2005) 25-29; the entirety of which is incorporated herein by reference). In some embodiments, the radiation treatment system is used concomitantly with laser therapy. That is, rather than using a laser solely for pointing the x-ray device to the ocular target of choice, the laser is used for both pointing and therapy. In these embodiments, the laser preferably includes at least one additional energy or wavelength suitable for therapy of an ocular structure. The x-ray is preferably applied to the same region as the laser so as to limit or reduce excessive scarring around the laser therapy. For example, some embodiments of the systems and methods can be used in connection with glaucoma treatment, such as, for example, a trabeculectomy, in which the laser is used to create perforations or apertures in the trabecular meshwork of an eye while the x-ray, or radiation doses, are applied to limit or reduce scarring. In some embodiments, the system can be configured to provide a source of heat, to heat the target tissue, and the x-rays are applied in conjunction with the heating of the target tissue. The term “applying in conjunction,” in this context, can be applying the x-rays in preparation for applying the heat to the tissue, applying the x-rays following applying the heat to the tissue, or applying the x-rays at the same time as heat is applied to the tissue. The x-rays can be applied from about 2 and about 10 days prior to the treatment of the heat, and in some embodiments, the x-rays can be applied from about 2 and about 10 days following the treatment of the heat. In some embodiments, the x-rays are applied in a period that is less than about 2 days prior to treatment of the heat, and in some embodiments, the x-rays are applied in a period that is less than about 2 days following the treatment of the heat. In some embodiments, the x-rays are applied more than about 10 days prior to treatment of the tissue with heat, and in some embodiments, the x-rays are applied more than about 10 days following treatment of the tissue with heat. In some embodiments, variations of these treatment methods may be used. For example, multiple treatments of the target tissue with heat can be applied before and after the x-ray application. In another example, multiple treatments of the target tissue with x-rays can be applied before and after the treatment of the target tissue with heat. In some embodiments, treatment at substantially the same time can include treatment of the target tissue with heat and x-rays within about 72 hours, 48 hours, 36 hours, 24 hours, 12 hours, 6 hours, 2 hours, 1 hour, 30 minutes, 10 minutes, and 1 minute of each other. In some embodiments, treatment at substantially the same time can include treatment of the target tissue with heat and x-rays within about 1 week, 2 weeks, 3 weeks, and a month of each other. In some embodiments, laser therapy is applied through a needle, the needle penetrating through eye tissue. For example, a needle or cannula can be placed through the sclera of the eye and into the vitreous to deliver a drug. The needle or cannula can also be used to direct a light pointer beam such as a laser pointer. The light pointer can be pointed to the retina and the lighted region on the retina visualized through a lens. A radiotherapy device can then be aligned, such as, for example, to be collinear, with the cannula and an x-ray beam can be emitted in an aligned trajectory with the laser pointer and intersect the retina at the same place as the laser pointer. In these embodiments, a target on the retina can be identified, targeted, and treated with the systems, methods, and apparatus described herein. In some embodiments of this disclosure, electromotive and ocular imaging systems are utilized, but laser therapy is the sole radiation energy source used for treatment. In these embodiments, the ability of the system to focus radiation by passing the photons through the sclera from different angles to structures deep to the sclera can be utilized to treat diseases of the anterior chamber or posterior chamber with laser radiation while keeping the x-ray generation system off. In some embodiments, the x-ray generator is not included in the system. In these embodiments, the eye model, tracking, control, and focusing systems for the x-ray therapy are utilized for laser therapy. In certain embodiments, a device, using a treatment planning system, is disclosed for providing targeted radiotherapy to specific regions of the eye. The treatment planning system integrates physical variables of the eye and disease variables from the physician to direct the x-ray system to deliver therapy to the ocular structures. The device applies narrow beams of radiation from one or more angles to focus radiation to a targeted region of the eye. In certain embodiments, the device may focus radiation beams to structures of the posterior eye, such as the retina. In certain embodiments, the device may focus radiation beams to structures of the anterior region of the eye, such as the sclera, the cornea, or the trabecular meshwork. The treatment planning system allows for planning of the direction of the beam entry into the eye at different points along the eye's surface, for example, the sclera. The unique anatomy of each individual is integrated into the treatment planning system for accurate targeting, and in some instances, automated positioning and orienting of the x-ray beams of the device. In some embodiments described herein, treatment systems are provided for delivering radiation to a patient that include an eye model derived from anatomic data of a patient's eye, an emitter that emits a radiation beam, and a position guide, coupled to the emitter, that positions, based on the eye model, the emitter with respect to a location on or in the eye, such that the radiation beam is delivered to a target on or in the eye. In some embodiments, the location comprises the target. The emitter can be configured to deliver the radiation beam with a photon energy between about 10 keV and about 500 keV or to deliver an radiation beam adjustable between about 25 keV and about 100 keV. In some embodiments, the radiation beam includes an x-ray beam. In some embodiments, the system further includes a planning module configured to determine, based on the eye model, at least two of a beam target, a beam intensity, a beam energy, a beam trajectory, a treatment field size, a treatment field shape, a distance from the emitter to the target, an exposure time, and a dose. The position guide, in some embodiments, positions the emitter, based on information from the planning module, such that the emitter directs a first radiation beam at a first position through a first portion of the eye to a treatment region within the eye. The position guide preferably positions the emitter, based on information from the planning module, such that the emitter directs a second radiation beam at a second position through a second portion of the eye to the treatment region within the eye. In some embodiments, the planning module is adapted to receive input from a user, the input affecting an output of the planning module. In some embodiments, the system includes a sensing module that senses a position of the eye and relays information concerning the position of the eye to the planning module. The system includes, in some embodiments, a sensing module that senses a position of the eye and relays information concerning the position of the eye to the position guide. The sensing module can include a portion that physically contacts the eye, which can include a lens positionable on or over the cornea of the eye. The sensing module can, in some embodiments, optically sense the position of the eye with, for example, a laser. In some embodiments, the system also includes a collimator that collimates the radiation beam to a width of from about 0.5 mm to about 6 mm. The collimated beam can also have a penumbra of less than about ten percent at a distance up to about 50 cm from the collimator. The position guide, in some embodiments, is configured to position the emitter, in use, at a first distance within 50 cm of the target, such that the emitter delivers the radiation beam to the target from the first distance. In some embodiments, a collimator is positioned, in use, to within about 10 cm of the target when the radiation beam is delivered to the target. The system can further include a detector that detects if the patient's eye moves such that the radiation beam is not directed to the target. In some embodiments, the emitter is configured to automatically not emit the radiation beam if the patient's eye moves out of a predetermined position or range of positions. Some embodiments include a laser emitter that emits a laser beam that passes through a collimator and is directed toward the eye and in some embodiments, is applied along the same axis as the x-ray emitter. Some embodiments described herein disclose a system for delivering radiation to an eye that includes an eye model derived from anatomic data of a patient's eye, an emitter that delivers an x-ray beam to the eye with an energy from about 10 keV to about 500 keV, a position guide, coupled to the emitter, that positions, based on the eye model, the emitter with respect to a location in or on the eye, to deliver the x-ray beam to a target in or on the eye, and a planning module that determines at least two parameters of treatment based on the model of the eye. In some embodiments, the at least two parameters include two of a beam target, a beam intensity, a beam energy, a beam trajectory, a treatment field size, a treatment field shape, a distance from the emitter to the target, an exposure time, and a dose. The position guide, in some embodiments, is configured to direct a first x-ray beam from a first position to a first region of a sclera of the eye to target a region of the eye, and is further configured to direct a second x-ray beam from a second position to a second region of the sclera to target substantially the same region of the eye. In some embodiments, the region of the eye is at least one of the macula, the sclera, the trabecular meshwork, and a capsule of the lens of the eye. The system can further include a collimator that collimates the x-ray beam. In some embodiments, the collimator is configured to collimate the x-ray beam to a width of from about 0.5 mm to about 6 mm, and in some embodiments, the system is configured to produce an x-ray beam having a penumbra of less than about five percent within a distance, from the collimator to the target, of about 50 cm. The emitter, in some embodiments, is configured to deliver an x-ray beam with a photon energy between about 25 keV and about 150 keV. In some embodiments, the collimator is positioned, in use, to within about 10 cm of the target when the x-ray beam is delivered to the target. In some embodiments, a treatment system for delivering radiation to a human being is provided, the system including an eye model derived from anatomic data of a patient's eye; an emitter that delivers an x-ray beam to the eye; and means for positioning the emitter, with respect to a location on or in the eye, to deliver the x-ray beam to a target on or in the eye, the means being coupled to the emitter, and the positioning of the emitter being based on the eye model. Some embodiments provide a treatment system for delivering radiation to a patient that includes an emitter that generates a radiation beam, and a position guide, coupled to the emitter, operable to positions the emitter with respect to a location on or in the eye, to deliver the radiation beam to a target on or in the eye, wherein the emitter is placed within 50 cm of the target. In some embodiments, the system further includes a collimator coupled to the emitter, the collimator being placed, in use, to within 10 cm of the target when the emitter emits the radiation beam. In some embodiments, the system further includes a collimated laser emitter that is coupled to the emitter. In some embodiments described herein, a method of treating macular degeneration of an eye is disclosed. The method preferably includes providing a model of an eye of a patient with anatomic data obtained by an imaging apparatus, producing an x-ray beam with a width of from about 0.5 mm to about 6 mm and having a photon energy between about 40 keV and about 100 keV, and in some embodiments between about 40 keV and about 250 keV, directing the x-ray beam such that the beam passes through the sclera to the retina of the eye, and exposing the retina to from about 1 Gy to about 40 Gy of x-ray radiation. In some embodiments, the method provides that at least one of the x-ray beam width, photon energy, and direction of the x-ray beam is determined based on the model of the eye. The method further provides, in some embodiments, that the retina is exposed to from about 15 Gy to about 25 Gy of x-ray radiation. In some embodiments, treatment with the x-ray radiation can be fractionated, and a planning system can keep track of the quantity and location of prior treatments. In some embodiments, the method includes reducing neovascularization in the eye by exposing the retina to the radiation. The method may further include administering to the patient at least one of heating, cooling, vascular endothelial growth factor (VEGF) antagonist, a VEGF-receptor antagonist, an antibody directed to VEGF or a VEGF receptor, a modality which increases DNA strand breaks or decreases DNA repair, a modality which increases the level of apoptosis, a modality which increases endothelial cell death, a taxane or other microtubule inhibitor, a topoisomerase inhibitor such as irinotecan, a pharmaceutical in the limus family such as sirolimus, a compound which methylates DNA such as temozolomide, an analogue or prodrug of 5-fluorouracil such as capecitabine, a free radical inducing agent such as tirapazamine, small molecule tyrosine kinase inhibitors such as gefitinib or erlotinib, NFκB inhibitors or downregulators such as bortezomib, microwave energy, laser energy, hyperbaric oxygen, supersaturated oxygen, ultrasound energy, radiofrequency energy, and a therapeutic agent, prior to, or after, exposing the retina to the radiation. The method further includes, in some embodiments, directing a first x-ray beam to pass through the sclera to the retina from a first position external to the eye, and directing a second x-ray beam to pass through the sclera to the retina from a second position external to the eye. In some embodiments, the x-ray beam is directed to pass through a pars plana of the eye. The x-ray beam is, in some embodiments, directed to a macula of the eye. Some embodiments herein describe a method of treating an eye of a patient that includes providing a model of the eye based on anatomic data obtained by an imaging apparatus, producing a first x-ray beam and a second x-ray beam, each beam having a width of from about 0.5 mm to about 6 mm, directing the first x-ray beam such that the first beam passes through a first region of a sclera of the eye to a target of a retina, and directing the second x-ray beam such that the second beam passes through a second region of the sclera to substantially the same target of the retina as the first beam, wherein the first region and second region of the sclera through which the first beam and second beam pass are selected based on the model of the eye. In some embodiments, a trajectory of the first beam is determined based on the model of the eye, and in some embodiments, the directing of the first x-ray beam and the directing of the second x-ray beam occur sequentially. In some embodiments, the first x-ray beam and the second x-ray beam have photon energies of from about 25 keV to about 100 keV. Centers of the first and second x-ray beams, in some embodiments, are projected through a point on the sclera at a distance of from about 0.5 mm to about 6 mm from a limbus of the eye. In some embodiments, the method further includes administering to the patient at least one of heating, cooling, VEGF antagonist, a VEGF-receptor antagonist, an antibody directed to VEGF or a VEGF receptor, microwave energy, radiofrequency energy, laser energy, and a therapeutic agent, prior to, concurrently with, or subsequent to the directing of the first x-ray beam. The x-ray beam, in some embodiments, is produced by an x-ray source positioned less than about 50 cm from the retina. In some embodiments, the x-ray beam is emitted from a source having an end that is placed within about 10 cm of the eye. In some embodiments, the retina is exposed to about 15 Gy to about 25 Gy in some embodiments, and, in some embodiments to about 35 Gy, of x-ray radiation during one treatment session. Some embodiments described herein relate to a method of treating an eye of a patient that includes providing a model of the eye based on anatomic data obtained by an imaging apparatus, producing a first x-ray beam and a second x-ray beam, each beam having a width of from about 0.5 mm to about 6 mm, directing the first x-ray beam such that the first beam passes through a first region of the eye to a target within the eye, and directing the second x-ray beam such that the second beam passes through a second region of the eye to substantially the same target within the eye, wherein the first region and second region of the eye through which the first beam and second beam pass are selected based on the model of the eye. The target, in some embodiments, includes the lens capsule of the eye. In some embodiments, the target includes the trabecular meshwork of the eye or a tumor. In some embodiments, the first region comprises the cornea of the eye. In some embodiments, the first x-ray beam and the second x-ray beam have photon energies of from about 25 keV to about 100 keV. In some embodiments, the first and second x-ray beams are collimated by a collimator positioned within 10 cm of the eye, and in some embodiments, the x-ray beams are produced by an x-ray source positioned within 10 cm of the eye. The x-ray source can also be positioned within 50, 40, and/or 10 cm of the eye. In some embodiments, the first region of the eye includes a first region of a sclera and the second region of the eye comprises a second region of the sclera, and an edge-to-edge distance from the first region of the sclera to the second region of the sclera is from about 0.1 mm to about 2 mm. In some embodiments, the first and second x-ray beams are directed from a nasal region external to the eye. Some methods further include aligning the center of the patient's eye with the x-ray radiotherapy system. Some methods also include developing a plan to treat a macular region using the model of the eye, wherein the first and second x-ray beams overlap at the macular region, and the first and second x-ray beams are collimated to from about 0.5 mm to about 6 mm. Some embodiments described herein disclose a method of applying radiation to the retina of a patient's eye, the method including localizing the macula of the patient with an imaging device, linking the macula to a global coordinate system, and applying an external beam of radiation to the macula based on the coordinate system. Some embodiments further include contacting a material to the sclera of the eye, the material being linked or trackable to the global coordinate system. In certain embodiments, motion of the external beam radiation is automated based on the coordinate system. In some embodiments, the method also includes detecting eye movements. Some embodiments further include recalculating the relationship between the macula and the coordinate system after a detection of eye movement. In some embodiments, the method further includes implanting a fiducial marker inside the eye to couple the eye and the retina to the coordinate system. In some embodiments, the external beam radiation is focused external beam radiation. Described herein are embodiments that disclose a method of planning radiation treatment to an eye of a patient. In some embodiments, the method includes obtaining imaging data of the retina of the patient, coupling the imaging data to a global coordinate system, using a laser to enable alignment and targeting of focused ionizing radiation beams to the retina, and applying automated focused external beam therapy to the retina based on the position of the retina in the global coordinate system. Some embodiments provide a method of treating a region of an eye of a patient that includes producing an x-ray beam with a width of from about 0.5 mm to about 6 mm and having a photon energy between about 40 keV and about 250 keV, directing the x-ray beam toward the eye region, and exposing the region to a dose of from about 1 Gy to about 40 Gy of x-ray radiation, thereby treating the region of the eye. In some embodiments, the method further includes providing a model of the eye with anatomic data obtained by an imaging apparatus, wherein at least one of a width of the x-ray beam, a photon energy of the x-ray beam, and a direction of the x-ray beam is determined based on the model of the eye. The region, in some embodiments, is exposed to from about 15 Gy to about 25 Gy of x-ray radiation, and in some embodiments, the region includes a retina of the eye. The treating can include reducing neovascularization in the eye by exposing the retina to the radiation, and/or substantially preventing progression from Dry Age-related Macular Degeneration (AMD) to neovascularization. In some embodiments, the method also includes administering to the patient at least one of heating, cooling, VEGF antagonist, a VEGF-receptor antagonist, an antibody directed to VEGF or a VEGF receptor, microwave energy, radiofrequency energy, a laser, a photodynamic agent, and a radiodynamic agent, and a therapeutic agent. Some embodiments further include directing a first x-ray beam to pass through a sclera to a retina from a first position external to the eye, and directing a second x-ray beam to pass through the sclera to the retina from a second position external to the eye. The x-ray beam, in some embodiments, is directed through a pars plana of the eye, and in some embodiments, the x-ray beam is directed to a macula of the eye. The x-ray beam can also be directed through a sclera of the eye to the macula of the eye. Some embodiments provide that the dose is divided between two or more beams, and in some embodiments, the dose is divided between two or more treatment sessions, each of said treatment sessions occurring at least one day apart. Some methods described herein further include determining a position of the eye relative to the x-ray beam during the exposing of the region to the x-ray radiation, and shutting off the x-ray beam if the position of the eye exceeds a movement threshold. Some methods of treating an eye of a patient described herein include providing a model of the eye based on anatomic data obtained by an imaging apparatus, directing a first x-ray beam such that the first beam passes through a first region of the eye to a target within the eye, and directing a second x-ray beam such that the second beam passes through a second region of the eye to substantially the same target within the eye, wherein the first region and second region of the eye through which the first beam and second beam pass are selected based on the model of the eye, and assessing a position of the eye during at least one of the administration of the first x-ray beam to the target, administration of the second x-ray beam to the target, and a period of time between administration of the first x-ray beam to the target and administration of the second x-ray beam to the target. Some methods provide that the assessing occurs during administration of the first x-ray beam to the target, and some methods further include ceasing or reducing administration of the first x-ray beam when the eye moves beyond a movement threshold. Some methods further include directing the second x-ray beam based on information from the assessing of the position of the eye. Some methods provide a method, of planning radiation therapy for an eye, including the steps of preparing a treatment plan for a delivery of an actual dose of radiation to a target at a region of the eye from at least one radiation beam, the preparing that includes determining a first estimated dose of radiation, to be delivered from a radiation source outside the eye to the target; determining a second estimated dose of radiation, to be received by at least one of the optic nerve and the lens of the eye from the radiation source; and wherein the second estimated dose of radiation is equal to or less than about 40 percent of the first estimated dose; and wherein the treatment plan comprises at least one of a width of the at least one radiation beam, a distance from the radiation source to the target, a trajectory of the beam, a maximum beam energy, and the first estimated dose of radiation; and wherein the at least one of the width of the at least one radiation beam, the distance from the radiation source to the target, the trajectory of the beam is selected to effect delivery of the first estimated dose to the target and the second estimated dose to the at least one of the optic nerve and the lens of the eye; and outputting information indicative of the treatment plan to an output module. In some embodiments, at least one of the estimated dose of radiation to be received at the optic nerve and the estimated dose of radiation to be received at the lens is equal to or less than 20 percent of the estimated dose of radiation to be delivered to the target. In some embodiments, at least one of the estimated dose of radiation to be received at the optic nerve and the estimated dose of radiation to be received at the lens is equal to or less than 10 percent of the estimated dose of radiation to be delivered to the target. In some embodiments, at least one of the estimated dose of radiation to be received at the optic nerve and the estimated dose of radiation to be received at the lens is equal to or less than 5 percent of the estimated dose of radiation to be delivered to the target. In certain embodiments, at least one of the estimated dose of radiation to be received at the optic nerve and the estimated dose of radiation to be received at the lens is equal to or less than 1 percent of the estimated dose of radiation to be delivered to the target. In certain embodiments, the output module comprises at least one of a computer monitor, an LCD, an LED, a handheld device, a paper, a computer-readable medium, a computer-executable instruction, and a communication link to the radiation source. Some embodiments, further includes delivering thermal energy to the eye during a period of between about 10 days before and about 3 days after delivery of the actual dose of radiation to the target. In some embodiments, the method further includes delivering the actual dose of radiation to the target. In some embodiments, at least one of the estimated dose of radiation to be delivered to the target, the estimated dose of radiation to be received at the optic nerve, and the estimated dose of radiation to be received at the lens is determined by a Monte Carlo simulation. In some embodiments, at least one of the at least one radiation beam has a cross-sectional shape that is geometric. In some embodiments, the geometric cross-sectional shape comprises at least one of an ellipse, a circle, a ring, concentric rings, a polygon, and a crescent. In some embodiments, at least one of the estimated dose of radiation to be received at the optic nerve and the estimated dose of radiation to be received at the lens is based on a surface-to-depth beam energy representation. In some embodiments, at least one of the estimated dose of radiation to be received at the optic nerve and the estimated dose of radiation to be received at the lens is based on tracing diverging rays from an x-ray source with a maximum beam energy less than about 250 keV. In some embodiments, a method is disclosed that includes determining trajectories of a plurality of radiation beams to be delivered to the target, such that each of the plurality of beams traverses the sclera at a respective traversal zone; and wherein none of the traversal zones overlaps substantially with any other of the intersection zones. In some embodiments, at least one of the plurality of beams overlaps with another of the plurality of beams at the target. Some embodiments further include collimating the at least one radiation beam to a size having a cross-sectional dimension that is less than about 6 mm. Some embodiment further include determining a filtration amount such that a dose of radiation to an exterior surface of the eye is less than 3 times a dose of radiation to the target, wherein the at least one radiation beam having an energy of from about 50 KeV to about 300 KeV. In some embodiments, the first x-ray beam is filtered with a filter which at least partly comprises a heavy metal. Some embodiments, further includes determining a current from about 1 mA to about 40 mA to be applied to a radiotherapy system such that a therapeutic dose of radiation to the target is administered in less than about 30 minutes. In some embodiments, the x-ray beam is collimated and wherein said collimator is placed within about 20 centimeters of the target. Some embodiments further include determining a direction of the at least one radiation beam to minimize the estimated dose of radiation to the optic nerve, and wherein the first x-ray beam is delivered from a nasal direction to a temporal direction or from an inferior direction to a superior direction with respect to delivery from outside the eye to the target inside the eye. Some embodiments relate to a method, of planning radiation therapy for an eye, including preparing a treatment plan for a delivery of an actual dose of radiation to a target at a region of the eye from at least one radiation beam, the preparing including: determining a first estimated dose of radiation, to be delivered from a radiation source outside the eye to the target; determining a second estimated dose of radiation, to be received from the radiation source at other eye tissue, the other eye tissue located less than about 6 mm from a center of the target; and wherein the second estimated dose of radiation is equal to or less than about 40 percent of the first estimated dose; and wherein the treatment plan comprises at least one of a width of the at least one radiation beam, a distance from the radiation source to the target, a trajectory of the beam, and the first estimated dose of radiation; and wherein the at least one of the width of the at least one radiation beam, the distance from the radiation source to the target, the trajectory of the beam is selected to effect delivery of the first estimated dose to the target and the second estimated dose to the other eye tissue; and outputting information indicative of the treatment plan to an output module. Some embodiments relate to a method, of treating an eye during a treatment period, including directing radiation from a source outside an eye to a target in or on the retina of the eye, such that a dose of radiation is emitted during the treatment period to at least one of the optic nerve and the lens of the eye is no more than about 40 percent of a dose of radiation delivered to the target. In some embodiments, the radiation is directed substantially through the pars plana of the eye. In some embodiment a method, of treating an eye during a treatment period, is described that includes directing radiation from a source outside an eye to a target in the eye, such that a dose of radiation emitted during the treatment period to eye tissue located less than about 6 mm from a center of the target is no more than about 40 percent of the dose of radiation emitted to the target. Some embodiments describe a method, of treating inflammation in a patient's eye, including the following: based on data indicative of a location of a region of inflammation in an eye, directing at least one x-ray beam from a source outside the eye, through an anterior region of the eye, to the region of inflammation, such that a dose of radiation emitted during the treatment period to eye tissue greater than about 6 mm from a center of the region of inflammation is no more than about 40 percent of the dose of radiation emitted to the region of inflammation. In some embodiments, the region comprises drusen. In some embodiments, the anterior region of the eye is the cornea. In some embodiments, the anterior region of the eye is a sclera outside of a cornea of the eye. In some embodiments, the at least one x-ray beam has a cross-sectional dimension smaller than about 1 mm. In some embodiments, the beam has a diameter of between about 1 mm and about 5 mm. In some embodiments, the at least one x-ray beam comprises alternating regions of higher intensity and lower intensity. In some embodiments, the method further comprising directing a radiotherapy system at the eye at an angle with respect to a treatment axis that is determined using a device that contacts the eye. In some embodiments, the device communicates data relating to the eye optically with said radiotherapy system. Some embodiments, further include directing a radiotherapy system at the eye at an angle with respect to a treatment axis that is determined using an eye-contacting device. Some embodiments, further comprising directing a radiotherapy system at the eye at an angle to a treatment axis that is determined using one of a reflection of light off the eye, a fundus image, an image of a pupil of the eye, and an image of a limbus of the eye. In some embodiments, a method, of delivering radiation to an eye, is described including providing an anterior-posterior axis of the eye; defining a treatment axis relative to the anterior-posterior axis of the eye; aligning a collimator at an angle relative to the treatment axis, the collimator being configured to collimate an x-ray beam that is emitted toward the eye, the collimated x-ray beam having a cross-sectional dimension of less than about 6 mm; and emitting the x-ray beam at an angle relative to the treatment axis. In some embodiments, the collimated x-ray beam has a penumbra of less than about 20 percent at about 10 cm from the collimator. In some embodiments, the treatment axis is an optical central axis of the eye. In some embodiments, the treatment axis is a visual axis of the eye. In some embodiments, the treatment axis is perpendicular to a center of the limbus or cornea. Some embodiments further include moving the collimated radiation beam relative to the treatment axis and emitting a second collimated x-ray beam. Some embodiments further include moving the eye relative to the collimated radiation beam. Some embodiments, further include aligning the collimated x-ray beam with a projected spot on the sclera of the eye. In some embodiments, the spot is aligned with the treatment axis. In some embodiments, the spot is aligned with the collimated x-ray beam. In some embodiments, emitting the collimated x-ray beam is based on a treatment planning software program. In some embodiments, described is a method, of treating an ocular structure of an eye with a radiation beam from a radiotherapy system, including contacting a surface of the eye with an eye contact member, wherein the eye contact member comprises a first portion, such that an axis passing through the ocular structure also passes through the first portion of the eye contact member; and emitting a plurality of radiation beams toward the ocular structure, from a radiotherapy system located outside the eye, such that the plurality of radiation beams each have a trajectory that intersects the axis at a treatment site at the ocular structure, the treatment site being effectively treatable by at least one of the plurality of radiation beams. Some embodiments further include substantially fixing the eye in a first position with the eye contact member. In some embodiments, the eye contact member comprises a transmissive portion that transmits a first wavelength of electromagnetic radiation from outside to inside the eye. In some embodiments, the first portion is reflective of a second wavelength of electromagnetic radiation. In some embodiments, the first portion is centrally located in or on the eye contact member. In some embodiments, at least one of the plurality of radiation beams comprises laser light. In some embodiments, In some embodiments, at least one of the plurality of radiation beams comprises x-rays. In some embodiments, described is a patient ocular interface, for treatment of an ocular structure with a radiotherapy system, including an eye holder, having an eye-contacting surface that engages an outer surface of an eye, that maintains the eye in substantially a first position; and wherein the eye holder is configured to provide an indication to a sensor that the eye is in substantially the first position during delivery of a radiation beam from a source, located outside the eye, to the eye. Some embodiments further include a material that is transmissive of the radiation beam through the ocular interface. In some embodiments, the radiation beam comprises laser light. In some embodiments, the radiation beam comprises x-rays. In some embodiments described herein, a patient ocular interface is described, for treatment of an ocular structure with a radiotherapy system, including: a holder adapted to maintain an eye in a substantially stable position; and a communication link that communicates information between the holder and a radiotherapy system, the information being indicative of a position of the eye and determining a characteristic of a radiation beam emitted from the radiotherapy system. In some embodiments, the communication link comprises a reflective material that reflects some wavelengths of light. In some embodiments, the characteristic of the radiation beam determined by the information comprises at least one of a trajectory of the radiation beam and an emit/not-emit status. In some embodiments, the holder contacts the eye. In some embodiments, the holder is attachable to a surface external to the eye. In some embodiments, the holder is mechanically linked to the radiotherapy system. In some embodiments, the communication link to the radiotherapy system is an optical link. In some embodiments, the holder is adapted to align the radiotherapy system with an axis of the eye. In some embodiments, the holder is adapted to align the radiotherapy system with a visual axis of the eye. Some embodiments, further include a camera that visualizes a position of the eye relative to the holder. In some embodiments, the camera detects movement of the eye and communicates data relating to the eye's movement with imaging software. In some embodiments, the holder contacts the sclera. In some embodiments, the holder contacts the cornea. In some embodiments, the holder is at least partially opaque to x-ray energy. In some embodiments, the holder is at least partially transparent to x-ray energy. In some embodiments, the holder is configured to apply a suction to the eye. In some embodiments, a system is described, for delivery of an x-ray beam to an eye of a patient, including at least one x-ray collimator that, in use, is placed within about 15 cm of a retina; and a laser that emits a laser beam that is substantially aligned with a long axis of the collimator and that provides an indication, on at least one of a surface of the eye and a device in contact with a surface of the eye, of a direction of an x-ray beam emitted through the collimator. In some embodiments, the system further comprising a power supply adapted to deliver between about 10 mA and about 800 mA of current to the anode of an x-ray tube that delivers the x-ray beam. In some embodiments, the anode is one of a stationary anode and a rotating anode. Some embodiments further include an eye contact member that is configured to contact the eye and maintain a position of the eye. In some embodiments, a method is described, of radiation therapy of an eye, including, for an ocular disease having an associated dose of radiation useful therapeutically to treat that disease, providing a distance from an x-ray source located outside the eye, that is to deliver the dose of radiation via an x-ray beam, to a target of eye tissue afflicted by the disease; and based on the distance of the target from the radiation source, outputting to an output module an energy level required to achieve the dose of radiation in the x-ray beam emitted from the radiation source to the target, the target being separated from the radiation source by the distance; wherein the energy level is dependent on the distance of the target from the radiation source. Some embodiments describe a method, for treating diseased tissue with radiation, including selecting, based on a first disease in a patient to be treated, an energy level in a radiation beam to be emitted from a radiotherapy system, the radiation beam delivering substantially an estimated dose of radiation; wherein the first disease to be treated is one of a plurality of diseases; and wherein each of the plurality of diseases requires a different energy level to achieve a therapeutic dose of radiation for that disease than the energy level required to achieve the therapeutic dose of radiation for another of the plurality of diseases; and outputting to an output module an indication of the selected energy level. In some embodiments, the first disease affects an eye of the patient and the radiation beam is emitted toward the eye. In some embodiments, the first disease comprises macular degeneration of an eye of the patient. In some embodiments, the first disease comprises a pterygium of an eye of the patient. In some embodiments, the first disease comprises at least one of an ocular tumor, glaucoma, and premalignant lesions. In some embodiments, described is a system, for treating diseased tissue with radiation, including a processing module that receives an input, the input comprising a selection, based on a first disease in a patient to be treated, an energy level in a radiation beam to be emitted from a radiotherapy system, the radiation beam delivering substantially an estimated dose of radiation; wherein the first disease to be treated is one of a plurality of diseases; and wherein each of the plurality of diseases requires a different energy level to achieve a therapeutic dose of radiation for that disease than the energy level required to achieve the therapeutic dose of radiation for another of the plurality of diseases; and wherein, based on the input, the processing module outputs to an output module an indication of the selected energy level. Some embodiments describe a method, for treating diseased tissue with radiation, including selecting, based on a first disease in a patient to be treated, an energy level in a radiation beam to be emitted from a radiotherapy system, the radiation beam delivering substantially an estimated dose of radiation; wherein the first disease to be treated is one of a plurality of diseases; and wherein each of the plurality of diseases requires a different at least one of an energy level, a beam size, and a surface-to-depth ratio to achieve a therapeutic dose of radiation for that disease than the energy level required to achieve the therapeutic dose of radiation for another of the plurality of diseases; and outputting to an output module an indication of the selected energy level. In some embodiments, a radiotherapy system is described, for treating diseased eye tissue, including a collimator that collimates a radiation beam, emitted from a radiation source, to a cross-sectional width of the radiation beam to no more than about 6 mm; wherein the collimator defines a first axis that the radiation beam follows when the radiation beam is emitted; and a light guide that emits a light beam along a second axis that is aligned with the first axis defined by the collimator, the light beam providing an indication of the first axis. In some embodiments, the light beam comprises a laser. In some embodiments, the first axis of the collimator and the second axis of the light guide are collinear. In some embodiments, the light guide is insertable into the eye to visualize the radiotherapy target and guide delivery of the collinear x-ray beam from the radiotherapy system. In some embodiments, the system further includes a cannula, into which the light guide is insertable. In some embodiments, the cannula is configured to be fixed on a surface of an eye. Some embodiments describe a system, for treating an eye with radiation, including a radiation source that emits radiation and a collimator that collimates the emitted radiation into a beam; an alignment system that aligns the beam with an axis traversing the eye; and a gating mechanism that reduces radiation emission from the radiation source when the beam is not aligned with the axis. Some embodiments further include an image detection system that detects at least one of a fundus, a limbus, a cornea, and a reflection off a surface of the eye. In some embodiments, when the image detection system detects a threshold movement of the eye, the gating mechanism reduces radiation emission from the radiation source. In some embodiments a system is described, for treating an eye with radiation, including a radiation source that emits radiation during a treatment session and that collimates the emitted radiation into a collimated beam having a cross-section dimension of less than about 6 mm; and an eye mapping module that repeatedly maps locations of structures of an eye to a coordinate system during the treatment session. Some embodiments further comprising a radiation source mover that moves the radiation source relative to the eye to direct the emitted radiation toward an eye structure. In some embodiments, the radiation source is configured to be stationary relative to a position of the eye during the treatment session. In some embodiments, the system further includes a system shut-off that reduces or ceases emission of radiation when an eye structure is not in a path of the collimated beam. Some embodiments further include a holder to substantially hold the eye such that an eye structure is in a path of the collimated beam. In some embodiments, a planning system is described, for delivery of radiation to an eye, including a processing module that receives an input comprising a biometric parameter of the eye; and wherein, based on the biometric parameter, the processing module outputs to an electromotive system a direction for an x-ray beam to be emitted onto the sclera of the eye. In some embodiments, the biometric parameter comprises at least one of an ocular axial length, an anterior chamber depth, a corneal thickness, and a corneal diameter. In some embodiments, a system, for treating a target tissue with x-ray radiation, is described that includes a radiation source that emits x-rays, the x-rays having an energy between about 1 KeV and about 300 KeV; a collimator that collimates the emitted x-rays into an x-ray beam, the collimator having an inner cross-sectional dimension, the x-ray beam having a dose distribution at a beam spot in a plane at the target tissue, such that a dose of the x-ray beam at a region within the plane is less than about 20% of the dose at a centroid of the beam spot; wherein the region is located at a distance, away from the centroid of the beam spot, equal to about 70% of the inner cross-sectional dimension; an alignment system that aligns the x-ray beam with an axis traversing the target tissue and that positions the radiation source within about 50 cm from the target tissue; and a processing module that receives an input comprising a parameter of the target tissue and that, based on the parameter, outputs to the alignment system a direction for the x-ray beam to be emitted toward the target tissue. In some embodiments, the alignment system is configured to align the x-ray beam repeatedly during a treatment session. In some embodiments, the parameter comprises a location of a fiducial marker that provides indication of a location of the target tissue. Some embodiments described herein provide a system, for treating a target tissue with radiation, including a radiation source that emits x-ray radiation during a treatment session and that collimates the emitted x-ray radiation into a x-ray beam having a cross-sectional dimension of less than about 6 mm as the beam exits the collimator; a mapping module that repeatedly maps a location of the target tissue to a coordinate system during the treatment session; a movement module that directs the emitted x-ray radiation along a trajectory that is based, at least in part, on at least one mapped location of the coordinate system; and a targeting module that emits a target light that indicates an approximate center of a beam spot of the x-ray beam. In some embodiments, the system further includes a radiation source mover that moves the radiation source relative to the target tissue to direct the emitted x-ray radiation toward the target tissue. In some embodiments, the radiation source is configured to be stationary relative to a position of the target tissue during the treatment session. In some embodiments, the system further includes a system shut-off that reduces or ceases emission of radiation when the target tissue is not in a path of the collimated beam. In some embodiments, the target light comprises laser light. In some embodiments, a method, of applying x-ray radiation to target tissue, is described including obtaining imaging data indicative of a target tissue; identifying, based on the imaging data, a location of the target tissue; repeatedly mapping the location of the target tissue in the coordinate system, thereby producing mapped locations of the target tissue in the coordinate system; positioning, based on the mapped locations of the target tissue in the coordinate system, an x-ray collimator that directs an x-ray beam to the target tissue; and emitting the x-ray beam from the collimator to the target tissue, the x-ray beam having an energy of from about 1 KeV to about 500 KeV; wherein the x-ray beam has a dose distribution at a beam spot in a plane at the target tissue, such that a dose of the x-ray beam at a region within the plane and outside the beam spot is less than about 20% of a dose at a centroid of the beam spot. In some embodiments, the method further comprising making an incision in tissue overlying the target tissue, prior to emitting the x-ray beam toward the target tissue. In some embodiments, positioning the x-ray collimator further comprises placing a probe at or adjacent the target tissue. In some embodiments, the target tissue is located in a head of a patient. In some embodiments, the target tissue is located in the vasculature of a patient. In some embodiments, the target tissue comprises peripheral vasculature. In some embodiments, the target tissue is located in the heart of a patient. In some embodiments, the target tissue is located in the gastrointestinal tract of a patient. In some embodiments, the target tissue comprises the colon or rectum of a patient. In some embodiments, a tumor comprises the target tissue. In some embodiments, the target tissue is located in a breast of a patient. In some embodiments, the target tissue comprises musculoskeletal tissue. In some embodiments, the target tissue comprises at least one of the liver and the spleen of a patient. In some embodiments, the beam spot has a cross-sectional dimension smaller than about 1 mm. In some embodiments, the target tissue the beam spot has a diameter of between about 1 mm and about 5 mm. In some embodiments, the x-ray beam comprises alternating regions of higher intensity and lower intensity. In some embodiments, wherein the method further includes making an incision in tissue overlying the target tissue, prior to emitting the x-ray beam toward the target tissue. In some embodiments, the method further includes positioning the target tissue in or on a holding module, wherein the holding module holds the target tissue substantially stationarily while the location of the target tissue is mapped in the coordinate system. For purposes of summarizing the disclosure, certain aspects, advantages, and novel features of the disclosure have been described herein. It is to be understood that not necessarily all such advantages may be achieved in accordance with any particular embodiment of the disclosure. Thus, the disclosure may be embodied or carried out in a manner that achieves or optimizes one advantage or group of advantages as taught herein without necessarily achieving other advantages as may be taught or suggested herein. Embodiments described herein include systems and methods for treating a human eye with radiotherapy. Some embodiments described below relate to systems and methods for treating macular degeneration of the eye using radiotherapy. For example, in some embodiments, systems and methods are described for use of radiotherapy on select portions of the retina to impede or reduce neovascularization of the retina. Some embodiments described herein also relate to systems and methods for treating glaucoma or controlling wound healing using radiotherapy. For example, embodiments of systems and methods are described for use of radiotherapy on tissue in the anterior chamber following glaucoma surgery, such as trabeculoplasty, trabeculotomy, canaloplasty, and laser iridotomy, to reduce the likelihood of postoperative complications. In other embodiments, systems and methods are described to use radiotherapy to treat drusen, inflammatory deposits in the retina that are thought to lead to vision loss in macular degeneration. Localized treatment of drusen and the surrounding inflammation may prevent the progression of dry and/or wet AMD. In some embodiments, laser therapy is applied to drusen in combination (adjuvant therapy) with co-localized x-ray radiation to substantially the same location where the laser is incident upon the retina; the laser can create a localized heating effect which can facilitate radiation treatment, or the laser can ablate a region, or laser spot, while the radiation can prevent further scarring around the region. Such combination therapy can enhance the efficacy of each therapy individually. Similarly, adjuvant therapies can include x-ray radiotherapy in combination with one or more pharmaceuticals or other radiotherapy-enhancing drugs or chemical entities. In some embodiments, x-ray therapy is combined with invasive surgery such as a vitrectomy, cataract removal, trabeculoplasty, trabeculectomy, laser photocoagulation, and other surgeries. Radiation, as used herein, is a broad term and is intended to have its ordinary meaning, which includes, without limitation, at least any photonic-based electromagnetic radiation which covers the range from gamma radiation to radiowaves and includes x-ray, ultraviolet, visible, infrared, microwave, and radiowave energies. Therefore, planned and directed radiotherapy can be applied to an eye with energies in any of these wavelength ranges. Radiotherapy, as used in this disclosure, is a broad term and is intended to have its ordinary meaning, which includes, without limitation, at least any type of clinical therapy that treats a disease by delivery of energy through electromagnetic radiation. X-ray radiation generally refers to photons with wavelengths below about 10 nm down to about 0.01 nm. Gamma rays refer to electromagnetic waves with wavelengths below about 0.01 nm. Ultraviolet radiation refers to photons with wavelengths from about 10 nm to about 400 nm. Visible radiation refers to photons with wavelengths from about 400 nm to about 700 nm. Photons with wavelengths above 700 nm are generally in the infrared radiation regions. Within the x-ray regime of electromagnetic radiation, low energy x-rays can be referred to as orthovoltage. While the exact photon energies included within the definition of orthovoltage varies, for the disclosure herein, orthovoltage refers at least to x-ray photons with energies from about 20 keV to about 500 keV. As used herein, the term “global coordinate system” can refer, in part, to a physical world of a machine or room. The global coordinate system is generally a system relating a machine, such as a computer or other operating device, to the physical world or room that is used by the machine, using, for example, a set of virtual points or lines that assist in relating corresponding structures between the machine and physical world. The global coordinate system can be used, for example, to move a machine, components of a machine, or other things from a first position to a second position. The global coordinate system can also be used, for example, to identify the location of a first item with respect to a second item. In some embodiments, the global coordinate system is based on a one-dimensional environment. In some embodiments, the global coordinate system is based on a two-dimensional environment, and in some embodiments, the global coordinate system is based on three or more dimensional environments. Kerma, as used herein, refers to the energy released (or absorbed) per volume of air when the air is hit with an x-ray beam. The unit of measure for Kerma is Gy. Air-kerma rate is the Kerma (in Gy) absorbed in air per unit time. Similarly, “tissue kerma” rate is the radiation absorbed in tissue per unit time. Kerma is generally agnostic to the wavelength of radiation, as it incorporates all wavelengths into its joules reading. As used herein, the term “radiation dose” is a broad term and is generally meant to include, without limitation, absorbed energy per unit mass of tissue. One example of a measure of radiation dose is the Gray, which is equal to 1 joule per kilogram, which generally also equals 100 rad. For example, as used herein in some embodiments, a radiation dose may be the amount of radiation, or absorbed energy per unit mass of tissue, that is received or delivered during a particular period of time. For example, a radiation dose may be the amount of absorbed energy per unit mass of tissue during a treatment process, session, or procedure. As used herein, the term “trajectory” is a broad term and is generally meant to include, without limitation, a general path, orientation, angle, or direction of travel. For example, as used herein in some embodiments, the trajectory of a light beam can include the actual or planned path of the light beam. In some embodiments, the trajectory of a light beam can be determined by an orientation of a light source that emits the light beam, and the trajectory can, in some embodiments, be measured, such as by an angle, or determined as with respect to a reference, such as an axis or plane. As used herein, the term “aligned” is a broad term and is generally meant to include, without limitation, having a fixed angular relationship between zero and 180 degrees. For example, as used herein, two light beams or x-ray beams can be aligned if they are collinear, are oriented with respect to each other at a fixed angle, or have another fixed relationship. In some embodiments, the angle between aligned light beams or x-ray beams can range from about zero degrees to about 360 degrees, and can include about 90 degrees, about 180 degrees, and about 270 degrees. “Treatment axis,” as used herein, is a broad term and is generally meant to include, without limitation, an axis of an organ in relation with the radiotherapy device. For example, in some embodiments, the axis of the organ is related, such as by an angle, to an axis of the radiotherapy device. In some embodiments, the intersection of the organ axis and the radiotherapy device is used to define the target for the radiotherapy beam. As used herein, the term “treatment session” is a broad term, and is generally meant to include, without limitation, a single or a plurality of administrations of at least one of heat therapy, radiation therapy, or other therapeutic treatment of target tissue. For example, in some embodiments, a treatment session can include a single administration of x-ray beams to the eye. In some embodiments a treatment session can include a plurality of administrations of x-ray beams and laser radiation to the a patient's eye. In some embodiments, a treatment session is limited to, for example, a single visit by a patient to a clinic for treatment, and in some embodiments, a treatment session can extend over a plurality of visits by a patient to the clinic. In some embodiments, a treatment session can include a single procedure of administering radiotherapy, and in some embodiments, a treatment session can include a plurality of procedures following different protocols for each procedure. In some embodiments, a treatment session may be limited to about a single day, and in some embodiments, a treatment session can be about 2 days, about 3 days, about 5 days, about 1 week, about 10 days, about 2 weeks, about 3 weeks, about 1 month, about 6 weeks, about 2 months, about 3 months, about 6 months, about 1 year, or longer. As used herein, the term “treatment period” is a broad term, and is generally meant to include, without limitation, any single or plurality of administrations of radiotherapy or related therapeutic treatment of tissue, and can include a single or a plurality of treatment sessions. As used herein, the term “orders of magnitude” is a broad term and is generally meant to include, without limitation, a class of scale or magnitude of any amount, where each class contains values of a ratio related to the class preceding it. For example, in some embodiments, the ratio relating each class may be 10. In these embodiments, one order of magnitude is a magnitude based on a multiple of 10, two orders of magnitude is based on two multiples of 10, or 100, and three orders of magnitude is based on three multiples of 10, or 1000. In some embodiments, the radiotherapy system is configured to produce beams of radiation for radiotherapy. The beams can be collimated to produce beams of different size or cross-sectional shape. The beam shape is generally defined by the last collimator opening in the x-ray path; with two collimators in the beam path, the secondary collimator is the last collimator in the beam path and can be called the “shaping collimator.” The first collimator may be called the primary collimator because it is the first decrement in x-ray power and can be the largest decrement of the collimators; the second collimator can generally set the final shape of the x-ray beam. As an example, if the last collimator opening is a square, then the beam shape is a square as well. If the last collimator opening is circular, then the beam is circular. If the last collimator has multiple holes then the beam will have multiple holes of any shape (areas of radiation and no or limited radiation) in it as it reaches the target. In some embodiments, there is one collimator which serves as the primary collimator as well as the beam shaping collimator. The penumbra refers to the spread in dose outside an area of the last collimator and the beam shape and size set by that collimator, typically measured at some distance from the last collimator. Penumbra, as used herein, is a broad term and has its ordinary meaning, which is meant to include, without limitation, the percentage of radiation outside the area of the last collimator when the x-ray beam reaches a first surface of tissue or an internal target tissue, whichever is being referenced with respect to the penumbra. For example, the penumbra can include the percentage of radiation outside the area of the last collimator when the x-ray beam reaches the surface of the eye or when the x-ray beam reaches the retina of the eye. The penumbra can also refer to the relationship of a cross-sectional dimension or area of the collimator and a cross-sectional dimension of radiation incident upon the target site. For example, if the collimator produces a beam having a circular shape, the diameter of the collimator can be compared with the greater diameter of the beam at the treatment site. In this context, the penumbra can refer to the percentage of increased diameter of the beam at the treatment site in comparison to the originating diameter at the collimator. The penumbra can incorporate divergence of the beam as well as scatter of the beam as a result of passage through air and tissue. Although not meant to be limiting, penumbra is used in some embodiments that follow as the linear distance from the primary beam size where the radiation level drops below 20% of the radiation in the primary beam size including both scatter and beam divergence. As an example, if a beam diameter determined by a collimator is 5 mm at the exit of the collimator and the diameter at the tissue target where the radiation dosage is 20% of the dose over the 5 mm beam diameter (at the tissue) is 6 mm, then the penumbra is 0.5/3.0 mm (radius) or 16%. Ideally, the size of the beam incident upon target tissue is the same size as the last collimator to which the x-ray beam is exposed; that is, the penumbra is ideally zero. In reality, a penumbra of zero is difficult to achieve when the collimator is any distance from the target because of beam divergence. However, the penumbra can be optimized, for example, by the shape of the collimator, the material of the collimator, the processing of the collimator material, the position of the anode of the x-ray tube, the position of the collimator relative to the anode of the x-ray tube and the tissue target, and the relative sizing of the collimator with respect to the x-ray source. In some embodiments of the systems and methods provided herein, the penumbra percentage at the entry point to the tissue (e.g., the eye) is less than about 10%. In some embodiments, the penumbra percentage at the entry point is less than about 5%, and in some embodiments, the penumbra percentage at the entry point is less than about 1%. The penumbra can also refer to the percentage of radiation outside the zone of the shaping collimator at a target region. For example, in applications to the eye, the penumbra can refer to the percentage of radiation outside the zone of the shaping collimator at the macula defined above. In some embodiments, the penumbra at the macula is less than about 40%; in some embodiments, the penumbra at the macula is less than about 20%; in some embodiments, the penumbra at the macula is less than about 10%; and in some embodiments, the penumbra at the macular is less than about 5%. The penumbra can be a factor or parameter that is incorporated into a treatment plan; for example, predictive knowledge of the penumbra can be utilized to plan the treatment. In one example, a finely collimated beam (e.g., having a 4-mm diameter at the exit of the last collimator) is applied to the sclera. The beam at the retina can be 5 mm (25% penumbra) or 6 mm (50% penumbra) diameter, which can be sufficient for coverage of a lesion. With this method, the structures of the anterior eye are minimally irradiated while the lesion at the retina is fully covered. In this embodiment, divergence of the x-ray beam is utilized for minimizing the size of exposed tissue in the front of the eye without sacrificing a therapeutic dose to the retina. A related definition is that of “isodose fall-off” which refers to the dose fall-off; it is a dose map of the area of interest. The isodose fall-off can be measured in Gy/mm, in which mm represents a linear distance from a point of interest. Divergence angle is highly predictable for photons given the geometry of the source and can be calculated independently of scatter and the other physics which are factored into and contemplated by Monte Carlo simulations. In most instances, the x-ray source is not an idealized point source and has a finite volume. This non-idealized case enters into consideration in the design of the collimators in the beam path, as the collimators may be designed differently for a non-idealized x-ray source than for an idealized point source. For example, the x-ray source may have a source which is a square or a rectangle or an ellipse. The greatest diameter of the source may be about 0.5 mm, about 1.0 mm, about 2.0 mm, about 3.0 mm, about 4.0 mm, or about 5.0 mm. In some embodiments, the greatest diameter of the source may further be adjustable depending on the structure being treated. Photons with shorter wavelengths correspond to radiation with higher energies. The higher-energy range of x-rays is generally in the MeV range and is generally referred to gamma x-rays, independent of how the radiation was generated. X-ray photons with relatively shorter wavelengths are referred to as orthovoltage x-rays. Higher energy radiation with shorter wavelengths corresponds to deeper penetration into target tissue, which is the reason that most applications using MeV energies require extensive shielding of the patient and surroundings. In some embodiments of this disclosure, x-rays typically used for diagnostic purposes, or low energy orthovoltage x-ray sources, can be used for therapy of ocular diseases and/or disorders which are relatively superficial in the patient such as breast, intra-operative radiation application, skin cancers, and other disorders such as peripheral vascular disease, implants, etc. X-rays typically used for diagnosis can be used for therapy by tightly collimating the x-ray beam into a thin beam of x-ray photons and directing the beam to the superficial region to be treated. If the disorder is deeper than several centimeters inside the body, then higher energy sources (e.g., MeV) may be preferred to enhance penetration of energy to the disorders. It is difficult to collimate MeV x-ray beams to small diameters with small penumbras because their very high speed photons cause secondary interactions with tissue including generation of secondary x-rays and other radiations. X-rays with energies lower than 500 keV and even lower than 200 keV can more appropriately be collimated to very small diameters. “Laser” energy is also composed of photons of different energies ranging from short wavelengths, such as ultraviolet radiation, up to long wavelengths, such as infrared radiation. Laser refers more to the delivery mechanism than to the specific wavelength of radiation. Laser light is considered “coherent” in that the photons travel in phase with one another and with little divergence. Laser light is also collimated in that it travels with relatively little divergence as is proceeds in space. Light can be collimated without being coherent (in phase) and without being a laser; for example, lenses can be used to collimate non-x-ray light. X-ray light is typically collimated with the use of non-lens collimators, the penumbra defining the degree of successful collimation. Laser pointers are typically visualization tools, whereas larger, higher-flux lasers are utilized for therapeutic applications. In some embodiments of the systems and methods described herein, optics can be used, such as lenses or mirrors, and in some embodiments, there are no intervening optical elements, although collimators may be used. The two eye chambers are the anterior and posterior chambers. The anterior chamber includes, among other things, the lens, the conjunctiva, the cornea, the sclera, the trabecular apparatus, the ciliary bodies, muscles, and processes, and the iris. The posterior chamber includes, among other things, the vitreous humor, the retina, and the optic nerve. “Ocular diseases,” as used in this disclosure, is a broad term and is intended to have its ordinary meaning, which includes, without limitation, at least diseases of the anterior eye (e.g., glaucoma, presbyopia, cataracts, dry eye, conjunctivitis) as well as diseases of the posterior eye (e.g., retinopathies, age related macular degeneration, diabetic macular degeneration, and choroidal melanoma). Drusen are hyaline deposits in Bruch's membrane beneath the retina. The deposits are caused by, or are at least markers of inflammatory processes. They are present in a large percentage of patients over the age of 70. Although causality is not known, drusen are associated with markers of the location where inflammation is occurring and where neovascularization has a high likelihood of occurring in the future; these are regions of so called “vulnerable retina.” Therefore, applying inflammation-reducing radiation to the region may be beneficial to the patient, as described herein. Radiation therapy has historically been marginally successful in treating disorders of the eye; for example, in a recent Cochrane meta-analysis review (Signanavanel et. al. Radiotherapy for neovascular age-related macular degeneration, The Cochrane Database, Issue 4, 2006, the entirety of which is incorporated by reference), the authors discussed the merits of radiation therapy for AMD. Among their general conclusions were the following: ophthalmologists were reluctant to refer patients to the radiation oncologists; it was difficult to localize the radiation from the radiation source because specific methods were not used for the clinical protocol; and fractionation schemes and dosing was not standardized (this is described in further detail below and in the figures). The embodiments described in this disclosure provide for systems and methods that can be performed by the ophthalmologist, without referral to a radiation oncology clinic, that can localize the radiation source through apparatus and protocols specific to the clinical treatments, and fractionation schemes that provide standardized dosing. Brachytherapy appears to have a highly beneficial effect at least when combined with pharmaceutical therapy as an adjuvant therapy. Brachytherapy provides the radiation dose to the region to be treated and delivers the dose at a high rate. However, brachytherapy is difficult to control as far as a treatment plan (e.g., the surgeon can hold the probe in a variety of positions for any given patient), and the brachytherapy source typically cannot be turned off (e.g., strontium has a 29 year half-life). Radiotherapy System The Portable Orthovoltage Radiotherapy Treatment system (PORT) 10 in FIG. 1A can be configured to deliver anywhere from about 1 Gy to about 40 Gy during a treatment period, or from about 10 Gy to about 20 Gy during a treatment period, to regions of the eye including, but not limited to, the retina, sclera, macula, optic nerve, the capsular bag of the crystalline or artificial lens, ciliary muscles, lens, cornea, canal of schlemm, choroid, and conjunctiva. In some embodiments, the system can be configured to deliver from about 15 Gy to about 25 Gy during a treatment period. In some embodiments, the system 10 is capable of delivering x-ray therapy in any fractionation scheme (e.g., about 1 Gy per day, about 5 Gy per day, about 10 Gy per month, or about 25 Gy per year), as the treatment planning system can retain in memory and recall which regions had been treated based on the unique patient anatomical and disease features. These features and previous treatments are stored in the treatment database for future reference. The system can also deliver different photon energies depending on the degree of disease or the region of the eye being treated. For example, the x-ray generation tube can deliver photons with photon energies ranging from about 20 keV to about 40 keV, to about 60 keV, or to about 100 keV. It may be desirable to use photons with photon energies ranging from about 20 keV to about 50 keV for structures in the anterior portion of the eye because photons with these photon energies will penetrate less. It may be desirable to utilize photons with photon energies ranging from about 60 keV to about 100 keV or greater for structures in the posterior region of the eye for greater penetration to the retina. In some embodiments, the x-ray generation tube can emit photons with photon energies from about 10 keV to about 500 keV, from about 25 keV to about 100 keV, from about 25 keV to about 150 keV, from about 40 keV to about 100 keV, or any combination of ranges described above or herein. In some embodiments, selection of the photon energy can be based on diagnostic calculations, which can include a model of the eye created from anatomic data taken from the actual eye of the patient to be treated. The treating medical practitioner can choose the beam energies based on the disease and then set the machine to the desired energy level. In some embodiments, the system can receive input from the medical practitioner relating to the type of disease, and the energy level can be preset, which can also be subject to modification by the medical practitioner. Although several embodiments herein are described with respect to ocular applications, PORT can be applied to any superficial body structure within reach of orthovoltage x-rays or to structures accessible during surgical procedures. For example, in regions such as the breast, it may be desirable to use x-rays with energies greater than about 40 keV but less than about 200 keV to reach the structures of interest. Other structures of interest include, for example, skin lesions, facial lesions, mucosal lesions of the head and neck, nails, muscles, soft tissues, anorectal regions, prostate, genital regions, joints, tendons, muscles, and the urogenital tract. PORT can be applied to specific structures within the eye, while sparing other tissues, because PORT's imaging systems, modeling systems, and finely-tunable collimators can provide precisely directed x-ray beams that can be targeted on specific structures within the eye with small penumbras (for example, about 1 mm to about 5 mm beams with less than about 10-20% penumbra). PORT therapy is also based on individualized, biometric representations of the eye which allows a personalized treatment plan to be created for every patient. As described above, orthovoltage generators, or other low energy x-ray generators, allow for the system to be placed in a room without requiring thick protective walls, special shielding apparatus, or special controls which would be required with devices generating x-rays with photon energies greater than about 500 keV. Orthovoltage generators, or other low energy x-ray generators, are also more compact than linear accelerators, which allow the smaller generators to be moved and directed with less energy from control motors as well as with less internal and external shielding. The lower energy x-ray generators also facilitate beam collimation and directing schemes, resulting in beams having smaller penumbras and capable of tighter collimation. In addition, in a scheme where it is desired to move the x-ray source, much less energy is used to move the source to different positions, and the entire system is scaled down in size with lower energy x-ray sources. In some embodiments, the radiotherapy system is used to treat a wide variety of medical conditions relating to the eye. For example, the system may be used alone or in combination with other treatments to treat macular degeneration, diabetic retinopathy, inflammatory retinopathies, infectious retinopathies, tumors in, around, or near the eye, glaucoma, refractive disorders, cataracts, post-surgical inflammation of any of the structures of the eye (e.g., trabeculoplasty, trabeculectomy, intraocular lenses, glaucoma drainage tubes, corneal transplants, infections, idiopathic inflammatory disorders, etc.), ptyrigium, dry eye, and other ocular diseases or other medical conditions relating to the eye. The radiotherapy system also includes controls for maximum beam energy (e.g., ranging between about 30 keV to about 150 keV), beam angles, eye geometries, and controls to turn off the device when the patient and/or eye move out of position. The radiotherapy treatment system includes, in some embodiments, a radiation source, a system to control and move the source to a coordinate in three-dimensional space, an imaging system, and an interface for a health care professional to input treatment parameters. Specifically, some embodiments of the radiotherapy system include a radiotherapy generation module or subsystem that includes the radiation source and the power supplies to operate the source, an electromotive control module or subsystem that operates to control power to the source as well as the directionality of the source, a coupling module that links the source and control to the structures of interest (e.g., the eye), and an imaging subsystem. In some embodiments, these modules are linked to an interface for a healthcare professional and form the underpinnings of the treatment planning system. The terms “module” and “subsystems” can be used interchangeably in this disclosure. FIG. 1A illustrates a side view of embodiments of a system 10 for treating ocular diseases using radiotherapy. In some embodiments, as illustrated, the radiotherapy treatment system 10 comprises a radiotherapy generation module or subsystem 110, a radiotherapy control module or subsystem 120, an interface display 130, a processing module 140, a power supply 150, a head restraint 160, and an imaging module 400, which can be a camera. In some embodiments, the radiotherapy device delivers x-rays to the eye 210 of a patient 220. The power supply 150 preferably resides inside the system 10 or adjacent the system 10 (e.g., on the floor). In some embodiments, however, the power supply 150 can reside in a different location positioned away from the system 10. The power supply 150 can be physically coupled to the x-ray generator 110 (in a monoblock configuration) or can be uncoupled from the x-ray generator (e.g., the x-ray source moves independently of the power supply and is connected through, for example, high power cables). In some embodiments, the power supply is a rechargeable, portable supply. In some embodiments, a cooling system for the x-ray tube is also provided. The cooling system can be water, oil, or air convection, and the cooling system can be attached to or located a distance from the radiotherapy system 10. Voltage can be wall voltage of about 110V or about 220V (with assistance of a transformer) which can be used for the devices, subsystems, or modules of the system. Currents supplied to the system to generate x-rays may be on the order of about 1 amp or lower down to about 50 mA or even about 5 mA to about 10 mA. In some embodiments, the power supply can deliver currents up to hundreds of milliamps (e.g., about 600 mA). For example, currents ranging from about 100 mA to about 1 amp, or greater, can be used when protocols or features of the system are configured to accommodate these higher current, such as, for example, when the x-ray source is a rotating anode source. In some embodiments, what is desired of the power supply is that a high voltage be generated to drive the electrons from the cathode in the x-ray tube to the anode of the x-ray; electron movement is performed within a vacuum inside the x-ray tube. The high voltage (e.g., about 30,000 to about 300,000 volts or higher) may be desired to accelerate the electrons inside the vacuum. A second current is typically used with x-ray power supplies in order to generate the electrons from a filament, the electrons are subsequently accelerated through the voltage potential. Therefore, x-ray power supplies typically have two power supplies in order to generate x-rays. Once generated, the electrons speed toward the anode under the influence of the high voltage potential; the anode is where the x-ray generating material typically rests (e.g., tungsten, molybdenum). The anode is considered the radiation source, and its size and structure has a role in penumbra determinations. For example, a point source may be approximated by a anode with a largest diameter of equal to or less than about 1 mm; points sources can deliver the highest quality beam with the tightest penumbra. Less optimal are sources with anodes greater than about 1 mm; for example, 2-mm, 3-mm, 4-mm, or 5-mm sources can also be used in connection with the embodiments described herein. However, the penumbra is typically larger than it would be with sources having these larger dimensions than for a source having a cross-section dimension that is equal to or less than about 1 mm. The anode is also a major determinant of the x-ray flux. The heat generated by the anode is the major limiting factor in the ultimate flux which can be achieved by the x-ray source. To the extent the anode can be cooled, the x-ray flux can be increased accordingly. This is part of the trade-off in penumbra; larger anodes can tolerate larger currents due to their larger thermal mass. X-ray output is related to current so higher current for a lower temperature allows a greater x-ray flux. In some embodiments, rotating anode sources are used so that the anode is “cooled” by virtue of the anode being moved to different points with time. Once the electrons strike the x-ray generating material, x-rays are generated. An absorbing metal (e.g., aluminum, lead, or tungsten) within the casing of the system will absorb much of the generated x-rays which have been scattered from the source 110. The x-rays, which are pre-planned to escape, are emitted from the source and travel into a collimator (e.g., a primary or secondary collimator) and optionally through a filter (e.g., an aluminum filter). The collimator is intended to direct the x-rays toward the patient 220. Notably, as described herein, collimators can be designed and manufactured so as to minimize penumbra formation and scatter and to optimize the shape and/or direction of the x-ray beam. The power supply is preferably connected to the x-ray source by a high-power cable that can be highly insulated to reduce power leakage. The collimator can be one or more collimators (e.g., as illustrated in FIG. 2A, a primary collimator 1030 and a secondary collimator 1040, and even a third collimator 1052). In some embodiments, a secondary (shaping) collimator is placed close to the eye 1300 (e.g., within 10 cm) of the patient, and the primary collimator 1030 is placed close to the source 1070. This type of configuration can decrease the penumbra generated by the source 1070 on the ocular structures of the eye 1300. The source can include filtration or the collimator can include filtration. In some embodiments, collimators are specialized apertures. The apertures can be adjustable; for example, the aperture can be adjustable from about 1.0 cm to about 0.5 mm or below 0.5 cm to about 0.01 cm. In some embodiments, the aperture is adjustable (e.g., automatically or manually by the operator of the machine) between about 0.5 mm and about 7.0 mm. In some embodiments, the collimator is constructed from tungsten, lead, aluminum, or another heavy metal. In some embodiments, the collimator has a cylindrical shape for the radiation to pass through; in some embodiments, the collimator has a coned shape for the radiation to pass through. In some embodiments, the collimator aperture has a rounded shape. In certain embodiments, the collimator has a curvilinear shape for the x-ray to pass through. The collimator can be shaped to accommodate the distribution of radiation desired at the target; for example, in some embodiments, it is desirable to avoid the optic nerve while focusing radiation on the macular region. To avoid the optic nerve, it may be desirable for the radiation to be directed through a crescent shaped collimator or another weighted distribution such that the optic nerve side (nasal side) of the macula receives less dose than the temporal side of the macula. In some embodiments, the collimator is cut using wire-EDM; in other embodiments, the collimator path is cut and polished using a laser. In some embodiments, the collimator has smooth contoured, cut and polished edges that reduces scattering as the radiation passes through the collimation apparatus. In some embodiments, the collimator has a region of thinner metal than another region so that the beam is relatively modified but does not have a sharp contour. In other embodiments, the collimator is not a complete aperture but is a thinning of the material at the region where a greater amount of x-ray energy is desired. For example, a thickness of the filter material may vary depending on the shape or desired filtering properties of the filter material. In some embodiments, reducing a thickness of the filter material by half allows radiation beams to pass through the portion of the reduced thickness of the filter material, but the radiation beams are still substantially blocked from passing through the portions of the filter material that do not have a reduced thickness. In some embodiments, the thickness of the filter remains constant throughout, but materials having different radiopacity are used. For example, a material having a higher radiopacity is used to filter the x-ray emission, and a material having a lower radiopacity is used, for example, in the place of the aperture to permit passage of the x-rays. In some embodiments (e.g., FIG. 2C), a light pointer 1410 (e.g., a laser beam emitted from a source 1450) is coupled to a collimator 1405, or behind the collimator 1405, so that the light pointer 1410 is coincident with an x-ray beam 1400; the light pointer 1410 can indicate the position on a surface of an eye 1300 through which the radiation source enters by tracking angles of incidence 1420, 1430 of the collimator and x-ray beam. The collimator 1405 is preferably collinear with the light source 1450, which can act as a pointer to indicate the point on the eye through which the radiation enters the eye 1300. In some embodiments, the light pointer position is used to track the radiotherapy source vis-à-vis an image recognition system which identifies the position of the pointer relative to an ocular structure (e.g., the limbus) and the radiotherapy device is then moved based on the image (e.g., to a region further away from or closer to the limbus of the eye). In some embodiments, the physician visualizes the position of the laser pointer relative to the limbus and manually adjusts the x-ray source into position. In some embodiments, a laser pointer 1210, illustrated in FIG. 2B′, sits on top of, or is coincident with the x-ray beam through the primary or secondary collimator 1215. The laser pointer 1210 can be reflected off a reflector 1220 that aligns the laser pointer 1210 with the collimator opening 1216 such that the laser point 1210 strikes substantially the same position of a surface beyond the collimator opening as does the x-ray 1200. In some embodiments, the laser pointer 1210 is aligned with the collimator opening 1216 such that the laser point 1210 has substantially the same trajectory as does the x-ray beam 1200 that passes through the collimator opening 1216. In any case, the direction of the laser pointer 1210 and the x-ray beam 1200 are coupled to one another so that knowledge of the position of either is equivalent to knowledge of the position of the other beam. The reflector 1220 can be a beam splitter, and the beam splitter can be transparent to x-ray energy 1200 or even act as a filter to create the desired spectrum of x-ray energy. The laser pointer 1210 can emit a wavelength that is detectable by the system camera 1460 (illustrated in FIG. 2C). Because the pointer 1210 is seen on the camera, the pointer 1210 indicates where the radiation beam enters the eye. The pointer 1410 can also serve as a visual verification that the x-ray source is powered on and directed in the proper orientation with respect to the ocular structure, or target tissue 1480, of interest. With a second camera in the system, the angle of incidence of the laser pointer, and by definition, the x-ray beam can be determined. At least one imaging module 400, 1460, such as a camera, is included in the system to at least track the eye in real time. In some embodiments, the imaging module 400, 1460, or camera, images the eye with or without the x-ray source tracking device (e.g., laser pointer 1210) described above. The camera can detect the position of the eye and relate the direction of the x-ray and collimator system to the position of the eye. An optional display 130 directed to the operator of the radiotherapy system on the system 10 can depict the position of the x-ray device in real time in some embodiments. In some embodiments (FIG. 4), the camera 2055 detects the position of the eye, and digitizing software is used to track the position of the eye. The eye is meant to remain within a preset position 2060, or treatment field, which can correspond to the edges of the limbus; when the eye deviates from the position 2054 beyond a movement threshold, a signal 2090 can be sent to the radiation source 2000. As used herein, the term “movement threshold” is a broad term and is intended to have its ordinary meaning, which includes, without limitation, a degree or measurement that the eye is able to move and remain within the parameters of treatment without shutting the radiation source 2000 off. In some embodiments, the movement threshold can be measured in radians, degrees, millimeters, inches, etc. The radiation source 2000 is turned off when the eye is out of position 2057 beyond the movement threshold, and the radiation source is turned on when the eye is in position 2054, or within the movement threshold. In some methods of setting the movement threshold, a treating professional delimits the edges of the limbus 2060 and the treatment planning software then registers the edges of the limbus 2060. If the limbus of the eye moves away 2030 from the delimited edge limit, a signal 2090 is sent to the radiation device to shut down. In some embodiments, a connection, or coupling, 162 extends from the system and contacts the eye 210 (FIGS. 1D and 1E). The connection can be a physical connection which can include an optical or other communication between the system and the eye in addition to a mechanical connection. The physical connection 162 can serve several functions. For example, in some embodiments, the connection 162 is a mechanical extension which allows the position of the eye to be determined because it is directly applied to the cornea or sclera. It also provides for inhibition of the eye so that the patient is more inclined to be compliant with keeping their eye in one position throughout the treatment. In addition, the eye can be moved into a pre-determined position, in the case, for example, when the patient's eye has been paralyzed to perform the procedure. Finally, the physical contact with the eye can be used to protect the corneal region using an ophthalmic lubricant underneath the physical contact device. The physical connection 162 from the cornea allows for positioning of the eye with respect to the system. The physical connection 162 to the eye from the radiotherapy system 10 can contact the limbus 905 in FIG. 1E (also see 308 in FIG. 1C) around the eye or can contact the cornea 915 or the sclera 925. The physical connection can contain a suction type device 912 which applies some friction to the eye in order to move the eye or hold the eye in place with some force. In certain embodiments, the connection 162 contacts the sclera when suction is applied. The physical connection 162 can dock onto a scleral lens 935 or a corneal lens which is inserted separately into the eye. Any of the materials of the physical connection can be transparent to x-rays or can absorb some degree of x-ray. The physical connection 162 can help to stabilize the eye of the patient, reducing eye movement underneath the lens. If a lubricant is inserted inside the lens, the lens can hold a gel or lubricant to protect the eye during the procedure. The lens can also contain through holes which can provide the cornea with oxygen. The physical connection 162 can be movable with respect to the remainder of the radiotherapy system; the physical connection 162 can be rigid, substantially rigid, or can contain a spring 165, which allows flexibility in the axial or torsional direction. In some embodiments, the connection 162 is not mechanical at all but is an optical or other non-contact method of communicating between a radiotherapy system and a lens 935 positioned on the eye. The physical connection 162 can signify the coordinate reference frame for the radiotherapy system and/or can signal the movement of the device with respect to the eye. Connection 162 can therefore assist in maintaining eye location in addition to maintaining eye position by inhibiting movement of the patient. Physical connection 162 can contain radiotranmitters, a laser pointer, or features which can be captured on a camera so that the eye can be located in three-dimensional space. In some embodiments, the physical connection 162 to the eye is docked into position on the eye by the physician so that it identifies the center of the limbus and the treatment axis through its center. The position of the eye can then be identified and tracked by the radiotherapy system. With knowledge of the center of the limbus in combination with the eye model, the radiotherapy system can then be directed about the treatment axis and center of the limbus to deliver radiation to the retina. In some embodiments, the physical connection 162 can include a radiotherapy coupling device 945 (FIG. 1G). The coupling device 945 has an ocular surface 960, which can include, for example, a scleral lens and a radiotherapy coupling surface 950. The ocular surface 960 can cover the cornea and contact the cornea or it can cover the cornea, only contacting the sclera. In some embodiments, the ocular surface 960 can cover and contact both the cornea and the sclera. The ocular surface 960 can be a lens in some embodiments, and in some embodiments, the surface 960 can be a substantially transparent window with little or no refraction. The ocular surface 960 can be used to retain ocular gel or it can be a shell with a hole in the center. The ocular surface 960 can be customized for an individual patient using imaging modalities, such as for example, an IOL master, optical coherence tomography (OCT), corneal surface mapping, MRI, CT scan, and ultrasound. The ocular surface 960 can be flexible or rigid or a composite. Flange 970 can function to hold the eyelids apart or can serve as a fiducial for the radiotherapy device. Opposite the ocular surface 960 are radiotherapy coupling surfaces, or portions, 950, 955. These surfaces, individually or collectively, couple the coupling device 945 with the radiotherapy system. While the ocular surface 960 interfaces with the eye and structures, the radiotherapy portion 950, 955 couples the ocular surface to the radiotherapy system. The radiotherapy portion, 950, 955 can link the coupling device 945 to the radiotherapy system in a variety of ways. For example, the radiotherapy portion 950, 955 can couple to the radiotherapy device via laser pointer, via infrared coupling, via microwave coupling, via mechanical coupling, via reflection, or via radiofrequency transmitters. An additional element of the coupling device 945 can be fiducial markers 970 which can define geometry of the device or geometric relationships between the device 945 and the radiotherapy system. An additional component of the radiotherapy coupling device 945 in some embodiments is a lumen 985 which traverses the device and, in some embodiments, extends to the surface of the eye. The lumen 985 can be used to pass probes 962 such as may be used to determine the axial length of the eye (e.g., an A-scan). In some embodiments, the probe 962 can include a laser pointer probe 962, which can point outward away from the eye of the patient. The outward pointing laser pointer can be used to determine alignment of the device, and therefore the eye, relative to the radiotherapy system. In some embodiments, the laser pointer is used to align the radiotherapy device with an axis of the eye and can be used to turn the radiotherapy on (when in position) or off (when not in position). In these embodiments, the patient turns the device on and off, and the radiotherapy system operates when the eye is aligned with the machine and turns off when the device is not aligned with the radiotherapy device. In some embodiments, the probe 962 contains a mirror 964. The mirror 964 can function as a beam reflector to indicate alignment or misalignment of the radiotherapy device. For example, the mirror 964 will reflect a light such as a laser pointer or an LED. The light originates on the radiotherapy device and its reflection from the mirror 964 on the coupling device 945 is indicative of the direction of the mirror relative to the radiotherapy device. The mirror can be parallel to the surface of the cornea, and therefore, a beam perpendicular to the mirror is approximately perpendicular to the cornea. A perpendicular beam to the cornea will travel through the optical or geometric axis of the eye and reach the center of the posterior pole of the eye (also shown and described in FIGS. 1I and 1J). In some embodiments, the mirror is a so-called “hot mirror” or a “cold mirror” in which the mirror reflects some wavelengths and transmits others. For example, a “hot mirror” can reflect an infrared laser pointer and transmit visible light so that the patient or treating physician or a camera will be able to see through the lens. A “cold mirror” will transmit infrared and reflect visible so that a visible laser pointer can be reflected while infrared can be transmitted; cold mirrors can be used, for example, in cases where it is desired to utilize an infrared fundus camera during treatment. In some embodiments, the coupling surfaces 950, 955 of the device 945 can be attached to a holder 971 (FIG. 1H) to hold the eye in place. The holder 971 can be attached to the radiotherapy device, but preferably it is attached at a location separate from the radiotherapy device, such as a frame that is attached to the table or platform 974 which holds the radiation device. In some embodiments, the frame 972 has multiple joints, and in some embodiments, the frame 972 is flexible or springy like a cantilever beam. The frame 972 provides for some force against the eye of a patient transmitted through the coupling device 945 when it is attached to the holder 971. In some embodiments, the coupling device 945 can include material that is radiotranslucent, or that permits at least some radiation to pass. In some embodiments, the radiotranslucent material of the coupling device 945 can be configured to permit the passage of the therapeutic x-ray beams during treatment. For example, the coupling device 945 can engage the eye to maintain position of the eye, and the x-ray beams can be directed to target eye tissue with a trajectory that passes through at least a portion of the coupling device 945. Accordingly, the treatment planning system can plan x-ray beam trajectories without significant consideration of where the coupling device 945 engages or is positioned on the eye. In some embodiments, the coupling device 945 can include material that is radiopaque, or that reduces or limits the transmission of radiation. In some embodiments, the radiopaque material of the coupling device 945 can be configured to limit transmission through the material of radiation, such as, for example, x-ray beams. For example, the coupling device 945 can engage the eye to maintain position of the eye, and x-ray beams that are directed to target tissue of the eye will not be permitted to pass through, or transmission of the x-ray beams through the material will be substantially limited, the coupling device 945. In these embodiments, the coupling device 945 can be used as a shield for critical structures of the eye (e.g., the lens, the optic nerve, the cornea, as so forth) by limiting radiation exposure to these structures. The treatment planning system can be configured to identify or recognize the radiopaque material and limit application of x-ray beams having trajectories toward the target tissue that may cross the coupling device 945. For example, when the coupling device 945 engages the eye, a zone is created outside the eye where application of x-ray beams to the target tissue will pass through the coupling device 945. When the coupling device 945 is substantially round, this zone in space will project from the target tissue through the coupling device 945 in the form of a cone, in which if the source of the x-ray beam is placed, the trajectory of the x-ray beam will pass through the coupling device 945 to the target tissue. An axis extending from the target tissue and passing through the coupling device 945 will represent a beam trajectory that will be required to pass through the coupling device 945 to treat the target tissue. If the coupling device 945 includes radiopaque material, the trajectory that passes through the coupling device 945 may not be an optimal approach, as the material may hinder or otherwise affect the x-ray beams. Accordingly, in some embodiments, the source is relocated outside the space that corresponds to trajectories that pass through the coupling device 945 to treat the target tissues, and a new trajectory can be established that does not pass through the coupling device 945. This new trajectory will be transverse, or not parallel to, the axis that passes through the coupling device. In some embodiments, the new trajectory can be parallel to the axis that passes through the coupling device, but not collinear with the axis and not directed to the same target site as that of the axis. Similar new trajectories can then be replicated with similar relationships to the axis. In some embodiments, the coupling device 945 can include both material that is radiopaque and material that is radiotranslucent. In some embodiments, the radiopaque material of the coupling device 945 can be configured to limit transmission through the material of radiation, such as, for example, x-ray beams, and the radiotranslucent material can be configured to permit transmission of radiation (e.g., x-ray beams) to pass through the material. The coupling device 945 can further be configured to provide alignment trajectories along which the x-ray beams will pass to the target tissue. In some embodiments, the coupling device 945 can further operate as a tertiary collimator by limiting the beam size or shape. For example, the radiotranslucent material of the coupling device 945 can be sized and shaped as the aperture through the secondary collimator. In such embodiments, when the x-ray beam is emitted through the radiotranslucent material, any penumbra at the coupling device 945 can be blocked by the surrounding radiopaque material. In some embodiments, apertures in the radiopaque material may be provided instead of radiotranslucent materials. Accordingly, the coupling device 945 can further provide shielding or targeting functions. Some embodiments provide that the coupling device 945 have a plurality of apertures or portions of radiotranslucent material positioned radially around a center of the coupling device 945. The apertures can be shaped as circles, squares, rectangles, ovals, curvilinear, irregular, annular, concentric rings, and so forth. In some embodiments, the coupling device 945 is configured to include an aperture or portion of radiotranslucent material only in a center portion of the device to permit transmission of radiation therethrough to target tissue. In some embodiments, the coupling device 945 can have a radiopaque material that comprises substantially a central portion of the coupling device 945 (e.g., a portion of the ocular surface 960), and a portion of the coupling device 945 extending around a periphery, or the edges, of the central portion comprises radiotranslucent material. Accordingly, the central portion can operate as a shield to structures of the eye, and the x-ray beams can pass through the radiotranslucent material during radiotherapy. Thus, the coupling device 945 can have a larger ocular surface 960 to engage the eye while still permitting x-ray beams to reach the target tissues substantially unimpeded by the radiopaque material. FIGS. 1I and 1J depict a mechanism by which the coupling device 975 can be used to align the radiotherapy system 990. Laser pointer beam 977 (which is collinear with the radiation beam in some embodiments) is emitted from radiotherapy device 990 through a collimator opening 979 and reflects off a mirror 976 of the coupling device 975. In the non-alignment case depicted in FIG. 1I, the laser pointer beam 977 will not bounce back collinearly with the collimator opening 979, but will be off-axis, as shown by reflection point 980. The orientation of the radiotherapy system 990 can be manually or automatically adjusted by direct visualization of the location of the reflection point 980 or by sensors that detect the location of the reflection point 980 and adjust the radiotherapy system 990 to bring the laser pointer beam 977 into alignment. In the case where the laser pointer is in fact aligned (FIG. 1J), the laser pointer 977 is reflected, and the reflection point 980 is substantially collinear with the collimator opening 979. FIG. 1K depicts the radiotherapy system with coupling device 975 in place. A treatment axis 214, which provides a reference about which application of the radiation beams are applied, is now coupled to or aligned with an system axis 211 of the radiotherapy system, about which the x-ray source 110 can be rotated, as indicated by arrow 112. The x-ray source 110 can rotate about the system axis 211 with or independent from the imaging subsystem 400 and its corresponding axis 405 (also illustrated in FIG. 1D). With the treatment axis 214 aligned with the system axis 211, and with the coupling device 975 engaging the eye 210, trajectories of the radiation beams can be determined to direct the radiation beams to be coincident with the target tissue of the eye 210 of the patient 220. The defined space of the treatment axis 214, the system axis 211, the location of the coupling device 975, and the location of the x-ray source 110 provides a confined coordinate frame that can be used, for example, for directing orientation and administration of the radiation beams. In some embodiments, the x-ray source 110 can travel around a floating focal point, such as one that is defined by the treatment planning system and virtual model of the eye. A floating focal point is a focal point that can be programmed or located anywhere in the eye and moved to different locations during treatment, as opposed to a fixed focal point, such as the macula. In some embodiments, the x-ray source 110 can move with six degrees of freedom around a fixed or moving axis. In some embodiments, the x-ray source 110 remains fixed in one spot to treat an eye structure in the anterior portion of the eye, or even the posterior portion of the eye, depending on how large an area is to be treated and the dose required. In some embodiments, the x-ray source 110 focuses x-rays on a target by moving to different positions around the eye 210 and delivering x-rays through the sclera at substantially different entry points on the sclera, but each x-ray beam reaching a substantially similar target within the eye. In some embodiments, the x-ray source 110 remains in one location, delivering x-ray energy to and through the sclera and to regions of the eye, such as the retina, and specifically the macula. In some embodiments, the x-ray source 110 is moved with six degrees of freedom, five degrees of freedom, four degrees of freedom, three degrees of freedom, or two degrees of freedom. In some embodiments, the x-ray source 110 is stationary and the collimator is moved or the eye or the patient is moved to project the beam to different regions of the eye. In some embodiments, the retina is treated by maintaining the x-ray beam in one position with respect to the sclera. The x-ray source 110 can be moved automatically by a robotic arm or manually by the operator of the system. The ultimate three-dimensional position of the x-ray source 110 can be dictated by the treatment plan which communicates between a model of the eye and with the robotic arm to determine the position of the x-ray beam relative to the eye. In some embodiments, only a small amount of movement is required of the x-ray source 110 to treat a disease of the retina, such as macular degeneration and/or diabetic macular edema. In these embodiments, six degrees of freedom can be applied to the x-ray source 110, but the range of each degree of freedom is preferably limited so that the movement system only travels within a space of about 1000 cm3, 500 cm3, 100 cm3, or about 50 cm3. The speed of the robot within these volumes can be defined such that the robot moves 0.5 cm/s, 1 cm/s, 3 cm/s, 5 cm/s. Because each fractional treatment dose is relatively short and applied over a small distance, the robot can sacrifice speed and travel distance for smaller size. In some embodiments, multiple x-ray sources are used, each positioned at different points in space so as to deliver a plurality of x-ray beams which will all converge on the target tissue, which can be one point on or in the eye. For example, the radiation system can have 3, 4, 5, or 6 x-ray sources that each have different, aligned trajectories that are all configured to intersect at a treatment location within the eye, which can include, for example, the fovea 240, depicted in FIG. 1C. Application of the x-ray beams can be performed simultaneously or in series. Treatment with a plurality of x-ray sources operating simultaneously can reduce treatment time, and consequently, reduce the likelihood of patient movement during the treatment period. In some embodiments, it is a goal of the treatment system to deliver radiation therapy substantially through the pars plana region of the eye (see FIG. 1C). Pars plana 215 is the region of the eye between the pars plicata 218 and a peripheral portion of the retina 280, the ora serrata. The pars plana 215 region of the eye contains the fewest critical structures enroute from the sclera 260 to the retina 280. It is through this the region that surgeons can inject pharmaceuticals into the eye or to perform vitrectomies because the risk of damage to ocular structures is reduced with this approach. Likewise, radiotherapy can be delivered to the posterior region of the eye through the pars plana region 215 to minimize the potential for damage to structures, such as the lens, and yet still reach posterior regions, such as the fovea 240, with minimal radiation reaching the optic nerve 275. The image-guided orthovoltage therapy described herein allows such specific treatment. In some embodiments, when a patient has an artificial intra-ocular lens, which may be unaffected by exposure to x-ray radiation, the radiotherapy can be delivered through the cornea and lens to the retina, directly through the central axis, the visual axis of the eye, or through the cornea. In some embodiments, treatment by x-ray radiation may be provided at the same time as a procedure for implanting an artificial intra-ocular lens. With continued reference to FIG. 1C, the central axis 300 of the eye is typically defined by the geometric axis 300 and begins at the center of the curvature of the cornea 255; this axis 300 can also be called the optical axis or the treatment axis. The treatment axis can include any axis that is coincident with the treatment target. The visual axis 306 is represented by a line from the center of the fovea 305 through the center of the pupil 217. Angle kappa (k) 213 represents the angle between the visual axis 306 and optical axis 300. The geometric axis 300 can be defined by a perpendicular straight line or axis extending from the center of the cornea straight back to the retina 280. In this description, this axis can also be referred to as the treatment axis. The limbus 308 is generally the transition area where the cornea meets the sclera or visually, the point where the pigmented region of the eye meets the white region of the eye. The pars plana angle α 212 can be measured from the geometric central axis 300 and can range from about 10 degrees to about 50 degrees off the central geometric axis 300. The pars plana 215 region of the eye can be related to the central axis 300 of the eye through angle α 212. In some embodiments, x-rays with a tight collimation (e.g., smaller than about 6-8 mm in diameter) and a small penumbra (e.g., less than about ten percent at the sclera) enter the pars plana region 215 of the eye along a trajectory 250, avoiding some of the critical structures of the eye, to reach structures which are to be treated, such as the retina 280. In some embodiments as described herein, during the treatment, the eye can be stabilized with the assistance of physical or mechanical restraint or by patient fixation on a point so that the x-rays enter the eye substantially only in the pars plana region 215. In certain embodiments, the patient is stabilized with respect to the axis of the eye. If the patient or device moves, then the imaging subsystem 400, or camera, detects the movement and turns the device off or closes a shutter over the region where the x-rays leave the device or the collimator. In some embodiments, the x-ray source 110 is moved about the eye to one or more positions determined by a treatment planning system, delivering radiation through the pars plana region 215 of the eye to reach the retina 280. The defined treatment axis and the trajectory through the tissue of the eye dictate the angle of delivery of the x-ray beam by the system relative to the treatment axis. The total dose is divided across different regions of the sclera but penetrates through the pars plana 215 region to reach the desired region of the retina (for example, the macula or the fovea). As shown in FIGS. 1I-1J and as explained above, the mirror 976 can reflect the laser pointer beam 977 back toward the radiotherapy system 990. The reflected laser pointer beam 977 can activate a sensor 992 which can provide feedback relating to the position of the laser pointer beam 977 and inhibits or disinhibits the radiotherapy system 990. Alignment or misalignment of the radiotherapy system 990, as detected by the sensor 992, can be the trigger for the inhibition or disinhibition for the radiotherapy system 990. For example, in some embodiments, the laser pointer beam 977 can be configured such that the laser pointer light is reflected onto the sensor 992 when the eye is within an acceptable operational orientation. Accordingly, when the laser pointer light is reflected onto the sensor 992, the sensor 992 detects the reflected light and provides indication of the incident light to the radiotherapy system 990 or a processing module of the sensor 992 or system 990. The radiotherapy system 990 or processing module can be programmed such proper orientation of the eye and the system 990 is identified when the sensor 992 indicates that it has received reflected laser pointer light. The system can then be free to emit the radiation beams, and the radiation source can be powered to emit radiation beams or shutters on the system can be opened to permit radiation beams to be emitted to the eye. If, during a treatment procedure, the eye moves, and the reflected laser pointer light no longer is incident upon the sensor 992, the system is notified by the sensor 992, indicating that the eye has moved and that the eye is no longer within the acceptable operational orientation. Power to the radiation emitter can then be terminated, or shutters on a collimator can be drawn, to stop emission of radiation to the eye. In some embodiments, the reflected light or laser pointer 977 can indicate the degree of alignment between the coupling device 975 and mirror 976 and the radiotherapy device 990 from which the light source 977 originates. FIGS. 1I and 1J illustrates substantial coaxial alignment of the radiotherapy device 990 with the scleral lens 950 (FIG. 1I) and the geometric or visual axis of the eye 952 (FIG. 1J). In this instance, the reflected beam 977 and the incident beam 978 are indistinguishable as the reflected beam reflects 980 back onto the collimator 979 where the laser pointer originates. FIG. 1I depicts the case of misalignment where the incident beam 978 and its reflection 977 reflect back do not meet on the collimator 980, 979. A camera monitoring the status of alignment can signal the system to turn off when the incident beam 978 and its reflection 977 are not coaligned. The head restraint 160 portion of the radiotherapy system 10 may be used for restraining the head of the patient 220 so as to substantially stabilize the location of the patient's eye 210 relative to the radiotherapy treatment system 10. The physician applying the treatment can align the central axis 300 of the patient's eye with the x-ray source 110. The restraint 160 can be configured to maintain the patient's position during the treatment. If the patient moves away from the restraint 160 or moves their eyes from the restraint, then the x-ray system can be turned off (e.g., by gating) manually or automatically and the patient's position readjusted. In general terms, the patient's head is maintained in position with the head restraint 160 while the eye 210 is tracked by the imaging system 400 and/or treatment planning system and the x-ray source 110 is moved so that the x-ray beam enters the eye through the pars plana region 215; the x-rays, therefore, penetrate to the target regions of the retina and reduce the likelihood of significant damage as they pass through eye tissue toward the retina. The treatment planning system 800 (FIGS. 1B and 2E) provides the physician interface with the system 10. The treatment plan is developed based on pre-treatment planning using a combination of biometric modalities including an imaging subsystem 400 that can include, for example, fundus photography, or optical coherence tomography, CT scans, MRI scans, and/or ultrasound modalities. The information from these modalities are integrated into a computer-generated virtual model of the eye which includes the patient's individual anatomic parameters (biometry) as well as the individual's specific disease burden. Any or all of these modalities can be utilized by the system in real time or integrated into the system prior to treatment. The treatment plan is output, for example, on the interface display 130 module of the radiotherapy system 10. The physician can then use the virtual model in the treatment plan to direct the radiation therapy to the disease using the radiotherapy system 10. As used herein, “eye model” or “model of the eye” refers to any representation of an eye based on data, such as, without limitation, an anteroposterior dimension, a lateral dimension, a translimbal distance, the limbal-limbal distance, the distance from the cornea to the lens, the distance from the cornea to the retina, a viscosity of certain eye structures, a thickness of a sclera, a thickness of a cornea, a thickness of a lens, the position of the optic nerve relative to the treatment axis, the visual axis, the macula, the fovea, a neovascular membrane, a curvature of a cornea or a retina, a curvature of a scleral region, and/or an optic nerve dimension. Such data can be acquired through, for example, imaging techniques, such as ultrasound, scanning laser opthalmoscopy, optical coherence tomography, other optical imaging, imaging with a phosphor, imaging in combination with a laser pointer for scale, CT scan with or without contrast, and/or T2, T1, or functional magnetic resonance imaging with or without contrast. Such data can also be acquired through keratometry, refractive measurements, retinal nerve-fiber layer measurements, corneal topography, direct caliper measurement, etc. The data used to produce an eye model may be processed and/or displayed using a computer. As used herein, the term “modeling” includes, without limitation, creating a model. The eye model is a virtual model which couples the anatomy of the eye with the coordinate system of the radiotherapy device. The eye model can be based on the geometry of the ocular structures and can be derived with parametric data and mathematical formulas to generate the model. Alternatively, the ocular geometries are derived from cross-sectional imaging, such as from CT scans or MRIs. With the treatment axis defined and the ocular anatomy defined, the coupling device can contact the ocular surface and link to the radiotherapy device via the eye model. The radiotherapy device is then positioned based upon the eye model. In some embodiments, real time visualization of the eye can be utilized by emitting a laser that is aligned with the trajectory of the radiation beam. Observation of the location of the laser can be used to visually indicate proper orientation of the radiation beam trajectory. For example, it may be desired that the edge of the radiation beam be placed about 1 mm to about 4 mm from the limbus so as to avoid critical structures. As the laser pointer from the radiotherapy device reaches a spot 1-4 mm from the limbus, the radiotherapy eye model then uses the axial parameters of the eye to direct the radiotherapy device to the correct angle relative to the structure within the eye. In some embodiments, the laser pointer is oriented on the sclera at a point that is desired to pass through the sclera. Once the laser pointer locates the desired location, the laser pointer is fixed on that portion of the sclera while the radiation source is oriented with respect to the desired location on the sclera, such that when the radiation source is activated and a radiation beam is emitted therefrom, the radiation beam will pass substantially through the desired location and a target location within the eye. A portion of the eye through which the radiation beam passes can be referred to herein as a traversal zone (e.g., 515 on FIG. 2D), or intersecting zone. With continued reference to FIG. 1B, which shows a schematic overview of the treatment planning system 800, depicted by the background oval shape, and illustrating a global interconnect between four subsystems. The treatment planning system 800 directs the four subsystems toward treatment of the region and/or disease indicated by the physician. The four subsystems in general terms include an x-ray subsystem 700, a coupling subsystem 500, an electromotive subsystem 600, and an imaging subsystem 400. These subsystems or modules interact to provide an integrated treatment to the eye of a patient. The subsystems work together to coordinate the treatment planning system 800. The treatment planning system (TPS) 800 also provides the interface between the physical world of the eye, the physical components of the system, and a virtual computer environment which interacts with the physician and treatment team and contains the specific patient and disease information. The coupling system 500, primarily, and the imaging system 400, secondarily, help link the physical world and the virtual world. Within the virtual world, the treatment planning system creates a computer-generated virtual model of the patient's eye 505 based on physical and biometric measurements taken by a health practitioner or the imaging system 400 itself. The computer model 505 (FIG. 2D) in the virtual world further has the ability to simulate the projection 510 of an x-ray beam 520 from a radiation system 524 through an anterior region of the eye, which can include a traversal or intersecting zone 515, to the structure 514 to be treated based on different angles of entry into the eye. The model can also identify and include important eye structures, such as the optic nerve 512, to consider during the treatment planning process. The virtual world also contains the physician interface to control the device 524 and interface the device with respect to the physical world, or that of the actual physically targeted structure. After integrating the inputs from the physician and modeling the beam angles and desired direction to direct the therapy, the virtual world outputs the information to the electromotive subsystem to move the x-ray device to the appropriate position in three-dimensional space. The coupling subsystem 500 (in the physical world) can include a mechanism to determine the angle of incidence of the x-ray beam with respect to the surface of the eye using one or more laser or angle detectors, as discussed above. In some embodiments, the coupling system 500 contains a camera 518 which can image a spot (real, reflected, fiducial, or projected fiducial) 516 on or in an eye; the camera can also visualize structures such as the pupil, cornea, sclera, limbus, iris, fundus, optic nerve, macula, or a lesion to be treated. Information from the camera is then preferably transferred to the virtual eye model 522 and again to the motion and radiotherapy system 524. In certain embodiments, the coupling system 500 is a physical connection with the eye. In some embodiments, the coupling system 500 is not a physical link but is a communication link between a lens on the eye and a detection system. For example, a lens can be a communication beacon to relay eye position to the system 500. In some embodiments, the lens can contain markers that are imaged by the imaging camera 518, through which the next stage in the therapy can be determined. In some embodiments, a combination of these techniques is used. In some embodiments, the position of the eye and the x-ray source are known at all times, and the angles of entry of the x-ray can therefore be realized. For example, the central axis of the eye can be determined and defined as the treatment axis; the x-ray source offset a known angle from the central axis. The central axis, or treatment axis, in some embodiments can be assumed to be the axis which is perpendicular to the center of the cornea or limbus and extends directly posterior to the retina, as discussed previously. In some embodiments, the coupling subsystem can detect the “glint” or reflection from the cornea. The relationship between the glint and the center of the pupil is constant if the patient or the patient's eye is not moving. If the patient moves, then the glint relative to the center of the pupil is not in the same place. A detector can detect when this occurs, and a signal can be sent from the virtual world to the x-ray device to turn the x-ray device off or to shutter the system off. Alternatively, the coupling system can compare the center of a scleral lens relative to the center of the cornea. Both the lens and the cornea have respective glints and their alignment ensures that their centers are perpendicular to one another. The information obtained from the coupling subsystem is preferably sent to the computer system and to the virtual eye model. The imaging subsystem 400 captures an image of the eye in real time with a camera 1460, depicted in FIG. 2C, and feeds the data into the software program that creates a virtual model of the eye. In combination with the physical world coupling system 500, the predicted path of the x-ray beam through the eye can be created on the virtual image. Depending on the region to be treated, the electromotive system and/or x-ray system can be readjusted; for example, a robot arm can move the x-ray source 110 to a position to send a radiation or x-ray beam to a location on or in the eye based on the model of the eye as created by the TPS and as captured by the imaging system 400. In certain embodiments, the radiotherapy generation system 100 can include an orthovoltage (or low energy) radiotherapy generator as the x-ray subsystem 700, as discussed in further detail with reference to FIG. 1A, a schematic of the device. The radiotherapy generation subsystem 110 generates radiotherapy beams that are directed toward the eye 210 of the patient 220 in FIG. 1A. In certain embodiments, the radiotherapy control module 120 includes an emitter 200 that emits a directed, narrow radiotherapy beam generated by the radiotherapy generation subsystem 110. As used herein, the term “emitter” is intended to have its plain and ordinary meaning, and the emitter can include various structures, which can include, without limitation, a collimator and/or a filter. In some embodiments, the control module 120 is configured to collimate the x-ray beams as they are emitted from the radiotherapy generation subsystem 110. The x-ray subsystem 700 can direct and/or filter radiotherapy rays emitted by the x-ray tube so that only those x-rays above a specific energy pass through the filter. In certain embodiments, the x-ray subsystem 700 can include a collimator through which the pattern or shape of an x-ray beam is determined. The filtering of the source preferably determines the amount of low energy inside the x-ray beams as well as the surface-depth dose as described in ensuing figures. In some embodiments, it is desirable to deliver orthovoltage x-rays with a surface-to-depth dose less than about 4:1 to limit dose accumulation at the surface of the eye. In some embodiments, it is desirable to have a surface-to-depth dose less than about 3:1 or about 1.5:1 but greater than about 1:1 when using orthovoltage x-rays. The surface-depth dose can also be altered by changing the maximum beam energy leaving the x-ray tube. For example, for a disease on the surface of the eye such as pterygia or to treat post-trabeculoplasty scarring, the maximum beam energy leaving the x-ray tube may be lower, such as about 40 keV, about 50 keV, or about 60 keV. In these diseases it may be desirable to have about a 30:1, 50:1, or 100:1 surface to depth ratio. Therefore, the radiotherapy control system can control one or more of the power output of the x-ray, the spectrum of the x-ray, the size of the beam of the x-ray, and the penumbra of the x-ray beam. In certain embodiments, the electromotive subsystem 600 of the radiotherapy system may move the x-ray source and the collimator to direct a narrow radiotherapy beam emitted from the x-ray source to irradiate specific regions of the patient's eye 210 by directing energy onto or into targeted portions of the eye 210, while at the same time avoiding irradiation of other portions of the eye 210. For example, the system 10 may target a structure of the posterior region of the eye, such as the retina, or a structure on the anterior region of the eye, such as the trabecular meshwork, the sclera, the cornea, the ciliary processes, the lens, the lens capsule, or the canal of schlemm. The system 10 can deliver radiotherapy to any region of the eye, including, but not limited to, the retina, the sclera, the macula, the optic nerve, the ciliary bodies, the lens, the cornea, Schlemm's canal, the choroids, the capsular bag of the lens, and the conjunctiva. In certain embodiments, the x-ray subsystem 700 can collimate the x-ray to produce a narrow beam of specified diameter and shape. For example, in certain embodiments using a collimator, the diameter of the collimator outlet may be increased or decreased to adjust the diameter of the radiotherapy beam emitted by the collimator. In certain embodiments, the x-ray subsystem 700 can emit a beam with a diameter of about 0.1 mm to about 6 mm. In certain embodiments, the x-ray subsystem 700 can emit a beam with a diameter of less than about 0.1 mm. In certain embodiments, the x-ray subsystem 700 can emit a beam with a diameter of between about 0.5 mm and about 5 mm. As described in further detail below, narrow beams and virtual models are useful to ensure that the energy is applied to a specific area of the eye and not to other areas of the eye. In some embodiments (FIG. 2B′-2B′″), the radiation control module can emit an x-ray beam with a circular 1212 or non-circular 1214 shape; in some embodiments, the radiation control module can emit an x-ray beam with a rectangular shape 1214 or a square shape. In some embodiments, the radiation control module can emit an x-ray beam with an arc shape or an elliptical shape or a doughnut configuration 1217 through a circular collimator 1215 with an opaque region 1218 in the center. In some embodiments, the collimator 1215 can include a conical-shaped opening 1232, such as depicted in FIG. 2B″″, for providing a precisely shaped beam 1200. In some embodiments, the collimator 1215 has multiple openings (see, e.g., FIG. 2B″″) such that the x-ray has a specular, dotted configuration when it reaches the sclera and retina. The speckled configuration of the x-ray, which can be termed “micro-fractionation”, may allow for an improved safety profile because less radiation will be applied to the retina and choroid normal blood vessels. In certain embodiments, the radiotherapy system 10 allows for selective irradiation of certain regions of the eye without subjecting other areas of the eye to radiation by using a narrow, directed treatment beam, the treatment beam dictated by the specific anatomy of the patient's eye. For example, the radiotherapy control module 120 can direct radiotherapy beams generated by the radiotherapy generation module 110 to a patient's macula, while substantially avoiding radiation exposure to other portions of the patient's eye, such as the lens, the trabecular apparatus, and the optic nerve. By selectively targeting specific regions of the eye with radiation based on knowledge of the anatomy of the eye and linking the radiation system to the anatomy for treatment purposes, areas outside of the treatment region may avoid potentially toxic exposure to radiation. In some embodiments, the x-ray beam follows a trajectory 250 that enters the eye through the pars plana region 215 which is a zone of the sclera 260 between the iris 270 and the retina 260. By directing the beam to this region and limiting the penumbra or scatter of the beam using specialized collimators, the beam can be localized onto an eye structure with minimal photon delivery to other structures of the eye, such as the cornea 255, the ciliary body and fibers 216 and other structures. In certain embodiments, the radiotherapy treatment system 10 can include a shutter for controlling the emission of radiotherapy beams. The shutter may comprise a material opaque to the radiation generated by the radiation generation module 110. In certain embodiments, a shutter may be used to control the emission of beams from the radiotherapy generation module 110. In certain embodiments, a shutter may be used to control the emission of beams from the radiotherapy control module 120. In certain embodiments, the shutter may be internal to either of said modules 110 and 120, while in certain embodiments, the shutter may be external to either of said modules 110 and 120. In some embodiments, the system 10 is turned off to stop x-ray delivery, and in certain embodiments, the x-ray source 110 is turned off or its intensity turned down to limit or stop x-ray delivery to the target. In certain embodiments, the shutter or aperture changes shape or size. In certain embodiments, and as explained above with respect to FIG. 1A, the radiotherapy treatment system 10 can deliver radiotherapy beams from one angle. In certain embodiments, the radiotherapy treatment system 10 can deliver radiotherapy beams from more than one angle to focus the beams on the treatment target. Certain embodiments of the system 10 that can deliver radiotherapy beams from more than one angle can include a plurality of stationary radiotherapy directing modules. The stationary radiotherapy modules can be positioned in a wide variety of locations to deliver radiotherapy beams to the eye at an appropriate angle. For example, certain embodiments of the radiotherapy treatment system 10 include five radiation source module-radiation directing module pairs that are connected to the radiotherapy treatment system 10 in such a way that they are spaced equidistantly around a circumference of an imaginary circle. In these embodiments, the power supply could be a switching power supply which alternates between the various x-ray generators. Certain embodiments of the system 10 that can deliver radiotherapy beams from more than one angle can also include moving the radiotherapy directing module. Certain embodiments of the system 10 that can deliver radiotherapy beams from more than one angle can also include moving the radiotherapy source using an electromotive subsystem 700 (FIG. 1B), such as a robot. In some embodiments of the present disclosure, orthovoltage x-rays are generated from the x-ray generation module 700. X-ray photons in this orthovoltage regime are generally low energy photons such that little shielding or other protective mechanisms can be utilized for the system 10. For example, diagnostic x-rays machines emit photons with orthovoltage energies and require minimal shielding; typically, only a lead screen is used. Importantly, special rooms or “vaults” are not required when energies in the orthovoltage regime are used. Diagnostic x-ray machines are also portable, being transferable to different rooms or places in the clinical environment. In contrast, linear accelerators or LINACS which typically deliver x-rays with energies in the MeV range require thickened walls around the device because higher energy x-ray photons have high penetration ability. Concomitant with the higher energy photons, LINACS require much greater power and machinery to generate these high energy photons including high voltage power supplies, heat transfer methodologies, and internal shielding and protection mechanisms. This increased complexity not only leads to higher cost per high energy photon generated but leads to a much heavier device which is correspondingly more difficult to move. Notably, as described above and demonstrated experimentally, as discussed below, MeV photons are not necessary to treat superficial structures within the body and, in fact, have many disadvantages for superficial structures, such as penetration through the bone into the brain when only superficial radiation is required. X-Ray Subsystem The x-ray subsystem 700 generates x-rays and can include a power supply, a collimator, and an x-ray tube. In certain preferred embodiments, the x-ray subsystem 700 includes an orthovoltage x-ray generation system 1070 to produce orthovoltage x-rays with energies between 10 keV and 500 keV or even up to 800 keV. This type of x-ray generation scheme includes a high voltage power supply that accelerates electrons against a tungsten or other heavy metal target, the resulting collision then generating electromagnetic energy with x-ray energies. Orthovoltage or low energy x-ray generators typically emit x-rays in the range from about 1 keV to about 500 keV or even up to about 1 MeV. In some embodiments, the system described herein emits x-rays with photon energies in the range from about 25 keV to about 100 keV. The use of low energy x-ray systems allow for placement of these x-ray treatment systems in outpatient centers or other centers and will not require the overhead and capital requirements that high energy (MeV or gamma) x-ray systems require. In the treatment of opthalmologic disorders, such as AMD, placement in the ophthalmologist office or close to the opthalmologic office is important because the ophthalmologists can treat many more patients, a very important component when treating a disease that afflicts millions of patients. If the device were limited to operating within vaults inside radiation oncology centers, the number of treatable patients would be much more limited because of access, cost, competition with other diseases, and other logistics. The radiation generation module in some embodiments is composed of components that are arranged to generate x-rays. For example, a power supply generates current which is adapted to generate and accelerate electrons toward an anode, typically manufactured from a heavy metal such as tungsten, molybdenum, iron, copper, nickel, or lead. When the electrons hit one of these metals, x-rays are generated. An exemplary set of x-ray spectra is shown in FIG. 1F. The term “kVp” refers to the maximum (peak) voltage of the x-ray power supply. It is typically identical to the maximum photon energy delivered by the x-ray source (keV). When x-rays are generated by high voltage electricity, a spectrum of x-ray at various x-ray levels is obtained, a typical spectrum set shown in FIG. 1F. The maximum voltage is typically identical to maximum x-ray photon energy. For example, the 80 kVp spectra in FIG. 1F has a maximum of 80 keV with a leftward tail of lower energy radiation. Similarly, the 60 kVp spectrum has a maximum of 60 keV with a similar leftward tail. All spectra in the figure have been filtered through 3 mm of Aluminum. Filtering shapes the spectral curve. Lower wavelengths are filtered to a greater degree than the higher wavelengths. Filtering of the raw spectra is important to customize the x-ray energy for the application at hand where the superficial energy, if not filtered, would be absorbed by the superficial structures of the eye (e.g., sclera). To the extent that it is desired that x-ray energy reach the structures of the retina with minimal energy absorption by the anterior structures of the eye, filtering of the raw spectra is important to the system; with filtering, the resulting spectrum contains a greater amount of high energy photons than low energy photons, essentially a low-pass filter. As described, for some disease processes, it is desirable to have a predominance of low energy x-ray reach the anterior structures of the eye in which case the lower voltages will be used with correspondingly lower keV peaks. Adjustment of the power on the power supply will result in a decrease in the peak voltage of x-rays, limiting the amount of higher energy photons. In some embodiments, it may be desirable that a non-uniform filter be used. For example, the filter may have varying thicknesses across it to accommodate varying differences in the x-ray spectra in one treatment region. A power supply 150 as shown in FIG. 1A powers the radiation module. The power supply 150 is rated to deliver the required x-ray with a given current. For example, if 80 KeVp x-rays are being delivered from the source at 10 mA, then the power required is 800 W (80 kilovolts×0.01 A). Connecting the power supply to the x-ray source is a high voltage cable which protects and shields the environment from the high voltage. The cable is flexible and in some embodiments has the ability to be mobile with respect to the power supply. In some embodiments, the power supply is cooled with an oil or water jacket and/or convective cooling through fins or a fan. The cooling fluid can move through the device and be cooled via reservoir outside the system 10. Electromotive Subsystem FIGS. 2A and 12A depict embodiments of the electromotive subsystem 600 of the treatment system 1000 illustrated in FIG. 1B. The subsystem is an advantageous component of the therapeutic system because it controls the direction and the size of the x-ray beam in relation to the anatomy of the eye and the disease to be treated. In general terms, the electromotive subsystem is directed in the space of the global coordinate system 1150 by the personalized eye model created from the patient's biometric data. The data from the model is transferred through the treatment planning system to the electromotive subsystem 600 to direct the x-ray beam to the target on or in the eye. In certain embodiments, the system can include a collimation system 3315, a shutter system, and an electromechanical actuation system to move the x-ray source and/or collimators. Referring to FIGS. 2A and 12A, orthovoltage x-ray source, or tube, 1070, 3325 is depicted. Collimators 1030, 1040, 1052, 3315 are calibrated to produce a small collimated beam 1062 of x-ray photons; in a preferred ophthalmic embodiment, the tightly collimated beam 1062 has an area of from about 1 mm2 to about 20 mm2 in a circular or other shape and a diameter of from about 0.5 mm to about 6.0 mm. Multiple collimators allow for improved penumbra percentages; the smaller the penumbra, the finer the application of x-rays to a specified structure. FIGS. 2B′-2B′″ depict embodiments of collimator designs in which a variety of collimator configurations are depicted. For example, FIG. 2B′″ depicts a collimator configuration in which a doughnut, or annular, shape of x-rays is generated; FIG. 2B″″ depicts a collimator configured with a nozzle, or conical, shape 1232 to limit the penumbra or create a substantially uniform radiation beam. Other cross-sectional shapes can include, for example, concentric rings, an ellipse, a circle, a polygon, and a crescent. The collimators, operating in conjunction with filters 1010, 1020 preferably cause the x-rays to leave the collimator in a beam 1062 having a substantially parallel configuration. In certain embodiments, electromotive system 3300 is customized to treat the eye with doses of radiation in a range of positions 3335. The range of positions 3335 is limited because the eye and treatment volume are small, and the source is positioned relatively close to the treatment region. As determined by the other components of the system as well as the ocular geometry, x-ray tube 3325 may only move within a volume of about 1 cm3 to about 5 cm3 for the entire treatment program. Also dictated by the x-ray tube size and energy, the time for movement through this volume may take place over a period of minutes which limits the size of the motors required to run the electromotive system and allowing for a table top positioning system 3300. The limited movement of the positioning system also allows the cooling tubes 3345 and power supply tubes 3322, leading from the power supply 3320, to be relatively constrained and not move with the tube, further simplifying the system. Because the system is customized for treating the eye, many elements of the x-ray generation system are smaller than, for example, linear accelerators. Customization for the eye allows more flexibility of the system as far as placement in a greater number of locations and physician usability. The electromotive subsystem, or control system, 600 interacts with and is under the direction of the global treatment planning system 800 in FIG. 1B. The electromotive subsystem 600 receives commands from the treatment planning system 800 which can dictate among other things, the length of time the x-ray machine is turned on, the direction of the x-ray beam with respect to the eye target using data from the eye model or treatment planning system, the collimator size, and the treatment dose. The eye target 1300 and the control system 600 can be linked in global coordinate space 1150 which is the basis of the coupling system. The treatment planning system 800 directs the therapy using global coordinate system 1150. The x-ray control system 600 dictates the direction and position of the x-ray beam with respect to the ocular target and moves the x-ray source into the desired position as a result of commands from the treatment planning system 800. In some embodiments, the collimators and/or the x-ray source can be placed on a moving wheel or shaft (1100, 1110, 1120) with one or more manual or automated degrees of freedom allowing the beam to be moved to a multitude of positions about the globe of the eye. In some embodiments, the x-ray source 1070 is movable with greater than one degree of freedom such as with a robot or automated positioning system 3300. The robot moves the x-ray source with respect to a global coordinate system such as a Cartesian coordinate system 1150 or a polar coordinate system. The origin of the coordinate system can be anywhere in physical space which is convenient. In some embodiments, the x-ray source is movable with four, five, or six degrees of freedom. In some embodiments, a robot is also utilized to move any of the other components of the x-ray control system such as the collimators. In some embodiments, the collimators are controlled with their own electromechanical system. The electromotive subsystem can also contain one or more shutters to turn the beam on and/or off in an instant if desired (for example, if the patient were to move away). The x-ray source 1070 and/or collimators can move in any axis in space through an electromechanical actuation system (1100, 1110, 1120). The x-ray coupling subsystem 500 integrates with the x-ray generation subsystem 700 under the umbrella of the treatment planning system 800. Also depicted in FIG. 2A, and in more detail in FIG. 2C, is at least one laser pointer or other relatively collimated light source (e.g., a light emitting diode with a small angle of divergence) 1060 (1410 in FIG. 2C) which can serve multiple purposes as described. In some embodiments, the laser pointers 1060 couple with the direction of the collimated x-ray beam 1062 so that the centroid of the laser beam is approximately identical to the centroid of the x-ray beam 1062 so as to have a visible marker as to where the x-ray beam is being delivered. Because x-rays are not visible, the laser pointers serve to identify the direction of the x-ray beam relative to other parts of the radiotherapy system. Where the center of the x-ray beam is directed, the center of the laser beam is correspondingly directed as well as shown in FIG. 2C. Radiotherapy Coupling Subsystem A third major subsystem of the present disclosure is the coupling subsystem or module 500. In general terms, the coupling module 500 coordinates the direction of the x-ray beam position to the position of the eye. As depicted in FIGS. 2A-2D and described above, some embodiments include laser pointer 1060 (one or more may be desired) that is collinear with the x-ray beam. In some embodiments, the laser pointer(s) allows for detection of the angles of incidence of the laser beam 1500 (FIG. 3A) with respect to the sclera or other surface they impinge upon. The angles of incidence 1510, 1520 can be defined by two orthogonal entrance angles (θ, φ) on the sclera or other surface. Centroids of the one or more laser pointers 1060 preferably coincide with the centroid of the x-ray beam as it impinges on the sclera or other surface. As will be described in greater detail below, the laser pointer can also serve an important purpose in the imaging subsystem which is to provide a visual mark (FIG. 3A) 1570 on a surface of an eye 1600 when the eye is imaged by the camera 1550 and digitized or followed in the imaging subsystem. With the visual mark 1570 on the digitized image and the angles of incidence 1510, 1520 of the laser beam 1500, computer generated projections 1700, 1730 of the x-ray (or laser) (FIG. 3B) can be produced on a computer-generated (virtual) retina 1720. In some embodiments, the projections 1700, 1730 are the same, and in some embodiments, the projections can be distinct. For example, in some embodiments, the projection 1700 external to the eye may have different characteristics (e.g., trajectory, penumbra, etc.) than does the projection 1730 within the eye. The computer-generated virtual retina 1720 (FIG. 3B) is described in further detail below and is a component of a virtual ocular model and is obtained via real data from an imaging system such as, for example, an OCT, CT Scan, MRI, A- or B-scan ultrasound, a combination of these, or other ophthalmic imaging devices such as a findoscopy and/or scanning laser opthalmoscopy. In addition to the retina 1720, x-ray delivery to any structure within the eye can be depicted on the virtual ocular model 1725. As shown in FIG. 3A, laser beam 1500 is shown as the mark 1570 on screen 1590, which is a depiction of the image seen by the camera 1550 and then in digitized form within the treatment planning system 800. With angles θ 1520 and φ 1510 and the location of the mark 1570 of the laser pointer on the digitized image of the eye 1600, the path 1730 through a “virtual eye” 1725 can be determined in a computer system 1710 (FIG. 3B). If the position is not correct, a signal can be sent back to the electromotive module in order to readjust the targeting point and/or position of the laser/x-ray. In certain embodiments, a second camera can be used so as to detect the angles of the laser pointer and x-ray beam. These angles can be used to detect the direction of the x-ray beam and send a signal to the electromotive system for re-positioning. This feedback system can ensure proper positioning of the electromotive subsystem as well as correct dosing of the x-ray irradiation to the eye. In some embodiments, an analogue system is used to detect the position of the eye. In these embodiments, the target structure, the eye, is assumed to be in a position and the x-ray control system positions the x-ray source around the globe of the eye, then applying the pre-determined amount of radiation to the eye structure. In certain embodiments, as depicted in FIG. 1E, a physical connection to the eye is used for direct coupling between the eye and the radiotherapy system. In these embodiments, a connection between the eye and the system can be mediated by a lens, such as a scleral contact lens 935. A physical link between the lens 935 and the system 10 is then provided by structure 175 which directly links to the radiotherapy system 10. The scleral lens 935 can be a soft or hard lens. The lens 935 can further contain one or more connections so that suction can be applied to the sclera so as to stabilize the eye during the therapy. The scleral lens 935 and associated attachments can be used to localize the eye in space. When the position of the sclera is known with the lens, the position of the eye is known as well. The eye is then coupled to the radiotherapy device 10. In some embodiments, the connection between the contact lens and the radiotherapy device 10 is a non-mechanical connection in that the connection is an optical one such as with a laser pointer or one or more cameras to detect the actual position of the eye relative to the radiotherapy system. The position of the eye in physical space is used to simulate the position of the beams in the virtual eye model and then back to the physical world to place the x-ray system to deliver the desired beam direction, angles, positions, treatment times, etc. In some embodiments (e.g., see FIG. 2G), a schematic of the alignment system is depicted for radiosurgery device 2745. The treatment axis 2735, as described, is represented by a line perpendicular from the system, through a patient interface (e.g. a scleral lens), to the posterior pole of the eye 2720. A camera 2740 can image the region at the front of the eye or the region where the laser pointer 2765 exits. The macular lens and guide 2730 can contain a mirror which can reflect the laser pointer beam back on to the radiosurgery system, the reflection being detectable by the camera 2740. When the radiosurgery system and the mirror are perpendicular to one another, the entire system is then aligned along the treatment axis 2735 (as described above with respect to FIGS. 1I and 1J). Similarly, this type of alignment system can also be used to gate the radiotherapy system to misalignment or to patient/eye movement. For example, the reflection from the mirror can communicate with a sensor. In the absence of direct communication between the reflected beam and the sensor, the radiotherapy system can be gated off. In some instances, it is desirable to know the scatter dose of the x-ray beam being delivered to a treated structure within the eye. For example, when neovascularization is being treated in the retina with a beam traveling through the sclera, scatter to the lens or optic nerve may be modeled. In some instances, it may be desired to know the dose to the neovascular membrane on the retina, the primary structure to be treated. Imaging Subsystem Another advantageous feature of embodiments described in this disclosure is the imaging subsystem 400, which can also serve as an eye tracking system (FIG. 4) and offers the ability to couple patient movement or eye movement with the other subsystems above. This subsystem 400 advantageously ensures that the patient's eye 2010 does not grossly move out of the treatment field 2060. Camera 2055 can be the same camera 1550 in FIG. 3A. The camera 2055 delivers an image to screen 2050. The imaged laser spot 2052 is also shown on screen 2050. The video screen 2050 can be the same video screen 1710 in FIG. 3B. Field 2060 in FIG. 4 is the zone within which the eye can move; if the eye 2010 moves outside the zone 2060 on the screen, then the radiation source is either turned off, shuttered off, or otherwise disengaged from the eye 2010. In some embodiments, when an image of the eye 2030 reflects that the eye 2010 has moved out of field 2060, a signal 2090 is sent to the x-ray control system (FIG. 2A) to turn the shutter off. Aside from ensuring that the eye remains within the treatment field, the imaging system couples to the other subsystems by enabling projection of the laser pointer/x-ray beam 2052 on the back of the computer generated virtual eye. In some embodiments, the imaging subsystem is composed of two or more cameras which are used to create a three-dimensional rendering of the eye in space, the three-dimensional rendering then integrated into the overall treatment scheme. Treatment Planning System The treatment planning system 800 is, in part, a virtual system and is depicted in FIG. 1B; it integrates all of the inter-related modules and provides an interface for the health care provider as well. The planning system 800 is the “brains” of the system 10 and provides the interface between the physician prescribing the therapy and the delivery of the therapy to the patient. The treatment planning system integrates anatomic, biometric, and in some cases, geometric assumptions about the eye “the virtual eye model” with information about the patient, the disease, and the system. The information is preferably incorporated into a treatment plan, which can then direct the radiation source to apply specific doses of radiation to specific regions of the eye, the doses being input to and output from the treatment planning system 800. In certain embodiments of the treatment planning system 800, treatment with radiation may be fractionated over a period of days, weeks, or months to allow for repair of tissues other than those that are pathologic or to be otherwise treated. The treatment planning system 800 can allow the physician to map the treatment and dose region and to tailor the therapy for each patient. Referring to FIG. 2E, the treatment planning system 800 forms the center of a method of treatment using radiosurgery system 10. In certain embodiments, the imaging module 400 of the system 10 includes an eye registration and imaging system 810. In certain embodiments, the eye-tracking system is configured to track patient movement, such as eye movement, for use by the treatment planning system 800. The eye-tracking system 810 can calculate a three-dimensional image of the patient's eye via physician inputs, and can include real-time tracking of movement of the patient's eye. The eye-tracking system obtains data that becomes a factor for determining radiotherapy treatment planning for a number of medical conditions relating to the eye, as described above. For example, the eye-tracking system may create an image of the posterior region of the patient's eye using the data it obtains. In certain embodiments, the data can be transferred via cable communication or other means, such as wireless means, to the processing module 140 of the radiotherapy treatment system 10. In certain embodiments, the processing module 140 may process data on the patient's eye and present an image of the patient's eye on the interface display 130. In certain embodiments, the interface display 130 may present a real-time image of the patient's eye, including movement of the eye. In certain embodiments, the eye-tracking system obtains data on the patient's eye while the patient's face is placed approximately upright on and secured by the articulated head restraint 160 such that the patient's eyes face substantially forward, in the direction of the imaging module 400. In certain embodiments, the eye-tracking system may include an alignment system, adjustable using a joystick. The joystick can be tilted horizontally, vertically, or both horizontally and vertically, on a fixed base, in order to adjust the location and/or image displayed on the interface display 130 by the imaging module 400. Another feature of the present disclosure is an integrated plan for treatment. The scale of the device as well as a limitation that the device treat a specific anatomy limits the scope of the treatment planning system which also allows for economies of scale. It is preferable that the x-ray beams be focused so that they apply radiation selectively to target regions of the eye and not to other regions of the eye to which high x-ray doses could be toxic. However, in some embodiments, the eye is the only anatomic region that is treated. In certain embodiments, the retina is the target for the ophthalmic treatment system; one or more beams would be directed to regions of the retina as they pass through the sclera. For treatment planning purposes, it is preferable to know the three-dimensional position of the eye and retina with respect to the output beam of the system. The treatment planning system incorporates detailed images and recreates the geometry of the eye and subsequently directs the x-ray system to manipulate the x-ray output so that the output beam points in the target direction. In some embodiments, the x-ray system is directed and moved automatically. The treatment planning system 800 may utilize, or be coupled to, imaging systems such as, for example, optical coherence tomography systems (OCT), ultrasound imaging systems, CT scans, MRI, PET, slit lamps microscopy systems, direct visualization, analogue or digital photographs (collectively referred to as Biometry Measurements 820). In some embodiments, these systems are integrated into real-time feedback systems with the radiotherapy device such that second be second system updates of eye position and status can take place. Although relatively sophisticated, the system 800 may be limited to the ophthalmic region and therefore takes advantage of specific imaging equipment only available for the eye. In some embodiments, the treatment planning system incorporates the entire soft tissue and bony structures of the head of a patient. The model incorporates all the anatomic structures so that obstructing anatomic regions can be excluded from the treatment. For example, the treatment plan incorporates the nose, the forehead, and associated skin and cartilage to dictate the directionality of the radiotherapy beam with respect to the eye. In some embodiments, these structures are related to the global coordinate system and aid in tracking and treating regions of the eye. In some embodiments, the treatment planning system incorporates physical modeling techniques such as Monte Carlo (MC) simulation into the treatment plan so that the real time x-ray doses can be delivered to the ocular structures. In these embodiments, the inputs to the treatment planning system 800 are integrated with Monte Carlo simulation of the planned treatment plan and the effects of the plan, both therapeutic and potentially toxic, can be simulated in real time. In some embodiments, geometric ray tracing models are used with estimates based on prior Monte Carlo simulation. Ray tracing models with prior Monte Carlo support rapid and real time simulation of dosimetry. The method depicted in FIG. 2E is as follows. Biometry measurements 820 and user controls 875 such as structure and dose are entered into the treatment planning system 800. Other inputs include information from an eye registration and imaging system 810. The output from the treatment planning system 800 consists of commands sent to the x-ray source and electromotive subsystem to move and position the source as well as to direct the on and off times (dose control) of the x-ray source 830. In some embodiments, maximum beam energy is set by the treatment planning system in order to create doses and plans for specific diseases. After a dose 840 is delivered, the treatment planning system 800 then signals x-ray source movement to deliver an additional dose 840. This cycle can iterate several times until the treatment is completed. FIG. 2F depicts embodiments of the use of biometric measurements 910 to create an eye model and subsequently align a radiotherapy apparatus to the eye 900 within the coordinate reference frame 940. In some embodiments, an A-scan ultrasound 910 is used to obtain biometric data such as axial length, anterior chamber depth, and corneal thickness, which can then be combined with measured parameters such as white-white distance and/or corneal thickness, and then entered into a computerized model 920 that parameterizes the data and places the parameterized data into the coordinate reference frame 940. Subsequent to this step, the robot is placed within the same coordinate reference frame 930 as the eye. FIG. 2G depicts an arrangement 2700 to align the radiosurgical device 2745. The goal of alignment is to align the output of the radiosurgical device 2745 and optionally the laser pointer 2765 with the treatment axis 2735 or any other defined axis of the eye. When the device 2745 is aligned with the treatment axis, the device 2745 is aligned approximately with a posterior pole 2720 of the eye. The posterior pole of the eye is approximately the position of the macula. In some embodiments, the collimator assembly is created so that the focused radiation is applied to the entire posterior pole 2720 including the extension of the treatment axis 2735 to the posterior pole 2720 as well as the macula. A camera 2740 is used to verify and/or maintain position of the combined radiosurgery and laser pointing device. The camera can base its verification on the eye contacting device 2730 or purely based on imaging of visible structures on the eye. In some embodiments, the camera 2740 detects the laser pointer position and based on the position of the pointer on the target, the radiosurgical device is moved into an alternate position with the new laser pointer position used to verify the position. In some embodiments, patient fixation (depicted in FIG. 2H) on a target is utilized to align the radiosurgical device to a visual axis 2790. The line of site between an object and the retina is directed to the fovea, located at the center of the macula, which is an area the radiotherapy systems described herein are configured to treat. In some embodiments, the patient is requested to fixate on an object so that the visual axis can be identified and the device aligned with this axis 2790. The patient fixes their eye on a fixation point 2770, which in some embodiments is a circular target. A line can be drawn between the object and the center of the pupil 2780 which, when projected toward the posterior pole of the eye, intersects the macula or fovea 2760. In one embodiment, the fixation point 2770 is the center of a circle so that a line through the center of the circle to the retina via the pupil center 2780 is the visual axis. A lens 2772 is used to collimate or align light from the fixation point 2770 so that the rays from the fixation point 2770 do not diverge and the central region of the fixation point 2770 can be used as the starting point for the visual axis. The visual axis 2790, then, by definition, becomes the treatment axis in this embodiment in place of the geometric axis in other embodiments. Once this line is defined in space, then the radiotherapy device can rotate about this imaginary line, delivering the radiation beam 2750 to the target tissue, which is depicted as the fovea. The beam 2750 from the radiotherapy device can then be placed at the proper angle to reach the macula 2760 yet avoid the cornea 2785, lens 2777, and optic nerve 2775. For example, if a single beam can deliver the desired amount of radiation, the treatment planning system determines the direction of the x-ray beam relative to the patient specific anatomy and then the x-ray source is turned on. If two beams are desired to create the dose accumulation to the target, then the treatment planning system determines the size of the beams, their angles relative to the target and the specific patient anatomy, then applies the first beam to the eye in a first angle and a second beam at a second angle relative to the target. A similar method is used for three, four, five, or six beams. Monte Carlo Simulation and Experimental Validation Monte Carlo (MC) simulations are used to model x-ray absorption, scatter, and dosing to structures impinged on by x-rays. Monte Carlo methods are a widely used class of computational algorithms for simulating the behavior of various physical and mathematical systems, and for other computations. They are distinguished from other simulation methods (such as finite element modeling) by being stochastic, that is, non-deterministic in some manner. Monte Carlo simulation forms an integral part of all treatment planning systems and is used to assist in treatment planning where radiation is involved. Monte Carlo simulation can also be used to predict and dictate the feasibility and other elements of the radiotherapy system 10 (e.g., optimization of the collimator and treatment planning schemes); for example, the collimation designs, the energy levels, and the filtering regimes, can be predicted using Monte Carlo simulation. The designs predicted by Monte Carlo simulation should be experimentally verified and fine-tuned, but MC simulation can predict the initial specifications. In some embodiments of radiotherapy where the anatomy, beam energies, and treatment volume are similar, the Monte Carlo simulations can be run once and then the path variables altered (e.g., through ray tracing or other geometric methodology) without need to go back to Monte Carlo simulation. In some embodiments, MC simulation is integrated into the treatment planning systems and in other embodiments, MC simulation dictates the algorithms used by the treatment planning system 800. MC simulation is often used in the back end of the treatment planning system to create boundaries of treatment. For example, MC simulation can predict the penumbra of an x-ray beam. The penumbra of the x-ray beam is used in the virtual world to direct the x-ray beam and set boundary limits for the x-ray beam with respect to the lens, optic nerve, etc. In some embodiments, age-related macular degeneration (AMD) is the disease treated with the x-ray generation system. In some embodiments, the x-ray system 10 is used to treat post-surgical scarring in procedures such as laser photocoagulation and laser trabeculotomy or laser trabeculectomy. In some embodiments, the x-ray system is used to treat pterygia, ocular tumors or premalignant lesions such as hemangiomas and nevi. Importantly, the x-ray treatment system allows for selective irradiation of some regions and not others. In some embodiments, radiation is fractionated over a period of days, months, or weeks to allow for repair of tissues other than those which are pathologic or to be otherwise treated. In order to 1) prove that lower energy radiation can be delivered to the retina to treat AMD in a clinically relevant time period with a device on the size scale in FIG. 1; 2) from a clinically relevant distance; and 3) optimize some of the parameters of the treatment system for initial design specifications for the x-ray tube, an MC simulation was performed. Eye geometry was obtained and a two-dimensional, then three-dimensional virtual model created, as shown in FIG. 5. Soft tissue and hard tissue (e.g., bone 2065) was incorporated into the model in FIG. 5. Axis 2082 is the geometric axis, also termed the optical axis, of the eye. FIG. 6 depicts different beam angles (2100, 2110, 2120, 2130, 2140) with respect to the optical axis of the virtual eye which were modeled in this system to simulate therapy to the macular region to treat AMD in this example. In this simulation, each beam enters the eye at a different angle from the geometric central axis 2082. In this example, the geometric axis is assumed to be the treatment axis of the eye. Each beam cuts a different path through the eye and affects different structures, such as, for example, the macula 2094, optic nerve 2085, lens 2075, sclera 2076, cornea 2080, and fovea 2092 differently depending on the path through the eye. This modeling is used to determine the angle of radiation delivery of the radiotherapy device and is incorporated into the treatment planning algorithm. For example, in FIG. 6, beam 2120 enters the eye directly through the eye's geometric axis and beam 2100 enters through the pars plana. A series of x-ray energies were modeled using a range of energies from about 40 keV to about 80 keV. A proposed collimation scheme was used to produce a near parallel beam as was a series of different filters (about 1 mm to about 3 mm thickness aluminum). The combination of angle of entry of the beam, photon energy of the beam, and filtration of the beam all factor into the relative amounts of energy deposition to the various structures. FIGS. 7A-7E depict some of the results from the MC simulation with the 80 keV energies showing that the x-ray beams can indeed penetrate through the sclera 2200 and to the retina 2250 with minimal scatter to other ocular structures such as the lens 2260 and the optic nerve 2085. The higher density of dots indicate actual x-ray photons in the MC simulation so that the relative absence of photons on the lens for example (FIG. 7A) in certain beam angles is indicative of lack of photon absorption at the level of the lens. These simulations reveal that low energy x-ray beams with widths up to 8.0 mm will substantially avoid critical structures of the anterior portion of the eye at certain angles off of the central axis. This modeling is incorporated into treatment planning for each patient and for each disease being treated. FIG. 7F (top picture) depicts the results of a simulation of a series of beams which enter the eye through the pars plana region. These angles are the clock angles (a-h; counterclockwise looking at the eye and their penetration through the eye affects the structures of the front part of the eye similarly but affect the structures which are asymmetric behind the eye (e.g., the optic nerve) differently. This simulation was done to plan how to minimize dose to the optic nerve while maximizing dose to the target regions and can be performed for each patient with varying geometries. In some embodiments, simulations are performed by directing the beam toward the eye through the pars plana direction and from various clockface incident angles (a-h in FIG. 7F) which each correspond to varying nasal-temporal and caudal-cranial positions. In some embodiments, these beams are between about 2 mm and about 5 mm in cross-section, such as diameter, and have an energy of between about 60 keV and about 150 keV (also see FIG. 11H). Beams e,f,g,h,a which are generally directed from the inferior to superior direction and/or from the nasal to temporal direction, shown in FIG. 7F, have the most optimum profile with respect to the optic nerve 2085 and lens 2260. In some embodiments, certain angles or directions are identified as corresponding to certain structures that are desirable to avoid during treatment. Consequently, the angles that correspond to these structures are not used for the trajectory of the x-ray during treatment, thus avoiding the optic nerve. For example, in some embodiments, the angle b (FIG. 7F) may correspond with an x-ray trajectory that would pass through the optic nerve 2085. In these embodiments, the angle b may not be used to reduce the likelihood of exposing the optic nerve to the x-ray. Accordingly, the angles can be used to optimize the treatment plan and present as little risk as possible to existing structures that are sensitive to radiation. FIG. 7F depicts eight trajectory angles. In some embodiments, the x-ray trajectory can include less than eight or more than eight trajectory angles. For example, in some embodiments, four, six, ten, or twelve trajectory angles are presented. In these embodiments, optimal beam directions are provided by those beams (e.g., b, a, g, h, f) which are considered to come from the nasal direction. Beam entry angle on the sclera and its transmission to the retina are chosen by the treatment plan and are used to optimize radiotherapy to target structures by the treatment planning system. The lower picture in FIG. 7F shows the dose on the retina of one of the angled beams in the picture above. The predicted isodose fall-off for these beams is greater than about 90% within about 0.05 mm to about 0.1 mm of about a 1 mm to about a 2 mm beam which is less than ten percent greater than the 100% isodose region. Region 2290 depicts a region of higher dose within the iso-dose profile. This higher dose region 2290 results from the fact that the beam enters the eye at an angle. The increase in the dose is moderate at approximately ten to twenty percent higher than the average for the entire region. Furthermore, because there are multiple beams entering the eye, the areas of increased dose 2290 average out over the region of the retina. Therefore the higher dose region is incorporated into the treatment plan to account for the uneven distribution. FIG. 8 is a quantitative, graphical representation of the data in FIGS. 7A-7E. What is shown is the surface to retina dose for different x-ray tube potentials and for different aluminum filter thicknesses 2385. This graph is the data for beams 2100 and 2140 in FIG. 6. The ratio of surface to retina dose is shown in FIG. 8 (i.e., the dose of entry at the sclera to the dose at the retina); what can be seen is that the dose to the sclera is not more than 3 times the dose to the retina for most beam energies (tube potentials). For energies greater than about 40 kVp, the ratio of surface dose to retina dose 2375 is less than about 3:1. What this says is that if the spot were maintained in the same position as about 25 Gy was delivered to the retina, the maximum dose to the sclera would be about 75 Gy. Of course, as the beam is moved around the eye, the about 75 Gy is averaged over an area and becomes much less than the dose of about 25 Gy to the macula. This is depicted in FIG. 6 which shows the results of the movement to different points along the sclera with the x-ray beam. At 80 keV 2380, the ratio of surface to depth dose is closer to about 2.2 with about 1 mm of filtering. These data are integrated into the treatment plan and the design of system 10 and, in part, determine the time and potential of the x-ray tube. The surface-depth dose of the beam is also integral in determining the treatment energy levels and corresponding tube potentials for various disease treatment and is therefore incorporated into the treatment planning system. Therefore, in some embodiments, tightly collimated x-ray radiation at energy levels greater than about 40 keV with greater than about 1 mm of filtration delivered through the pars plana region of the eye can be used to deliver a therapeutic dose of radiation to the retina with a relatively lower dose buildup on the sclera, the lens, or the optic nerve than the therapeutic dose delivered to the retina. For example, if a therapeutic dose to the retina is about 25 Gy or less, the dose to any region of the sclera penetrated by the beam will be less than about 25 Gy. FIG. 9 is a bar graph representation showing scatter doses to ophthalmic regions other than the retina and comparing them to the retina. As can be seen in the logarithmic figure, the dose to the lens 2400 (beams 2100 and 2140) and optic nerve 2410 (beam 2140 alone), the two most sensitive structures in the eye, are at least an order of magnitude lower than the dose delivered to the macular region 2450 of the retina. Other beam angles result in distinctly higher doses to these structures. Therefore, a 25 Gy dose of radiation can be delivered to a region of the retina through the pars plana region of the eye with at least an order of magnitude less radiation reaching other structures of the eye such as the lens, the sclera, the choroids, and so forth. These simulations dictate the design specifications for the x-ray generation systems and subsystems. These simulations can also be integrated into the treatment planning system 800 as a component of the plan so that doses to therapeutic targets are higher than doses to critical structures. For example, the planning system, which incorporates the unique anatomy of each patient, can simulate the amount of radiation delivered to each structure dependent on the angle and position of delivery through the sclera. Depending on the angle, beam size, and beam energy, the radiation delivered to the ocular structures will vary and alternative direction can be chosen if the x-ray dose is too high to the structures such as the lens and the optic nerve. With reference to FIG. 10, to verify the validity of the MC simulations and verify that the eye can be assumed to be a sphere of water, a human cadaver eye 2500 was obtained and the ratio of surface to depth dose of an x-ray source was experimentally determined. Among other things, parameters of an emitted x-ray beam 2510 were compared with parameters of the beam 2520 emerging from the eye 2500. The ratio from the experimental set-up in FIG. 10 proved to be identical to that when the eye is assumed to be water in the MC simulations. For example, the ratio of surface to 2 cm depth for 80 keV with 2 mm filtration was indeed 3:1 as predicted by the MC model. Additional work verified that the dose fall off at each depth was likewise identical. This experimental work confirms that the modeling predictions using MC are accurate for ocular structures and that secondary interactions typically required of MC simulations with high energy x-rays are not necessary for lower energy x-rays. These observations significantly simplify the MC simulations and allow for quick real time simulations at the time of treatment planning using geometric relationships and predicted beam divergence. Furthermore, the design criteria which are used in the system 10 design can be accurately modeled using water for their prediction rather than the time and expense involved in obtaining human tissue. Further analysis and experimentation reveals that to deliver 25 Gy to the macula in a clinically relevant time period (e.g., not longer than 30 minutes), the system in FIG. 1 will draw about 1 mA to about 40 mA of current through the x-ray source. The exact number of mA depends on how close the x-ray tube is to the eye and the maximum spectral energy (e.g., about 50 keV) delivered which is also dependent on the maximum penetration depth desired. These parameters are integrated into a treatment plan which is used by the operator of the system to set the system parameters. If the tube is very close to the eye a low degree of penetration is desired, then the system will draw less current than if the system is further away from the eye. In some embodiments, it may be that the about 15 Gy to about 25 Gy needs to be delivered to the retina in a period shorter than 10 minutes. In such embodiments, the tube current may need to be upwards of 25 mA and the x-ray tube closer than 25 cm from the retina. These parameters are for energies of about 60 to about 100 keV and from about 1 mm to about 3 mm filtration with aluminum, lead, tungsten, or another x-ray absorbing metal. In certain embodiments, the collimator is less than about 5 cm from the anterior surface of the eye and the photon energy is about 100 keV with 1, 2, 3, 4, or 5 beams with diameters of between about 1 mm and about 6 mm entering the eye through the infero-nasal region. The nasal region affords the greatest distance from the optic nerve and the inferior region is preferred so as to avoid the bones of the nose and the anterior skull. These assumptions are for an eye which is positioned to look straight outward from the skull. In this embodiment, the treatment time may be less than about 5 minutes within a range of currents between about 15 mA and about 40 mA. Each beam of the 1-4 beams can be turned on for between about 3 seconds and about 5 minutes. In some embodiments, 3 beams are used for the treatment. In some embodiments, the collimator is placed within about 3 cm from the surface of the eye, and in some embodiments, the collimator is placed within about 10 cm of the surface of the eye. FIG. 11A1 depicts the results of a single collimated x-ray beam 2600 and FIG. 11A2 depicts the beam 2620 after it has penetrated through approximately 2 cm of water (an eye); the shaping collimator is approximately 5.0 cm from the surface of the water. As can be seen in FIG. 11A2, there is a small penumbra width 2610 about an original beam width 2620 after penetration through the eye which is less than 10% of the shaping beam shown in FIG. 11A1. These data incorporate both divergence as well as isodose drop off from scatter and reveal that for a collimator within about 10 cm of the target, the penumbra can be very small. The beam energy in this example is approximately 80 keV. FIG. 11B depicts a graphical representation of the penumbra from measurements within an x-ray detection film. Delta 2650 represents the absorption in the energy between the surface and the depth as recorded by x-ray sensitive film. The tails seen in 2640 versus 2630 indicate a small degree of penumbra effect as the beam loses energy through the eye. Indeed, the penumbra for a 0.5 mm to 6 mm spot size can be as low as about 0.01% and as high as about ten percent depending on the placement of the collimators with respect to the target. FIGS. 11C-11G depict simulations of the summation of the beams as described above. The simulation was accomplished using Monte Carlo simulation based on the device parameters discussed above, which created the experimentally verified single beam profiles shown in FIGS. 11A1 and 11A2. Alternatively, the beams can be created using ray tracing geometries or geometric models of beams which are verified by the Monte Carlo simulation such that the error between the ray tracing and the Monte Carlo simulation is relatively constant given that beams travel through a similar amount of tissue with minor changes to beam width, beam energy, and amount of tissue through which the beam travels. In FIGS. 11C-11D, radiosurgical beams are depicted on an anterior portion of the eye in some embodiments of a method of delivery of radiosurgical beams. In FIG. 11C, traversal zones 3000 on the sclera 3010 of an eye are depicted where radiosurgical beams are depicted traversing, or intersecting, the sclera 3010. Because the scatter and isodose fall of these beams are known to be low (e.g., within 10%), these beams can be placed within one beam diameter of one another without substantial overlap. The angles of the beams with respect to the center of the posterior pole and the macular regions are determined by the eye model and treatment plan. FIG. 11D depicts a saggital view of the beams depicted in FIG. 11C with the radiosurgical beams 3020 extending through the sclera and converging at the macula region 3025. Radiosurgical beams 3020 can be placed as little as about 100 microns apart and as far apart as about 2 mm or about 3 mm depending on the target region to be treated. Beams 3000 enter the sclera from the inferior or nasal region of the eye Furthermore, it is now known based on modeling data, that the beams 3020 can be placed about 50 microns to about 500 microns apart from one another at the target region without appreciable build up of dose on structures such as the lens, the sclera, and the optic nerve. It is also known from the modeling and experimentation that to deliver a dose of greater than about, for example, 20 Gy to a target region of the retina, greater than 1 beam can be advantageous, with treatment plans including up to and beyond about 5 beams for delivering the desired radiation dose. As described above, the surface to depth ratio of the beam to target is a factor in planning delivery of the dose. In the case of radiosurgical beams with energies in the 100 keV range, the surface to target dose can be as low as about 2:1 or up to about 5:1. Within these ranges of energies and surface-to-target ratios, any particular region of the sclera will not receive an unacceptable dose of radiation. For example, with 4 beams and 24 Gy to the retina (6 Gy per beam) the dose to each individual region on the sclera is approximately 15 Gy, a dose that has been determined to be well tolerated by the sclera. Indeed doses of up to 40 Gy can be tolerated well by the sclera. As shown by Pajic and Grener (Long-term results of non-surgical, exclusive strontium-/yttrium-90 beta-irradiation of pterygia; Radiation and Oncology 74 (2005) 25-29), doses up to even 50 Gy on the sclera is not harmful even up to 10 years later in young patients. In this case, 50 Gy could be delivered to a single point on the sclera and 18-24 Gy delivered to the macular region. Such a therapeutic regimen might then require only beam. FIG. 11E depicts a summation of the beams 3040 on the retina, and specifically the macula in this example. Notably, the fall-off in penumbra 3050 is very rapid at about 98% by a few millimeters from the edge 3060 of the beam. FIG. 11F depicts embodiments of a summated beam in which an oblong shape is created by collimators custom shaped to create a flared type of radiosurgical spot 3070 on the region between the vascular arcades 3080 which covers the macula. FIG. 11G depicts embodiments of a target region in which there is a checkered appearance 3090 of the dose (microfractionation) caused by passage through a collimator with multiple separate holes or collimated regions. Such “microfractionation” can allow for improved therapy to the target region with reduced side effects. To the extent that side effects are mediated by effects on the vasculature underlying the retina, by limiting the amount of radiation to these blood vessels and allowing for local collateralization around each microfraction, the retinal vasculature can be spared without sacrificing therapeutic effect. In some embodiments, origination of the neovascularization of the region is identified and incorporated into the treatment plan. In such embodiments, the radiation dose can be focused at this region to stop vascularization of the region. In some embodiments, a halo or annular treatment region is identified and treated to stop or reduce vascularization into a center portion of the halo or annular region. FIG. 11H depicts a comparison on a brain CT 3170 between a finely collimated orthovoltage radiosurgery beam 3100 and a prior art treatment beam 3105 in what has been attempted in the past (Marcus, et. al., Radiotherapy for recurrent choroidal neovascularization complicating age-related macular degeneration; Br. J. Opthalmology, 2004; 88 pps., 114-119). In the prior art treatment beam 3105, large linear accelerators were used without localization or customization specifically for the eye. The prior treatment beam path 3105 depicts the isodose calculations on the CT scan 3170. The figure depicts that the 90-100% isodose volumes emcompass the ipsiliateral entire optic nerve and retina. Even the contralateral optic nerve 3106 received 63% 3107 of the dose. As a result, the treatments performed in Marcus et. al. required fractionation of the dose over many days and with small fractions in order to prevent damage to normal tissues. Such fractionation and minimalist dosing and planning schemes likely lead to the lack of efficacy in those studies. In addition, these prior art attempt at applying radiation to the macula did not consider eye movements or eye position. In contrast, the beam path 3100 of a finely collimated orthovoltage beam is also depicted. This experimentally and theoretically verified microcollimated 100 keV beam enters the sclera in the pars plana region 3110 delivering 18 Gy to the sclera and completely misses the optic nerve 3106, the lens 3115, and the cornea 3120, and delivering a therapeutic dose of 8 Gy to the macular region 3125. Thereafter, in the brain, the radiation is scattered by the bone behind the eye to 1-2 Gy 3135 and quickly attenuates to 0.5 Gy 3130 in the brain tissue and the bone of the skull 3180. With three of these beams at different clock angles on the eye, the summation on the macula will be 24 Gy, with only 18 Gy to the sclera at three different entry points on the sclera. FIG. 11I similarly depicts prior art treatment beams (Adams, J. et. al; Medical Dosimetry 24(4) 233-238). In this study, a proton beam study, the 90% isodose line 3230 encompasses the optic nerve 3210 and the macula 3200. In addition, eye location and movement were not controlled in this study. The authors of this study reported significant complications, likely due to the very broad coverage of the retina with 20-24 Gy of proton beam radiation in 12 Gy fractions. Such complications likely negated any benefit of the therapy. The x-ray delivery described herein allows for delivery only to the macula where the disease exists while limiting or avoiding delivery of x-rays to other regions that are not diseased, FIG. 11J depicts a schematic of radiochromic film after benchtop delivery of 100 keV overlapping x-rays at a target site 3250. The region of overlapping x-ray beams 3275 are shown at their overlap region where the dose is 24 Gy. The optic nerve 3260 is depicted lateral to the overlapping set of beams at a scaled distance from the center of the overlap. A rapid isodose fall off 3273, 3277 occurs lateral to the overlapping region 3275 and well away from the optic nerve 3260. Notably, the isodose depicted at region 3265 is indeed between about 1% and about 10% of the dose (0.24 Gy-2.4 Gy) at the treatment spot 3275. These data are a consequence of the overlapping beam geometry as well as the fine beam collimation; they are physical proof of the ability of finely collimated overlapping orthovoltage x-ray beams to create well-defined treatment regions. Due to the 10-100 fold difference in treatment dose to optic nerve dose, fractionation is not required, and the entire dose can be given to the treatment region in one session with minimal concern for injury to important structures, such as the optic nerve. These overlap regions can be optimized and/or placed anywhere within the eye which is determined by the treatment planning system and depends on the beam energies, collimation, and filtering. The degree of overlap is also to an extent determined by system parameters. For example, treatment of the entire region of the retina for macular degeneration may be different than that for tumors or for hemangioma. These modeling techniques, parameters, and imaging system described above allow for an integrated system to be devised and developed. Some embodiments are depicted in FIG. 12A in which a five degree of freedom positioning stage is used so as to produce the desired beam angles to deliver radiosurgery to the retina. The collimator 3315 is positioned close to the eye of the patient 3330, so as to allow for an acceptable penumbra as well as a tightly collimated radiation beam as described above. The collimator is typically between about 1 mm and about 4 mm so that the spot size on the back of the retina is approximately about 4 mm to about 7 mm. Laser pointer 3225 travels through a beam splitter and exits the collimator with its center aligned with the radiation beam. Region 3335 is the space through which the device can move. The space can be planned based on imaging performed on the patient. The space is a small region which allows for simplification of the motion system moving the x-ray source 3325. The system 3300 also contains a hose system 3310, 3345 to deliver cooling fluid into and from the x-ray tube 3325. FIG. 12B depicts a cross-section schematic view of the system 3300 treating a patient's eye 3460. Laser pointer 3225 directs beam 3415 to a beamsplitter 3420 and out the collimator centered within the x-ray beam. The x-ray anode 3400 has a greatest dimension between about 50 microns and about 5 mm and can be placed from about 50 mm to about 200 mm from the retina. Maintaining the anode 3400 at this distance from the retina in one embodiment allows maintaining a low penumbra. The radiation beam 3410 is delivered through the collimator 3315, and its diverging path enters the eye approximately in the pars plana region 3470, missing the important structures of the anterior chamber such as the lens and the cornea. In some embodiments, a lens 3450 contacts the sclera or the cornea of the eye 3460 and can be used as a fiducial to direct the radiotherapy system. The collimator is typically within about 1 cm to about 12 cm from the beam entry point on the sclera. FIG. 12C depicts the clinical flow involving the radiotherapy device. An imaging modality and physical exam 3500 are used to create an eye model 3510, through which a 3D coordinate map is generated. The dose for a specific disease is chosen as is the maximum beam energy based on the region to be treated as well as the region to be avoided. These variables can be determined by treatment software as well as physician input related to the disease as well the depth of the diseased tissue. The patient is then positioned, and the optional contacting device is placed against or close to the eye of the patient 3520. The patient and guide are aligned with the guide 3530, and the treatment of a dose of radiation is applied 3540. FIG. 12D depicts a therapeutic set-up in which the radiotherapy device is aligned to a needle 3600 placed at least partially through the sclera 3620 and even into the vitreous 3630 of the eye. A light guide 3700, or pointer, can be placed into or coupled with the needle to illuminate the retina with a collimated light source. The needle 3600 and light guide 3700 can be stabilized within the sclera 3620 so that the collimated light source is stable on the point on the retina. The radiotherapy device can then be aligned with the needle 3600 and as such will deliver radiation in a straight line along the needle and along the light guide path and to the desired region of the retina. With this set-up, small regions of the retina can be precisely targeted. Combination Therapy Radiotherapy device 10 can be used in combination with other therapeutics for the eye. Radiotherapy can be used to limit the side effects of other treatments or can work synergistically with other therapies. For example, radiotherapy can be applied to laser burns on the retina or to implants or surgery on the anterior region of the eye. Radiotherapy can be combined with one or more pharmaceutical, medical treatments, and/or photodynamic treatments or agents. As used herein, “photodynamic agents” are intended to have their plain and ordinary meaning, which includes, without limitation, agents that react to light and agents that sensitize a tissue to the effects of light. For example, radiotherapy can be used in conjunction with anti-VEGF treatment, VEGF receptors, steroids, anti-inflammatory compounds, DNA binding molecules, oxygen radical forming therapies, oxygen carrying molecules, porphyryn molecules/therapies, gadolinium, particulate based formulations, oncologic chemotherapies, heat therapies, ultrasound therapies, and laser therapies. In some embodiments, radiosensitizers and/or radioprotectors can be combined with treatment to decrease or increase the effects of radiotherapy, as discussed in Thomas, et al., Radiation Modifiers: Treatment Overview and Future Investigations, Hematol. Oncol. Clin. N. Am. 20 (2006) 119-139; Senan, et al., Design of Clinical Trials of Radiation Combined with Antiangiogenic Therapy, Oncologist 12 (2007) 465-477; the entirety of both these articles are hereby incorporated herein by reference. Some embodiments include radiotherapy with the following radiosensitizers and/or treatments: 5-fluorouracil, fluorinated pyrimidine antimetabolite, anti-S phase cytotoxin, 5-fluorouridine triphosphate, 2-deoxyfluorouridine monophosphate (Fd-UMP), and 2-deoxyfluorouridine triphosphate capecitabine, platinum analogues such as cisplatin and carboplatin, fluoropyrimidine, gemcitabine, antimetabolites, taxanes, docetaxel, topoisomerase I inhibitors, Irinotecan, cyclo-oxygenase-2 inhibitors, hypoxic cell radiosensitizers, antiangiogenic therapy, bevacizumab, recombinant monoclonal antibody, ras mediation and epidermal growth factor receptor, tumor necrosis factor vector, adenoviral vector Egr-TNF (Ad5.Egr-TNF), and hyperthermia. In some embodiments, embodiments include radiotherapy with the following radioprotectors and/or treatments: amifostine, sucralfate, cytoprotective thiol, vitamins and antioxidants, vitamin C, tocopherol-monoglucoside, pentoxifylline, alpha-tocopherol, beta-carotene, and pilocarpine. Other agents include complementary DNAs, RNA, micro-RNA inhibitors (e.g., U.S. Pat. No. 7,176,304, incorporated herein by reference), and SiRNAs (e.g., see U.S. Pat. No. 7,148,342, incorporated herein by reference), all of which can be combined with radiation treatment. In some embodiments, these agents are provided with radiation treatment to improve tumor control; treat inflammatory conditions; and prevent, reduce, limit, or stabilize angiogenesis. Antiangiogenic Agents (AAs) aim to inhibit growth of new blood vessels. Bevacizumab is a humanized monoclonal antibody that acts by binding and neutralizing VEGF, which is a ligand with a central role in signaling pathways controlling blood vessel development. Findings suggest that anti-VEGF therapy has a direct antivascular effect in human tissues. In contrast, small molecule tyrosine kinase inhibitors (TKIs) prevent activation of VEGFRs, thus inhibiting downstream signaling pathways rather than binding to VEGF directly. Vascular damaging agents (VDAs) cause a rapid shutdown of established vasculature, leading to secondary tissue death. The microtubule-destabilizing agents, including combretastatins and ZD6126, and drugs related to 5,6-dimethylxanthenone-4-acetic acid (DMXAA) are two main groups of VDAs. Mixed inhibitors, including agents such as EGFR inhibitors or neutralizing agents and cytotoxic anticancer agents can also be used. Radiodynamic Therapy Radiodynamic therapy refers to the combination of collimated x-rays with a concomitantly administered systemic therapy. As used herein, the term “radiodynamic agents” is intended to have its ordinary and plain meaning, which includes, without limitation, agents that respond to radiation, such as x-rays, and agents that sensitize a tissue to the effects of radiation. Similar to photodynamic therapy, a compound is administered either systemically or into the vitreous; the region in the eye to be treated is then directly targeted with radiotherapy using the eye model described above. The targeted region can be precisely localized using the eye model and then radiation can be precisely applied to that region using the PORT system and virtual imaging system based on ocular data. Beam sizes of about 1 mm or less can be used in radiodynamic therapy to treat ocular disorders if the target is drusen for example. In other examples, the beam size is less than about 6 mm. Other compounds that can increase the local efficacy of radiation therapy are metallic nanoparticles, such as gold, silver, copper, or combinations thereof. These particles can further be tagged with targeting binding agents so that the nanoparticles can bind to targets on blood vessels or macrophages to target higher doses of radiation to specific areas of the patient. For example, Carter et. al. (Journal Physical Chemistry Letters, 111, 11622-11625) report improved and enhanced targeting using nanoparticles of gold. They further report even further targeting with targeting agents cross-linked to the gold particles. These nanoparticels can be combined with highly localized radiotherapy during treatment Other Applications for Portable Orthovoltage Radiotherapy The devices and methods described in this application can broadly be applied to body structures outside the eye. For example, there are many diseases which can be treated with portable orthovoltage stereotactic radiosurgery and the convenience of the therapy radiosurgical devices. The finely collimated beams and laser targeting are elements of the disclosure that can be utilized in a myriad of other treatment paradigms. For example, almost any pathology physically exposed during a surgical procedure can be treated with orthovoltage radiotherapy, including surgery of the skin and soft tissue, brain (FIG. 15), neck (FIG. 17), Breast (FIG. 14), Musculoskeletal System (FIG. 16), Peripheral Vascular System (FIGS. 17 and 20), uterus (e.g., endometrial or stromal pathology), and bioartificial materials (e.g., hernia meshes, vascular grafts, vascular patches, prosthetics, etc.) The primary disease can be treated or a condition considered secondary to the primary disease or surgery can be treated. Automated positioning systems can be utilized or manual positioning systems can be utilized to apply the therapy. The energy level (e.g., from about 40 KeV to about 500 KeV) can be chosen depending on the depth of the pathology and the type of condition being treated. The fine dose control described above which utilize small collimators with tight penumbras enable treatment of other body regions safely and in a controlled manner. In some embodiments, a system, for treating a target tissue with x-ray radiation, can include a radiation source that emits x-rays, the x-rays having an energy between about 1 KeV and about 300 KeV. The x-ray energies and ranges can also be similar to those discussed elsewhere in this disclosure. The system can also include a collimator that collimates the emitted x-rays into an x-ray beam, the collimator having an inner cross-sectional dimension. The x-ray beam can have a dose distribution at a beam spot in a plane at the target tissue as discussed elsewhere in this disclosure. For example, a dose of the x-ray beam at a region within the plane can be less than about 20%, 10%, 5%, or 1% of the dose at a centroid, or center, of the beam spot; wherein the region is located at a distance, away from the centroid of the beam spot, equal to about 70% of the inner cross-sectional dimension. In some embodiments, the distance can be about 80%, 60%, 50%, 40%, 30%, or 20% of the inner cross-sectional dimension. The system can also include an alignment system that aligns the x-ray beam with an axis traversing the target tissue and that positions the radiation source within about 50 cm from the target tissue. In some embodiments, the radiation source includes a collimator and can be positioned within about 40 cm, 30 cm, 20 cm, 10 cm, and 5 cm. The system can also include a processing module that receives an input comprising a parameter of the target tissue and that, based on the parameter, outputs to the alignment system a direction for the x-ray beam to be emitted toward the target tissue. Some embodiments described herein provide a system, for treating a target tissue with radiation, including a radiation source that emits x-ray radiation during a treatment session and that collimates the emitted x-ray radiation into a x-ray beam having a cross-sectional dimension of less than about 6 mm as the beam exits the collimator. In some embodiments, the cross-sectional dimension of the x-ray beam is less than about 5 mm, 4 mm, 3 mm, 2 mm, or 1 mm. The system can also include a mapping module that repeatedly maps a location of the target tissue to a coordinate system during the treatment session; a movement module that directs the emitted x-ray radiation along a trajectory that is based, at least in part, on at least one mapped location of the coordinate system; and a targeting module that emits a target light that indicates an approximate center of a beam spot of the x-ray beam. In some embodiments, a method, of applying x-ray radiation to target tissue, is described. The method can include obtaining imaging data indicative of a target tissue; identifying, based on the imaging data, a location of the target tissue; repeatedly mapping the location of the target tissue in the coordinate system, thereby producing mapped locations of the target tissue in the coordinate system; positioning, based on the mapped locations of the target tissue in the coordinate system, an x-ray collimator that directs an x-ray beam to the target tissue; and emitting the x-ray beam from the collimator to the target tissue, the x-ray beam having an energy of from about 1 KeV to about 500 KeV. In some embodiments, the x-ray beam has a dose distribution at a beam spot in a plane at the target tissue, such that a dose of the x-ray beam at a region within the plane and outside the beam spot is less than about 20% of a dose at a centroid, or center, of the beam spot. In some embodiments, the dose of the x-ray beam at the region within the plane and outside the beam spot is less than about 15%, 10%, 5%, or 1% of the dose at the centroid, or center, of the beam spot For example, collimated x-ray beams can be used in the surgical theater, as illustrated in FIG. 13, with a patient 4000 in a supine position. The small, lightweight size of the radiotherapy device 4120 allows it to be attached to the operating table or stored in a convenient spot until it is used, at which point it can be brought out or wheeled into the operating room and attached to the table. An operator interface 4160 can be used to plan or monitor the treatment with the portable orthovoltage device. The operator interface 4160 can allow the operator of the device to direct and plan a radiotherapy to the patient 4000, similar to what is described above for treatment of ocular pathology. One or more robotic arms 4100 can direct the radiotherapy device 4120 to deliver x-rays to a region 4150 of pathology accessible to orthovoltage x-rays (e.g., less than about 5 cm deep within the tissue). The region 4150 can be registered using the x-ray tube 4120 with imaging or with a needle or other fiducial marker which is inserted into the region 4150, and after which, the device is targeted to the region 4150. In some embodiments, the tumor or pathology is exposed during a surgical procedure, in which tissue overlying the region 4150 is moved or separated. The beam energy levels (e.g., 30 KeV, 60 KeV, 100 KeV, or 200 KeV) are chosen by the device operator depending on the disease which is being treated. In some diseases that are superficial, such as skin cancer, a lower energy beam may be desired, so as not to have too much penetration into the skin. When a deeper disease is being treated such as a blood vessel or tumor, a higher beam energy may be desired. The treatment planning software allows for the chosen energy to be applied to the therapy. In some embodiments, as illustrated in FIG. 14A, treatment of a breast tumor using orthovoltage radiotherapy is provided. Orthovoltage x-ray devices 4520 are depicted delivering highly collimated beams 4530 of x-rays to a region 4550 of breast pathology. Depending on the depth of the pathology, a beam energy in the range of from about 40 KeV to about 200 KeV may be chosen. In FIG. 14A, the treatment is delivered through the skin to the tumor or other breast lesion. In this case, a CT scan, ultrasound, or other imaging unit 4560 (illustrated in FIG. 14B) can be used to image the region 4550 and assist to develop the treatment plan for the device. During treatment, the x-ray devices 4520 are positioned close to the tumor and breast (e.g., from about 5 cm to about 14 cm), as depicted in FIG. 14A and described above in connection with the treatment of the eye. The collimator attached to the x-ray device 4520 and positioned in proximity to the skin above the lesion provides a tightly collimated beam spot size. The collimator for the x-ray device 4520 is positioned close to the pathology of interest in order to provide and maintain a small penumbra. FIG. 14B depicts some embodiments of x-ray delivery with a percutaneous needle 4600 inserted into the region of pathology. The orthovoltage radiotherapy can be applied during a procedure to remove the tumor or independently, prior to, after, or in place of surgery. The percutaneous needle 4600 can provide a treatment axis or serve a similar function as the treatment axis described above. In this respect, the percutaneous needle 4600 can be used to align the radiotherapy device and delineate a treatment zone around the tip of the needle, where the angle to the tip of the needle can be defined by a relationship between the tip of the needle and the edge of the radiotherapy collimator. The orthovoltage therapy can also be applied in combination with other therapies or in combination with chemotherapy which is applied either superficially or systemically, or even injected directly into the pathologic bed. Notably, as described above, the orthovoltage system's ability to deliver highly collimated beams using the collimator and laser targeting systems described above facilitate discreet delivery to regions of pathology. Such precise delivery enables precise and accurate targeting with minimal scatter to normal tissues, as described in relation to the ocular pathology above. FIG. 15 depicts embodiments of a neurosurgical procedure 5000 in which orthovoltage radiotherapy devices 5050 are brought into proximity of a pathologic region 5300, and radiotherapy beams 5060 are directed toward the region 5300. The pathology can be a tumor, arteriovenous malformation, region of hyper-reactivity, inflammatory region, seizure focus, etc. The radiotherapy can be introduced into the brain tissue using burr holes 5100 or in some cases, a craniotomy. After exposure or removal of the pathology 5300, the tumor bed can be exposed to orthovoltage radiotherapy delivered through the burr hole directly to the tumor bed. The beams, collimated to diameters less than about 1.0 cm and even to diameters less than 6 mm, can be directed to the pathologic region 5300 through a small burrhole 5100. The light pointer (laser pointer in some embodiments) emits a laser 5260 that is coupled to or is collinear with the x-ray beam and enables direction of the x-ray source 5050 to the correct position. In the case of an intra-operative therapy, the surgeon can direct the x-ray to the pathologic region 5300 because he/she can see the region directly. With highly collimated x-ray beams with tight penumbras, x-ray therapy can be delivered to pathologic regions 5300 in high doses while avoiding critical structures as proposed above for ocular applications. Depending on the desired depth of treatment, the radiotherapy device can be run at beam energies from about 30 KeV to about 100 KeV. Similarly, needle 5200 can be used to aid in guiding the radiotherapy or can act as a therapy to work in combination with the radiotherapy such as for example, an additional oxygenation stream. FIGS. 16A and 16B depict the use of portable orthovoltage radiotherapy for the treatment of musculoskeletal disease 5500, in this case carpal tunnel syndrome. The orthovoltage device 5550 can emit beams 5570 that are used to treat inflammatory conditions of the musculoskeletal system such as carpal tunnel syndrome where the nerves and/or tendons of the distal forearm are trapped or inflamed. The use of the radiotherapy can complement surgery, be used in place of surgery, or can complement pharmaceutical therapy such as steroid therapy. In some embodiments, the treatment can be applied through an opening 5560 created in tissue overlying the disease 5500. Other musculoskeletal conditions include spinal stenosis, inflammatory arthidites, implants and associated post-surgical inflammation, hip, knee, and other replacements, etc. FIGS. 17A and 17B depict delivery of orthovoltage x-ray beams 6060 from radiotherapy devices 6050 to regions of peripheral vascular disease 6000. FIG. 17A depicts x-ray delivery through skin overlying the peripheral vessels 6100. Radiotherapy may be applied to the disease of vulnerable carotid lesions or may be applied to the carotid at or after the time of a stent or other material being placed. FIG. 17B depicts delivery of x-ray beams 6060 through a surgically opened region 6200 to expose vessels 6210. Radiotherapy beam energy, beam width, and direction can be chosen in accordance with the tissue, disease, and time to treatment. For example, in some embodiments, during an open surgery as depicted in FIG. 17B, the beam energy may be chosen so as to be about 40 KeV or about 50 KeV depending on the tissue penetration required. The disease to be treated may be prevention of restenosis or treatment of hyperplasia, treatment of vulnerable atherosclerotic plaques present in the vessel. In some embodiments, the radiotherapy is delivered in one fraction of about 10 Gy to about 50 Gy and in some embodiments, the radiotherapy is delivered in doses of about 5 Gy to about 10 Gy in several fractions, which can be applied through different trajectories, as discussed in other embodiments. The radiotherapy can be combined with pharmaceutical therapy prior to or after the institution of radiotherapy. The pharmaceutical therapy can consist of any of the compounds listed above and can be delivered locally or systemically to reach the site. FIG. 18 depicts the use of portable orthovoltage radiotherapy during open surgical procedures in the abdomen 7000 by directing x-ray beams 7060 from radiotherapy devices 7050 toward a treatment site 7010. In some embodiments, multiple beams 7060 may intersect at a point 7020 that is at or near the treatment site 7010. For example, tightly collimated beams 7060 with small penumbras can be applied to surgical planes during or after an operation to treat colon cancer. With the light/laser pointer, the surgeon can evaluate the exact position of the x-ray and apply x-ray in a controlled manner to the pathology of interest. The beam energy can be chosen for intra-operative treatment such as for example, about 40 KeV, about 50 KeV, or 60 KeV. In some embodiments, the beam energy can be less than about 40 KeV or greater than about 60 KeV. Moreover, in some embodiments, the beam energy can be between about 40 KeV and about 60 KeV. In FIG. 18, the portable radiosurgery device is used to treat gastrointestinal cancers (e.g., colorectal cancer, stomach cancers, hepatobiliary cancers, sarcomas, etc.) in which residual microscopic tumor may be left behind within a patient. A portable orthovoltage radiosurgery device can brought into the operating room and an appropriate energy level chosen to treat the surgical area with the device. In some embodiments, an energy level of about 40 KeV is chosen as this energy level does not penetrate tissue very well and can be used to treat the peritoneum or lymph nodes post-operatively. During an open surgery when all the tissues are exposed, the laser targeting portion of the radiotherapy device can be used by the surgeon to direct the therapy to the correct region enabling the physician to know where the x-ray beam is pointed. For adjunctive treatment with surgery and because the tissues are superficial, the energy level of the device can be chosen such that there is limited exposure to other regions around the plane of dissection. Other than colon cancer, disease such as inflammatory bowel disease, motility disorders, irritable bowel, and the like, can be treated with radiotherapy as well. FIG. 19 depicts embodiments of a radiotherapy system 7500 that provides treatment of disease of the gastrointestinal tract where the radiotherapy is applied in the form of an x-ray beam 7560 from a radiotherapy device 7550 located outside the patient. Such therapy can be combined with endoscopy so as to direct the radiotherapy to correct location. In FIG. 19, the radiotherapy devices 7550 are directed through the skin of the abdominal wall to treat a lesion 7510 of the large bowel. Radiotherapy devices 7550 can act simultaneously or independently to apply radiotherapy to the large bowel lesion 7510. Similarly, in some embodiments, a lesion on the liver 7520 can be treated with radiotherapy. FIG. 20 depicts a dialysis shunt graft 8000 where a Goretex tube 8030 carries blood between vessels 8040 (e.g., from the artery to the vein) and needles are placed inside the graft to remove blood from the patient, filter it, then replace it into the patient. These grafts tend to become stenotic over time with scar tissue growing along anastamosis ends 8020. Radiotherapy can be applied through x-ray beams 8010 emitted from radiotherapy devices 8050 to these grafts to limit, reduce, and/or prevent such growth (Kelly et. al. Int. J. Radiat. Oncol Biol Phys 2002 54(1) 263-9, incorporated herein by reference) over the region of the anastamosis. The x-ray beams 8010 can be applied such that they have little penetrating ability (e.g., with an energy of about 30 KeV in some embodiments), yet will treat the evolving stenosis at the anastomosis. The radiotherapy can be applied at the time of surgery or as an adjunctive to palliative treatments to maintain patency of the dialysis grafts. FIG. 21 depicts an additional use for radiotherapy devices 8550. In this figure, small animals are being treated with radiotherapy using embodiments of radiotherapy devices described herein. The finely collimated beams 8560 are used to treat and study the effects of radiation and potentially pharmaceutical therapy in combination with radiation therapy on small animals. A stage can be established where the anesthetized animal is restrained under anesthesia, and the animal can be held in a preferred orientation by restraints. The finely collimated radiotherapy beams are directed to the animal region and the dosage applied to the region of interest within the animal. The small, finely collimated beams (e.g., as small as about 50 microns in diameter) are utilized to carefully study the dose effects of x-rays on small animals (e.g., rats, mice, rabbits, guinea pigs, etc.). The laser pointer co-aligned with the x-ray is helpful in marking direction and target of the x-rays on the small animal. FIG. 22 depicts a drug evaluation system 9000 in which an orthovoltage x-ray tube 9010 is mounted on a robotic arm and is utilized for understanding the effect of orthovoltage x-rays on different cell types, tissue types, and systems. The table top carries a platform 9040 for cell and tissue culture 9030. The robotic arm can be positioned at any position along the table top. The computer and associated software can be used to program and control the dose of radiation delivered to the tissue culture 9030. Evaluation systems such as this one are novel because of the finely collimated beams 9020 of radiation which can be delivered. The dose of x-ray, its direction, its beam energy, and the beam size can all be precisely controlled as described above. Such precision allows for careful study of x-ray effects on cell culture, tissue culture, and/or organ culture. A software program can adjust the beam width, beam energy, the length of time the beam is emitted, which ultimately controls the total delivered energy, and other beam parameters. The robotic arm can be moved about the cell culture system and deliver x-ray energy at different levels to the cell culture systems. This system allows for the evaluation of the effects of radiation on individual cells, radiation effects in combination with various pharmaceuticals, and radiation effects on cell and tissue culture systems. While certain aspects and embodiments of the disclosure have been described, these have been presented by way of example only, and are not intended to limit the scope of the disclosure. Indeed, the novel methods and systems described herein may be embodied in a variety of other forms without departing from the spirit thereof. The accompanying claims and their equivalents are intended to cover embodiments of such forms or modifications as would fall within the scope and spirit of the disclosure. |
|
summary | ||
claims | 1. A syringe shield for housing a syringe (24) containing a radioactive drug, the syringe (24) comprising a barrel (26) containing the radioactive drug, a plunger (28) and a tip (34), comprising:a barrel housing (6) comprising a radiation-shielding material, a first open end (8), and a second open end (10), wherein the tip (34) of the syringe (24) is adjacent to or extends out from the second open end (10) when the syringe (24) is housed in the syringe shield (2);a removable cover (12) that is removably connectable to the barrel housing (6) so as to cover the second open end (10), as well as the tip (34) of the syringe (24) when the syringe (24) is housed in the syringe shield (2), and so as to at least partially surround the barrel housing (6), anda plunger housing (16) comprising a radiation shielding material and having a first end (18) that is open and is removably connectable to the removable cover (12) so as to enclose the barrel housing (6) therebetween and, when the syringe (24) is housed in the syringe shield (2), allow the plunger (28) of the syringe (24) to extend through the first open end (8) of the barrel housing (6) and into the plunger housing (16) via the first end (18) of the plunger housing (16). 2. The syringe shield according to claim 1, wherein the plunger (28) of the syringe (24) comprises at least one plunger flange (30, 30′), and the first open end (8) of the barrel housing (6) is shaped to receive at least one plunger flange (30, 30′). 3. The syringe shield according to claim 2, wherein the first open end (8) of the barrel housing (6) is configured to releasably engage with the at least one flange (30, 30′) of the plunger (28). 4. The syringe shield according to claim 3, wherein at least one flange (30, 30′) of the plunger (28) functions as a twist-lock element that, when twisted, is receivable by a twist-lock flange receiver (48, 48′) in the barrel housing (6). 5. The syringe shield according to claim 1, wherein the removable cover (12) is configured to partially surround the barrel housing (6) so as to expose the underside (36) of the barrel housing (6) when the removable cover (12) is removably connected to the barrel housing (6), and wherein the underside (36) of the barrel housing (6) is shaped so as to prevent the barrel housing (6) from rolling when placed on a flat surface. 6. The syringe shield according to claim 5, wherein the underside (36) of the barrel housing (6) is flat. 7. The syringe shield according to claim 1, wherein the removable cover (12) is shaped so as to cover all surfaces of the barrel housing (6), except the underside (36) of the barrel housing (6) and the first open end (8), when the removable cover (12) is removably connected to the barrel housing (6). 8. The syringe shield according to claim 1, wherein the removable cover (12) comprises a puck (38) which comprises a radiation shielding material, wherein the puck (38) covers the second open end (10) of the barrel housing (6) when the removable cover (12) is removably connected to the barrel housing (6). 9. The syringe shield according to claim 1, wherein the removable cover (12) further comprises a handle (44). 10. The syringe shield according to claim 1, wherein the removable cover (12) is shaped so that it is slidably mountable onto the barrel housing (6) by sliding the removable cover (12) in a direction from the second open end (10) towards the first open end (8). 11. The syringe shield according to claim 1, wherein the removable cover (12) is shaped to receive a partially cylindrically shaped barrel housing (6) with a flat underside (36). 12. The syringe shield according to claim 1, wherein the removable cover (12) is shaped as a partially cylindrical shell. 13. The syringe shield according to claim 1, wherein the cover (12) is shaped so that said removable cover (12) can only be removed from the barrel housing (6) by sliding said removable cover (12) in a direction from the first open end (8) towards the second open end (10). 14. The syringe shield according to claim 1, wherein the barrel housing (6) comprises a cylindrical section made of radiation shielding material, and a base providing a flat underside (36). 15. The syringe shield according to claim 1, wherein the plunger housing (16) has an internal surface comprising a radiation shielding material. 16. The syringe shield according to claim 1, wherein the plunger housing (16) further comprises a top cap (22) that comprises a puck (56) of radiation shielding material. 17. The syringe shield according to claim 1, wherein the plunger housing (16) is releasably connectable to the removable cover (12) using a twist-lock assembly. 18. The syringe shield according to claim 1, wherein the plunger housing (16) is releasably connectable to the removable cover (12) and the barrel housing (6) using a twist-lock assembly. 19. The syringe shield according to claim 1, wherein the radiation shielding material comprises tungsten, lead, stainless steel, an aluminum alloy, or a combination thereof. 20. The syringe shield according to claim 1, wherein the radiation-shielding material comprises tungsten. 21. The syringe shield according to claim 1, wherein the syringe shield (2) is dimensioned to receive a syringe (24) containing up to thirty milliliters or up to sixty milliliters of said radioactive drug. 22. The syringe shield according to claim 1, wherein the total weight of the syringe shield (2) is no more than 55 lbs. 23. The syringe shield according to claim 1, wherein said radioactive drug has a radioactivity of up to 1 Ci, and the syringe shield has a radiation-shielding material that provides a shielding that meets the Yellow II label criteria for transportation of radioactive material. |
|
summary | ||
description | Fuel cell devices convert chemical energy directly into electrical energy without combustion. The immediate benefit is much higher energy conversion efficiency and the drastic reduction of pollutants. Solid-oxide fuel cells (SOFC), in particular, are able to utilize existing widely used hydrocarbon fuel to simultaneously generate thermal and electrical power with combined heat and power (CHP) efficiency of over 80%. In comparison, internal-combustion engines (ICE) provide 25-30% efficiency with most of the energy loss in the form of exhaust heat and pollution. SOFC technology therefore can significantly reduce the fuel consumption as well as pollutant emission of vehicles, and without the need of exotic fuels. A critical step in making these devices practical is developing electrode and electrolyte components with high efficiency and high resistance to aging and contamination. The electrochemical reactions occur in a narrow zone along the three-phase boundary (TPB), where the three reaction elements: cathode, solid electrolyte, and gas are in contact. Better electrochemical performances are expected for components with larger TPB length per unit area. Much of SOFC research and development efforts are therefore focused on producing nano-porous structures with maximum TPB length as well as optimizing operating conditions that lead to high performance and corrosion and contamination resistance, e.g. from sulfur. A critical tool in the development of SOFC is a three-dimensional imaging technique to visualize and measure the size, distribution, and connectivity of the pores and channels in these nano-porous structures. Furthermore, it is particularly desirable to study the dynamic changes of these structures in-situ and while the device is in operation. The imaging techniques used today are primarily based on electron microscopy because of their high spatial resolution. But because of the shallow penetration depth of electrons, the imaging processes are generally destructive to the device, as the region of interest (ROI) must be mechanically cross-sectioned to reveal buried structures. This is a tedious and difficult process that is prone to introducing artifacts, particularly with hard and porous ceramic materials used in fuel cells. Furthermore, electron microscopy only provides a surface picture and does not reveal the pore connectivity. The present invention concerns the use of an imaging technology for fuel cells based on x-ray microscopy. The large penetration depth of x-ray radiation enables imaging through the ROI without sectioning, and when used in combination with the tomography technique used in medical CT scans, the exact three dimensional (3D) structure of a sample can be obtained non-destructively, even while the device is in operation. This technology can further take advantage of the strong dependence of the x-ray absorption on material type and energy to distinguish the four major material types: cathode, electrolyte, air, and low-Z contaminants such as sulfur. This means that that the geometry of porous structures in the TPB can be directly measured from the 3D images to understand a SOFC's electrochemical state and predict its performance. This represents a metrology capability that is important for the development of fuel cell technology. By providing the most direct and relevant information on the exact operating conditions of the device, it has the potential to significantly reduce the development time and improve the reliability. In one example, a metrology system images the electro-chemical interaction areas of solid-oxide fuel cells (SOFC) in-situ. This system takes advantage of both the penetrating power and elemental absorption contrast of hard x-ray radiation to image the internal interaction areas in a SOFC. Combined with computer tomography (CT) technique, the 3D morphology of the interaction volume can be obtained in-situ at 50-nm 3D resolution, and different material compositions can also be determined. Consequently, the exact aging mechanism can be tracked and analyzed dynamically while the device is in operation. This system provides the most direct and relevant information on the exact operating conditions of the device, having the potential to significantly accelerate the development of the SOFC technology and reduce the time-to-market. The above and other features of the invention including various novel details of construction and combinations of parts, and other advantages, will now be more particularly described with reference to the accompanying drawings and pointed out in the claims. It will be understood that the particular method and device embodying the invention are shown by way of illustration and not as a limitation of the invention. The principles and features of this invention may be employed in various and numerous embodiments without departing from the scope of the invention. The disclosed tool is designed to nondestructively image the three-dimensional structure of the interface area of LSM/YSZ at 1-100 nm resolution while being able to distinguish four different materials: LSM, YSZ, sulfur, and air (empty gap space). There are two important elements to this approach: (1) how to resolve the structures in 3D and (2) how to distinguish different materials. FIG. 1 shows an x-ray microscope (TXM) 100. In a setup similar to a conventional visible light microscope, x-ray microscope 100 comprises an x-ray source 110, condenser lens 112, objective lens 114, and an area detector system 116. This microscope 100 is used to image a SOFC anode substrate 10. In one example, the anode substrate 10 comprises a cathode layer 12 (thickness approximately 50 micrometers (μm)), an electrolyte layer 14 (thickness approximately 10 μm), functional anode layer 16 (thickness approximately 5-10 μm), and anode substrate 18 (thickness approximately 1500 μm). Preferably, a sample stage 150 is used to position the substrate 10 in an x-ray beam 105 from the source propagating along beam axis 106. The rotation stage facilitates tomographic data acquisition by rotating the sample of fuel cell material in a controlled manner. Specifically, the stage 150 rotates the fuel cell material 10 to acquire images at different angles of inclination relative to the axis 106 (see arrow 62) and around axis 106 (see arrow 60) to obtain the multiple projection images needed for tomography data reconstruction. In the preferred embodiment, the x-ray source 110 is a rotating anode type with molybdenum (Mo) target to generate 17.5 kilo-electron-Volt (keV) x-ray radiation. In other embodiments, the target is copper, silver, or rhodium. Preferably, the condenser 112 is a capillary reflective lens, and a Fresnel zone plate lens is used as the objective lens 114. A central stop 152 is also preferably provided along the beam axis to block x-ray that will not be or have not been focused by the zone plate lens 114. This improves signal to noise ratio of the system. In one example, the stop is installed on or made part of the condenser 112. A lens-coupled scintillated CCD camera will be used as the detector 116. In one embodiment, a scintillated CCD camera detector is used similar to that described in U.S. Pat. No. 7,057,187, entitled Scintillator Optical System and Method of Manufacture, which is incorporated herein in its entirety by this reference. The 17.5 keV x-ray radiation allows samples with 100-200 μm thickness to be imaged. In one example, the anode substrate 18 of the SOFC 10, and also possibly the cathode layer 12, is thinned locally near the region of interest (ROI) 5. A preferred sample preparation procedure is a mechanical process called dimpling that has been used routinely in semiconductor industries to remove the silicon (Si) substrate while keeping the circuitry intact. Skilled operators can routinely use this technique to remove nearly all the substrate while leaving the active circuitry without damage to the delicate interconnects. The materials are removed around the ROI 5, but leaving the active area intact. As shown in FIG. 2, the preferred embodiment further includes a chamber 210 to facilitate observation of dynamic changes of the TPB in situ. The chamber 210 functions to enable the SOFC device 10 to operate normally and also allows the imaging x-ray beam 105 to pass through. It is essentially a standard test chamber for planar SOFC devices modified with one or two x-ray windows. The test chamber 210 has seals 212 to isolate the two sides of the device. It also has gas feed-through tubes to inject and remove fuel air and exhaust gases, heaters to start the electrochemical reaction, electrodes to draw electric power, and measuring devices 214. Upper and lower cone-shaped windows devices 216, 218 are provided, each made from a thin metallic or ceramic membrane. Using hard x-ray radiation with 17.5 keV energy, there is a wide choice of window materials with acceptable absorption for the upper and lower window elements 220, 222 at the peaks of the fustoconical window devices 216, 218. For example, 200 μm thick sapphire (Al2O3) provides about 80% transmission and are commercially available. The objective lens 114 of this imaging system 100 is preferably a zone plate lens that focuses electromagnetic radiation by diffraction, as opposed to the refraction effect used by glass lens for focusing visible light. It is essentially a circular diffraction grating with the grating period decreasing towards the periphery. With this arrangement, the diffraction angle increases with the increasing radius, and all radiation passing through the zone plate converges to a focal point. The diffraction-limited resolution of a zone plate measured by the Rayleigh criterion is simply 1.22 times the width of the outer-most grating line, or the outermost zone width. The focal length of a zone plate is expressed as f=DDr/l, where is D the diameter, Dr is the outer-most zone width, and l is the wavelength. The numerical aperture is NA=l/2Dr. For example, in order to achieve the 60 nanometer (nm) resolution, a zone plate lens with an outer most zone width of 50 nm is preferred. The depth of field (DOF) is generally defined as twice the resolution divided by the numerical aperture. Here, DOF is about 200 μm. Therefore a region of interest with 100-200 μm is contained completely within the DOF. In other words, all structures in the region of interest are imaged with 60 nm resolution and there is no defocus effect. The volume of the ROI 5 is a region having a thickness of a few micrometers and extends over the area of the SOFC. One typically needs to image a 20 μm×20 μm sample area per measurement. A computed tomography (CT) technique is used to study the 3D structures of the interface area between the cathode 12 and the electrolyte 14, or the anode 18. The TPB is then identified from the 3D image and the effected interaction length can be measured. A computed tomography (CT) algorithm originally developed in the medical imaging community can resolve these features in depth. In the imaging system shown in FIG. 1, the x-ray beam passes through the sample to record an image containing all features that overlap in the depth direction. With tomography imaging process, the sample is imaged at different view angles to acquire a series of tomographic projections, and these projections are then mathematically backprojected into a volume data to form a 3D representation of the object. The features in the sample 10 can then be analyzed by studying the data volume. Typical techniques used in the analysis include studying the cross-sectional views of the volume, or virtual sectioning (see FIGS. 3a-l), as is most often used in medical imaging applications, and studying the volume rendering. This is done without physically modifying the object, while in contrast, to obtain equivalent cross-section views with SEM, one must physically cut and section the sample through the region of interest—a completely destructive process. In the medical imaging analogy, the TXM is compared with non-invasive imaging methods such as CT or MRI while SEM imaging can be compared to the invasive procedures such as biopsy or exploratory surgery. And their applications should comparable as well: the non-invasive imaging method should be used as the first screening or diagnosis procedure, while the invasive methods applied when the non-invasive methods cannot provide a definite answer. A typical result from a planar SOFC sample is shown in FIGS. 3a-l. These results were acquired using 8.05 keV x rays generated from a rotating anode x-ray source with a copper (Cu) target rather than the 17.5 keV preferred design. Its objective lens was a zone plate lens with 50 nm outer most zone width with theoretical maximum resolution of 60 nm. A scintillator coupled CCD camera with 1024×1024 pixels was used as the detector. The substrate of the SOFC sample was thinned to a wedge shape. The reconstructed 3D structure is shown in FIGS. 3a-l with 4 slices along each of the 3 axes: (a-d) in the depth direction, where the slices are perpendicular to the imaging beam direction z; (e-h) in the rotation axis direction y (images parallel to the beam); and (i-l) along x x axis perpendicular y-z plane. The TPB can be easily identified from the image, and furthermore, the interaction length of the TPB can be measured from these images or from the 3D volume, for example automatically with specialized software. Furthermore, this measurement is made non-destructively and at about 2 centimeter (cm) stand-off working distance, the 3D images can also be made with a device in operation. An important advantage of x-ray microscopy is that the absorption depends strongly on the elemental composition and x-ray energy. Thus, different materials can be distinguished as summarized in FIG. 4 where the l/e attenuation lengths of LSM, YSZ, Sulfur, and air are plotted as a function of x-ray energy. Air is practically transparent with this combination of x-ray energy range and length scale. Note that the attenuation length for all three solid materials increases with the x-ray energy and the use of higher energy x rays allows thicker samples to be imaged. For example, the images from the preliminary experiments were acquired using 8.05 keV x rays. This requires the sample to be thinned to about 20 μm at the region of interest. However, a system using 17.5 keV x rays from Mo emission will allow a region of interest to remain in 100-200 μm thickness, thus greatly simplifying the sample preparation process and furthermore allowing observation at native operating conditions. At 17.5 keV, the attenuation of LSM (La1-xSrxMnO3-δ), YSZ (La0.65Sr0.3MnO3-δ), and sulfur differ considerably. For example, with nearly half the attenuation length, LSM is more than twice more absorbing than YSZ, thus producing twice the image contrast. The two materials can then be identified in the 3D image from their very different absorptive properties, for example with a simple threshold. Air is practically transparent at this energy. It can be distinguished from both LSM and YSZ as empty space, while the solid materials can be distinguished from each other by their different pixel density. With this method, the three-dimensional structures of all three materials in the region where the electro-chemical reaction take place can be identified from a 3D image. This gives the ability to study the TPB from the 3D data without physically altering the sample through destructive processes. Because of strong absorption edges of Y and Zr at 17.1 keV and 18 keV, there is actually a contrast reversal at x-ray energies above 18 keV. For example, at silver emission line at 22 keV, YSZ actually becomes about three times more absorbing than LSM. This means that when two images are taken, one using a Mo source with 17.5 keV emissions and one with Ag source with 22 keV emissions, the YSZ material will appear more absorbing than LSM in the first image, but their contrast will reverse in the second image. In one embodiment, the x-ray source 110 of FIG. 1, comprises two separate sources, or a single source with two different target materials 110a, 110b. The data obtained with emissions at the two energies are then combined to increase the accuracy of the material identification. This ability to map different materials in 3D not only provides new and unique capability for studying the TPB of SOFC sample, it also provides a powerful tool for materials science research in general. While this invention has been particularly shown and described with references to preferred embodiments thereof, it will be understood by those skilled in the art that various changes in form and details may be made therein without departing from the scope of the invention encompassed by the appended claims. |
|
claims | 1. A method for electron-beam writing to a medium comprising:positioning the medium within an e-beam writing machine so that the medium is supported by a stage and is exposed to an e-beam source; andwriting a pattern to the medium using a plurality of independently-controllable beams of the e-beam source, in which the pattern comprises a plurality of parallel strips, and further in which each of the parallel strips is written using multiple ones of the independently-controllable beams, in which each of the independently-controllable beams comprises a patterned beam with multiple non-independent sub-beams, in which the independently-controllable beams are overlaid with an offset relative to each other and an angular offset with respect to a direction of scanning. 2. The method of claim 1 in which the strips are not separated by stitching. 3. The method of claim 1 in which the independently-controllable beams are overlaid so that a projection of the independently-controllable beams on the medium produces an arrangement wherein adjacent pixels are written by different ones of the independently-controllable beams. 4. The method of claim 1 in which the offset relative to each other is equal to one pixel. 5. The method of claim 1 in which the offset relative to each other is greater than one pixel. 6. The method of claim 1 in which each of the independently-controllable beams comprises a M×M array of the sub-beams, where M is an integer greater than 1. 7. The method of claim 1 in which the independently-controllable beams are applied using at least one of: e-beam deflection and relative movement of the medium to the e-beam source. 8. An electron-beam writing system, the system comprising:a stage upon which a medium may be placed;a writing mechanism to write upon the medium placed upon the stage, the writing mechanism comprising an electron beam source operable to produce N independently-controllable beams, where N is an integer larger than 1; anda computer-based control system operable to:write a pattern upon the medium in a plurality of parallel strips, each of the strips being written using multiple ones of the N independently-controllable beams, in which each of the independently-controllable beams includes an M×M array of sub-beams, the sub-beams not being independently controllable, in which the computer-based control system writes to the medium by overlaying the N independently-controllable beams with an offset relative to each other and an angular offset with respect to a direction of scanning so that a projection of the N independently-controllable beams along a line perpendicular to the direction of scanning has adjacent pixels from different ones of the independently-controllable beams. 9. The system of claim 8 in which the independently-controllable beams are applied using at least one of: e-beam deflection and relative movement of the medium to the electron beam source. 10. A method for electron-beam writing to a medium, the method comprising:positioning an e-beam source to write a plurality of strips onto the medium using N independently-controllable beams; andwriting to each of the strips using multiple ones of the N independently-controllable beams, variations among the respective independently-controllable beams being averaged by writing to each strip using a unique subset of the N independently-controllable beams, in which the independently-controllable beams each comprise a patterned beam with an array of M×M non-independent sub-beams, the independently-controllable beams being overlaid with an offset relative to each other and an angular offset relative to a direction of scanning so that a projection of the independently-controllable beams on the medium has a pattern in which adjacent pixels are from different ones of the independently-controllable beams. 11. The method of claim 10 in which a motion of the independently-controllable beams uses straight-line scanning and wherein, for each of the plurality of strips, the independently-controllable beams are applied simultaneously. |
|
062630377 | abstract | An enclosed cutting zone for use in a nuclear power plant. The cutting zone includes a cutting zone barrier and a transfer zone. The cutting zone includes a movable submergible upper rig, an upper inflatable ring supported by the submergible rig; a lower inflatable ring supported by the submergible rig; and a means for coupling the vertically extending edges of the submergible upper rig to form a cutting zone barrier having enclosed sidewalls. The transfer zone includes a movable, submergible upper rig; an upper inflatable ring supported by the submergible rig; and a lower inflatable ring supported by the submergible rig. The transfer zone is coupled to exterior surface of the cutting barrier so as to form a structure having enclosed sidewalls and an open top. |
043137911 | claims | 1. A method of ultrasonically detecting defective fuel elements of the type used in water cooled reactors, while under water and within a nuclear fuel assembly including a plurality of the fuel elements closely arranged in a transversely spaced array which comprises the steps of inserting an ultrasonic search unit having an ultrasonic transducer element into the spaces between the fuel elements; transversely aligning the transducer element with a fuel element to be examined; energizing the transducer element to transmit an ultrasonic pulse transversely into the wall of the fuel element to be examined; and measuring the ultrasonic echos reflected from the inner wall surface of the fuel element remote from the location of the transducer element to detect the presence of ingressed water within the fuel element. 2. The method as defined by claim 1 which further comprises the step of measuring the ultrasonic echos within a time interval after the ultrasonic pulse was transmitted. 3. The method as defined by claim 1 wherein the transducer element is transversely aligned with the lower plenum of the fuel element. 4. The method as defined by claim 2 wherein the transducer element is transversely aligned with the lower plenum of the fuel element. 5. The method as defined by claim 2 which further comprises the step of registering only a part of said ultrasonic echos within said time interval which exceeds a predetermined threshold. 6. The method as defined in claim 2 wherein the time interval consists essentially of the time for a signal transmitted from the transducer element to traverse water within the fuel element and for its echos to reflect, through water within the fuel element, from the inner wall surface of the fuel element remote from the location of the transducer element. 7. The method as defined in claim 5 wherein the time interval consists essentially of the time for a signal transmitted from the transducer element to traverse water within the fuel element and for its echose to reflect, through water within the fuel element, from the inner wall surface of the fuel element remote from the location of the transducer element. 8. The method as defined by claim 5 wherein the transducer element is transversely aligned with the lower plenum of the fuel element. 9. The method as defined by claim 6 wherein the transducer element is transversely aligned with the lower plenum of the fuel element. 10. The method as defined by claim 7 wherein the transducer element is transversely aligned with the lower plenum of the fuel element. |
041586018 | description | DESCRIPTION OF THE PREFERRED EMBODIMENT The use of plutonium or a reprocessed uranium compound in nuclear fuel pellets requires increased safeguards to prevent releasing radioactive contaminants to the atmosphere and to prevent overexposing working personnel. The invention described herein provides a mechanism for loading such nuclear fuel pellets into nuclear fuel elements while providing increased safeguards. Referring to FIG. 1, a plurality of fuel elements 10 extend through a sealing mechanism 12 into an enclosure 14 defined by a gas-tight housing 16 so that apparatus hereinafter described may load fuel pellets into fuel elements 10. Fuel elements 10 may be cylindrical tubes having one end sealed and having the other end open with the open end extending into enclosure 14 through sealing meachanism 12 which is attached to housing 16. Sealing mechanism 12 may be of the type described in U.S. Pat. No. 4,070,240, filed Feb. 3, 1977 entitled "Seal Containment System" which is assigned to the assignee of the present application. Sealing mechanism 12 provides a mechanism whereby several fuel elements 10 may extend into enclosure 14 without allowing excessive contaminants to escape from enclosure 14 thereby allowing several fuel elements 10 to be automatically loaded with fuel pellets without exposing working personnel located outside housing 16. Now referring to FIGS. 1 and 2, a corrugated steel tray 18 having a plurality of rows of nuclear fuel pellets 20 disposed in the corrugations is disposed in housing 16 in alignment with fuel elements 10. A sweep mechanism 22 is located above tray 18 and is capable of sweeping fuel pellets 20 off tray 18 toward fuel elements 10. Sweep mechanism 22 comprises a plurality of first gears 24 disposed over one end of tray 18 and a corresponding number of second gears 26 disposed over the other end of tray 18 while a number of drive lines 28 are wound around first gears 24 and second gears 26 so as to extend over the length of the rows of fuel pellets 20. The number of pairs of first gears 24, second gears 26, and drive lines 28 are chosen to correspond to the number of fuel elements 10 to be loaded at one time. In this particular case, five pairs are shown. A drive shaft 30 extends through housing 16 and has one end attached to first gears 24 and the other end attached to a motor (not shown) located outside housing 16. Under the activation of the motor (not shown) drive shaft 30 can rotate first gears 24 thereby driving sweep mechanism 22. However, first gears 24 are equipped with a friction clutch that disengages when a portion of sweep mechanism 22 encounters an obstruction. Referring now to FIGS. 1, 2 and 3, sweep mechanism 22 further comprises a vertical support 32 for suspending drive shaft 30 above tray 18. A dog 34 is pivotally attached to rod 36 which in turn is attached to drive line 23 and extends downward so as to be able to contact the end of the row of fuel pellets 20. When the motor (not shown) rotates drive shaft 30, drive shaft 30 in turn rotates first gear 24 which causes drive line 28 to be advanced. Since dog 34 is attached to drive line 28, the advance of drive line 28 causes dog 34 to contact the end of the row of fuel pellets 20 which causes the row of fuel pellets 20 to be advanced along the corrugations in tray 18 toward fuel elements 10. A horizontal flat plate 38 is provided beneath first gears 24 and extends the width of tray 18 such that when dog 34 is advanced into contact with plate 38, dog 34 pivots in a vertical plane about rod 36 thereby disengaging the row of fuel pellets 20. A second dog 40 is provided on drive line 28 and spaced apart from dog 34 by the length of a row of fuel pellets 20 so that when dog 34 has completed advancing a row of fuel pellets 20, tray 18 may be shifted sideways one row and second dog 40 will be in a position to contact the next row of fuel pellets 20. Each set of first gears 24, second gears 26, and drive lines 28 may be spaced apart by a number of rows of fuel pellets 20 equal to the number of rows of fuel pellets 20 necessary to fill each fuel element 10. In this manner, when one row of fuel pellets 20 has been advanced by dog 34 on each drive line 28 and tray 18 has been shifted sideways, a second dog 40 on each drive line 28 is in a position to contact the end of a new row of fuel pellets 20. This arrangement allows more than one row of fuel pellets 20 to be loaded into each fuel element 10 without any manual manipulation. The spacing of drive lines 28 can be of various arrangements in conjunction with the use of more than one tray 18 in order to fill the chosen number of fuel elements as the need may suggest. To accomplish the sideways shift of tray 18, tray 18 may be mounted on a conveyor 42 which may also serve as a mechanism to remove an empty tray from enclosure 14 or to introduce a full tray into enclosure 14. Referring now to FIGS. 1, 2, and 4, a rotary encoder 44 chosen from those well known in the art is suspended over the rows of fuel pellets 20 in line with each drive line 28 by means of a vertical attachment. Rotary encoder 44 consists of a circular disc 46 mounted in a vertical plane on body 48 by means of an axle 50 that extends into body 48. Disc 46 may have radial markings (not shown) that extend from axle 50 on the side facing body 48 while body 48 may contain a light reading device (not shown) capable of sensing the number of radial markings passing in front of the light reading device. Dog 34 causes the row of fuel pellets 20 to pass under disc 46 and in contact with disc 46 such that disc 46 is rotated about the axle 50. The light reading device then senses the number of radial markings passing through its beam and transmits the information to a recording instrument. By knowing the number of radial markings passing through the beam of the light reading device and by knowing the spacing of the radial markings, the length of fuel pellets 20 passing under disc 46 may be determined and displayed on a digital display (not shown) in view of the operator. Referring to FIGS. 1, 2, and 5, a first stop 52 and a second stop 54 are disposed over the rows of fuel pellets 20 in line with each rotary encoder 46 and serve to selectively stop the flow of fuel pellets 20. First stop 52 comprises a first linear actuator 56 which may be an air cylinder supported on a horizontal support 58 with a first piston 60 extending downwardly from first linear actuator 56. First piston 60 has a first contact member 62 attached to the end thereof so as to be able to contact the fuel pellets 20 passing thereunder. First contact member 62 may be manufactured from nylon or an elastomer material so as to avoid damaging the fuel pellets 20 or contaminating them with foreign material. When energized, first linear actuator 56 causes piston 60 to descend which causes first contact member 62 to contact the fuel pellets 20 disposed thereunder, thereby stopping the flow of fuel pellets 20. Similarly, second stop 54 is supported by horizontal support 58 and comprises a second linear actuator 64, a second piston 66, and a second contact member 68 which are capable of performing a function similar to first stop 52. Referring now to FIGS. 1 and 2, a vibratory bed 70 which may be chosen from those well known in the art is arranged between tray 18 and the open end of fuel elements 10. Vibratory bed 70 extnds under second stop 54 and is capable of vibrating the fuel pellets 20 thereon such that fuel pellets 20 are further advanced into fuel elements 10. Fuel pellets 20 are pushed off tray 18 by sweep mechanism 22 and onto vibratory bed 70 where they proceed to fuel elements 10. In addition, a photoelectric cell 72, chosen from those well known in the art, is provided in line with second stop 54 near the end of vibratory bed 70 that is capable of sensing the flow of fuel pellets 20 on vibratory bed 70. Referring to FIGS. 1, 2, and 6, an indexing mechanism 74 is arranged near the end of vibratory bed 70 in line with each fuel element 10 to be loaded at once. Indexing mechanism 74 comprises an upper actuator 76 attached to housing 16 above the open end of fuel element 10 and a lower actuator 78 attached to housing 16 below the open end of fuel element 10. Both upper actuator 76 and lower actuator 78 may be of the air cylinder linear actuator type. An upper piston 80 extends from upper actuator 76 down to near fuel element 10 and a lower piston 82 extends upwardly from lower actuator 78 to near fuel element 10. An upper indexing block 84 is attached to the lower end of upper piston 80 while a lower indexing block 86 is attached to the upper end of lower piston 82. Upper indexing block 84 and lower indexing block 86 have semicircular bores 88 therein that substantially conform to the open ends of fuel elements 10 and to fuel pellets 20. Semicircular bore 88 has a lengthwise funnel shape back to notch 90 so that fuel pellets 20 entering through bore 88 may easily flow into fuel element 10. Notch 90 is a recessed portion of the indexing blocks that conforms to the ends of fuel elements 10 such that the metallic end of the fuel element 10 is covered by the indexing blocks while the inside of fuel element 10 remains accessible thereby providing a smooth transition from the indexing blocks into the open end of fuel elements 10. Notch 90 also limits the amount of contaminating dust that may accumulate on the outside of the open end of the fuel element 10 by covering that end while the fuel pellets 20 are loaded therein. A bellows seal 92 which may be chosen from those well known in the art is attached between the indexing blocks and housing 16 thereby providing a flexible sealing device therebetween. Once the open end of fuel element 10 has been introduced into enclosure 14 through sealing mechanism 12 and in alignment with sweep mechanism 22, indexing mechanisms 74 may be activated which causes upper piston 80 and lower piston 82 to be extended thereby causing upper indexing block 84 and lower indexing block 86 to firmly grasp the open end of fuel element 10 along notch 90. OPERATION Several fuel elements 10 are inserted through sealing mechanism 12 into enclosure 14 so that the open ends of fuel elements 10 are in alignment with the loading apparatus located in enclosure 14. It should be noted that while the drawings show the loading of five fuel elements 10 at once, any number of fuel elements 10 may be chosen to be loaded. At this point, indexing mechanism 74 is activated which causes upper indexing blocks 84 and lower indexing blocks 86 to firmly grasp the end of fuel element 10 along notch 90 so that bore 88 is in alignment with vibratory bed 70. At the same time a tray 18 containing a multiplicity of rows of fuel pellets 20 is aligned with sweep mechanism 22 by conveyor 42 such that a full row of fuel pellets 20 is aligned under each drive line 28. Second stop 54 is then activated which causes second contact member 68 to contact vibratory bed 70 in a position to stop the flow of pellets. The motor is then activated which causes drive shaft 30 to rotate which in turn causes the set of first gears 24 to rotate. The rotation of first gears 24 causes drive line 28 to advance around second gears 26 thereby causing dog 34 to contact the end of the row of fuel pellets 20. As dog 34 is advanced by drive line 28, the row of fuel pellets 20 is pushed through the corrugations of tray 18. Should one of the rows of fuel pellets 20 fail to advance because of an obstruction or because either first stop 52 or second stop 54 is activated, the friction clutch contained in first gears 24 will disengage, thereby preventing damage to the pellets. When the row of pellets has been advanced, so that dog 34 contacts plate 38, dog 34 pivots about rod 36 ending its contact with the row of pellets. At this point second dog 40 is in a position near the end of tray 18. The pushing action of dog 34 causes the row of pellets to pass under and in contact with disc 46 of rotary encoder 44 which records the length of fuel pellets 20 passing through. The action of dog 34 also causes the fuel pellets 20 to be advanced into contact with second contact member 68 thereby assuring that there is a solid row of pellets between rotary encoder 44 and second contact member 68. Second contact member 68 is then raised which allows the flow of pellets to continue onto vibratory bed 70. The vibratory action of vibratory bed 70 causes the fuel pellets to advance through bore 88 and into fuel element 10. As the fuel pellets 20 enter bore 88, they pass in front of photoelectric cell 72 which can determine if there is a gap in the flow of pellets. Since the distance between the point of contact on disc 46 and second contact member 68 is known, the total length of the row of fuel pellets which has passed beneath second contact member 68 and onto vibratory bed 70 can be calculated by substracting that known distance from the total length indicated by the rotary encoder 44. Because the total length of the row of fuel pellets passing onto vibratory bed 70 is the total length loaded into fuel elements 10, then this is the length that can be shown on the digital display. When a predetermined length of a row of fuel pellets has thus been indicated by rotary encoder 44, first stop 52 can be activated which stops the flow of fuel pellets moving onto vibratory bed 70 while those fuel pellets already on vibratory bed 70 continue into fuel element 10 under the action of vibratory bed 70. The stopping of the flow of pellets by first stop 52 causes the friction clutch of first gears 24 to disengage, thereby temporarily stopping that particular drive line 28. When photoelectric cell 72 senses that the flow of fuel pellets has stopped, vibratory bed 70 is automatically deactivated. Second stop 54 is then activated and first stop 52 is then released which allows the flow of pellets to resume until the pellets contact second contact member 68. This process assures that the length of pellets between the contact point of rotary encoder 44 and second stop 54 is the same for each cycle. Once fuel element 10 has thus been filled, indexing mechanism 74 can be released and fuel elements 10 removed. At this point conveyor 42 can be activated to remove the empty tray 18 and to align a full tray 18 while a new set of fuel elements 10 are introduced through sealing mechanism 12. It should be noted that should more than one row of fuel pellets from tray 18 be needed to fill a single fuel element, conveyor 42 may simply shift tray 18 over one row and allow the loading process to continue. In such a case, drive lines 28 will be located along the width of tray 18 so as to be separated by the number of rows of fuel pellets that are needed to fill one fuel element so that when tray 18 is shifted a full row of fuel pellets is aligned under each drive line 28. Therefore, the invention provides a system disposed in a sealed compartment having a mechanical sweep mechanism associated with a vibratory bed in conjunction with a length detecting and pellet stopping mechanism for automatically loading a predetermined amount of nuclear fuel pellets into nuclear fuel elements. |
047568755 | summary | BACKGROUND OF THE INVENTION This invention relates to apparatus for filtering water containing radioactive substances, for example radioactive waste water produced in a nuclear electric power generating plant, or primary water of a boiling water type nuclear reactor. As filtering apparatus of radioactive waste liquid produced in a nuclear electric power generating plant, it has been used a precise filtering device utilizing a filtering aid. But as ion exchange resin has been used as the filtering aid, a large quantity of used resin is produced thereby increasing the quantity of radioactive waste to be discarded. Since radioactive waste is dangerous, it has been necessary to sink it in deep seas or to store it in underground storage. Recently, improved filtering apparatae which do not produce any secondary waste and can obtain treated water of better quality have been developed. Examples are an ultrafilter (hereinafter termed UF), and a filter comprising a flat film having numerous pores each having a diameter of 1 micron. However, since the ultrafilter must circulate a large quantity of water, not only the construction of the circulation system is complicated but also the cost of installation increases, whereas the flat film has a large tendency of clogging the minute pores with solid substances. In addition, it requires a large installation area. In a nuclear electric power generating plant too, the same type of filters are used in a condensate feed system as well as primary water feed system for a nuclear reactor. The particle size distribution of insoluble impurities (CRUD) contained in radioactive waste liquid and condensate or primary water fed into a boiling water type nuclear reactor is shown in FIG. 1. Although the particle size distribution varies more or less depending upon the type of the liquid or water to be filtered, a substantive portion of the CRUD has a particle size of about 1 micron, and the percentage of CRUD having a particle size of 0.1 micron or less is very small. Consequently, in a UF having pores having a diameter much smaller than 0.1 micron, substantially no solid particles enter into the pores so that there is no tendency of clogging the pores with the solid particles because trapped solid particles can readily be removed by backwashing. Since in the UF, the pore size is small, the quantity of water that can be filtered is small which not only increases filtering area but also requires a high filtering pressure which also increases the cost of installation. In a flat film type filter having a pore size of about 1 micron, since the waste liquid contains relatively large quantity of solid particles having a diameter of less than 1 micron, the tendency of clogging the pores increases whereby the film must be renewed frequently. Recently, hollow fibers made of polyvinyl alcohol or copolymers thereof with styrene, etc., and having a sponge-like mesh structure were developed as disclosed in Japanese Laid-Open Patent Specification No. 73390/1980. The hollow fiber disclosed therein has a diameter of 0.5-5 mm and contains numerous pores interconnected to form a complicated mesh structure. Each pore has a diameter of 0.005-1.0 micron, preferably 0.01-0.5 micron. This polyvinyl alcohol hollow fiber has an excellent water permeability, and high acid resistant property. Usually, 1,000-5,000 fibers are bundled in a U-shape and water containing iron is passed through the U-shaped bundle of fibers. Then iron is trapped in the pores and high purity water suitable for use in boilers or preparation of foodstuffs or drinks can be obtained on the outside of the fibers. But these polyvinyl alcohol fibers are solid having low mechanical strength so that they fracture or damage when subjected to a relatively small force or shock. Furthermore, clogged fibers cannot be regenerated with backwashing unless a special chemical agent is used. Presence of a chemical agent in nuclear reactor water, especially in a boiling water type reactor, is not permissible. In a nuclear power plant, water utilized in the plant is desired to have much higher purity than other applications. In other words, the concentration of solids in water should be extremely small. When filtering water used in a nuclear power plant with hollow fibers having a sponge like mesh structure of the type described above, not only dense films of solids are formed on the surfaces of the fibers but also a large quantity of the solids flows into the pores thus clogging the same. In other industrial applications, since the concentration of the solids is high, they aggregate into large particles which close the openings of the pores, whereby even when solids of small particles are present in the water to be filtered, such small particles are prevented from entering into the pores, thus preventing clogging thereof. For this reason, in the case of a nuclear power plant, the pore diameter must be selected carefully for preventing clogging of the pores. SUMMARY OF THE INVENTION It is the principal object of this invention to provide an efficient apparatus for filtering water containing radioactive substances in nuclear power plants utilizing hollow fibers having a sponge-like structure which can be regenerated with water, have high mechanical strength and are flexible so as not to be broken by external force or shock. Another object of this invention is to provide an improved filtering apparatus capable of efficiently trapping solid particles of radioactive substances and efficiently removing trapped solid particles with water thereby reducing the frequency of renewal of the hollow fibers. According to this invention, there is provided apparatus for filtering waste water containing radioactive substances in a nuclear power plant comprising a casing, a plurality of synthetic resin hollow filters installed in the casing, each fiber having a sponge-like mesh structure containing a number of pores extending between inner and outer walls of the hollow fiber, means for admitting water containing radioactive solid particles into the casing for trapping the solid particles in the pores, thereby filtering the water, a collection chamber located opposite from the casing across a head plate and being in communication with the interior of the hollow fibers for collecting filtered water passing through the pores into the interior of the fibers; and means for withdrawing filtered water from the collection chamber, wherein the hollow fibers are made of flexible polyethylene and the pores have a diameter of about 0.1 micron. |
claims | 1. A method of transferring a pattern of a reticle onto a substrate, the method comprising:setting a target pattern to be formed on the substrate using the reticle;obtaining a first pattern transferred onto a substrate by exposure using the reticle and a first illumination condition;calculating, a virtual second illumination condition under which the target pattern is transferred onto a substrate using the reticle, and a virtual third illumination condition under which the first pattern is transferred onto a substrate using the reticle, using mathematical models each of which defines a relationship between an illumination condition and a virtual pattern transferred onto a substrate using the illumination condition;determining a fourth illumination condition, obtained by adding a difference between the calculated second illumination condition and third illumination condition to the first illumination condition, as an illumination condition under which the target pattern is transferred onto a substrate using the reticle; andtransferring the pattern of the reticle onto the substrate by illuminating the reticle using the determined illumination condition. 2. The method according to claim 1, whereinin the calculating, the second illumination condition, which allows at least one of an exposure amount and a defocus amount to have a wide range in which a deviation from a contour of the target pattern falls within an allowable range, is calculated. 3. The method according to claim 1, whereinin the setting, a plurality of target patterns having different focus conditions are set,in the obtaining, a plurality of first patterns are obtained under a plurality of focus conditions,in the calculating, the second and third illumination conditions are calculated for each of the plurality of focus conditions, andin the determinating, an illumination condition, under which the target pattern is transferred onto a substrate using the reticle, is determined based on a plurality of fourth illumination conditions respectively corresponding to the plurality of focus conditions. 4. A method of transferring a pattern of a reticle onto a substrate, the method comprising:setting a target pattern to be formed on a substrate using the reticle;obtaining a first pattern transferred onto a substrate by exposure using the reticle and a first illumination condition;calculating, a virtual second pattern transferred onto a substrate by exposure using the reticle and the first illumination condition, using a mathematical model which defines a relationship between an illumination condition and a virtual pattern transferred onto a substrate using the illumination condition;calculating, using the model, a virtual fifth illumination condition under which a virtual third pattern obtained by adding a difference between the target pattern and the first pattern to the calculated second pattern is transferred onto a substrate by exposure using the reticle;determining the calculated fifth illumination condition as an illumination condition under which the target pattern is transferred onto a substrate using the reticle; andtransferring the pattern of the reticle onto the substrate by illuminating the reticle using the determined illumination condition. 5. The method according to claim 4, whereinin the calculating, the fifth illumination condition, which allows at least one of an exposure amount and a defocus amount to have a wide range in which a deviation from a contour of the target pattern falls within an allowable range, is calculated. 6. The method according to claim 4, whereinin the setting, a plurality of target patterns having different focus conditions are set,in the obtaining, a plurality of first patterns are obtained under the plurality of focus conditions,in the calculating, the fifth illumination condition is calculated for each of the plurality of focus conditions, andin the determining, an illumination condition under which the target pattern is transferred onto a substrate using the reticle is determined based on the plurality of fifth illumination conditions respectively corresponding to the plurality of focus conditions. 7. A computer readable storage medium storing a computer-executable program causing a computer to perform a method comprising:setting a target pattern to be formed on a substrate using the reticle;obtaining a first pattern on a substrate using the reticle and a first illumination condition;calculating, a second illumination condition under which the target pattern is transferred onto a substrate using the reticle, and a third illumination condition under which the first pattern is transferred onto a substrate using the reticle, using mathematical models each of which defines a relationship between an illumination condition and a virtual pattern transferred onto a substrate using the illumination condition; anddetermining a fourth illumination condition, obtained by adding a difference between the calculated second illumination condition and third illumination condition to the first illumination condition, as an illumination condition under which the target pattern is transferred onto a substrate using the reticle. 8. A computer readable storage medium storing a computer-executable program of instructions for causing a computer to perform a method comprising:setting a target pattern to be formed on a substrate using the reticle;obtaining a first pattern on a substrate using the reticle and a first illumination condition;calculating, a virtual second pattern transferred onto a substrate using the reticle and the first illumination condition, using a mathematical model which defines a relationship between an illumination condition and a virtual pattern transferred onto a substrate using the illumination condition;calculating, using the model, a fifth illumination condition under which a virtual third pattern obtained by adding a difference between the target pattern and the first pattern to the calculated second pattern is transferred onto a substrate using the reticle; anddetermining the calculated fifth illumination condition as an illumination condition under which the target pattern is transferred onto a substrate using the reticle. 9. A method comprising:determining an illumination condition of a reticle;exposing a substrate while illuminating the reticle under the determined illumination condition;developing the exposed substrate; andprocessing the developed substrate to manufacture a device,whereinthe determining includessetting a target pattern to be formed on a substrate using the reticle,obtaining a first pattern transferred onto a substrate using the reticle and a first illumination condition,calculating, a second illumination condition under which the target pattern is transferred onto a substrate using the reticle, and a third illumination condition under which the first pattern is transferred onto a substrate using the reticle, using mathematical models each of which defines a relationship between an illumination condition and a virtual pattern transferred onto a substrate using the illumination condition, anddetermining a fourth illumination condition, obtained by adding a difference between the calculated second illumination condition and third illumination condition to the first illumination condition, as an illumination condition under which the target pattern is transferred onto a substrate using the reticle. 10. A method comprising:determining an illumination condition of a reticle;exposing a substrate while illuminating the reticle under the determined illumination condition;developing the exposed substrate; andprocessing the developed substrate to manufacture a device,whereinthe determining includessetting a target pattern to be formed on a substrate using the reticle,obtaining a first pattern transferred onto a substrate using the reticle and a first illumination condition,calculating, a virtual second pattern transferred onto a substrate using the reticle and the first illumination condition, using a mathematical model which defines a relationship between an illumination condition and a virtual pattern transferred onto a substrate using the illumination condition,calculating, using the model, a fifth illumination condition under which a virtual third pattern obtained by adding a difference between the target pattern and the first pattern to the calculated second pattern is transferred onto a substrate using the reticle, anddetermining the calculated fifth illumination condition as an illumination condition under which the target pattern is transferred onto a substrate using the reticle. |
|
050158635 | description | DESCRIPTION OF THE PREFERRED EMBODIMENT In this invention, composite particles A are used as the material for a shield that is required to provide the heat release function; they are obtained by coating minute core particles with an excellent radiation-shielding property of organic or inorganic materials, various kind of metals, and so on. It is about 20 to 100 .mu.m, for example, in diameter and a thickness of the coating metal with high thermal conductivity is between 0.5 and 10 .mu.m for example, as shown in FIG. 1. Methods of applying the composite particles A to a radiation shield include (a) a method that involves filling a shield container of prescribed shape with composite particles A, (b) a method that involves fabricating a shield by closely packing the space in a container containing radioactive wastes, and (c) a method that involves forming composite particles A into a prescribed shape by hot-press forming (press forming at elevated temperature) or other forming processes. Using these methods makes it possible to provide an excellent radiation shield with excellent heat transferring property for a container containing exothermic radioactive wastes. The two examples in which these methods are applied to a cask for transporting and storing spent nuclear fuels are described in the following with reference to FIGS. 2 and 3. FIG. 2 is a sectional view of the cask in which the cylindrical cask body 2 contains the spent nuclear fuel assemblies 1. The container body 2 is covered with a neutron shield 9 made of composite particles A according to this invention and this neutron shield is surrounded by neutron shield core 4. In the example shown in FIG. 3, a neutron and gamma (.gamma.) ray shield 10 composed of composite particles A is formed on the basis of this invention between an internal cylinder 6 and an external cylinder 8 of the cask body. In these shields, coated core particles a have the function of shielding radiations, such as neutron and gamma (.gamma.) rays, and the coating metal b has the function of heat transfer and heat release; thus composite particles A serve as a shielding material with the function of heat transfer and heat release. Concerning combinations of a core particle a and a coating metal b that compose a composite particle A, materials as shown below are selected depending on the service conditions. Materials for the core particle a include: polyethylene, polystyrene, polypropylene, bakelite, graphite, beryllium, oxides of beryllium, boron, compounds of boron, aluminum, oxides of aluminum, iron, ferroalloys, lead, lead alloys, gadolinium, oxides of gadolinium, cadmium, cadmium alloys, indium, indium alloys, hafnium, hanium alloys, depleted uranium, and so on. Materials for the coating metal b include: aluminum, aluminum alloys, beryllium, beryllium alloys, copper, copper alloys, iron, ferroalloys, silver, silver alloys, magnesium, magnesium alloys, molybdenum, molybdenum alloys, zinc, zinc alloys, tin, tin alloys, tungsten, tungsten alloys, iridium, irridium alloys, gold, and so on. Examples of typical combination of these materials for composite particles A and particle sizes are shown in the following. Incidentally, particles are coated according to the electroplating process, spattering process, and so on (1) In the cafe of neutron shielding materials: Polyethylene (including super-high-molecular polyethylene) or boron carbide (B.sub.4 C) is used for core particles a, and copper or aluminum is used for the coating metal b. (2) In the case of gamma-ray-shielding materials: Lead or depleted uranium is used for core particles a, and copper or depleted uranium is used for the coating metal b. (3) In terms of the balance between the shielding performance and the heat release function, preferable diameters of core particle a are 20 to 100 .mu.m and preferable thicknesses of coating metal b are about 0.5 to 10 .mu.m. The composite particles in accordance with this invention car also be applied to the neutron-shielding and blanket material of nuclear fusion reactors, neutron absorber for nuclear criticality safety control or neutron reflector of reactors in addition to the above application. To sum up this invention, composite particles obtained by coating particles of a substance having an excellent radiation-shielding property with a metal of high thermal conductivity are used as a radiation-shielding material with an excellent heat-transferring property. As a result, it has become possible to obtain a high-performance shielding material that combines the radiation-shielding performance and an excellent heat-transferring property. As will be apparent from the above, it has become possible to save the time and labor hitherto required for installing radiating fins in a shield and to obtain an excellent radioactive-substance-shielding material of good decontamination property without the problem of neutron streaming from the fins. In addition, it has become possible to eliminate the difficulty which has so far been encountered in uniformly mixing metal powder of high thermal conductivity into a shield and to achieve the high thermal conductivity which has not so far been obtained. |
042345553 | abstract | This invention is a simple and effective method for removing uranium from aqueous HF solutions containing trace quantities of the same. The method comprises contacting the solution with particulate calcium fluoride to form uranium-bearing particulates, permitting the particulates to settle, and separting the solution from the settled particulates. The CaF.sub.2 is selected to have a nitrogen surface area in a selected range and is employed in an amount providing a calcium fluoride/uranium weight ratio in a selected range. As applied to dilute HF solutions containing 120 ppm uranium, the method removes at least 92% of the uranium, without introducing contaminants to the product solution. |
claims | 1. An integrated head assembly for a nuclear reactor having a reactor vessel closure head with a dome-shaped portion and three lifting lugs, wherein the reactor vessel closure head supports a plurality of control rod drive mechanisms, the integrated head assembly comprising: (a) a lifting assembly attached to the reactor vessel closure head lifting lugs, the lifting assembly comprising i) a ring beam having a generally upright cylindrical lower portion having a top edge and an upper flange portion that extends outwardly from the top edge of the cylindrical lower portion, the ring beam coaxially disposed on top of the reactor vessel closure head; ii) three vertical lift rods, each lift rod having a proximal end comprising a connecting member that engages one of the lifting lugs and the ring beam, and a distal end; iii) a spreader plate attached to the distal end of the lift rods; and iv) a tripod releasably attached to the spreader plate; (b) a cylindrical support structure extending upwardly from the ring beam; (c) a shroud assembly attached to the support structure, the shroud assembly encircling the control rod drive mechanisms; (d) a baffle assembly attached to the support structure and disposed between the shroud assembly and the control rod drive mechanisms; (e) a control rod drive mechanism cooling system comprising a plurality of fans and a plurality of vertically extending ducts, the ducts each having an air inlet end disposed near the reactor vessel closure head and an outlet end disposed above the inlet end and fluidly connected with at least one of the fans; and (f) a missile shield disposed above the control rod drive mechanisms. 2. The integrated head assembly of claim 1 , wherein the plurality of ducts is cooperatively defined by the baffle assembly and the shroud assembly. claim 1 3. The integrated head assembly of claim 1 , wherein the ring beam further comprises a plurality of saddle members circumferentially spaced around the ring beam, wherein the saddle members have a lower surface shaped to approximately conform to a part of the dome-shaped portion of the reactor vessel closure head. claim 1 4. The integrated head assembly of claim 1 , wherein the ring beam comprises three annular-segment-shaped members joined. claim 1 5. The integrated head assembly of claim 1 , wherein the cylindrical support structure comprises a plurality of vertical beam members that are attached to the ring beam, and a plurality of vertically spaced apart ring angles that interconnect the plurality of beam members. claim 1 6. The integrated head assembly of claim 1 , wherein the shroud assembly comprises a first shroud section extending upwardly from the ring beam, a second shroud section extending upwardly from the first shroud section, wherein the second shroud section includes at least one air inlet port in fluid communication with the baffle structure, and a third shroud section extending upwardly from the second shroud section, the third shroud section having at least one aperture providing access to an upper portion of the control rod drive mechanisms. claim 1 7. The integrated head assembly of claim 6 , wherein the first shroud section further comprises at least one access aperture that provides access to the interior of the shroud assembly and at least one door for closing the at least one access aperture. claim 6 8. The integrated head assembly of claim 1 , further comprises an upper plenum fluidly connecting the plurality of ducts with the plurality of fans. claim 1 9. The integrated head assembly of claim 8 , wherein the fans are oriented to draw air upwardly through the upper plenum such that air is drawn into the baffle structure through the shroud air inlet port, downwardly over the control rod drive mechanisms, upwardly through the ducts, and into the upper plenum and through the fans. claim 8 10. The integrated head assembly of claim 8 , wherein the upper plenum comprises the spreader plate disposed above and generally parallel to the missile shield, the missile shield, and a peripheral wall disposed between the spreader plate and the missile shield. claim 8 11. The integrated head assembly of claim 1 , wherein the missile shield is a contiguous sheet of metal without any holes and having a perimeter shaped to accommodate the plurality of ducts. claim 1 12. The integrated head assembly of claim 1 , further comprising a control rod drive mechanism disconnect panel disposed near the top of the shroud assembly, the disconnect panel comprising a plurality of electrical connectors. claim 1 |
|
056053614 | abstract | The invention disclosed herein provides nozzles for vessels such as a pressurizer vessel, reactor vessel or piping in a nuclear power facility, and methods for attaching and sealing the nozzles to the vessels without any welding at all, i.e., without using a structural or seal weld. The nozzles are clamped or bolted to the vessel or attached by an interference fit, and a mechanical seal is obtained using gasket material and/or contacting, preferably polished, metal surfaces. Some embodiments of clamping the nozzle to the vessel comprise tightening a nut on the threaded end of the nozzle projecting from the bore in the vessel against the vessel, and structure which prevents the nozzle from being withdrawn through the bore, thereby clamping the nozzle against the vessel. Another embodiment of clamping a nozzle to the vessel comprises a sleeve threaded in the bore and forcing an interfering portion of the nozzle body into engagement with the bore. In still another clamping embodiment, an exterior flange (attached to or engaged with the nozzle) is clamped to the vessel by bolts and interferring portions of the nozzle and the bore. Embodiments of bolting the nozzle to the vessel include threading the nozzle in the bore, and structure which prevents the nozzle from being withdrawn through the bore. The engaging structure may comprise an interior flange attached to the nozzle surrounding the bore on the interior of the vessel, or interfering portions of the nozzle and the bore. The nozzle may also be mechanically sealed with an O-ring arrangement. Attaching a nozzle with an interference fit may be accomplished by creating a temperature gradient between a larger OD nozzle and a smaller ID bore sufficient to enlarge the diameter of the bore, reduce the diameter of the nozzle, or both to allow the nozzle to be inserted into the bore and then reducing the temperature gradient The invention also provides a nozzle arrangement which is clamped to a large bore pipe. |
043137911 | abstract | Defects in nuclear fuel elements are ascertained and located within an assembled fuel assembly by ultrasonic means. In a typical embodiment of the invention, an ultrasonic search unit is positioned within the fuel assembly opposite the lower plenum of the fuel element to be tested. An ultrasonic pulse is radially projected into the element. Defective fuel elements are ascertained by ultrasonic reflection measurements. |
039430367 | description | Referring now to the drawing, and first, particularly, to FIG. 1 thereof there is shown a very generalized construction of a fast breeder reactor. The chain reaction occurs in the nuclear reactor core zone 1 proper which is surrounded by a radial breeder mantle 2 and axial breeder zones 21 located above and below the reactor core 1. A thermal shield 3 mounted in a reactor vessel 4 is located outside the reactor core 1. Coolant flow is indicated by the arrows. The formation or development of the axial breeder material zones 21 is produced by charging the upper and lower ends of the fuel elements forming the reactor core zone 1 with breeder material. Thus no special elements are required for the axial breeder zones, in contrast to the radial breeder mantle zone 2. In FIG. 2 there is shown a construction of the nuclear fuel zone wherein uniform thermal release occurs in all the fuel elements and, thereby, for the core zone 1, no special throttling devices are required for equalizing or compensating the coolant outlet temperature. FIGS. 3 and 4 show schematically the disposition of the breeder reactor in the breeder zones 21 thereof in accordance with the invention. The construction of the breeder reactor core 1 is shown schematically within the thermal shield 3, the fuel elements, which both form the reactor core 1 and the breeder zones 21 being diagrammatically indicated by the subdivision thereof into vertical strips, the upper and lower ends thereof containing breeder material and the center portions thereof containing nuclear fuel. As can be seen in FIGS. 3 and 4, the reactive fuel elements forming the reactor core 1 are surrounded by a radial breeder mantle 2 of elongated breeder material elements 10 and have an upper and lower section 21 also of breeder material. Flow conducting devices 6 are provided in the breeder mantle 2 for forcing the flow 5 into the illustrated path of FIG. 3. In the left-hand half of FIG. 3, there is provided only one of such flow-conducting devices which constrains the flow within the breedermantle 2 to turn aside radially into the nuclear fuel zone 1. It should be noted especially that the coolant flow can penetrate into this breeder mantle zone 2 not only from the underside thereof but also from the lateral side thereof, that is from the intermediate space between the thermal shield 3 and the breeder mantle 2. In the right-hand side of FIG. 3, several of such flow-conducting devices 6 are shown. They are alternatingly connected with the aid of electric cells 7 to the thermal shield 3 in the sense of a flow barrier connected or sealed with respect to the thermal shield. The illustrated flow path which travels back and forth in a meandering or sinuous manner in the breeder material is thereby produced, and has a considerably greater flow resistance than the flow path shown on the left-hand side of FIG. 3. It follows from this, that the sinuous or meander-shaped coolant flow in the breeder mantle 2 also influences the flow within the fuel element core 1 so that a better intermixing of the individual coolant thread-like streams in the spaces intermediate the individual fuel rods takes place and, thereby, the danger of so-called cold strands or streams are avoided. The illustrated dot-dash lines 10 define locations of equal pressure; they show that pressure differences at the foot of the individual breeder material elements are virtually nonexistent. The flow conducting devices 6 can be formed, for example, of flat divider or partition sheets wherein the breeder material elements proper are mounted. The mounting support for these sheets can be provided by conventional structural members located at the locations of the breeder material elements. For probably the most frequent situation wherein the breeder material elements extend through the entire reactor core, it is expedient to construct the spacer supports that are required in any case in these elements so that no very great flow can take place in axial direction of the breeder material rods. In such case, the spacer supports are formed of perforated plates, in the bores of which for example three burls are provided for supporting and guiding the breeder material rods extending through the bores. The narrow gaps produced thereby are necessary for affording adequate heat removal from these fuel and breeder rod portions. Since these spacer supports are all disposed in the same or parallel planes, one or more flow conducting surfaces are thereby produced. The spacer supports of adjacent breeder material elements are elastically braced against one another so that no coolant flow can form therebetween, yet this arrangement will not hinder any subsequently required breeder material or fissionable fuel element replacement or exchange. Whereas the arrangement of FIG. 3 corresponds in outer form to that of FIG. 1, the arrangement of FIG. 4 corresponds to that of FIG. 2 i.e. is furnished with a step-shaped core 1. In the left-hand half of FIG. 4 a device 8 for producing turbulent flow is located in the gap and between the middle and outer flow guide plates 6. The device 8 has a helical, screw-like shape which affords an additional intermixing of the individual flow threads or strands, as shown in FIG. 4. In the right-hand half of FIG. 4, the flow lines 5 as wall as the equal pressure lines 10 are additionally shown in the manner of FIG. 3. The characteristics of the step-shaped core with respect to the power-release in the individual fuel elements can also be superimposed by the retroaction of the meander-shaped coolant flow in the breeder mantle zones so that the shortest flow path exists in the central region of the core zone 1, which can be improved, moreover, by shortening the central combined breeder and fuel elements 9. As seen in FIG. 4, the flow path in the breeder mantle zones 2 is not only markedly increased, but rather, through the transverse flow, an integration of the temperature increase over the power output or release of different fuel element zones is also attained so that the outlet temperature is compensated and permits extreme simplification of the replacement or exchange program for the elements of the breeder mantle. Whereas, in the present conventional system with closed breeder and fuel element cases or cans, the breeder material elements must be exchanged often during their lifetime, in order for them to respectively receive a suitable coolant traversal in the plutonium construction thereof, all breeder material elements in the herein proposed arrangement of the invention can remain in position if care is taken only that in the individual regions, uniform mixing of elements of varying age takes place. The adjustment of a uniform outlet temperature over the entire reactor cross section is greatly simplified by this integrating effect because only the total throughput must be accommodated or matched to the power produced in the breeder mantle which is virtually constant in equilibrium condition. As mentioned hereinbefore, the radial flow in the breeder mantle provides the advantage that in the coolant flow of the core region a strong transverse intermixing is stimulated which contributes to the reduction of temperature peaks. This produces the further advantage, however, that when the liquid coolant metal boils, there is no danger anymore of propagation i.e. no abrupt boiling can occur anymore within very large regions of the reactor core as a result of the delay in boiling in the sodium which, for the state of the art, was also a reason for maintaining the closed fuel elements, that is the fuel element cans, cases or boxes. In the embodiment of FIG. 3a, the reactor core or, in fact, the section of breeder zone thereof, is composed of breeder material elements 2 disposed parallel to one another. The breeder elements 2 are assembled in bundles, in a conventional manner, with the aid of rod holder plates 20 which simultaneously provide flow guidance surfaces and are sealed from the thermal shield 3 by elastic or resilient annular ribs 7 which, as shown in FIG. 3a, are formed of springs secured at one side thereof. In the other embodiment of the invention shown in FIG. 4a, the breeder material elements 2 extend respectively through the entire length of the reactor core. In the embodiment of FIG. 4a, the flow guidance surfaces are provided by spacer members 23 which are disposed at the same level. The spacer members 23 are provided with sliding surfaces 23b at the edges thereof so that, when assembling the reactor core or, in the special case shown in FIG. 4a, in the assembly of the breeder zone or mantle, a bunching or blocking of the breeder material elements 2 is prevented. As in the embodiment of FIG. 3a, the sealing of the spacer members 23 from the thermal shield 3 is effected by elastic or resilient annular ribs 7. At the lower end of the reactor core, the breeder material elements 2 are held in a lower rod holder plate 20 which is mounted on a support grid 31 through which coolant flow is admitted to the reactor core. Turbulence generating devices 8 are located in the annular gap between the thermal shield 3, on the one hand, and, on the other hand, the plane in which the spacer members 23 forming the flow guidance surfaces 6 are disposed. The specific construction of the turbulence generating devices 8 forms no part of the subject of the present invention and belongs to the general state of the art. In FIG. 4b, there is shown a small section in top plan view of a flow guidance surface 6. Breeder material elements, or the spacer holder 23 therefor, are hexagonal and are formed with bores for receiving therein the individual breeder material rods 25. The bores formed in the breeder material elements contain radially inwardly directed projections or burls, for example three in number, for centering the rods 25. For such construction of the reactor core, wherein the elements are of hexagonal cross section, the thermal shield 3 is provided with a corresponding inner contour, and the elastic or resilient annular ribs 7 are formed of individual rectilinear portions 7a. The portions or sealing elements 7a can, of course, also have other constructions which depend in each case upon other structural conditions of the reactor per se, and do not limit the field of use of the invention of the instant application. The resilient or elastic parts 7a are securable to the thermal shield 3 by means of bolts or by welding, for example. As can be readily seen, the exact means for elastically or resiliently securing the flow guide devices at alternate levels to the boundary wall is immaterial, and may be effected in any convenient manner by the man of ordinary skill in the art. The inventive feature lies in the fact that the flow guide devices are secured elastically to the boundary wall. The sliding surfaces 23b of the spacer members 23 normally contact each other very lightly and the amount of coolant flow which passes therebetween is so little at the plane or the level at which the devices 8 which produce turbulence are located, that such flow is almost negligible. This also applies to the coolant flow through the mesh opening of the spacers 23 according to FIG. 4b which is of such quantity that cooling of the fuel rods 2 is also adequate in the vicinity of the spacer plane or level. The centered projections 23a in the space or bores insures that the spacing between the encasing tube and the wall of the bore is approximately equal all around. At the beginning or reactor operation, the fuel rod only loosely engages those projections 23a. At the end of the operating period, however, the fuel rod encasing tube may actually tightly engage these projections due to the swelling of the fuel rod. At the other flow guide surfaces which are sealed by the elastic ribs 7 against the thermal shield 3, there occurs a distribution of the coolant flow as viewed in FIG. 4, left-hand side. Due to the considerably higher flow resistance and the tortuous, sinuous path of the coolant flow the desired longer dwell period of the coolant and the quantitative throttling thereof in the breeding region or section of the reactor is provided without the occurrence of any stagnating coolant zones. This is also insured by the small quantitiesof coolant flow which pass through the planes or levels at which the spacer members are located. The construction of the resilient or elastic annular ribs 7 can be seen in FIGS. 3a, 4a and 4b. There is no rigid connection between the elastic ribs 7 and the spacer member levels or planes of the fuel rods or the rod holder plates 20 for partial fuel elements that are mounted above one another. The resiliency of these parts is necessary to avoid mechanical difficulties in the fuel element exchange during operation of the nuclear reactor. At the left-hand side of FIG. 4, the flow resistance in the region of the turbulence-producing devices 8 is much lower than along the fuel rods at the height of the respective spacer planes or levels. As a result, almost the entire coolant flow will travel across these turbulence-producing devices 8. The spacer plates or grids located above and below the devices 8 are pressed together edgewise by the resilient or elastic rib members 7 so that an increased flow resistance will occur over the entire cross-section of the breeding mantle, and coolant flow, therefore, assumes the course shown in FIG. 4. The spacers 23 which function as flow guide devices are secured in a conventional manner either to individual fuel rods of the fuel element or to a support frame disposed therein. These, features, however, are known in the art and are part of the general knowledge of engineers working in this field so that it is believed to be unnecessary to describe in detail such known design features. The specific construction of the turbulence generating devices 8 forms no part of the subject of the present invention and belongs to the general state of the art. The resilient or elastic part 7a are securable to the thermal shield 3 by means of bolts or by welding, for example. The exact means for elastically or resiliently securing these flow guide devices at alternate levels to the boundary wall is immaterial and may be effected in any convenient manner by the man of ordinary skill in the art. The inventive feature lies in the fact that the flow guide devices are secured elastically to the boundary wall. It should be also noted that due to the transverse inward flow of the coolant from the outer gap between the breeder mantle 2 and the thermal shield 3, only part of the coolant flow acts in vertical direction so that, accordingly, in connection with the pressure distribution in the entire breeder reactor core, a safety device to protect against upward floating can possibly be dispensed with. By means of the proposed flow guide devices 6 according to the invention, a considerably simplified construction of the entire reactor core is afforded; all of the elements, both fuel elements and breeder material elements can be produced with the open side or canless type of construction. The economies in absorption material associated therewith increases the breeding rate. Special throttle devices, which must be adjustable during the operation of the reactor, are dispensible and, moreover, due to the retroactions of the transverse flow on the central part of the reactor core, the dangers associated with the delay in boiling of the sodium are avoided. The embodiments of the flow-conducting devices described, for example, hereinbefore, that is, in one case with the aid of spacer supports, and, in another case, with the aid of flow guide plates at the fuel elements located above one another at the connecting position, can also have other possible constructions that result from the construction and assembly of the individual fuel and breeder material elements. These advantages characteristics of the flow guiding device of the invention are of value obviously not only for liquid metal-cooled fast breeder reactors, as are preferably found today in development, but also, for example, for vapor-cooled or compressed gas-cooled fast breeder reactors. Also, the employment of this principle is not limited to fast breeder reactors, but rather its use is advantageous for every type of reactor having canless fuel elements, thus, for example, even for thermal light water reactors of the pressurized water type. |
abstract | Illustrative embodiments provide nuclear fission igniters for nuclear fission reactors and methods for their operation. Illustrative embodiments and aspects include, without limitation, a nuclear fission igniter configured to ignite a nuclear fission deflagration wave in nuclear fission fuel material, a nuclear fission deflagration wave reactor with a nuclear fission igniter, a method of igniting a nuclear fission deflagration wave, and the like. |
|
claims | 1. A reciprocation apparatus that is structured to be mounted to a tool which is receivable into an interior region of a core shroud of a boiling water reactor, the reciprocation apparatus further being structured to carry a device thereon into the interior region, the reciprocation apparatus comprising:a platform that is structured to be situated on the tool and that has a first side and a second side opposite one another;a support that is elongated and that is situated on the platform, the support having a first end and a second end opposite one another, the support being movable along its direction of elongation with respect to the platform in a first direction wherein the first end moves relatively farther away from the first side, the support further being movable in a second direction opposite the first direction wherein the second end moves relatively farther away from the second side;a belt that is elongated and flexible, the belt being affixed at one or more locations along its length to the platform to form a closed loop that extends about at least a portion of the support and that permits relative movement between the belt and the at least portion of the support when the support moves in the first and second directions;a mount that is situated on the belt and that is structured to carry the device;a drive mechanism operationally extending between the support and one of the platformand the belt, the drive mechanism being operable to move the reciprocation apparatus between a first state of the reciprocation apparatus and a second state of the reciprocation apparatus;in the first state, a relatively greater portion of the support extends from the first side than extends from the second side, and the mount is situated relatively closer to the first end than the second end; andin the second state, a relatively greater portion of the support extends from the second side than extends from the first side, and the mount is situated relatively closer to the second end than the first end. 2. The reciprocation apparatus of claim 1 wherein the support is elongated along an arcuate path that is of a fixed radius. 3. The reciprocation apparatus of claim 1 wherein the belt extends around a first location adjacent the first end and further extends around a second location adjacent the second end, and wherein relative movement occurs between the belt and the first and second locations when the reciprocation apparatus moves between the first state and the second state. 4. The reciprocation apparatus of claim 3 wherein the drive mechanism operationally extends between the support and the platform. 5. The reciprocation apparatus of claim 4 wherein the support includes a toothed rack, and wherein the drive mechanism operationally extends between the toothed rack and the platform. 6. The reciprocation apparatus of claim 5 wherein the mount is affixed to the belt, and wherein operation of the drive mechanism causes movement of the support relative to the platform which, in turn, causes the relative movement between the belt and the first and second locations when the reciprocation apparatus moves between the first state and the second state. |
|
summary | ||
claims | 1. An apparatus comprising:a circuit or digital processor configured to adjust reactor power generated by a pressurized water reactor (PWR) by:(i) changing an average primary coolant temperature of primary coolant of the PWR by adjusting at least one of flow rate and temperature of feedwater into a steam generator that is in thermal communication with the PWR, and(ii) adjusting a pressurizer water level setpoint of the PWR upward if the change (i) is to a higher average primary coolant temperature and downward if the change (i) is to a lower average primary coolant temperature. 2. The apparatus as set forth in claim 1, wherein:the changing (i) comprises increasing feedwater flow rate into the steam generator in thermal communication with the PWR, andthe adjusting (ii) comprises adjusting the pressurizer water level setpoint of the PWR downward. 3. The apparatus as set forth in claim 1, wherein:the changing (i) comprises decreasing feedwater flow rate into the steam generator in thermal communication with the PWR, andthe adjusting (ii) comprises adjusting the pressurizer water level setpoint of the PWR upward. 4. The apparatus as set forth in claim 1, wherein:the changing (i) comprises increasing temperature of feedwater being fed into the steam generator in thermal communication with the PWR, andthe adjusting (ii) comprises adjusting the pressurizer water level setpoint of the PWR upward. 5. The apparatus as set forth in claim 1, wherein:the changing (i) comprises decreasing temperature of feedwater being fed into the steam generator in thermal communication with the PWR, andthe adjusting (ii) comprises adjusting the pressurizer water level setpoint of the PWR downward. 6. The apparatus as set forth in claim 1, wherein the steam generator in thermal communication with the PWR is an integral steam generator disposed inside a pressure vessel of the PWR. 7. The apparatus as set forth in claim 1, wherein a magnitude of the adjusting (ii) is a function of the changing (i). 8. The apparatus as set forth in claim 1, wherein the adjusting (ii) further includes adjusting upper and lower pressurizer water level limits to track with the adjusted pressurizer water level setpoint, and the circuit or digital processor is further configured to perform a method comprising:adding makeup water to a reactor coolant system (RCS) of the PWR conditional upon a monitored pressurizer water level of the PWR decreasing below the adjusted pressurizer water level lower limit; andperforming letdown of water from the RCS of the PWR conditional upon the monitored pressurizer water level of the PWR increasing above the adjusted pressurizer water level lower limit. 9. A pressurized water reactor (PWR) comprising:a pressure vessel;a reactor core disposed in the pressure vessel;an integral or external pressurizer;primary coolant disposed in the pressure vessel and heated by operation of the reactor core, the primary coolant not including a soluble boron poison in the primary coolant; andan apparatus as set forth in claim 1. 10. A method comprising:operating a pressurized water reactor (PWR) comprising a nuclear reactor core contained in a pressure vessel to generate electric power wherein the operating includes operating a pressurizer to regulate pressure in the pressure vessel of the PWR; andduring the operating:performing a PWR power adjustment comprising adjusting at least one of flow rate and temperature of secondary coolant flowing into a steam generator of the PWR; andadjusting a pressurizer water level setpoint based on a predicted direction and magnitude of change of a pressurizer water level of the PWR predicted to result from the performing the PWR power adjustment. 11. The method as set forth in claim 10, wherein:the performing a PWR power adjustment comprises one of increasing and decreasing the secondary coolant flow rate; andthe adjusting of the pressurizer water level setpoint comprises one of lowering and raising the pressurizer water level setpoint based on a predicted decrease or increase in primary coolant volume in a reactor coolant system (RCS) of the PWR predicted to result from the increasing or decreasing of the secondary coolant flow rate. 12. The method as set forth in claim 10, wherein:the performing a PWR power adjustment comprises one of increasing and decreasing the secondary coolant flow rate into an integral steam generator of the PWR; andthe adjusting of the pressurizer water level setpoint comprises one of lowering and raising the pressurizer water level setpoint based on a predicted decrease or increase in primary coolant volume in a reactor coolant system (RCS) of the PWR predicted to result from the increasing or decreasing of the secondary coolant flow rate through the integral steam generator of the PWR. 13. The method as set forth in claim 10, wherein:the performing a PWR power adjustment comprises one of increasing and decreasing the temperature of secondary coolant flowing into the steam generator of the PWR; andthe adjusting of the pressurizer water level setpoint comprises one of raising and lowering the pressurizer water level setpoint based on a predicted increase or decrease in primary coolant volume in a reactor coolant system (RCS) of the PWR predicted to result from the increasing or decreasing of the temperature of secondary coolant flowing into the steam generator of the PWR. 14. The method as set forth in claim 10, wherein:the performing a PWR power adjustment comprises one of increasing and decreasing the temperature of secondary coolant flowing into an integral steam generator of the PWR; andthe adjusting of the pressurizer water level setpoint comprises one of raising and lowering the pressurizer water level setpoint based on a predicted increase or decrease in primary coolant volume in a reactor coolant system (RCS) of the PWR predicted to result from the increasing or decreasing of the temperature of secondary coolant flowing into the integral steam generator of the PWR. 15. The method as set forth in claim 10, wherein the method does not include operating the PWR with a concentration of a soluble poison in a primary coolant of the PWR. 16. A pressurized water reactor (PWR) comprising:a pressure vessel;a reactor core disposed in the pressure vessel;an integral or external pressurizer;primary coolant disposed in the pressure vessel and heated by operation of the reactor core;an integral steam generator disposed in the pressure vessel and configured to convert secondary coolant in the form of feedwater into steam by heat transfer from the primary coolant heated by operation of the reactor core to secondary coolant in the steam generator;a feedwater valve arranged to control feedwater flow rate into the integral steam generator; anda controller configured to perform a PWR control method including the operations of (i) adjusting PWR power by operating the feedwater valve to increase or decrease feedwater flow rate and (ii) adjusting a pressurizer water level setpoint based on a predicted direction and magnitude of change of a pressurizer water level of the PWR predicted to result from the adjusting (i). 17. The PWR as set forth in claim 16, wherein the primary coolant does not include a soluble boron poison. 18. The PWR as set forth in claim 17, further comprising:an integral pressurizer. 19. The PWR as set forth in claim 16, wherein the controller is configured to perform the operation (ii) by:decreasing the pressurizer water level set point in correspondence with increasing the feedwater flow rate, andincreasing the pressurizer water level in correspondence with decreasing the feedwater flow rate. 20. A pressurized water reactor (PWR) comprising:a pressure vessel;a reactor core disposed in the pressure vessel;an integral or external pressurizer;primary coolant disposed in the pressure vessel and heated by operation of the reactor core, the primary coolant not including a soluble boron poison in the primary coolant;a controller configured to perform a method including the operations of:performing a PWR power adjustment; andadjusting a pressurizer water level set point based on a predicted direction and magnitude of change of a pressurizer water level of the PWR predicted to result from the performing the PWR power adjustment;a steam generator configured to bring the primary coolant into thermal communication with secondary coolant to transfer heat from the primary coolant to the secondary coolant to convert the secondary coolant to steam; anda feedwater valve arranged to control flow rate of the secondary coolant into the steam generator;wherein the controller is configured to perform a PWR power adjustment by operating the feedwater valve to increase or decrease the flow rate of secondary coolant into the steam generator. |
|
047568674 | claims | 1. Device for the remote measurement of the outside diameter of a cylindrical element (4) projecting relative to the surface of a plate (3) perforated with at least two orifices (17) in the vicinity of the cylindrical element (4), comprising a handling pole (1) and a measuring apparatus (2) connected to the end of the pole, wherein the measuring apparatus comprises: a supporting structure (5) consisting of a plane annular base provided with at least two projecting guide and centering parts (16) intended to interact with the orifices (17) in the plate (3) and a thrust assembly (18) consisting of an arm (20) mounted in an articulated manner on the base (5) and having a bearing means (23) at its end, and of an actuating finger (21) mounted so as to project relative to a face of the base (5) intended to come into contact with the plate (3), in order to actuate the thrust arm (20) when they come into contact, and a measuring assembly carried by the supporting structure and consisting of a stage (30) mounted on the annular base (5) so as to be movable in all the directions of the plane of the base (5) and with limited amounts of movement, of a tubular sleeve (36) which is integral with the stage (30) and the axis of which is perpendicular to the plane of the stage (30) and of the base (5) and the inside diameter of which is greater than the diameter to be measured, and of a tracer (38) which is associated with a movement measuring means (52, 54) and which is carried by the tubular sleeve (36) and has a rod (40) which is movable in a radial direction of the tubular sleeve (36) and the end of which projects into a bore of the tubular sleeve (36) under the action of a spring (41), the arm (20) of the thrust assembly exerting a radially-directed thrust on the outer surface of the tubular sleeve (36) in a zone diametrically opposite the tracer (38), the tubular sleeve (36) surrounding the cylindrical element to be measured, and the plane face (5) of the supporting structure coming to rest on the plate (3) when the device is placed in the active measuring position. 2. Measuring device according to claim 1, wherein the supporting structure formed by the base (5) is connected to the handling pole (1) by means of a suspension device (6) comprising at least one suspension plate (8, 10) and a set of columns (12). 3. Measuring device according to claim 2, wherein the columns (12), in their lower part, are fastened to the base (5), and in that the stage (30) of the movable measuring assembly, having orifices of a diameter greater than the diameter of the columns, is interposed, in line with each column (12) passing through it with play via an orifice, between a spring (31) bearing on the base (5) and a stop (33) integral with the column (12). 4. Measuring device according to claim 2, wherein the suspension device (6) is connected to the end of the handling pole (1) by means of a connection of the shackle type. 5. Measuring device according to claim 1, wherein the sleeve (36) has, on its inner surface, two fixed stops arranged in angular positions of 120 round the axis of the sleeve in relation to the movable rod (40) of the tracer (38). 6. Measuring device according to claim 1, wherein the rod (40) of the tracer (38) is connected to the movement measuring means (52, 54) by means of an angular-transfer assembly (46, 50, 48). 7. Measuring device according to claim 1, wherein the means measuring the movement of the rod (40) of the tracer (38) consists of a mechanical sensor with a direct read-off (52) and of an electronic sensor (54) with an analog or digital output supplying the movement measurement in parallel. 8. Measuring device according to claim 2, wherein the suspension device (6) carries a video camera (13) making it possible to display the measuring zone, for the installation of the device and for the direct reading of the measurement on the movement measuring means (52). 9. Measuring device according to claim 1, wherein the bearing means of the thrust arm (20) consists of a roller (23). 10. Use of a measuring device according to any one of claims 1 to 9 for measuring the outside diameter of a guide bush fastened to the lower core plate of a pressurized-water nuclear reactor, the measurement being made during a shutdown of the nuclear reactor and the pool and vessel of this reactor being filled with water and the core assemblies removed. |
abstract | A method determines the wear of axles of a robot arm of an industrial robot. A torque profile of at least one axle taken during at least one working cycle of the industrial robot is used as a basis for an analysis. The torque profile is analyzed for portions of the torque profile that exceed a previously fixed torque band, and current axial wear is determined by assessing the frequency and/or the curve profile of the portions of the torque profile. A system is provided for determining the wear. |
|
summary | ||
051075245 | claims | 1. A synchrotron radiation utilizing apparatus comprising: means for generating synchrotron radiation; means for outwardly guiding said synchrotron radiation generated from said synchrotron radiation generating means in a predetermined direction; a plurality of supporting members each supporting one of a plurality of objects which are targets to be irradiated with said synchrotron radiation, said supporting members being disposed at positions distant substantially by an equal distance from a center of rotation of said apparatus; a support base supporting said object supporting members; first rotating means for rotating each of said objects in a predetermined direction in a plane of said supporting members; first control means for applying a control signal to said first rotating means; second rotating means for rotating said support base in a plane of said support base in a direction opposite to the direction of rotation of said objects; and second control means for applying a control signal to said second rotating means; wherein a plurality of obbject mounting jigs each including the combination of said support base and said object supporting members are mounted on the side surface of a block in the form of a frustum of a right cone mounted on a rotary shaft, and each of said object mounting jigs is rotatable around a shaft extending in perpendicular relation to the side surface of said block. a plurality of supporting members each supporting one of a plurality of objects which are targets to be irradiated with synchrotron radiation, said supporting members being disposed at positions distant substantially by an equal distance from a center of rotation of said apparatus; a support base supporting said object supporting members; first rotating means for rotating each of said objects in a predetermined direction in a plane of said object supporting members; first control means for applying a control signal to said first rotating means; second rotating means for rotating said support base in a plane of said support base in a direction opposite to the direction of rotation of said objects; and second control means for applying a control signal to said second rotating means; wherein said first rotating means rotates each of said objects in the predetermined direction at a predetermined angular velocity, and wherein said second rotating means rotates said support base in the direction opposite to the direction of rotation of said objects at the same angular velocity at which said objects are rotated such that a composite angular velocity of each of said objects is zero. outwardly guiding synchrotron radiation generated from synchrotron radiation generating means in a predetermined direction; supporting a plurality of objects, which are targets to be irradiated with said synchrotron radiation, on a plurality of supporting members, said supporting members being rotatably supported on a support base and disposed at positions distant substantially by an equal distance from a center of rotation of said support base; rotating each of said object supporting members in a predetermined direction while, at the same time, rotating said support base in a plane of said support base in a direction opposite to the direction of rotation of said object supporting members; and controlling rotating means rotating said support base and rotating means rotating said object supporting members so that a spot of said synchrotron radiation irradiating each of said objects describes a rectilinear locus. means for generating synchrotron radiation; means for outwardly guiding said synchrotron radiation generated from said synchrotron radiation generating means in a predetermined direction; a plurality of supporting members each supporting one of a plurality of objects which are targets to be irradiated with said synchrotron radiation, said supporting members being disposed at positions distant substantially by an equal distance from a center of rotating of said apparatus; a support base rotatably supporting said object supporting members; first rotating means for rotating said object supporting members independently of each other in a predetermined direction and at a predetermined angular velocity; first control means for applying a control signal to said first rotating means; second rotating means for rotating said support base in a plane of said support base in a direction opposite to the direction of rotation of said object supporting members and at the same angular velocity at which said object supporting members are rotated such that a composite angular velocity of each of said objects is zero and such that a spot of said synchrotron radiation makes a substantially parallel movement on a surface of each of said objects relative to a stationary observer, thereby obviating a non-uniform radiation intensity distribution of said synchrotron radiation on said surface attributable to a difference in rotation velocities of points on said surface radially spaced relative to said center of rotation; and second control means for applying a control signal to said second rotating means. means for generating synchrotron radiation; means for outwardly guiding said synchrotron radiation generated from said synchrotron radiation generating means in a predetermined direction; a plurality of supporting members each supporting one of a plurality of objects which are targets to be irradiated with said synchrotron radiation, said supporting members being disposed at positions distant substantially by an equal distance from a center of rotation of said apparatus; a support base rotatably supporting said object supporting members; first rotating means for rotating said object supporting members independently of each other in a predetermined direction and at a predetermined angular velocity; first control means for applying a control signal to said first rotating means; second rotating means for rotating said support base in a plane of said support base in a direction opposite to the direction of rotation of said object supporting members and at the same angular velocity at which said object supporting members are rotated such that a composite angular velocity of each of said objects is zero and such that a spot of said synchrotron radiation makes a substantially parallel movement on a surface of each of said objects relative too a stationary observer, thereby obviating a non-uniform radiation intensity distribution of said synchrotron radiation on said surface attributable to a difference in rotation velocities of points on said surface radially spaced relative to said center of rotation; second control means for applying a control signal to said second rotating means; first rotation angle sensor means for detecting the rotation of each of said object supporting members; means for applying a control signal to said first control means on the basis of the detection output signal from said first rotation angle sensor means; second rotation angle sensor means for detecting the rotation of said support base; means for applying a control signal to said second control means on the basis of the detection output signal from said second rotation angle sensor means; and controlled power supply means including said first and second control means for controlling the angular velocity of rotation of said support base relative to that of said object supporting members, so that said support base and said object supporting members are rotated in directions opposite to each other at the same angular velocity. means for generating synchrotron radiation; means for outwardly guiding said synchrotron radiation generated from said synchrotron radiation generating means in a predetermined direction; a plurality of supporting members each supporting one of a plurality of objects which are targets to be irradiated with said synchrotron radiation, said supporting members being disposed at positions distant substantially by an equal distance from a center of rotation of said apparatus; a support base rotatably supporting said object supporting members; first rotating means for rotating said object supporting members independently of each other in a predetermined direction and at a predetermined angular velocity; first control means for applying a control signal to said first rotating means; second rotating means for rotating said support base in a plane of said support base in a direction opposite to the direction of rotation of said object supporting members and at the same angular velocity at which said object supporting members are rotated such that a composite angular velocity of each of said objects is zero and such that a spot of said synchrotron radiation makes a substantially parallel movement on a surface of each of said objects relative to a stationary observer, thereby obviating a non-uniform radiation intensity distribution of said synchrotron radiation on said surface attributable to a difference in rotation velocities of points on said surface radially spaced relative to said center of rotation; and second control means for applying a control signal to said second rotating means; wherein a plurality of object mounting jigs each including the combination of said support base and said object supporting members are mounted on the side surface of a block in the form of a frustum of a right cone mounted on a rotary shaft, and each of said object mounting jigs is rotatable around a shaft extending in perpendicular relation to the side surface of said block. a plurality of supporting members each supporting one of a plurality of objects which are targets to be irradiated with synchrotron radiation, said supporting members being disposed at positions distant substantially by an equal distance from a center of rotation of said apparatus; a support base rotatably supporting said object supporting members; first rotating means for rotating each of said object supporting members in a predetermined direction; first control means for applying a control signal to said first rotating means; second rotating means for rotating said support base in a direction opposite to the direction of rotation of said object supporting members; and second control means for applying a control signal to said second rotating means; wherein said first rotating means rotates each of said object supporting members in the predetermined direction at a predetermined angular velocity, and wherein said second rotating means rotates said support base in the direction opposite to the direction of rotation of said object supporting members at the same angular velocity at which said object supporting members are rotated such that a composite angular velocity of each of said object supporting members is zero. means for generating synchrotron radiation; means for outwardly guiding said synchrotron radiation generated from said synchrotron radiation generating means in a predetermined direction; a plurality of supporting members each supporting one of a plurality of objects which are targets to be irradiated with said synchrotron radiation, said supporting members being disposed at positions distant substantially by an equal distance from a center of rotation of said apparatus; a support base supporting said object supporting members; first rotating means for rotating each of said objects in a predetermined direction in a plane of said supporting members; first control means for applying a control signal to said first rotating means; second rotating means for rotating said support base in a plane of said support base in a direction opposite to the direction of rotation of said objects; and second control means for applying a control signal to said second rotating means; wherein said first rotating means rotates each of said objects in the predetermined direction at a predetermined angular velocity, and wherein said second rotating means rotates said support base in the direction opposite to the direction of rotation of said objects at the same angular velocity at which said objects are rotated such that a composite angular velocity of each of said objects is zero. 2. A synchrotron radiation utilizing apparatus according to claim 1, wherein a vertical angle of said frusto-conical block mounted at its central axis on said rotary shaft ranges between 0.degree. and 90.degree. . 3. A synchrotron radiation utilizing apparatus according to claim 2, wherein a rotary shaft of said support base and a rotary shaft of each of said object supporting members are rotatably journalled in two bearings and two bearings respectively, and the ratio of the clearance between said rotary shaft and each of said bearings to the distance between said two bearings is selected to be less than 1/8000. 4. An apparatus for holding targets to be processed comprising: 5. A synchrotron radiation utilizing apparatus comprising a charged particle beam duct forming a vacuum chamber required for circulation of a charged particle beam, at least one synchrotron radiation guide duct disposed outside relative to said charged particle beam duct for outwardly guiding synchrotron radiation discharged when the charged particle beam is deflected by a magnetic field, means disposed adjacent to the outlet of said synchrotron radiation guide duct and including a rotary shaft, a support base mounted on said rotary shaft and a plurality of rotatable supporting members each supporting one of a plurality of objects disposed substantially along a circle on said support base, means for rotating said object supporting members, and means for rotating said support base in a direction opposite to the direction of rotation of said object supporting members, whereby said objects are directly irradiated with said synchrotron radiation from said synchrotron radiation guide duct while under rotation. 6. A synchrotron radiation utilizing apparatus according to claim 5, wherein said means for rotating said object supporting members rotates said object supporting members at a predetermined angular velocity, and wherein said means for rotating said support base rotate said support base in the direction opposite too the direction of rotation of said object supporting members at the same angular velocity at which said object supporting members are rotated such that a composite angular velocity of each of said object rotating members is zero. 7. A method for utilizing synchrotron radiation comprising the steps of: 8. A method for utilizing synchrotron radiation according to claim 7, wherein when one object on one of said obbject supporting members is being irradiated with said synchrotron radiation while rotating said one object supporting member, another object on another of said object supporting members is being replaced. 9. A method for utilizing synchrotron radiation according to claim 7, wherein each of said object supporting members is rotated in the predetermined direction at a predetermined angular velocity, and wherein said support base is rotated in the direction opposite to the direction of rotation of said object supporting members at the same angular velocity at which said object supporting members are rotated such that a composite angular velocity of each of said object supporting members is zero. 10. A synchrotron radiation utilizing apparatus comprising a charged particle beam duct forming a vacuum chamber required for circulation of a charged particle beam, at least one synchrotron radiation guide duct disposed outside relative to said charged particle beam duct for outwardly guiding synchrotron radiation discharged when the charged particle beam is deflected by a magnetic field, a plurality of objects which are targets to be irradiated with said synchrotron radiation and disposed substantially along a circle on a support base in the vicinity f the outlet of said synchrotron radiation guide duct, each of said objects being supported on a rotatable supporting member, means for rotating said object supporting members, and means for rotating said support base in a direction opposite to the direction of rotation of said object supporting members, whereby said objects are directly irradiated with said synchrotron radiation from said synchrotron radiation guide duct while under rotation. 11. A synchrotron radiation utilizing apparatus according to claim 10, wherein said means for rotating said object supporting members rotates said object supporting members at a predetermined angular velocity, and wherein said means for rotating said support base rotates said support base in the direction opposite to the direction of rotation of said object supporting members at the same angular velocity at which said object supporting members are rotated such that a composite angular velocity of each of said object supporting members is zero. 12. A synchrotron radiation utilizing apparatus comprising: 13. A synchrotron radiation utilizing apparatus comprising: 14. A synchrotron radiation utilizing apparatus comprising: 15. A synchrotron radiation utilizing apparatus according to claim 14, wherein a vertical angle of said frusto-conical block mounted at its central axis on said rotary shaft ranges between 0.degree. and 90.degree. . 16. A synchrotron radiation utilizing apparatus according to claim 15, wherein a rotary shaft of said support base and a rotary shaft of each of said object supporting members are rotatably journalled in two bearings and two bearings respectively, and the ratio of the clearance between said rotary shaft and each of said bearings to the distance between said two bearings is selected to be less than 1/8000. 17. An apparatus for holding targets to be processed comprising: 18. A synchrotron radiation utilizing apparatus comprising: |
062529390 | claims | 1. An X-ray examination apparatus comprising: an X-ray source, an X-ray detector, an X-ray filter which includes a plurality of filter elements and is arranged between the X-ray source and the X-ray detector, an electric voltage source, and a control system including voltage lines for selectively applying electric voltages to individual filter elements, wherein the filter elements are connected to the electric voltage source by way of voltage lines, and the X-ray absorptivity of the individual filter elements is adjustable by adjustment of a quantity of X-ray absorbing liquid in individual filter elements on the basis of the electric voltages applied to the individual filter elements, and wherein the filter elements are formed by spaces between plates which are locally attached to one another, and the voltage lines are provided at least partly on one or more of the plates. 2. An X-ray examination apparatus as claimed in claim 1 wherein the plates are formed by at least partly distorted wall foils. 3. An X-ray examination apparatus as claimed in claim 2 wherein the wall foils are at least partly separated from one another by intermediate foils inserted between the wall foils. 4. An X-ray examination apparatus as claimed in claim 3 wherein the wall foils are provided in the form of a stack of wall foils, local spaces forming the filter elements being present between neighboring wall foils, and wherein the intermediate foils are provided in a part of the X-ray filter which is situated between the filter elements and a region where the voltage lines emerge from the stack of foils. 5. An X-ray examination apparatus as claimed in claim 1 wherein the filter elements are formed as capillary tubes, and wherein the voltage lines extend across the plates essentially transversely of the longitudinal axis of the capillary tubes. 6. An X-ray examination apparatus as claimed in claim 2 wherein the filter elements are formed as capillary tubes, and wherein an edge of one or more wall foils is mechanically reinforced. 7. An X-ray examination apparatus as claimed in claim 3 further comprising supply lines which are provided on one or more intermediate foils, and wherein one or more individual supply lines are electrically connected to one or more individual voltage lines on the wall foils. 8. An X-ray examination apparatus as claimed in claim 7 wherein the supply lines are provided with supply contact pads, wherein the voltage lines are provided with voltage contact pads, and wherein the supply contact pads and the voltage contact pads are connected to one another by way of a clamping contact. |
043022952 | claims | 1. A fuel element comprising a cladding tube and a fuel pellet material loaded in the cladding tube, characterized in that a metal foil having a tag gas implanted therein for detecting breakage of the cladding tube is further loaded in the cladding tube, the metal foil being loaded in the cladding tube on the end portion or between said pellet in the form of circular foils or coil of a ribbon-shaped foil, and the circular foil or coil having a diameter smaller than the inner diameter of the cladding tube. 2. The fuel element according to claim 1, wherein said metal foil is formed of a metal selected from the group consisting of aluminum, an aluminum alloy, zirconium, a zirconium alloy and stainless steel. 3. The fuel element according to claim 1, wherein said tag gas is implanted into both surface regions of the metal foil. 4. The fuel element according to any one of claims 1 to 3, wherein said tag gas-implanted metal foil is loaded in a plenum of the cladding tube. 5. The fuel element according to any one of claims 1 to 3, wherein said tag gas-implanted metal foil is disposed between pellets of fuel material. 6. The fuel element according to any one of claims 1 to 3, wherein tag gas components are implanted individually into metal foils of different shapes such that the kind of the tag gas component can be distinguished by the shape of the metal foil and metal foils of different shapes are loaded in combination in the cladding tube in accordance with a desired composition of the tag gas. |
046817310 | description | DETAILED DESCRIPTION OF THE INVENTION In the following description, like reference characters designate like or corresponding parts throughout the several views. Also in the following description, it is to be understood that such terms as "forward", "rearward", "left", "right", "upwardly", "downwardly" and the like, are words of convenience and are not to be construed as limiting terms. Prior art LMR with Top Suspended Reactor Vessel For a clearer and better understanding of the present invention, it is thought that it would be helpful to provide a brief description of the prior art liquid metal cooled nuclear reactor (LMR), generally identified by the numeral 10, having a top suspended reactor vessel 12. Toward this end, FIG. 1 of the drawings schematically illustrates the conventional plant construction of a typical LMR 10. The LMR 10 is of the type fully described in the EPRI report number NP-1016-SY, Project 620-26,27 dated March 1979 and entitled "Large Pool LMFBR Design, Executive Summary". Since the plant is an exceedingly complex structure, as can be appreciated by those skilled in the art of reactors, only a simplified version of the main components of the prior art plant, which are generally relevant to the improved support system of the present invention, are shown in FIG. 1. The LMR 10 is of the "pool" type which basically includes a cylindrical reactor vessel 12 which holds a large pool of coolant, such as liquid sodium, and houses a reactor core 14, a circulation pump 16 and a heat exchanger 18. The reactor vessel 12 has an open top end and is supported in suspended fashion at its top end from a transverse deck 20 which, in turn, is supported on its outer ring girder 22 by a reinforced concrete cylindrical side wall 24 that extends upwardly from a concrete base mat 26. Also supported on the base mat 26 are outer cylindrical vertical walls 28,30 and intermediate walls 32 that are intertied by various horizontal walls 34 to the side wall 24 in a honeycomb fashion to define a plurality of individual rooms or cells 36 for housing various equipment associated with the reactor. The LMR 10 also includes a guard tank 38 which surrounds the reactor vessel 12. While the sodium-filled reactor vessel 12 is suspended within the guard tank 38, the vessel 12 and tank 38 are spaced apart and supported independently of one another. On the one hand, the vessel 12 is attached at its open top end in any suitable manner, such as by a full penetration bimetallic weld, directly to the bottom of the deck 20. The deck 20 thus provides a seal or enclosure for the reactor vessel 12 for containment of reactor coolant, cover gas, fuel and other radioactive materials. On the other hand, the guard tank 38 is an open tank and has an upper flange 39 by which it is suspended in a reactor cavity 40 defined by the cylindrical concrete side wall 24, from a lower annular recessed ledge 42 formed in an upper portion of the cylindrical side wall 24. The tank flange 39 is bolted to the support ledge 42 so as to withstand vertical seismic loads. The guard tank 38 serves as a catch basin for reactor primary sodium that might escape from the reactor vessel 12 under faulted conditions. It also serves to insulate the heat generated by the reactor core 14 from the reactor cavity side wall 24 and base mat 26. The space between the reactor vessel 12 and the guard tank 38 is filled with nitrogen gas. Thus, while the reactor vessel 12 is attached directly to the deck 20, the guard tank 38 is not attached to the deck 20 at all. As seen in FIG. 1, the upper flange 39 is spaced outwardly from the perimeter of the deck 20 and below its outer ring girder 22 where the deck 20 is supported on an upper annular recessed ledge 44 also formed in the upper portion of the cylindrical side wall 24. Therefore, although the reactor vessel 12 and deck 20 provide a primary boundary or barrier between the contents of the reactor vessel 12 and the external atmosphere, the guard tank 38 in reality does not provide a true secondary boundary or barrier between the reactor vessel 12 and exterior atmosphere. Any sodium leaking into the tank 38 from the reactor vessel 12 could eventually contact and escape through the joint between the concrete side wall 24 and outer girder ring 22 of the deck 20 or the ledge 39 of the tank 38. Since regulatory requirements for nuclear reactors make the provision of a double boundary or barrier about the reactor mandatory, the concrete containment building 46 of the conventional LMR 10 which houses all of the above-mentioned parts includes an outer steel liner 48 which encompasses all of the parts. The liner 48 is exaggerated in cross-sectional thickness in FIG. 1 for purposes of illustration. Also, it should be understood that, while not shown in FIG. 1, in the upper dome 50 of the containment building 46, the liner 48 is spaced from the interior wall of the concrete structure of the building 46. Additionally, an inner steel liner 52 is provided adjacent the concrete side wall 24 and base mat 26 of the reactor cavity. While the liner 52 is also illustrated directly contacting the interior surfaces of the side wall 24 and base mat 26, it should be understood that a small gap is present between the liner on the one side and the wall and base mat on the other. The respective gaps between liner 48 and dome 50 and between liner 52 and wall/base mat 24,26 serve to impede the transfer of heat from within the dome 50 to the concrete structure of the building 46 and from within the reactor cavity 40 to the concrete base mat 26 and side wall 24. The problems associated with the top suspended reactor vessel 12 have been described supra in the background section of the application and need not be repeated here. Suffice it to say that the vessel 12 will react to seismic loads like a pendulum and develop high stresses therein near the top open end thereof. Improved LMR with Bottom Supported Reactor Vessel Turning now to FIG. 2, there is shown the preferred embodiment of the improved LMR of the present invention, generally designated 54, incorporated a bottom supported reactor vessel 56. The improved LMR 54 per se includes generally some of the same basic components as found in the prior art LMR 10 of FIG. 1, for example, a nuclear reactor core 58, one or more circulation pumps 60 and one or more heat exchangers 62. Also similar per se in function to the prior art LMR 10, the improved LMR 54 includes the reactor vessel 56 for holding the large pool 64 of low pressure liquid coolant, for instance liquid sodium, and for housing the reactor core 58 in the coolant pool 64. In the preferred embodiment, the circulation pump 60 and heat exchanger 62 also extend into the coolant pool 64. While the improved LMR 54 of the present invention is shown in the form of a pool-type reactor, the principles of the present invention are readily adaptable for employment in a loop-type reactor. The improved LMR 54 basically includes the nuclear reactor core 58, the generally cylindrical reactor vessel 56, a generally cylindrical concrete containment structure 66, a core central support pedestal 68, a core annular support structure 70, a reactor vessel bottom structural support means 72, a bed of insulating material 74, a top deck 76 and a serially connected series of bellows 78. As mentioned, the reactor vessel 56 holds a large pool 64 of low pressure liquid metal coolant, such as liquid sodium, and houses the core within the pool. The reactor vessel 56 has an open top end 80, a closed flat bottom end wall 82 and a continuous cylindrical closed side wall 86 interconnecting the top end 80 and the bottom end wall 82. The concrete containment structure 66 defines a cavity in which the reactor vessel 56 is inserted such that containment structure 66 surrounds the reactor vessel 56 and is generally spaced in concentric relationship. Particularly, the containment structure 66 has a cylindrical side wall 88 spaced outwardly from the reactor vessel side wall 86 and a flat base mat 90 spaced below the reactor vessel bottom end wall 82 and peripherally merged with the containment structure side wall 88. For neutralizing any leakage of liquid coolant from the reactor vessel 56 into the containment structure 66, an inert gas, such as nitrogen, is contained within the space between the two. The vessel and structure 56,55 respectively provide the primary and secondary barriers between the coolant 64 and the exterior atmosphere. The reactor vessel 56 is supported at its bottom end wall 82 upon the base mat 90 of the containment structure 66 by the central support pedestal 68, the structural support means 72 and the insulating material bed 74. In one embodiment, the pedestal 68 is anchored to the containment structure base mat 90 by being buried therein and extends upwardly therefrom. A lower portion 92 of the pedestal 68 being disposed below the reactor vessel bottom end wall 82 has a larger cross-sectional size than that of an upper portion 94 thereof which extends upwardly above the end wall 82. An upwardly-facing annular shoulder 96 is formed on the pedestal 68 at the transition between the lower and upper pedestal portions 92,94 and supports the vessel bottom end wall 82 at the central region thereof. The upper pedestal portion 94 also extends upwardly therefrom through the core inlet plenum 98 to the core 58 so as to support the core at a lower end thereof in spaced apart relationship above the reactor vessel bottom end wall 82. The structural support means 72 includes an annular support ring 100 having a plurality of inward radially extending linear members 102. The support ring and members 100,102 are disposed between the containment structure base mat 90 and the cylindrical wall 86 of the reactor vessel 56. The ring 100 is also connected to the reactor vessel 56 at its bottom end wall 82 so as to support and transmit the weight of the vessel 56 and its contents down to the containment structure base mat 90. The combined configuration of the ring and radial members 100, 102 allows the reactor vessel to expand radially but substantially prevents any lateral motions that might be imposed on it by the occurrence of a seismic event. The bed 74 of insulating material which supports the bottom end wall 82 of the reactor vessel 56 is in sand-like granular form, preferably composed of high density magnesium oxide particles or beads. The bed 74 is disposed and distributed between the containment structure base mat 90 and the reactor vessel bottom end wall 82 so as to uniformly support the bottom end wall and the weight of the liquid sodium coolant in the vessel 56 on the base mat. The bed 74 is preferably about twenty inches deep and it insulates the reactor vessel bottom end wall 82 from the containment structure base mat 90. The granular nature of the bed 74 allows the reactor vessel bottom end wall 82 to freely expand as it heats up, while providing continuous support thereof. The high density magnesium oxide beads are compatible with sodium if it should leak. The beads are a proven high temperature insulation material, and will easily shear at the interface with the vessel bottom end wall 82 to accommodate the radial thermal expansion. The reactor core 58 is also supported in the reactor vessel 56 by the annular reinforced support structure 70. The support structure 70 takes the form of a cylindrical skirt 104 and an annular platform 106, being located outboard of the core inlet plenum 98, which are disposed in the reactor vessel 56 on its bottom end wall 82 and extend about the lower end of the core 58 so as to support not only the core at its periphery, but also any other internal structures, such as the circulation pump 60 and the heat exchanger 62. The support structure 70 also has a system of radial keys and keyways which engage the reactor vessel wall 86 to center the core 58 and support structure 70 concentrically in the reactor vessel 56. These keys and keyways allow the support structure to freely expand in a radial and axial direction with respect to the reactor vessel 56; and they transmit the seismic loads imposed on the core 58 to the vessel 56, and thence to the containment structure base mat 90. At the upper end of the improved LMR 54 is positioned the top deck 76. The deck 76 is supported upon a top edge of the containment structure side wall 88 and extends at a reduced diameter lower portion 108 into the side wall 88 above an annular recessed shoulder 110 spaced below the upper end of the containment side wall 88. The top deck 76 is spaced a short distance above the top open end 80 of the reactor vessel. In such manner, the deck 76 is independently supported from the containment structure 66, and carries the weights of the circulation pump 60 and heat exchanger 62 which extend through the deck. The primary barrier of the LMR 54 formed by the reactor vessel 56 is maintained continuous between the top end 80 of the reactor vessel 56 and the top deck 76 and thermal growth of the reactor vessel side wall 86 is accommodated by the use of coupling means in the form of the plurality of serially connected extendible and retractable annular bellows 78, as seen in cross-section in FIG. 3. The annular bellows 78 extend between the deck 76 and the top end 80 of the reactor vessel 56 so as to flexibly and sealably interconnect them together. When the reactor is constructed, the bellows 78 are extended to a predetermined length which develops acceptable stresses. As the reactor is heated to operating temperature, the vessel wall 86 expands upward closing the bellows and relaxing the initial stresses. Thus, at normal operating conditions of the LMR 54, the bellows 78 are essentially unstressed. As an example, the bellows 78 can be a series of twelve rings each ten inches wide and 0.05 inch thick, stacked together. As seen in FIG. 3, alternate rings are welded to the rings above them at the inside diameter of the stack, and to the rings below them at the outside diameter of the stack. It is proposed that when the vessel 56 is constructed, the rings will be stretched to a deflection of four inches at ambient room temperature. Then, as the vessel reaches normal operating temperature, the rings will be compressed, relaxing the initial stresses. Throughout their lifetime, the rings will experience a very low level of bending stress and will be limited to the differences in vessel expansion incurred by cooling down to refueling temperature. Since an annular sodium shield 111 is used to contain the hot sodium pool 64, the variation in vessel side wall temperature can be controlled more easily than if the wall were in contact with the hot sodium. The rings 78 are located in a relatively cold zone and are expected to have a maximum operating temperature of less than 300 degrees F. They are not subject to contact with the sodium and are accessable and can be continuously monitored for leakage of cover gas. Although they may be up to seventy feet in diameter, fabrication of the individual bellows rings 78 would be similar to a stiffening ring or flange for a vessel of this size. The occurrence of any lateral seismic event could cause the top end 80 of the reactor vessel 56 to move, thus imposing a shear load on the annular bellows 78. Therefore, an annular guide ring 112 is attached on the containment structure 66 and extends between its side wall 88 and the top open end 80 of the reactor vessel 56 for providing lateral support of the reactor vessel top open end 80 so as to limit allowable lateral deflection of the vessel 56 while allowing free axial expansion to occur. This prevents imposition of lateral loads on the annular bellows 78 should a lateral seismic event occur. The improved LMR 54 also has a generally cylindrical guard wall 114 disposed between the reactor and containment structure side walls 86,88. The guard wall 114 is connected at its lower end to the reactor vessel's structural support 72 adjacent the bottom end wall 82 so as to surround the vessel side wall 86 in an outwardly spaced relationship. Preferably, the vessel side wall 86 and the guard wall 114 have concentric cylindrical configurations and are separated by an approximately three inch wide annulus. The LMR 54 also includes a flat bottom liner 116 disposed between the base mat 90 of the containment structure 66 and the bottom end wall 82 of the reactor vessel 56 below the bed 74 of insulating material. This liner 116 has a cylindrical skirt at the periphery which is connected at its top end to the inside of the annular support ring 100. Finally, the LMR 54 can include cooling means 118 disposed in the base mat 90 of the containment structure 66 for removing heat from the containment structure and the bed 74 of insulating material. In a preferred form seen in FIG. 2, the cooling means 118 is a plurality of radial cooling pipes 119 embedded in the base mat 90 of the containment structure 66. In FIG. 4, an alternate arrangement is shown in which cooling tubes 120 are provided in a gas cavity 122 between the guard bottom liner 116 and the containment structure base mat 90. The cavity 122 would contain nitrogen gas, whereas, as in the case of the pipes 119, water would flow in the cooling tubes 120. It will be readily understood that, in view of the bottom supported reactor vessel design incorporated by the improved LMR 54, when the axial growth of the vessel 56 due to thermal expansion occurs in the direction upward toward the deck 76, the deck supported control rods (not shown) insert further into the core 58 and provide and inherent decrease in reactivity. This is just the opposite of what occurs when the reactor vessel is suspended from the top deck and expands downward. It is thought that the invention and many of its attendant advantages will be understood from the foregoing description and it will be apparent that various changes may be made in the form, construction and arrangement thereof without departing from the spirit and scope of the invention or sacrificing all of its material advantages, the form hereinbefore described being merely a preferred or exemplary embodiment thereof. |
description | This application claims priority to U.S. Provisional Application No. 61/513,175, filed Jul. 29, 2011, and 61/620,140, filed Apr. 4, 2012, the entire contents of which are hereby incorporated by reference. This invention was made with Government support of Grant No. DE-FG02-99ER54523, awarded by the Department of Energy; and Grant No. 1R21EB012777-01A1, awarded by the Department of Health and Human Services, The National Institutes of Health (NIH). The U.S. Government has certain rights in this invention. 1. Field of Invention The field of the currently claimed embodiments of this invention relates to X-ray systems, and more particularly to differential phase contrast X-ray imaging systems and X-ray illumination systems. 2. Discussion of Related Art X-ray differential phase-contrast (DPC) imaging relies on the refraction of the X-rays passing through an object. Since for hard X-rays the refraction angles are in the μ-radian range, the basic technique used for DPC imaging is to angularly filter with μ-radian resolution the transmitted X-ray beam, thus converting the angular beam deviations from refraction into intensity changes on a conventional detector. The angular filtering is done using X-ray optics such as crystals or gratings (see [1] for a recent review). A fundamental advantage of DPC imaging is that it is sensitive to density gradients in the measured object rather than to its bulk X-ray absorption. In medical imaging for instance refraction has a contrast enhancing effect at tissue boundaries, which enables the detection of soft tissues which are otherwise invisible in conventional X-ray imaging. The ultra-small angle scattering occurring in micro-structured soft tissue such as cartilage, tendon, ligament or muscle has also a volume contrast enhancing effect [1-5]. Another benefit of DPC for medical imaging is that it can improve contrast and resolution at similar or lower dose than in conventional X-ray imaging. This is possible because DPC uses X-rays that are not absorbed by the body and because the soft tissue refraction coefficients decrease with X-ray energy much slower than the absorption ones. In particular, by using for DPC a spectrum with mean energy in the 50-80 keV range approximately, the soft tissue dose is minimized while refraction strongly dominates over absorption [1, 6]. X-ray phase-contrast is also of interest for imaging and non-destructive characterization in material sciences, in particular as concerns low-Z materials. The structure and defects of materials ranging from polymers, to fiber composites, to wood, and to engineered bio-materials can be probed on the micrometer scale using X-ray phase-contrast [7-9]. Some of the techniques used for X-ray phase-contrast can also be applied with neutrons [10]. Recently X-ray phase-contrast has gained attention in fusion energy research, where the capability of refraction based imaging to measure the density gradients in an object can be used for the diagnostic of high density plasmas in inertial confinement fusion (ICF) and other high energy density physics (HEDP) experiments [11]. Until recently, research on X-ray DPC imaging has been done mostly at synchrotrons, using crystal optics; the high intensity of the synchrotron compensates for the low efficiency (less than a hundredth of a %) of the crystal optics [1, 12]. Although there are efforts to develop table-top synchrotrons [13], or to use narrow Kα lines from conventional tubes [14], the crystal method has not yet entered the domain of practical applications. It is thus of interest to develop more efficient DPC methods and optics, that can work with conventional medical or industrial X-ray tubes. A DPC method that can work with conventional X-ray sources is the Talbot-Lau shearing interferometry, in which micro-periodic optics such as gratings are used to angularly filter the refracted X-rays with μ-radian resolution [15-17]. The Talbot interferometer includes first a ‘beam-splitter’ (typically a π-shift phase grating), which divides (or ‘shears’) through the Talbot effect the incoming beam into few μ-radian wide beamlets. The Talbot effect consists in a ‘replication’ of the grating pattern by the wave intensity, at periodic distances along the beam, called Talbot distances, dT=k/η2·g2/(2λ) with λ the X-ray wavelength, g the grating period, k=1, 2, . . . the order of the pattern, and η=1 for a π/2 phase shifting grating or for an absorption grating, and η=2 for a π phase grating [18]. The beam-splitter thus creates at the ‘Talbot distance’ a micro-periodic fringe pattern, which changes shape (shifts) with respect to the unperturbed pattern when a refractive object is introduced in the beam. The differential phase-contrast imaging consists thus in measuring the changes in the fringe pattern induced by the object, with respect to the pattern without the object. To achieve μ-radian angular sensitivity at hard X-ray wavelengths, the period g must be in the μm range, resulting in a Talbot distance of a few tens of cm. The fringe pattern can in principle be directly measured using a microscopic pixel detector [17]. This is however quite inefficient. For most practical applications, the fringe pattern changes are converted into intensity changes on a macroscopic pixel detector by introducing an ‘analyzer’ absorption grating placed behind the beam-splitter and having the period of the Talbot pattern. Lastly, for such an interferometer to function with an extended spot X-ray tube, a ‘source’ absorption grating is placed in front of the source, thus dividing it into an array of quasi-coherent line sources [16-18]. The gratings are made by micro-lithography in thin Si wafers or photoresist [19, 20]. The absorption gratings are difficult to fabricate; they are typically made by filling with gold the gaps in regular transmission gratings. The ‘grating shearing method’ described above has demonstrated performance similar to the crystal method at energies below a few tens of keV [21]. This method is however less useful at energies above a few tens of keV. The reason is that it is difficult to fabricate micron-period absorption gratings with the thickness required to block higher energy X-rays. This is illustrated in FIG. 1 with a plot of the Au thickness needed for 95% absorption, as a function of the photon energy. As seen, several hundred μm depth gratings would be needed in the range of interest for clinical DPC imaging. Depending on the grating period, the present technological limit is however around 50-100 μm [19, 20, 22]. This limits the contrast of the grating shearing method for high energy X-rays, as illustrated in FIG. 1 by the fringe contrast computed for an interferometer having 30 μm thick, 4 μm period Au analyzer grating (throughout this specification we used for X-ray phase-contrast and optics calculations the XWFP wave propagation code [23] and the XOP optics package [24]). A new type of optics is therefore needed to enable efficient DPC imaging at X-ray energies above a few tens of keV. 1. Shu-Ang Zhou and Anders Brahme, “Development of phase-contrast X-ray imaging techniques and potential medical applications”, Physica Medica 24, 129 (2008). 2. Carol Muehleman, Jun Li, Zhong Zhong, Jovan G. Brankov and Miles N. Wernick, “Multiple-image radiography for human soft tissue”, J. Anat. 208, 115 (2006) 3. Tetsuya Yuasa, Eiko Hashimoto, Anton Maksimenko, Hiroshi Sugiyama, Yoshinori Arai, Daisuke Shimao, Shu Ichihara, Masami Ando, “Highly sensitive detection of the soft tissues based on refraction contrast by in-plane diffraction-enhanced imaging CT”, Nuclear Instruments and Methods in Physics Research A 591, 546 (2008) 4. J. Li, Z. Zhong, D. Connor, J. Mollenhauer and C. Muehleman, “Phase-sensitive X-ray imaging of synovial joints”, Osteoarthritis and Cartilage 17, 1193 (2009) 5. Paola Coan, Juergen Mollenhauer, Andreas Wagner, Carol Muehleman, Alberto Bravin, “Analyzer-based imaging technique in tomography of cartilage and metal implants: A study at the ESRF”, European Journal of Radiology 68, S41 (2008) 6. R A Lewis, “Medical phase contrast X-ray imaging: current status and future prospects”, Phys. Med. Biol. 49, 3573 (2004) 7. F. Pfeiffer, M. Bech, O. Bunk, P. Kraft, E. F. Eikenberry, Ch. Bronnimann, C. Grunzweig and C. David, “Hard-X-ray dark-field imaging using a grating interferometer”, Nature Materials 7, 134 (2008) 8. Yogesh S. Kashyap, P. S. Yadav, Tushar Roy, P. S. Sarkar, M. Shukla, Amar Sinha, “Laboratory-based X-ray phase-contrast imaging technique for material and medical science applications”, Applied Radiation and Isotopes 66, 1083 (2008) 9. Sheridan Mayo, Robert Evans, Fiona Chen and Ryan Lagerstrom, “X-ray phase-contrast micro-tomography and image analysis of wood microstructure”, Journal of Physics: Conference Series 186, 012105 (2009) 10. M. Strobl, C. Grünzweig, A. Hilger, I. Manke, N. Kardjilov, C. David, and F. Pfeiffer, “Neutron Dark-Field Tomography”, Phys. Rev. Lett. 101, 123902 (2008) 11. Jeffrey A. Koch, Otto L. Landen, Bernard J. Kozioziemski, Nobuhiko Izumi, Eduard L. Dewald, Jay D. Salmonson, and Bruce A. Hammel, “Refraction-enhanced X-ray radiography for inertial confinement fusion and laser-produced plasma applications”, J. Appl. Phys. 105, 113112 (2009) 12. Heikki Suhonen, Manuel Fernandez, Alberto Bravin, Jani Keyrilainen and Pekka Suorttia, “Refraction and scattering of X-rays in analyzer based imaging”, J. Synchrotron Rad. 14, 512 (2007) 13. Martin Bech, Oliver Bunk, Christian David, Ronald Ruth, Jeff Rifkin, Rod Loewen, Robert Feidenhans and Franz Pfeiffer, “Hard X-ray phase-contrast imaging with the Compact Light Source based on inverse Compton X-rays”, J. Synchrotron Rad. 16, 43 (2009) 14. Muehleman C, Li J, Connor D, Parham C, Pisano E, Zhong Z., “Diffraction-enhanced imaging of musculoskeletal tissues using a conventional X-ray tube”, Acad. Radiol. 16, 918 (2009) 15. J. F. Clauser, “Ultrahigh resolution interferometric X-ray imaging,” U.S. Pat. No. 5,812,629 (1998) 16. Pfeiffer, F., Weitkamp, T., Bunk, O., David, C., “Phase retrieval and differential phase-contrast imaging with low-brilliance X-ray sources”, Nature Physics 2, 258 (2006) 17. Atsushi Momose, Wataru Yashiro, Yoshihiro Takeda, Yoshio Suzuki and Tadashi Hattori, “Phase Tomography by X-ray Talbot Interferometry for Biological Imaging”, Japanese Journal of Applied Physics 45, 5254 (2006) 18. Timm Weitkamp, Christian David, Christian Kottler, Oliver Bunk, and Franz Pfeiffer, “Tomography with grating interferometers at low-brilliance sources”, Proc. SPIE 6318, 6318 (2006) 19. C. David, J. Bruder, T. Rohbeck, C. Grunzweig, C. Kottler, A. Diaz, O. Bunk, F. Pfeiffer, “Fabrication of diffraction gratings for hard X-ray phase contrast imaging” Microelectronic Engineering 84, 1172 (2007) 20. Elena Reznikova, Juergen Mohr, Martin Boerner, Vladimir Nazmov, Peter-Juergen Jakobs, “Soft X-ray lithography of high aspect ratio SU8 submicron structures”, Microsyst. Technol. 14, 1683 (2008) 21. Martin Bech, Torben H Jensen, Robert Feidenhans, Oliver Bunk, Christian David and Franz Pfeiffer, “Soft-tissue phase-contrast tomography with an X-ray tube source”, Phys. Med. Biol. 54 2747 (2009) 22. Tilman Donath, Franz Pfeiffer, Oliver Bunk, Waldemar Groot, et al., “Phase-contrast imaging and tomography at 60 keV using a conventional X-ray tube source”, Rev. Sci. Instrum. 80, 053701 (2009) 23. Timm Weitkamp, “XWFP: An X-ray wavefront propagation software package for the IDL computer language”, Proc. SPIE 5536, 181-189 (2004) 24. M. Sanchez del Rio and R. J. Dejus, “XOP: recent developments, in Crystal and Multilayer Optics”, Proc. SPIE 3448, 340 (1998) A differential phase contrast X-ray imaging system according to an embodiment of the current invention includes an X-ray illumination system, a beam splitter arranged in an optical path of the X-ray illumination system, and a detection system arranged in an optical path to detect X-rays after passing through the beam splitter. The detection system includes an X-ray detection component. The beam splitter includes a splitter grating arranged to intercept an incident X-ray beam and provide an interference pattern of X-rays. The detection system includes an analyzer grating arranged to intercept and block at least portions of the interference pattern of X-rays prior to reaching the X-ray detection component. The analyzer grating has a longitudinal dimension, a lateral dimension that is orthogonal to the longitudinal dimension and a transverse dimension that is orthogonal to the longitudinal and lateral dimensions. The analyzer grating includes a pattern of optically dense regions each having a longest dimension along the longitudinal dimension that are spaced substantially parallel to each other in the lateral dimension such that there are optically rare regions between adjacent optically dense regions. Each optically dense region has a depth in the transverse dimension that is smaller than a length in the longitudinal dimension. The analyzer grating is arranged with the longitudinal dimension at a shallow angle relative to incident X-rays and the shallow angle is less than 30 degrees. An X-ray illumination system according to an embodiment of the current invention includes a poly-energetic X-ray source and a band-pass filter arranged in an optical path of X-rays from the poly-energetic X-ray source. The band-pass filter allows X-rays within a band of energies to pass more strongly than X-rays outside the band of energies. Some embodiments of the current invention are discussed in detail below. In describing embodiments, specific terminology is employed for the sake of clarity. However, the invention is not intended to be limited to the specific terminology so selected. A person skilled in the relevant art will recognize that other equivalent components can be employed and other methods developed without departing from the broad concepts of the current invention. All references cited anywhere in this specification, including the Background and Detailed Description sections, are incorporated by reference as if each had been individually incorporated. Some embodiments of the current invention can use commercially available micro-periodic gratings tilted at glancing incidence (incidence angles α in the range from a few degrees to a few tens of degrees), to make Talbot-Lau differential phase-contrast (DPC) interferometers up to very high X-ray energy (100 keV and higher). Some embodiments of the current invention may also include grazing incidence mirrors in conjunction with the tilted gratings that help to produce a quasi-monochromatic X-ray spectrum and/or to improve the coherence of the radiation incident on the gratings. Some applications, according to some embodiments of the current invention, can include medical X-ray imaging where refraction and ultra-small-angle scatter (USAXS) have been shown to strongly enhance the visibility of soft tissues, such cartilage, tendon, blood vessel walls, brain tissue, micro calcifications, and tumors. Some embodiments of the current invention can work with high energy X-rays and with high power, extended spot medical X-ray tubes, thus enabling X-ray phase-contrast imaging of tissues deep in the human body. Examples of possible medical applications are ‘X-ray biopsy’ systems that may enable early cancer detection for organs deep in the body, such as the prostate, lung, pancreas, or brain. In addition, other applications of some embodiments of the current invention can be used in the field of engineered tissues, material sciences and materials based on nanostructures, industrial non-destructive testing (NDT), and security screening and energy research, for example. In NDT for instance, phase-contrast imaging with X-rays around 100 keV could enable improved detection of cracks and micro-structural fatigue damage in critical components such as airplane wings and fuselage. However, the general concepts of the current invention are not limited to these particular examples. The main imaging modalities for soft tissues are MRI, ultrasound, and X-rays. However, while MRI and ultrasound provide good soft tissue contrast, their spatial resolution is limited. Conventional (attenuation based) X-ray imaging on the other hand has good spatial resolution, but poor soft tissue contrast. In recent years a new X-ray imaging modality called differential phase-contrast (DPC) and based on X-ray refraction and ultra-small angle scatter has been explored that offers both good soft tissue contrast and high spatial resolution. These capabilities arise from the sensitivity of DPC to small-scale density gradients in the object rather than to its bulk absorption. This enhances the contrast for tissue boundaries and for micro-structured tissues such as cartilage, tendon, ligament or muscle. In addition, recent studies show that DPC can provide sensitive detection of tumors in a variety of organs, from the breast, to the liver and to the lung. There is thus a rapidly growing spectrum of possible medical applications of X-ray DPC [1]. In addition, there could be many novel applications of X-ray phase-contrast in non-destructive testing and material sciences. DPC imaging works by using X-ray optics to angularly filter the refracted component in the transmitted radiation. Recently a very efficient DPC method was developed that enables the use of conventional X-ray tubes. The method is based on the Talbot-Lau interferometer setup in which micro-periodic absorption and transmission gratings are used to angularly filter the refracted X-rays [2,3]. Due to technological limits in the fabrication of thick micro-periodic gratings [4,5], the conventional Talbot-Lau interferometer using gratings at normal incidence has insufficient fringe contrast or visibility at X-ray energies above a few tens of keV [2-4]. X-rays above a few tens of KeV are however needed to penetrate large body parts. The same limitation occurs in industrial or material research applications of DPC imaging. Some embodiments of the current invention are directed to a new type of X-ray imaging systems based on Talbot-Lau interferometers having glancing incidence micro-periodic gratings, or combinations of glancing incidence gratings and mirrors. These systems can enable high resolution DPC imaging with X-rays up to 100 keV or higher and using conventional, extended spot X-ray tubes. The systems described according to some embodiments of the current invention also have sufficiently large 2-D fields of view (order of 2×7 cm for a single interferometer) to enable most practical applications. Some embodiments of the current invention can be used in combination with and/or further develop concepts described by the current inventors in MICRO-PERIODIC MIRROR BASED SYSTEMS FOR PHASE-CONTRAST IMAGING WITH HARD X-RAYS [7]. This previously reported system can provide DPC imaging at high energy, but one distinction is that the field of view is limited to a few hundred μm in one dimension. FIG. 2A provides a schematic illustration of a differential phase contrast X-ray imaging system 100 according to an embodiment of the current invention. The differential phase contrast X-ray imaging system 100 includes an X-ray illumination system 102, a beam splitter 104 arranged in an optical path 106 of the X-ray illumination system 102, and a detection system 108 arranged in an optical path 110 to detect X-rays after passing through the beam splitter 104. The detection system 108 includes an X-ray detection component 112. The beam splitter 104 includes a splitter grating, as is shown in the embodiment of FIG. 2A, arranged to intercept an incident X-ray beam and provide an interference pattern of X-rays. The detection system 108 also includes an analyzer grating 114 arranged to intercept and block at least portions of the interference pattern of X-rays prior to reaching the X-ray detection component 112. The analyzer grating 114 has a longitudinal dimension, a lateral dimension that is orthogonal to the longitudinal dimension, and a transverse dimension that is orthogonal to the longitudinal and lateral dimensions. The analyzer grating 114 has a pattern of optically dense regions, each having a longest dimension along the longitudinal dimension and spaced substantially parallel to each other in the lateral dimension such that there are optically rare regions between adjacent optically dense regions. Each optically dense region has a depth in the transverse dimension that is smaller than a length in the longitudinal dimension. The analyzer grating 114 is arranged with the longitudinal dimension at a shallow angle α relative to incident X-rays such that the shallow angle α is less than 30 degrees. As is illustrated in the embodiment of FIG. 2A, the longitudinal dimension of the analyzer grating 114 is oriented substantially along the optical path 110 (which can be the optical axis, for example), except tilted at the shallow angle α. (This will also be referred to as a glancing angle.) In an embodiment of the current invention, each optically dense region has a depth in the transverse dimension that is smaller than a length in the longitudinal dimension by at least a factor of two. In an embodiment, each optically dense region has a depth in the transverse dimension that is smaller than a length in the longitudinal dimension by at least a factor of ten. In a further embodiment, each optically dense region has a depth in the transverse dimension that is smaller than a length in the longitudinal dimension by at least a factor of one hundred. In an embodiment of the current invention, the shallow angle α is less than 25 degrees and greater than 5 degrees. In another embodiment, the shallow angle α is less than 15 degrees and greater than 3 degrees. An embodiment of the current invention is directed to medical applications. Since it is difficult to produce few-micron period gratings with more than ˜100 μm Au absorber thickness, inclining the gratings at an angle in the 5-25° range makes for 200-1000 μm effective Au thickness. As is shown in FIG. 4, this thickness enables >90% X-ray absorption (and thus high interferometer contrast) over the ˜40 keV-110 keV energy range, of interest for medical phase-contrast imaging deep in the body. Another embodiment is directed to industrial or non-destructive testing (NDT) applications. Using glancing angles in the 3-15° range, the effective Au thickness is in the 400-2000 μm range, which makes for good X-ray absorption and interferometer contrast in the ˜100 keV-250 keV energy range of interest for industrial NDT applications. In an embodiment of the current invention, the splitter grating 104 is a reflection grating (not shown in FIG. 2A). A reflection grating such as described in Ref. [7], which is incorporated herein by reference, can be used according to some embodiments of the current invention. In an embodiment of the current invention, the splitter grating 104 is a transmission grating, as is illustrated schematically in FIG. 2A. According to an embodiment of the current invention in which the splitter grating 104 is a transmission grating, similar to analyzer grating 114, such an embodiment of the analyzer grating has a longitudinal dimension, a lateral dimension that is orthogonal to the longitudinal dimension, and a transverse dimension that is orthogonal to the longitudinal and lateral dimensions. The splitter grating 104 in this embodiment has a pattern of optically dense regions, each having a longest dimension along the longitudinal dimension and being spaced substantially parallel to each other in the lateral dimension such that there are optically rare regions between adjacent optically dense regions. Each optically dense region has a depth in the transverse dimension that is smaller than a length in the longitudinal dimension. The splitter grating 104 is arranged with the longitudinal dimension at a shallow angle α relative to incident X-rays such that it is less than 30 degrees. In some embodiments, the splitter grating 104 can be similar in construction as the analyzer grating 114 and arranged similarly at a shallow angle α as described above with respect to the analyzer grating 114, although placed at a different position along the optical axis. FIG. 2B is a schematic illustration of a conventional differential phase contrast X-ray imaging system that can be contrasted with the differential phase contrast X-ray imaging system 100 according to an embodiment of the current invention. In such a conventional system that is based on a Talbot-Lau interferometer, the gratings are arranged orthogonal to, and in some cases at slightly off-orthogonal angles to the optical axis along which a beam of X-rays travels. As is illustrated in FIG. 2B, the longitudinal direction of the source, beam-splitter and analyzer gratings are all in the vertical direction of the illustration. The thickness of the grating t is the maximum depth of corresponding optically dense regions, such as parallel lines of gold or other high-Z material separated by regions of low-Z material, such as a silicon substrate. According to the conventional approach, one would have to increase the depth of the optically dense regions to operate with higher energy X-rays in order to sufficiently block the higher energy X-rays with the optically dense regions. The current inventors recognized, and through experimentation demonstrated, that such gratings could be oriented as is illustrated in FIG. 2A such that incident X-rays would have to travel through much longer paths in the optically dense layers than the thickness t of the grating. Depending on the particular gratings, the paths the X-rays follow through optically dense material in the gratings can be orders of magnitude greater than the thickness t. However, since the gratings cause diffraction and interference effects due to the wave nature of the X-rays, it was difficult to predict either theoretically and/or numerically how such a change in geometry of the diffraction gratings would affect the X-ray beam. The current inventors thus developed and demonstrated the differential phase contrast X-ray imaging system 100, as illustrated schematically in FIG. 2A, by experimentation. As used herein, the term “block” X-rays is intended to mean that sufficient attenuation is achieved relative to X-rays that pass through the optically rare regions of the grating to permit a useful contrast for the particular application. It is not intended to require absolutely 100% attenuation. The splitter grating 104 and the analyzer grating 114 are arranged with a separation determined according to Talbot-Lau conditions according to some embodiments of the current invention. In some embodiments, the splitter grating 104 and the analyzer grating 114 have grating patterns that are determined according to Talbot-Lau conditions. The X-ray illumination system 102, according to some embodiments of the current invention can include an X-ray source 116, and a source grating 118 arranged in an optical path between the X-ray source 116 and the beam splitter 104. The source grating 118 provides a plurality of substantially coherent X-ray beams when X-ray source 116 is a spatially extended source of X-rays, as is illustrated schematically in FIG. 2A. However, the broad concepts of the current invention are not limited to the particular embodiment illustrated in FIG. 2A. The X-ray illumination system 102 can include combinations of one or more gratings and mirrors, including both transmission and/or reflection gratings. FIG. 3A is a schematic illustration of an X-ray illumination system 200 according to an embodiment of the current invention. The X-ray illumination system 200 can be used as part of the differential phase contrast X-ray imaging system 100 and/or any of the variations described above and/or can be used in conventional systems such as that illustrated in FIG. 2B, for example. For example, the X-ray illumination system 200 can be used for, or as a portion of, the X-ray illumination system 102. However, the X-ray illumination system 200 is not limited to only these particular applications. The X-ray illumination system 200 has a poly-energetic X-ray source 202 and a band-pass filter 204 arranged in an optical path of X-rays 206 from the poly-energetic X-ray source 202. The band-pass filter 204 allows X-rays within a band of energies to pass more strongly than X-rays outside the band of energies. In an embodiment of the X-ray illumination system 200, the band-pass filter 204 includes a high-pass X-ray mirror 208 that reflects a first portion 210 of an incident beam of X-rays 206 that have energies less than a lower pass-band energy and allows a second portion 212 of the incident beam of X-rays to pass therethrough. The band-pass filter 204 also includes first beam stop 214 arranged to intercept and at least attenuate the first portion 210 of the incident beam of X-rays 206 that have energies less than the lower pass-band energy, a low-pass X-ray mirror 216 that reflects a portion 218 of the second portion 212 of the incident beam of X-rays 206 after passing through the high-pass X-ray mirror 208 that have energies less than a upper pass-band energy, and a second beam stop 220 arranged to intercept and at least attenuate X-rays that miss the high-pass X-ray mirror 208 prior to reaching the second beam stop 220. The first and second beam stops (214, 220) are arranged to allow a beam of X-rays 222 having energies between the upper pass-band energy and the lower pass-band energy to pass therethrough. The band-pass filter 204 is not limited to the particular example illustrated in FIG. 3A. In other embodiments, more than three mirrors can be used, for example. The X-ray illumination system 200 provides a more monochromatic beam of X-rays than that of the X-ray source 202. Furthermore, reflection and/or transmission gratings can be used in combination with the band-pass filter 204 to improve coherence of the X-rays from the poly-energetic X-ray source 202. In further embodiments, a combination of high-pass mirrors and at least one low-pass mirror can provide combined improved coherence and chromaticity of X-rays from the poly-energetic X-ray source 202. The low-pass X-ray mirror can be a membrane X-ray mirror, for example, that has a reflecting layer that is a high-Z material on a support layer that is a low-Z material. Z is the atomic number. The term “high-Z material” is intended to mean materials that include atomic elements with Z at least 42 (for example, but not limited to Rh, Pt, and/or Au) so as to have a relatively strong reflectivity for the X-rays. The term “low-Z material” is intended to mean materials that include atomic elements with Z less than 14 (for example, but not limited to C, Si, quartz, and/or glass) so as to have a relatively low reflectivity for the X-rays. The following are some new elements according to some embodiments of the current invention, as contrasted to conventional system: i) The use of micro-periodic gratings having the absorbing bars tilted at a glancing angle along the direction of the incident radiation as in FIG. 2A The tilting of the gratings is a modification of the conventional Talbot-Lau interferometer at normal incidence (FIG. 1B). Although this modification appears simple, it is difficult to foresee theoretically that a glancing incidence Talbot-Lau interferometer will work with extended sources. We arrived at this idea following the concept of ‘physical period’ mirrors and could verify that it works only through direct experimentation. ii) The use of micro-periodic gratings at glancing angle in conjunction with simple or micro-periodic X-ray mirrors. As further discussed, one embodiment of the current invention uses a simple total reflection X-ray mirror at grazing incidence to select the spectral region where the interferometer has highest contrast. In another embodiment the source grating is replaced by a micro-periodic mirror in the ‘physical period’ geometry described in Ref. 7, which combines in a single optical element the spectral filtering and the production of quasi-coherent radiation. iii) The use of spectral band-pass multilayer X-ray mirrors in conjunction with tilted gratings. In another embodiment of the invention, graded multilayer mirrors are used as a spectral filter or as a ‘source grating’, for further improved interferometer contrast and angular sensitivity. iv) The use of energy-resolving detectors to select the spectral region of maximal interferometer contrast. The phase-contrast imaging system of the example illustrated in FIG. 2A includes three micro-periodic gratings in a Talbot-Lau interferometer configuration, tilted at equal glancing angles α, in the range from a few degrees to a few tens of degrees. The period of the gratings can be a few μm (e.g., but not limited to, g0=g1=g2=5 μm) and the grating inter-distances and periods follow the equations of the normal incidence Talbot-Lau interferometer. The first grating is a ‘source grating’, which produces an array of quasi-coherent line sources from an extended incoherent source. The second grating is a beam-splitter which produces a high contrast fringe pattern (the ‘Talbot pattern’) at the analyzer location when illuminated through the source grating. Lastly, an analyzer grating is used to transform changes in the Talbot pattern into intensity changes on a 2-D X-ray detector. The system works similarly to the conventional, normal incidence Talbot-Lau interferometer [2,3], sketched for reference in FIG. 2B. When a refractive object is placed in the X-ray beam (“Object” in FIG. 2A) it perturbs the Talbot pattern produced by the beam-splitter. The analyzer transforms this perturbation into an intensity change on the detector, which enables imaging and quantifying the X-ray refraction and scatter induced by the object. The source and analyzer gratings can be conventional, commercially available absorption gratings made, for example, by filling the gaps in a silicon or photoresist grating with gold, as described in Refs. [5, 6]. The beam-splitter can be a π-shift phase grating, also can also be made in the conventional manner. However, according to some embodiments of the current invention, the gratings are tilted at a glancing angle and have the absorbing bars along the direction of the incident radiation, as shown schematically in FIG. 2A. Our experiments demonstrated that this modification of the Talbot-Lau setup solves in a simple and practical manner the problem of DPC imaging at high energy. Indeed, an obstacle to the use of normal incidence Talbot-Lau interferometers at high energy is the practical limit in the thickness of small period source and analyzer gratings [5,6]. To obtain high interferometer contrast or visibility the absorbing bars of the source and the analyzer gratings must be strongly attenuating (typically around 90-95%). At the same time, the X-ray absorption of any material decreases rapidly as the X-ray energy is increased. This is illustrated in FIG. 4 which shows, as a function of energy, the Au thickness needed to absorb 95% of the incident X-rays. As one can see, the thickness needed for efficient absorption at E>40 keV is >several hundred μm. At present, however, it is not technologically possible to make absorption gratings with a few micron periods and several hundred μm thickness. The current limit in the grating aspect ratio (ratio between bar thickness and width) is around 50, while, as shown above, aspect-ratios of several hundred would be needed to make high contrast interferometers for high energy. This fact is confirmed by experiment. Thus, attempts to build a Talbot-Lau interferometer of 60 keV mean energy using normal incidence gratings had little success: the fringe contrast was of only several %. The same effect can be seen in FIGS. 5A and 5B below. Note however that phase gratings for high energy can easily be made, since they need to be much thinner [2,3,7,8]. Some embodiments of the current invention can provide a simple, practical and also economical solution to this problem: by tilting the gratings at a glancing angle α, the effective absorber thickness in the X-ray path increases to t/sin(α), with t the physical or normal incidence thickness of the grating. For instance at α˜10° the effective thickness increases by a factor of 6. Thus, a 100 μm thick, 5 μm period grating, which is within the present technological capability, appears as a grating of 600 μm thickness when tilted at a glancing angle of 10° in the direction of the radiation. The physical thickness of the beam-splitter is simply that required to produce a π-phase shift at the desired design energy E0, when viewed by X-rays incident at an angle α; for instance, if t(0) is the thickness needed for normal incidence operation at E0, the thickness required at glancing incidence α, is t*sin(α). Some embodiments of the current invention can enable, in this way, building high contrast Talbot-Lau interferometers up to very high X-ray energy. This is shown in FIG. 5A which plots the computed contrast as a function of energy for an interferometer having 100 μm thick gratings at normal incidence, and at 10° glancing incidence angle. The beam-splitter is a Ni phase grating having t(0)=20 μm for a mean or ‘design’ energy of 60 keV. The duty-cycle (gap width/period) of the source grating is 37% and the Talbot order is m=1. As shown in FIG. 5A, tilting the gratings produces a dramatic contrast increase for energies above 40 keV approximately. In particular, good contrast obtains in the 40-70 keV range, which is of high interest for medical phase-contrast imaging because in this range the soft tissue dose is at a minimum [1]. In addition, appreciable contrast obtains also above the Au K-edge at 80 keV. As one can see for example with reference to FIG. 5A, some embodiments of the current invention can provide high contrast interferometers for even higher X-ray energies. This is illustrated in FIG. 5B which plots the computed contrast for an m=1 interferometer having 100 μm thick Au source and analyzer gratings, tilted at 7°. The phase grating in this case is made of gold and has t(0)=10 μm, for a 120 keV design energy. The source grating duty-cycle is 37%. As seen, a broad band of high interferometer contrast obtains in the region ˜90-130 keV. The capability for operation at these high energies makes some embodiments of the current invention also of strong interest for NDT and security applications. At the same time, some embodiments of the current invention can allow one to obtain interferometers with sufficiently large fields of views for medical and other practical applications. For instance, a commercially available 70×70 mm analyzer grating would enable one to obtain a 12×70 mm field of view at 10° incidence and a 9×70 mm field of view at 7° incidence. In addition, it is easy to make high energy imaging systems with larger fields of view by stacking multiple tilted gratings, as is illustrated schematically in FIG. 6. As mentioned, although the modification of the Talbot-Lau interferometer according to some embodiments of the current invention appears at a first look straightforward, it is nevertheless difficult to predict theoretically or computationally that a glancing incidence setup with the grating bars oriented along the direction of the incident X-rays as in FIG. 2A, can work with a spatially extended X-ray source. While glancing angle grating Talbot interferometers have been discussed in the literature [10,11], the grating bars have been always oriented perpendicularly to the direction of the incoming radiation (i.e., the ‘effective period’ geometry discussed in Ref. 7). In this geometry, however, the grating contrast at high energy does not improve when tilting the gratings, because the effective X-ray path through the absorber decreases instead of increasing. We thus developed embodiments of the current invention experimentally using a Talbot-Lau interferometer having gratings tilted at a glancing angle of 22.5° and operated at ˜43 keV mean energy. All the gratings had equal period of 10 μm, with the source grating having 55 μm thick Au bars and the analyzer 100 μm thick Au bars. The phase grating was a 23 μm thick Si grating tilted at the same angle of 22.5°. All the gratings had 50% duty cycle. The interferometer was operated in the first Talbot order using as X-ray source an extended spot W anode tube at 60 kVp. To obtain a spectrum with around 43 keV mean energy the tube output was filtered with a 100 mm thick water layer and with a 65 μm Cu. The computed spectrum incident on the gratings is shown in the right panel of FIG. 7C. A Moiré fringe pattern produced by the tilted gratings is shown in the left panel of FIG. 7A, while a lineout through the pattern is shown in the right panel. The fringe contrast is defined as: V=(Imax−Imin)/(Imax+Imin). As one can see, using tilted gratings can provide good interferometer contrast (V˜25%) at high X-ray energy. Even higher contrast would be obtained with a 100 μm thick source grating, similar to the analyzer one. For comparison, FIG. 7B illustrates the limited contrast that can be obtained with Talbot-Lau interferometers using normal incidence gratings. The Moiré pattern in this case has been obtained using 5.4 μm period gratings, with source and analyzer gratings having nominally 100 μm thickness, which is about the technological limit for this period. The phase grating was a 15 μm thick Ni grating designed for 40 keV mean energy. The incident spectrum was the same as in FIG. 7A. As can be seen, the best achievable normal incidence contrast is more than twice lower (V˜11%) than at glancing incidence. In addition, the contrast of the glancing incidence interferometer can easily be pushed to even higher values by further tilting the gratings. Lastly, FIG. 7C demonstrates that the glancing angle Talbot-Lau interferometer performs phase-contrast measurements similar to the normal incidence one. The left panel in FIG. 7C shows the perturbed Moiré pattern obtained with the tilted gratings when imaging a nylon rod of 12 mm diameter. (The opaque object in the image is a Sn wire of 1.5 mm diameter). As can be seen in FIG. 7C, while the nylon rod is almost transparent to X-rays, it nevertheless produces strong Moiré fringe shifts near its edges. In conclusion, our experimental results indicate that imaging systems based on glancing incidence Talbot-Lau interferometers offer a simple but powerful solution to differential phase-contrast imaging at high X-ray energy. In addition, since the above results were obtained with a thick water layer in the X-ray path, they directly demonstrate that the systems in the Invention can work for phase-contrast imaging of thick body parts using conventional X-ray tubes. So far, this possibility was demonstrated only using synchrotron X-ray sources. The tilted grating Talbot-Lau interferometer concept described herein can be directly applied for X-ray phase-contrast imaging at high energy without any further development. This is particularly the case for applications in which the angular sensitivity of m=1 Talbot-Lau interferometers is sufficient (the angular sensitivity increases with the Talbot order m as √m, with m=1, 3, 5 . . . ). Example of such situations would be ultra-small angle scattering (USAXS) imaging systems for non-destructive testing and studies of micro/nano structured matter in material sciences, nanotechnology, or industry. High energy m=1 tilted grating systems could also be of interest for medical bone phase-contrast imaging, since bone is a strong USAXS scatterer. For refraction based soft tissue imaging at high energy the angular sensitivity of m=1 interferometers is likely too low because the refraction angles scale as 1/E2. To make high energy Talbot-Lau interferometers that also have high angular sensitivity, one must work in higher (m>3) Talbot orders. At high-m however the spectral region of good contrast gets narrower (width ˜1/m) and spectral filtering can be employed to maintain good interferometer contrast [8]. Thus combining the glancing angle grating concept with the X-ray mirror filtering concept can be useful for some applications. Another alternative embodiment would be to use energy resolving detectors to select the spectral region of high interferometer contrast. In FIG. 5B, this would be for instance the region between 90 keV and 130 keV approximately. 2-D pixilated detectors such as CdTe arrays exist nowadays that have high energy resolution, high quantum efficiency and good photon counting capability, at energies up to a few hundred keV. This novel approach is of particular interest for situations that can tolerate a higher radiation dose, such as in industrial applications, since a large flux of photons outside the region of high interferometer contrast would not be detrimental. Other alternative embodiments can include the following two basic variations: 1) High energy phase-contrast imaging systems using only glancing angle gratings, such as in FIG. 2A. One embodiment for this variation is a high energy m=1 DPC imaging system using an energy resolving detector to discriminate the photons outside the region of high contrast. An example application for such a system would be phase-contrast based non-destructive testing of composite metallic parts in the aerospace and aviation industry. 2) High energy phase-contrast imaging systems combining glancing incidence gratings with total reflection or Bragg reflection (multilayer) mirrors, such as in FIGS. 8A and 8B. The mirror can be a simple, non-patterned mirror that serves only as spectral filter (FIG. 8A), or it can be a micro-periodically patterned mirror having strips parallel to the incident X-rays (the ‘physical period’ geometry described in Ref. 7) that would replace the source grating (FIG. 8B). In the latter case the mirror would serve simultaneously as spectral filter and spatial filter, thus reducing the number of optical elements and simplifying the setup. Further, the mirror can be either a total reflection mirror working at angles around 1-1.5 mrad, or a graded multilayer mirror working at larger angles of several mrad. An embodiment of such a system would be an m=5 interferometer for the tungsten K-shell line emission between ˜60-70 keV. This quasi-monochromatic emission can be made very bright using W anode tubes at high voltage (few hundred kV). In addition, as mentioned, this energy region is ideal for medical phase-contrast imaging deep in the human body. The principle of this embodiment is sketched in FIG. 9. The total reflection on the mirror effectively cuts off the high energy portion of the spectrum, which would contribute to the dose without contributing to the phase contrast image [8]. The low energy part of the spectrum is cut off by an absorption filter. The mirror/filter combination produces thus a quasi-monochromatic band of radiation that matches well the contrast curve of an m=5 Talbot-Lau interferometer (FIG. 9). The filtering mirror can also be a laterally graded synthetic multilayer mirror, which can reflect only a narrow band between ˜60-70 keV, allowing thus to work in even higher Talbot orders (e.g. m=9) and thus to achieve even higher angular sensitivity and interferometer contrast. Lastly, the mirror can be micro-periodically patterned and thus fulfill simultaneously the function of spectral filter and of source grating. The field of view of systems combining glancing angle gratings with grazing incidence mirrors such as in FIG. 8 is smaller in the vertical dimension than for pure tilted grating systems. A typical value is of several mm by several cm. Nevertheless, one can stack multiple such mirror/glancing incidence grating interferometers in order to obtain a larger field of view, similar to FIG. 6. This possibility has been in fact demonstrated experimentally for conventional X-ray imaging in Ref. 10, where tens of laterally graded multilayer mirrors have been stacked one upon the other to make a large area (˜10×20 cm) quasi-monochromatic radiographic system. 1. S.-A. Zhou and A. Brahme, Physica Medica 24 129 (2008) 2. Momose A, Yashiro W, Takeda Y, Suzuki Y and Hattori T, Japanese Journal of Applied Physics 45 5254 (2006) 3. Pfeiffer F, Weitkamp T, Bunk O and David C, Nature Physics 2, 258 (2006) 4. Tilman Donath, Franz Pfeiffer, Oliver Bunk, et al., Rev. Sci. Instrum. 80, 053701 (2009) 5. David C, Bruder J, Rohbeck T, Grunzweig C, Kottler C, Diaz A, Bunk O and Pfeiffer F, Microelectronic Engineering 84, 1172 (2007) 6. Reznikova E, Mohr J, Boerner M, Nazmov V, Jakobs P-J, Microsyst. Technol. 14 1683 (2008) 7. D. Stutman, M. Finkenthal, N. Moldovan, Applied Optics 49, 4677 (2010) 8. D. Stutman, T. Beck, J. Carrino and C. Bingham, Phys. Med. Biol. 56, (5697) 2011 9. Y. Park, S. Han, J. Chae, C. Kim, K. S. Chon, H.-K. Lee and D. S. Han, Proc. SPIE 7258 Medical Imaging 2009: Physics of Medical Imaging, 72583L (2009) 10. M. Testorf, J. Jahns, N. A. Khilo, and A. M. Goncharenko, Opt. Commun. 129, 167-172 (1996) 11. Han Wen, Camille K Kemble, and Eric E. Bennett OPTICS EXPRESS 19, 25093 (2011) The following examples analyze the angular sensitivity needed for refraction enhanced imaging with the Talbot method and proposes ways to optimize the Talbot setup for improved refraction based imaging with conventional X-ray sources. Even though we use examples from medical and high energy density (HED) plasma imaging, the conclusions apply also to other fields, such as material sciences, NDT, or security. The Talbot interferometer is based on the Talbot effect, which consists of the production of micro-fringe patterns by a ‘beam-splitter’ grating illuminated by X-rays, at the so called Talbot distances dT=m g12/8λ, where λ is the wavelength, g1 is the grating period, and m=1, 3, 5 . . . is the order of the pattern. The basic interferometer consists of the beam-splitter (typically a π-shift phase grating) followed by an ‘analyzer’ absorption grating of period g2 equal to that of the Talbot fringe pattern and placed at the magnified Talbot distance D˜dT/(1−dT/L) from the beam-splitter, where L is the distance between the source and the beam-splitter (FIG. 10). When a refractive object is introduced in the X-ray beam the Talbot pattern is shifted, leading to intensity changes behind the analyzer approximately proportional to the angle of refraction of the X-rays. Since hard X-rays are deflected by only a few g-radians in low-Z matter, g2 must be of the order of a few μm and D of the order of the meter to achieve sufficient angular sensitivity. In addition, to make the interferometer work with extended, incoherent X-ray sources, a third, absorption grating having period g0=g2·L/D and openings of width s0<g0/2 is placed near the source, effectively dividing it into an array of quasi-coherent micro-sources. This choice of period and opening width ensures that the Talbot patterns from each micro-source constructively add at the analyzer, for any L and D combination [13-15,19-21]. The interferometer is characterized by the angular width or resolution W˜g2/D, which determines its angular sensitivity S=1/W, and by the mean energy <E>, and spectral width AE, of the region of high fringe contrast, which determine its spectral response. Typical angular widths are in the 5-10 μ-radian range and typical contrast values are ≦few tens of percent when working with conventional X-ray sources [20,21]. In addition, as discussed in Ref. 19, the effective angular sensitivity of the Talbot interferometer Seff, decreases proportional to the distance R between the beam-splitter and the object; for instance, Seff=S·(1−R/D) if the object is placed behind the phase-grating as in FIG. 10. The decrease comes from the fact that the refraction angle ‘seen’ by the beam-splitter at a distance R is smaller than that at the object [19]. One can thus define an effective angular width for the Talbot interferometer as Weff=1/Seff and summarize the two conditions that must be simultaneously met to achieve substantial refraction contrast enhancement with the Talbot method: (i) high interferometer contrast and (ii) effective angular width comparable to the range of refraction angles produced by the object. Mean energies possible with grating interferometers are up to a few tens of keV, with spectral widths ΔE/<E>˜1/m, where m is the Talbot order [13-15, 20-21]. The upper energy bound is due to technological limits in the fabrication of thick, micron-period absorption gratings [22, 23]. The optical transmission or throughput of the Talbot interferometer for divergent and polychromatic light is much higher (up to 10-20%) than for crystal ABI systems. The Talbot method can thus efficiently utilize the spectrally broad and divergent emission produced by conventional X-ray sources. The field of view is limited by the practical grating size at <10×10 cm approximately. While the Talbot method is attractive for practical applications, as above mentioned the results so far indicate that its refraction contrast is lower than that of the crystal method. It is thus useful to briefly compare the two methods in order to delineate the fundamental differences. This can be done by comparing the ‘phase-scan’ intensity curve in the Talbot method [14,15] with the rocking curve of the analyzer crystal in the ABI method [5]; these curves play an equivalent role in refraction based imaging as discussed in the following. The phase-scan technique is illustrated with a numerical simulation in FIGS. 11A-11D. To compute refraction images we use throughout these examples the XWFP code in conjunction with the XOP database [24, 25]. XWFP computes the X-ray wave propagation, including absorption, refraction and diffraction, through objects such as rods, spheres, and cavities, and through optical elements such as phase and absorption gratings. The XOP database allows computing δ and β for materials of arbitrary composition, by specifying the mass fraction for each element and the mass density of the compound. We simulated spectrally averaged refraction images for an interferometer having a ‘symmetric’ design in which L=D and gratings of equal period of 10 μm. The absorption gratings had 60 μm thick gold bars and the phase grating 25 μm thick Si bars, for a mean energy of 20 keV. The interferometer was set in the third Talbot order (L=D=1.2 m), with R=1 cm (Weff˜W=8.3 μ-radian)). We assumed the source is a 60 μm spot W anode X-ray tube operated at 25 kV (<E>˜20 keV), exposure of 10 mA·s, and a detector having 20% quantum efficiency and 50 μm resolution. As test object we used a 1 mm diameter Be rod in water medium, producing refraction angles in the range <αm=±4 μ-radian. A 100 μm diameter X-ray opaque Au wire was also included in the simulation to provide a contrast reference. The spectrally averaged images were obtained by weighting monochromatic images computed at 0.5 keV intervals with the W tube power spectrum and by including statistical photon noise. The phase-scan curve obtained by scanning the analyzer position in 30 steps of size z=1 μm is shown in FIG. 11A. For comparison with the crystal method we plotted the ordinate in units of angle spanned by the phase-scan, θ˜k·z/D, k=0, 1, . . . , with z the step size. The maxima of the phase-scan modulations represent the ‘bright-field’ (BF) intensity and the minima the ‘dark-field’ (DF) intensity [15]. The normalized difference between these intensities can be used to define the interferometer contrast, VTalbot=(IBF−IDF)/(IBF+IDF). This definition is similar to that of the Talbot fringe contrast or visibility [20,21], while characterizing the overall interferometer contrast. The computed contrast values in FIG. 11A match well those obtained experimentally with Talbot interferometers operated with conventional X-ray tubes [13-17]. FIG. 11B shows the raw, refraction enhanced image obtained at an interferometer position in the middle of the quasi-linear portion of the phase-scan curve, as indicated by the arrow. Refraction contrast of ˜20% obtains at edges of the Be rod, showing that the Talbot method can produce contrast enhancements of the order of αM/Weff, even without phase-scanning. FIGS. 11C and 11D show the output of the phase retrieval procedure. FIG. 11C shows the phase gradient or ‘pure refraction’ image, in which the intensity is proportional to the refraction angle, while FIG. 11D shows the ‘pure attenuation’ image [14,15]. The analysis was done using the Fourier method described in Ref. 15. FIGS. 11B to 11D illustrate the potential of refraction based imaging: while the weakly absorbing Be object is almost invisible in the attenuation image, it appears with good contrast in the phase gradient and in the refraction enhanced images. To make a quantitative comparison between the Talbot method and the crystal one we also plotted in FIG. 11A a Lorentzian of 1.5 μ-radian FWHM, approximating the typical rocking curve of the analyzer crystal in the ABI method [5]. By comparing the angular width W˜g2/D of the Talbot phase-scan modulation with the angular width of the crystal rocking curve one can thus directly compare the angular sensitivity of the two methods. An approximate comparison between the contrast of the two methods can also be made by defining an equivalent ‘crystal contrast’ Vcrystal as above and by using as IBF the intensity at the peak of the rocking curve and as IDF the intensity in its wings, for instance at one FWHM distance away from the peak. Three basic differences between the two methods are apparent from this comparison: First, the typical crystal angular width is several times smaller than that of the Talbot interferometer (W˜8.5 μ-radian in FIG. 11A). Secondly, the equivalent crystal contrast is also substantially higher, Vcrystal˜67%, as compared to VTalbot˜25%. Thirdly, FIG. 11A shows that the Talbot interferometer works as a periodic angular filter, while the crystal filters only a narrow angular range. Thus, the Talbot interferometer does not reject X-rays scattered at angles higher than its angular width, while the crystal does. The rejection of scattered radiation is deemed to be an important factor in the superior performance of the ABI method [1-5]. This discussion raises two questions: (i) how does the typical angular width of the Talbot method compare to the range of refraction angles expected in applications, and (ii) how can the angular sensitivity and contrast of the Talbot method be made closer to that of the crystal method. The first point is discussed in the following. Range of X-Ray Refraction Angles in Practical Applications To assess how the angular width of the Talbot method compares with the X-ray refraction angles encountered in typical applications we considered two practical examples: the refraction of hard X-rays in a HED plasma and the refraction in soft issues such as cartilage, tendon and muscle. The Case of HED Plasma Radiography. In the typical HED plasma radiography a micron sized X-ray backlighter (usually a laser produced plasma) illuminates a sub-mm, low-Z plasma target of many times the solid density, such as an imploding IFE (Inertial Fusion Energy) capsule. High spatial resolution requires imaging at high magnification (M˜10-100) [11,26,27]. To estimate the refraction angles in IFE radiography we modeled the imploding capsule as concentric layers of Be and H having and 0.4 mm and 0.3 mm diameter respectively, and 0.1 mm thickness and 6 g/cm3 density each. For the imaging setup we assumed a distance between the backlighter and the capsule of 7.5 cm and L=D=2 m (R=1.9 m). In this setup the beam-splitter could be sufficiently far from the imploding capsule to survive the implosion when placed behind a protective filter [26,27]. However, since the imaged object is far from the beam-splitter, the effective angular sensitivity is reduced as above discussed, by the factor (1−R/L)˜0.05. FIG. 12 shows the range of refraction angles incident on the beam-splitter for a typical backlighter energy of 22 keV (Ag K-α, [27]). As seen, while the refraction contrast enables one to discriminate the Be and H layers (otherwise invisible in the attenuation image), the range of refraction angles is small, αM≦±1 μ-radian. The Case of Soft Tissue Radiography. Soft tissue imaging is one of the most investigated applications of the Talbot method. The synchrotron experiments show for instance that X-ray refraction enables imaging of joint soft tissues such as cartilage or tendon, which are important in the diagnostic of arthritis [1,4,18]. To estimate the typical refraction angles for soft tissues we assumed the case of a small joint and used a simple numerical model or ‘phantom’ to compute its attenuation and refraction angle profiles. The phantom consisted of layers of materials simulating bone, cartilage, synovial fluid, connective tissue of the joint capsule, tendon, and skeletal muscle (inset in FIG. 13), approximating the anatomy of a human proximal finger joint. To compute δ and β for the joint soft tissues we used the composition and density of body tissues from the compilation by Woodard and White [28]. The refraction angles for the small joint phantom at 25 keV are shown in FIG. 13. As can be seen, with the exception of the bone/cartilage and of the tendon/muscle combinations, the range of refraction angles for cartilage, fluid and joint capsule is very small, αM in the range of a few tenths of a μ-radian. This is due to the small difference in index of refraction between soft issues (e.g., several % for cartilage and joint fluid). These very small refraction angles predicted by our model are also in agreement with the synchrotron experiments; for instance, Shimao et al. estimated refraction angles in the range 0.1-0.4 μ-radian for a human finger joint at 36 keV [18]. The conclusion from the above is that the substantially larger width characteristic of Talbot interferometers, as well as their lower intrinsic contrast, can make soft tissue imaging with conventional X-ray sources challenging. A somewhat similar situation occurs in IFE DPC radiography for geometries where the beam-splitter is placed far from the target plasma. Ways must thus be explored to optimize the Talbot setup for maximal angular sensitivity and contrast, as further discussed. Optimization of the Talbot Setup for High Angular Sensitivity and Contrast With the notations in FIG. 10, in a magnifying geometry the angular width W of the Talbot interferometer is W˜g2/D=MT g1/D∝λ/(m·g1), where MT=(L+D)/L is the Talbot magnification [19,20]. Thus, a first way to decrease the angular width at a given wavelength is to increase the Talbot period. However, this rapidly increases the interferometer length, since the Talbot distance scales as the square of the period. Alternatively, one can increase the Talbot order m. However, since the width of the spectral region of high contrast scales as 1/m, this approach is also constrained by the use of a spectrally broad X-ray source, such as for instance a W anode tube. The above relation shows that there are multiple combinations of grating period, Talbot order and distances that can be used for a given interferometer length, Z=L+D. To find the values that maximize the angular sensitivity for a given system length we plotted the Talbot interferometer equations as a function of the Talbot magnification MT=(L+D)/L, with the mean energy <E>, Talbot order m and the system length Z, as parameters. The results for <E>=25 keV, m=3, and Z=1.0, 1.5, and 2 m are plotted in FIGS. 14A and 14B. R=5 cm was assumed in all cases. A first observation from FIG. 14A is that a small angular width requires a large interferometer length. A practical limit of a few m is however imposed for this length by mechanical stability considerations and by the photon flux available from conventional X-ray sources. Secondly, FIG. 14A shows that for a given system length the angular width is minimized in a ‘symmetrical’ Talbot setup, having L=D (MT=2). The dependence of the periods g0, g1 and g2 on MT for Z=2 m and m=3 are shown in FIG. 14B, indicating that the symmetrical setup has also the practical advantage that all grating periods are equal and relatively large. For instance g0=g1=g2˜8 μm for Z=2 m, E=25 keV, m=3, which can be easily achieved in practice. Thirdly, FIG. 14A shows that once the system length is fixed and the symmetrical setup chosen, the only way to further increase the angular sensitivity is to increase the Talbot order. However, as mentioned, when working with spectrally broad X-ray sources there is a limit to how much the angular sensitivity can be increased in this way, due to the decrease in spectrally averaged fringe contrast. To illustrate this point, in FIG. 15 we plot the computed fringe contrast at increasing Talbot orders for a 2 m long symmetric interferometer having <E>=25 keV. We assumed 55 μm thick gold source and analyzer gratings and 33 μm thick Si phase grating. The source grating had openings of width s0=g0/2 (50% duty factor). The interferometer contrast is defined as above. The Talbot period was adjusted in each order to match the 2 m interferometer length. The contrast curves in FIG. 15 include also the geometrical broadening of the Talbot fringe pattern by the finite source grating openings, simulated by convolving the Talbot pattern at the analyzer with a Gaussian of width s0 [20,21]. For comparison we also plotted in FIG. 15 the spectrum of a W anode X-ray tube at 35 kV, filtered with 1 mm Al and after traversing 20 mm of soft tissue. This approximates the spectrum incident on the beam-splitter for a small biomedical object such as the above joint phantom. As can be seen, the overlap between the contrast curve and the broad W anode spectrum rapidly decreases with increasing Talbot order. The spectrally averaged contrast is 32% for m=1, 27% for m=3, and 20% for m=5. In conclusion, a practical configuration maximizing the angular sensitivity of the Talbot method is a symmetric setup having gratings of equal period and length of around 2 m. In addition, the third Talbot order offers a good compromise between angular sensitivity and contrast when using a spectrally broad source. Nevertheless, as shown in FIG. 14A, the smallest angular width achievable with a Talbot interferometer in a low order (m≦3) is still several times larger than that of a crystal system. Thus, the only way to achieve with the Talbot method angular sensitivity closer to that of crystal optics is to use higher Talbot orders. For instance, as shown in FIG. 14A, nearly 5 μ-radian angular width can be obtained with a 2 m long interferometer in the 7th order. At the same time, as shown in FIG. 15, as the Talbot order is increased the interferometer contrast curve ‘breaks’ into m narrow peaks that have decreasing overlap with a broad source spectrum. Moreover, a detailed analysis shows that the higher order contrast curves in FIG. 15 are in a sense misleading, because the angular width changes with energy too. This is shown in FIG. 16 with plots of the computed Talbot pattern for the central (25 keV) and the adjacent (19 keV and 37 keV, respectively) m=5 contrast peaks in FIG. 15. As can be seen, among the m=5 peaks only that at the design energy of 25 keV has both high contrast and small angular width. The adjacent peaks are ‘harmonics’ that produce high contrast Talbot patterns, but having twice the period of the pattern of the central peak. As such, although a broad source spectrum would overlap with these side peaks, they would not contribute to the formation of the refraction image with the full angular sensitivity of the interferometer, but with half this value. In addition, depending on the details of the imaged object, these side peaks could subtract from the effective refraction contrast produced by the central peak, instead of adding to it. In conclusion, our analysis shows that for interferometers of practical length the angular width of the Talbot method is intrinsically limited to values above 5 μ-radian approximately, which is higher than those of crystal systems (<1.5 μ-radian). In addition, to achieve its smallest possible angular width the Talbot interferometer must be operated in a high order, in which case it is not optimal to use a broad source spectrum, since the effective contrast substantially decreases. The solution to simultaneously maximize the angular sensitivity and the effective contrast of Talbot method is thus to work in a high order (m>5), while using a quasi-monochromatic X-ray spectrum of width AE/<E>≦1/m˜15-20%. Possible ways to do this are described in the following. Talbot Interferometry with Quasi-Monochromatic Spectra K-Line Spectra Filtered with K-Edge Absorbers. The simplest method to obtain a quasi-monochromatic spectrum is to use a bright K-line emitter, such as a Mo or Rh anode tube for biomedical applications or an Ag K-α backlighter for HED plasma radiography, and to filter the emission with a K-edge absorber of the same atomic number as the emitter. The spectrum of a Rh anode tube at 40 kVp filtered with 30 μm Rh absorber and after transmission through 20 mm of soft tissue is shown in FIG. 17A. Also shown in FIG. 17A is the computed contrast of a symmetric 2 m Talbot interferometer having 6 μm period, 55 μm thick Au source and analyzer gratings, s0=g0/2, Si phase grating optimized for 20 keV mean energy, and operated in the 7th order. As can be seen, the K-edge filtered spectrum is dominated by the strong Rh K-α line at 20 keV, which matches closely the peak of the contrast curve in the 7th order. A similar good match can be produced for the Mo K-α line at 17.5 keV. The increase in refraction contrast possible using high Talbot orders and K-line/K-edge filtered spectra is illustrated with computed refraction enhanced images of the joint phantom in FIGS. 18A-18D. We assumed the above 2 m interferometer, a 50 μm pixel detector, and an exposure of 50 mA·s with a Rh anode tube at 40 kVp, producing a mean detector count of ˜100 per pixel. The refraction enhanced images are computed for an interferometer phasing at mid-distance between the bright and dark field settings, which as illustrated in FIG. 11B maximizes the refraction contrast. FIG. 18A shows as a reference the image obtained assuming the W anode tube spectrum in FIG. 15 and operation in the third Talbot order, optimal for this spectrum. As can be seen, due to insufficient angular sensitivity, the refraction contrast enhancement is too faint to be useful in practice without resorting to phase-scanning and/or CT, which would require multiple exposures. FIG. 18B shows that the single exposure contrast can be substantially increased however by using the interferometer in the 7th order and the K-edge filtered Rh spectrum; the cartilage, joint fluid and connective capsule are clearly delineated in this case. The relative intensity variation or contrast at the cartilage fluid interface for instance is around 20%. A HED plasma example of quasi-monochromatic imaging in a high Talbot order is illustrated in FIG. 19, which shows a Moiré fringe image or deflectogram of the IFE capsule modeled in FIG. 12. The use of Moiré deflectometry for density profile diagnostic in HED plasmas was demonstrated at the NOVA facility using backlighting with an XUV laser and focusing optics [29]. We assumed a symmetric interferometer of 4 m length and 10 μm period operated in the 5th Talbot order, a detector with 50 μm pixels, and illumination with a Ag K-α backlighter spectrum filtered with 50 μm Ag. The clear Moiré fringe shifts at the location of the Be ablator and H fuel layer in FIG. 19 indicate that using the Talbot method with quasi-monochromatic backlighting would provide a simple density profile diagnostic for the capsule, without the need for X-ray lasers or focusing optics. Mirror Filtered Slot-Scan Talbot Interferometers. While offering the simplest approach, the contrast increase possible with K-edge filtering is limited, since as shown in FIG. 17A a substantial fraction of photons is emitted at energies above the K-α energy, where the interferometer has low angular sensitivity. In addition, the choice of bright K-line sources in the range of a few tens of keV is limited (e.g., only Mo or Rh anode tubes for medical applications). To further increase the sensitivity and contrast of the Talbot method and to broaden the range of possible interferometer energies we propose to use X-ray mirrors or reflectors to shape the source spectrum. The principle of the method is sketched in FIG. 20. A grazing incidence mirror is placed near the source grating and a slot collimator selects only the reflected beam. There are several choices for the filtering mirror. A first possibility is to use total reflection mirrors. These are simply made of a thin high-Z film (e.g., Au, Ta, Pt) deposited on a low-Z substrate and can reflect with high efficiency (>60-80%) hard X-rays incident below the critical reflection angle [30]. The sharp energy cutoff due to the total reflection effect can be used to efficiently filter out high energy photons. This is illustrated in FIG. 17B with the computed Rh tube spectrum at 40 kVp, filtered with a 30 μm Rh absorber followed by reflection on a Pt mirror at 3.5 mrad incidence angle. The mirror was assumed to have 3 Å surface roughness. As can be seen, the parasitic radiation above about 22 keV is completely suppressed, while the radiation in the useful Rh K-α band is efficiently transmitted. The image of the joint phantom obtained assuming this spectrum is presented in FIG. 18C, showing that suppressing the parasitic band of high energy photons strongly increases the refraction contrast, with the intensity contrast at the cartilage fluid interface reaching ˜35%. Another practical benefit of the mirror filtering technique is that it would allow increasing the brightness of the K-α band by increasing the tube voltage, since the photons above the K-α band are not reflected. It is advantageous to increase the K-α brightness by increasing the voltage rather than the current, since it scales as the voltage to the power of 1.5-1.6. Another possibility with the mirror technique is to use laterally graded multilayer mirrors as narrow band, high throughput spectral filters. These are synthetic Bragg reflectors for which the period varies along the length, enabling it to reflect a narrow range of wavelengths over the entire length of a planar mirror [31]. Recent experiments demonstrate that at incidence angles of several milli-radians such mirrors can efficiently reflect X-rays up to tens of KeV. For instance, Park et al. demonstrated efficient production (>50% reflectivity) of quasi-monochromatic X-ray bands using a conventional rotating anode X-ray tube and a 100 mm long graded multilayer with period varying between 32 and 38 Å [32]. The mean X-ray energy/bandwidth could be varied between 20 keV/15% and 40 KeV/7.5%. Curved HOPG (highly ordered pyrolytic graphite) reflectors could also be used to produce nearly monochromatic radiation from conventional X-ray sources, as demonstrated with a Mo K-α mammographic system by Lawaczeck et al. [33]. Using such reflectors, narrow K-α spectra can be produced that would further increase the refraction contrast of the Talbot method. This is illustrated in FIG. 18D assuming illumination of the joint phantom with photons in a 4 keV wide band centered on the Rh K-α energy. The contrast at the cartilage fluid interface reaches nearly 50% in this case. (Note that due to the narrower spectrum the K-α intensity in FIG. 18D was assumed to increase by a factor of ˜3 to achieve the same photon count as in FIGS. 18B and 18C; as above discussed, this could be simply done by increasing the tube voltage from 40 to about 60 kV.) The constraint in the mirror filtering method is that the field of view (FOV) height perpendicular to the mirror plane (vertical in FIG. 20) is limited to values H˜Δαd at the object location, with Δα the difference between the maximum and the minimum incidence angle on the mirror and d the distance between the mirror and the object. For total reflection mirrors Δα is constrained in turn by the acceptable variation in high energy cutoff across the length of the mirror. For instance, assuming a Rh anode spectrum at 60 kVp and a Pt mirror at 3.5 milli-radian central incidence angle, Δα of ˜1 milli-radian would correspond to a cutoff energy variation between 22 keV and 28 keV, which would still allow obtaining high refraction contrast as in FIG. 18C. The vertical FOV at the object will thus be limited to H˜1 mm for a 2 m long interferometer having d˜L, as in FIG. 20. In the perpendicular direction the FOV is limited only by the available grating width, since large area X-ray mirrors can nowadays be easily produced. With laterally graded multilayers the field of view height could be substantially larger, however, since the only limiting factor is the Bragg angle variation along the mirror. For instance, assuming the mirror parameters in Ref. 32, H would increase to ˜2.5 mm for a 2 m long interferometer. Further on, using curved optics the field of view could be even larger; for instance, using a 50 mm long crystal with 480 mm curvature radius placed at 50 mm from the source Lawaczeck et al. achieved a 10 mm high FOV for Mo K-αradiation, at 550 mm distance from the source [33]. For a 2 m long symmetric Talbot interferometer this would translate into a FOV height of ˜15 mm. Nonetheless, to image large objects, the mirror filtered Talbot interferometer would need to work in a slot-scan mode, in which either the object or the interferometer field of view is scanned vertically in FIG. 20. This would require, in principle, longer measurement times than possible with a large field of view, ‘cone-beam’ system. We note however that a compensating advantage of the slot-scan geometry could be the strong reduction in large angle scattered radiation reaching the detector. As demonstrated by slot-scan medical systems this reduction substantially improves the overall image contrast [32-34]. In addition, using a quasi-monochromatic spectrum has the advantage of decreasing the radiation dose, since only the wavelength useful for imaging is incident on the object [33,34]. The slot-scan Talbot systems would also closer resemble the crystal ABI systems, which as above discussed also reject the large angle scattered radiation. Lastly, the measurement time of a mirror filtered slot-scan system could be drastically shortened by using multiple, stacked reflectors. This was demonstrated by Park et al., who used an array of stacked multilayer mirrors to achieve scan times of less than 1 s for an image of ˜200 mm×240 mm size [32]. The mirror filtering could enable also extending the range of energy bands available for quasi-monochromatic Talbot interferometry. This could be done using narrow band-pass mirrors in combination with a bright continuum source, such as a rotating W anode tube. A first way to obtain narrow energy bands could be to use depth graded multilayer mirrors. These are multilayers for which the period varies with the depth, enabling to efficiently produce energy bands of width ΔE/<E>˜10-15%, for X-rays up to several tens of keV energy [35,36]. In addition, a simple and tunable band-pass filter could be made using two total reflection mirrors. This dual-mirror filter design is sketched in FIG. 3A and expands on a filtering technique demonstrated at the synchrotrons (the ‘transmission mirror’) [37,38]. The first mirror has a high-Z metallic film deposited on a thin (few μm) low-Z membrane. Total reflection on this mirror rejects the low energy part of the spectrum, while the high energy part is transmitted through the thin membrane with little attenuation. The radiation transmitted by the first mirror is then low-pass filtered by a second total reflection mirror. FIG. 3B shows an example of the spectral response possible with this design, indicating that band-pass of the order of 15-20% could be achieved for energies of up to several tens of keV. These energy bands would in turn match well the contrast of Talbot interferometers in high orders, as also illustrated in FIG. 3B. Lastly, a further improvement to the mirror filtered interferometer design would be to combine the source grating and the filter mirror in a single optical element, using the micro-periodic mirror concept we described in Ref. 30. These are total reflection ‘mirror gratings’ made by patterning a low-Z substrate with thin (−500 Å), periodic strips of high-Z metal. As shown in Ref. 30, the difference in reflectivity between the high-Z strips and the low-Z substrate enables one to produce high contrast (up to ˜80%) reflection gratings for X-ray energies up to several tens of keV. Thus, in addition to simplifying the optical setup, the use of a micro-periodic mirror instead of the ‘source’ grating would allow increasing the interferometer contrast at high energy, since the mirror would be the equivalent a very thick absorption grating. This possibility is illustrated in FIGS. 21A-21B with calculations of refraction enhanced images for a large joint phantom. The phantom has the same layout as the one in FIG. 13, but with dimensions typical of a knee joint (15 cm muscle diameter, 1.5 mm thick cartilage, fluid and connective tissue layers, 35 mm bone diameter and 6 mm diameter tendon). As the source, we assumed a W anode tube of 0.3 mm spot operated at 70 kVp (typical of knee radiography) and filtered with 0.12 mm Cu and 2 mm Al. The detector had 100 μm pixels. FIG. 21A shows the image obtained assuming a 2.2 m long symmetric interferometer of 45 keV mean energy and 5 μm period, operated in the 5th order, and using 100 μm thick source and analyzer gratings, with a source grating duty factor of 33%. The photons above ˜50 keV are cut by a Pt mirror at 1.8 milli-radian incidence angle. As can be seen, the refraction contrast for soft tissues is poor because the absorption contrast between the bars and the openings of the source grating decreases rapidly for X-rays above a few tens of keV. FIG. 21B shows the image obtained assuming instead of the source grating a micro-periodic Pt mirror, having 33% duty factor and 80% reflection contrast between the reflecting and non-reflecting strips, independent of energy [30]. As can be seen, replacing the grating with a micro-periodic mirror would strongly improve the refraction contrast at high energy, making visible all soft tissues in the large joint. Lastly, to achieve the maximum possible refraction contrast the source grating could be replaced with a micro-periodically patterned multilayer mirror or possibly a patterned HOPG crystal, for near monochromatic differential phase-contrast imaging at high energy. Our analysis shows that while Talbot interferometry is a simple technique for refraction based imaging, its angular sensitivity and contrast should be carefully optimized in order to compete with those of the crystal method. This is particularly critical for demanding applications such as soft tissue imaging or high energy density plasma diagnostic, where the refraction angles can be in the sub μ-radian range. A practical way to simultaneously maximize the angular sensitivity and contrast of the Talbot method is to use a symmetric interferometer setup with a quasi-monochromatic source spectrum. Several solutions are described for shaping the source spectrum, ranging from K-edge absorption filters to reflection on grazing incidence mirrors. The calculations suggest that using such filtering strong refraction contrast could be obtained for low-Z objects at energies up to a few tens of keV. The combination of Talbot gratings with band-pass mirrors and/or micro-periodic mirrors appears also attractive for extending the Talbot method to higher X-ray energy. 1. S.-A. Zhou and A. Brahme, Physica Medica 24 129 (2008) 2. Keyriläinen J, Bravin A, Fernandez M, Tenhunen M, Virkkunen P and Suortti P, Acta Radiologica 8 866 (2010) 3. D Chapman, W Thomlinson, R E Johnston, D Washburn, E Pisano, N Gmür, Z Zhong, R Menk, F Arfelli and D Sayers Phys. Med. Biol. 42 2015 (1997) 4. Carol Muehleman, Jun Li, Zhong Zhong, Jovan G Brankov, and Miles N Wernick, J Anat. 2006 208, 115-124 5. Suhonen H., Fernandez M., Bravin A., Keyrilainen J. and Suorttia P., J. Synchrotron Rad. 14, 512 (2007) 6. Arfelli F., Rigon L. and Menk R. H., Phys. Med. Biol. 55 1643 (2010) 7. R. A. Lewis, Phys. Med. Biol. 49 3573 (2004) 8. A. W. Stevenson, T. E. Gureyev, D. Paganin, S. W. Wilkins, T. Weitkamp, A. Snigirev, C. Rau, I. Snigireva, H. S. Youn, I. P. Dolbnya, W. Yun, B. Lai, R. F. Garrett, D. J. Cookson, K. Hyodo, M. Ando, Nuclear Instruments and Methods in Physics Research B 199 427 (2003) 9. S. Mayo, R. Evans, F. Chen and R. Lagerstrom, Journal of Physics: Conference Series 186, 012105 (2009) 10. Brey E M, Appel A, Chiu Y C, Zhong Z, Cheng M H, Engel H, Anastasio M A, Tissue Eng. Part C Methods. 16, 1597 (2010) 11. Jeffrey A. Koch, Otto L. Landen, Bernard J. Kozioziemski, Nobuhiko Izumi, Eduard L. Dewald, Jay D. Salmonson, and Bruce A. Hammel, J. Appl. Phys. 105, 113112 (2009) 12. D. Stutman, M. Finkenthal and N. Moldovan, Rev. Sci. Instrum. 81, 10E504 (2010) 13. Momose A, Yashiro W, Takeda Y, Suzuki Y and Hattori T, Japanese Journal of Applied Physics 45 5254 (2006) 14. Pfeiffer F, Weitkamp T, Bunk O and David C, Nature Physics 2, 258 (2006) 15. Pfeiffer F, Bech M, Bunk O, Kraft P, Eikenberry E F, Bronnimann Ch, Grunzweig C and David C, Nature Materials 7, 134 (2008) 16. Bech M, H Jensen T H, Feidenhans R, Bunk O, David C and Pfeiffer F, Phys. Med. Biol. 54 2747 (2009) 17. Donath T, Pfeiffer F, Bunk O, Grünzweig C, Eckhard H, Popescu S, Peter V and David C, Investigative Radiology 45, 445 (2010) 18. Shimao D, Kunisada T, Sugiyama H, Ando M, European Journal of Radiology 68 S27 (2008) 19. Donath T, Chabior M, Pfeiffer F, J. Appl. Phys. 106 054703 (2009) 20. Weitkamp T, David C, Kottler C, Bunk O and Pfeiffer F, Proc. SPIE vol 6318, Developments in X-Ray Tomography V, 28 (2006) 21. Engelhardt M, Kottler C, Bunk O, David C, Schroer C, Baumann J, Schuster M, Pfeiffer F., Journal of Microscopy 232, 145 (2008) 22. David C, Bruder J, Rohbeck T, Grunzweig C, Kottler C, Diaz A, Bunk O and Pfeiffer F, Microelectronic Engineering 84, 1172 (2007) 23. Reznikova E, Mohr J, Boerner M, Nazmov V, Jakobs P-J, Microsyst. Technol. 14 1683 (2008) 24. Weitkamp T, Proc. SPIE vol 5536 Advances in Computational Methods for X-Ray and Neutron Optics, 181 (2004) 25. Sanchez del Rio M and Dejus R J, Proc. SPIE vol 3448 Crystal and Multilayer Optics, 340 (1998) 26. H.-S. Park, B. R. Maddox, E. Giraldez, et al., Physics of Plasmas 15, 07270 (2008) 27. R. Tommasini, LLNL Report, LLNL-TR-429373, 2010 28. Woodard H Q and White D R, The British Journal of Radiology 59, 1209 (1986) 29. D. Ress, L. B. DaSilva, R. A. London, J. E. Trebes, and R. A. Lerche, Rev. Sci. Instrum. 66, 579 (1995) 30. D. Stutman, M. Finkenthal, N. Moldovan, Applied Optics 49, 4677 (2010) 31. M. Schuster, H. Gael, L. Brugemann, D. Bahr, F. Burgazy, C. Michaelsen, C. M. Stormer, C P. Ricardo, C R. Dietsch, T. Holz and H. Mai, Proc. SPIE vol 3767 EUV, X-Ray, and Neutron Optics and Sources, 183 (1999) 32. Y. Park, S. Han, J. Chae, C. Kim, K. S. Chon, H.-K. Lee and D. S. Han, Proc. SPIE 7258 Medical Imaging 2009: Physics of Medical Imaging, 72583L (2009) 33. R. Lawaczeck, V. Arkadiev, F. Diekmann, and M. Krumrey, Investigative Radiology 40, 33 (2005) 34. K Hussein, C L Vaughan and T S Douglas, Phys. Med. Biol. 54 1533 (2009) 35. K. D. Joensen, P. Hoghoj, F. Christensen, P. Gorenstein, J. Susini, E. Ziegler, A. Freund, J. Wood, Proc. SPIE vol 2011 Multilayer and Grazing Incidence X-Ray/EUV Optics II, 360 (1994) 36. A. Rack, T. Weitkamp, M. Riotte, T. Rack, R. Dietsch, T. Holz, M. Kramer, F. Siewert, M. Meduna, Ch. Morawe, P. Cloetens, E. Ziegler, Proc. SPIE Vol. 7802, Advances in X-Ray/EUV Optics and Components V, 78020M-1 (2010) 37. S. Cornaby and D. H. Bilderback, J. Synchrotron Rad. 15, 371 (2008) 38. A. Iida, T. Matsushita, and Y. Gohshi, Nucl. Instrum. Meth. Phys. Res. A235, 597 (1985) The embodiments illustrated and discussed in this specification are intended only to teach those skilled in the art how to make and use the invention. In describing embodiments of the invention, specific terminology is employed for the sake of clarity. However, the invention is not intended to be limited to the specific terminology so selected. The above-described embodiments of the invention may be modified or varied, without departing from the invention, as appreciated by those skilled in the art in light of the above teachings. It is therefore to be understood that, within the scope of the claims and their equivalents, the invention may be practiced otherwise than as specifically described. |
|
abstract | A method and a system for detecting the presence of special nuclear materials in a suspect container. The system and its method include irradiating the suspect container with a beam of neutrons, so as to induce a thermal fission in a portion of the special nuclear materials, detecting the gamma rays that are emitted from the fission products formed by the thermal fission, to produce a detector signal, comparing the detector signal with a threshold value to form a comparison, and detecting the presence of the special nuclear materials using the comparison. |
|
abstract | In a probe apparatus that intermittently irradiates a sample with excitation light to observe the sample while subjecting a cantilever including a probe arranged to face a surface of the sample to self-excited vibration at a predetermined frequency, the sample is irradiated with the excitation light at a predetermined timing when a distance between the probe and the sample is not greater than a predetermined distance. |
|
description | This application claims benefit of U.S. Provisional Application No. 60/274,211, filed Mar. 9, 2001. The present invention relates to a thermonuclear fusion reactor and an energy conversion apparatus. The basic configuration of a thermo-nuclear fusion reactor, as presently conceived is shown in FIG. 1. The fusion reactor, generally shown at reference numeral 1, comprises a fusion reactor chamber 3 containing fusion fuel, usually a mixture of deuterium and tritium (D-T), surrounded by a liquid blanket 5. Energy for driving the nuclear reactor is provided by an electrical energy source 7, for example, a charged condenser bank via a transmission line 9. In order to generate fusion reactions in the deuterium-tritium fuel, the fuel must be brought to the plasma state at very high temperature of the order of a few keV (i.e. 1 to 10 keV) (1 keV=11,600,000° K.). The energy produced by the fusion reactions is carried out of the plasma 11 in the form of neutrons and alpha particles. Bremsstrahlung produced from the plasma during its burning cycle as well as other losses are also carried out of the plasma 11. The energy is deposited in the liquid blanket and converted to thermal energy which is subsequently converted into electricity and returned to the energy source. In practice, not all of the energy from the energy source is conveyed to the fusion reactor, as some of the energy is lost as heat from the transmission line and not all of the thermal energy generated in the liquid blanket is converted into electricity, i.e. the conversion is not done with 100% efficiency. To achieve a break-even condition, the energy produced by the fusion reaction must equal the energy lost from the reactor system during one complete energy cycle. The energy inventory of the fusion reactor illustrated in FIG. 1 is as follows, where “a” is the percentage of energy delivered from the energy source to the reactor and “b” is the percentage of thermal energy from the liquid blanket converted to electricity. E initial available energy aE portion of the available energy transferred to the plasma chamber (1−a)E portion of the available energy dissipated as heat in the transmission line. This heat is transferred to the surrounding environment aE energy transferred from the plasma chamber to the liquid blanket mainly in the form of bremsstrahlung radiation and heat losses ER energy produced by the fusion reactions. This energy too is transferred to the liquid blanket aE+ER thermal energy available from the liquid blanket for conversion to electricity b(aE+ER) portion of the thermal energy converted to electricity that is returned to the energy source (1−b) (aE+ER) portion of the thermal energy that is not converted to electricity. This energy is deposited as heat in the surrounding environment. For energy breakeven, it is clear that one must have:E=b(aE+ER) (1)from which E R = E ( 1 - a b ) b . ( 2 ) Assuming, for example, the typical values of a=b=30 percent, then:ER=3.03 E (3) This means that the fusion reactions must be able to generate 303 percent of the initial available energy just to have breakeven in this case of a=b=30 percent. For continuous energy production, this energy has to be produced during each cycle of plasma lifetime. This is a large amount of fusion energy, and the challenge that the fusion research community has faced for the past 50 years lies with the difficulty of generating this amount of energy in one cycle of plasma burning. In order to determine to what extent the fusion community has been able so far to satisfy Eq. (3) one needs to know how many fusion reactions one must have for breakeven during each cycle of plasma lifetime. The neutrons and the α particles are the carriers of the energy from the reactions of deuterium-tritium out of the system. The energy eR released by these particles during one reaction is: e R = 14.1 MeV ( neutron ) + 3.5 MeV ( α particle ) = 17.6 MeV = 1.76 × 10 7 eV = 2.82 × 10 - 12 J , ( 4 ) because 1 eV=1.6022×10−19 J. Eq. (3) can be written in this way:N eR=3.03E, (3a)where N is the total number of fusion reactions occurring during one plasma cycle. For a given amount of energy E invested in the fusion system one must have, for breakeven, the following number of reactions when a=b=30 percent: N = 3.03 e R E = 3.03 2.82 × 10 - 12 E = 1.07 × 10 12 E reactions . ( 5 ) This means that one must be able to produce about 1012 reactions in a mixture of deuterium and tritium for each joule of energy expended in the fusion system, or to generate an equal number of neutrons, because each reaction releases one neutron. This is a large number of neutrons. The following analysis of the neutron yield of one of the best neutron producing fusion machines presently available, namely the plasma focus, shows that we are far away from this number. The plasma focus has been extensively studied for the past 40 years and one such study is disclosed in J. S. Brzosko, J. H. Degnan, N. V. Filippov, B. L. Freeman, G. F. Kiutlu, and J. W. Mather “Comments on the Feasibility of Achieving Scientific Breakeven with a Plasma Focus Machine” in Current Trends in International Fusion Research—Proceedings of the 1st Symposium (ed. E. Panarella, Plenum Press, New York, 1997) p. 11. It is known that this machine produces the largest number of neutrons per joule of energy invested than any other fusion machine. However, this number is still not enough to satisfy the energy breakeven conditions given by Eq. (5) above. The experimental neutron yield from a plasma made up of deuterium as a function of the energy input from various plasma focus machines is shown in FIG. 2. As described in A. Shyam, and M. Srinivasam, Neutron Emission from a 100 Joule Plasma. Appl. Physics 17, 425 (1978) the neutron output scales as:N=1.10×102E1.73 (E in J). (6)where E is in Joules. If the reactions occur, not in deuterium, but in a 50% mixture of deuterium-tritium at a temperature that is typically assumed to be 2 keV, the cross section for fusion increases by a factor of 48.15, as described in [NRL Plasma Formulary (revised 1978), p. 37.] and the neutron output increases to:N=5.30×103E1.73 (E in J). (6′) In Table 1, shown in FIG. 3, the neutrons Nprod produced by a plasma focus is reported in column 2 as a function of the input energy E (column 1), in a range of energies where experiments have been carried out, as shown graphically in FIG. 2. In column 3 of Table 1, the respective total output energies Eprod generated by the reactions are reported. The number of neutrons required for breakeven (Nbr) is reported in column 4 according to formula (5), and the respective energies of the reactions (Ebr) are reported in column 5. The last column 6 gives the ratio of the actual neutrons produced Nprod and the neutrons required for breakeven Nbr, or the ratio of the corresponding energies Eprod and Ebr. Column 6 clearly points out the seriousness of the fusion problem. We are in fact from 4 to 7 orders of magnitude away from reaching breakeven conditions. From the data in this Table, if one considers scaling up the plasma focus in order to bring it up to the energy required for breakeven, one can see that 10,000 condenser banks of 1 megajoule each should be used. This is because, with 1 MJ of input energy (=106 J, last row in the Table), we are about 10,000 times short of reaching breakeven. Since one megajoule condenser bank normally occupies a good size room, it is clear that the size of such apparatus would be prohibitively large and costly. The many other machines that have been employed in the past 50 years in an attempt to solve the fusion problem (tokamak, stellarator, z-pinch, spherical pinch, magnetized target fusion, laser, ion or electron beam, spheromak, etc.) have all failed to come any closer to the solution of this problem. This does not mean that they cannot solve it. It simply means that they are presently quite away from the solution. As a consequence, the direction that fusion research has recently taken is towards self-ignition. This requires that the α particles be retained within the plasma itself so that the heat generated by them is of such an extent to compensate for bremsstrahlung and other losses. Once ignition is achieved at one point of the plasma, it will then propagate and burn the rest of it. Machines that will work on such principle are being designed (Ignitor [Francesco Pegoraro. “Ignition Physics and the Ignitor Project” in Current Trends in International Fusion Research—Proceedings of the 1st Symposium (ed. E. Panarella, Plenum Press, New York, 1997) p. 125], ITER [Andrew M. Sessler, Thomas H. Stix, and Marshall N. Rosenbluth, “Build the International Thermonuclear Experimental Reactor?”, Physics Today, June 1996, p. 21, and references therein]), and built (National Ignition Facility—NIF) [Charles D. Orth, “Prospects for Inertial Fusion Energy Based on a Diode-Pumped Solid-State Laser (DPSSL) Driver: Overview and Development Path” in Current Trends in International Fusion Research—Proceedings of the 2nd Symposium (ed. E. Panarella, NRC Research Press, Ottawa, 1999) p. 241]). However, it has not been convincingly proven, at least at the conceptual stage, that they will work, and, in even assuming that they will, the practical solution of the fusion problem offered by these machines with a working reactor is likely to be at least 10 years away. The foregoing considerations lead to the conclusion that the fusion problem has not been solved because the number of fusion reactions that are actually obtained from existing machines is lower by several orders of magnitude than what is required for breakeven. According to one aspect of the present invention, there is provided a nuclear fusion apparatus comprising: a nuclear fusion device for producing nuclear fusion reactions, a source of energy and energy conveying means for conveying energy from the source to the fusion device; and a chamber containing a body of fluid, wherein the chamber is arranged such that the fluid receives heat from the energy conveying means. Advantageously, this arrangement allows energy which is lost in the form of heat between the energy source and the fusion device to be recovered through its absorption into a confined fluid. This arrangement is particularly advantageous where the energy source is an electrical energy source and the energy conveying means comprises an electrical conductor. With such an arrangement, the objective of obtaining a breakeven point can be considerably advanced. In one embodiment, the chamber at least partially or completely encloses the energy conveying means. Advantageously, the chamber may also enclose the fusion device. In one embodiment, the apparatus further comprises an enclosure containing a body of fluid, wherein the enclosure is arranged such that the fluid receives heat from the energy source. This is particularly advantageous, for example where the energy source comprises an electrical energy store such as a storage capacitor or bank of storage capacitors whose electrodes dissipate heat when the capacitor is discharged to drive the fusion device. The enclosure may comprise a chamber which also encloses at least one of the fusion device and the energy conveying means. In one embodiment, the apparatus further comprises energy conversion means for converting the heat energy absorbed by the fluid into energy having a form for driving the fusion device. In one advantageous embodiment, the apparatus further comprises a container containing a body of fluid, the container being arranged such that heat generated by the energy conversion means is absorbed into the fluid contained therein. This arrangement allows heat which would otherwise by dissipated in the conversion of heat into for example work and/or electrical energy to be retained within the apparatus for use for example by the energy conversion means. This configuration can also considerably advance the objective of attaining a breakeven point. In one embodiment, a portion of the energy conversion means that generates heat during energy conversion is enclosed in the chamber which encloses the energy conveying means and/or the fusion device and/or the energy source. In a preferred embodiment, the body of fluid is arranged to absorb the majority of energy of nuclear particles produced by fusion reactions in the fusion device. In one embodiment, a further chamber is provided which is enclosed within the first mentioned chamber above, wherein the further chamber encloses the energy source, the energy conveying means and the fusion device. The further chamber may contain pressurized gas for preventing electrical discharge between parts of the energy source, the energy conveying means and the fusion device subjected to large electric fields. According to another aspect of the present invention there is provided an apparatus comprising a body for receiving fluid, a device in said body arranged such that the energy of said fluid can be converted into kinetic energy of the device, and super insulator means arranged to resist the flow of heat from said fluid away from said body. Advantageously, this arrangement provides an energy conversion apparatus which can convert the heat energy stored in a fluid into mechanical work whereby heat which would otherwise be lost from the apparatus is retained within the apparatus providing the opportunity of using heat from the fluid which may not be used in energy conversion in the first pass through the apparatus to be reused in another pass through the apparatus or for some other purpose. The principles of this arrangement may be applied to any power generating plant, including but not limited to one whose energy source is a fusion device. Advantageously, this arrangement can considerably advance the objective of achieving breakeven point when applied to a fusion reactor. Features of embodiments of the apparatus are defined in the claims. According to another aspect of the present invention, there is provided an apparatus for driving an energy conversion apparatus comprising a heat source, a fluid at least partially surrounding heat source and super insulator means arranged to resist the flow of heat away from said fluid. Advantageously, this arrangement can further advance the objective of achieving a breakeven point in a nuclear fusion apparatus. According to another aspect of the present invention, there is provided a nuclear fusion system comprising: a nuclear fusion device, the nuclear fusion device being configured to provide heat energy; at least one capacitor, the at least one capacitor configured to store electrical energy which is usable by the nuclear fusion device in providing the heat energy; an electrically conductive member, the electrically conductive member being configured to carry electrical energy from the at least one capacitor to the nuclear fusion device; a first chamber having a first chamber wall, each of the nuclear fusion device, the at least one capacitor, and the electrically conductive member being located within the first chamber and enclosed by the first chamber wall; a second chamber defined by a second chamber wall, the first chamber being located within the second chamber, the second chamber containing a body of fluid therein, the fluid being located between the first chamber wall and the second chamber wall, the fluid surrounding the first chamber wall, the nuclear fusion device, the at least one capacitor, and the electrically conductive member, and the fluid being arranged to receive heat energy from each of the nuclear fusion device, the at least one capacitor, and the electrically conductive member, which would result in the fluid being a heated fluid; a thermal energy converter, part of the converter being located within the first chamber, part of the converter being located within the second chamber, the converter being configured to receive heat energy from the heated fluid, the converter including a fluid inlet port located in the second chamber, the fluid inlet port being arranged to receive heated fluid, the converter comprising an electrical power generator, the generator being configured to convert at least some of the heat energy received by the converter into electrical energy, and the generator being coupled within the system to the at least one capacitor, which allows the generator to provide at least some of the electrical energy to the at least one capacitor; and thermal super insulating material, the insulating material comprising layers of reflective material, each adjacent layer separated by at least one spacer, and wherein the insulating material encloses and surrounds the second chamber, to provide insulation between the heated fluid and the cooler ambient, to reduce heat loss from the heated fluid to the cooler ambient. According to another aspect of the present invention, there is provided a nuclear fusion system comprising: a nuclear fusion device, the nuclear fusion device being configured to provide heat energy; at least one capacitor, the at least one capacitor configured to store electrical energy which is usable by the nuclear fusion device in providing the heat energy; an electrically conductive member, the electrically conductive member being configured to carry electrical energy from the at least one capacitor to the nuclear fusion device; a first chamber having a first chamber wall, each of the nuclear fusion device, the at least one capacitor, and the electrically conductive member being located within the first chamber and enclosed by the first chamber wall; a second chamber defined by a second chamber wall, the first chamber being located within the second chamber, the second chamber containing a body of fluid therein, the fluid being located between the first chamber wall and the second chamber wall, the fluid surrounding the first chamber wall, the nuclear fusion device, the at least one capacitor, and the electrically conductive member, and the fluid being arranged to receive heat energy from each of the nuclear fusion device, the at least one capacitor, and the electrically conductive member, which would result in the fluid being a heated fluid; a thermal energy converter, the converter being configured to receive heat energy from the heated fluid, the converter including a fluid inlet port in communication with the second chamber, the fluid inlet port being arranged to receive heated fluid, the converter comprising an electrical power generator, the generator being configured to convert at least some of the heat energy received by the converter into electrical energy, and the generator being coupled within the system to the at least one capacitor, which allows the generator to provide at least some of the electrical energy to the at least one capacitor; and thermal super insulating material, the insulating material comprising layers of reflective material, each adjacent layer separated by at least one spacer, and wherein the insulating material encloses and surrounds the second chamber, to provide insulation between the heated fluid and the cooler ambient, to reduce heat loss from the heated fluid to the cooler ambient, and the insulating material encloses and surrounds at least part of the thermal energy converter to provide insulation between the at least part of the thermal energy converter and the cooler ambient, to reduce heat loss from the heated fluid to the cooler ambient. According to another aspect of the present invention, there is provided a nuclear fusion system comprising: a nuclear fusion device, the nuclear fusion device being configured to provide heat energy; at least one capacitor, the at least one capacitor configured to store electrical energy which is usable by the nuclear fusion device in providing the heat energy; an electrically conductive member, the electrically conductive member being configured to carry electrical energy from the at least one capacitor to the nuclear fusion device; a first chamber having a first chamber wall, each of the nuclear fusion device, the at least one capacitor, and the electrically conductive member being located within the first chamber and enclosed by the first chamber wall; a second chamber defined by a second chamber wall, the first chamber being located within the second chamber, the second chamber containing a body of fluid therein, the fluid being located between the first chamber wall and the second chamber wall, the fluid surrounding the first chamber wall, the nuclear fusion device, the at least one capacitor, and the electrically conductive member, and the fluid being arranged to receive heat energy from each of the nuclear fusion device, the at least one capacitor, and the electrically conductive member, which would result in the fluid being a heated fluid; a thermal energy converter, the converter being configured to receive heat energy from the heated fluid, the converter including a fluid inlet port in communication with the second chamber, the fluid inlet port being arranged to receive heated fluid, the converter comprising an electrical power generator, the generator being configured to convert at least some of the heat energy received by the converter into electrical energy; and thermal super insulating material, the insulating material comprising layers of reflective material, each adjacent layer separated by at least one spacer, and wherein the insulating material encloses and surrounds the second chamber, to provide insulation between the heated fluid and the cooler ambient, to reduce heat loss from the heated fluid to the cooler ambient, and the insulating material encloses and surrounds at least part of the thermal energy converter to provide insulation between the at least part of the thermal energy converter and the cooler ambient, to reduce heat loss from the heated fluid to the cooler ambient. Features of embodiments of this aspect of the present invention are defined in the claims. Aspects of the present invention are concerned with improvements of fusion reactor design and the advancement of fusion reactor design to achieve breakeven. Before describing these improvements, a discussion will first be given to explain what is required in the way of improvement in reactor design to achieve the breakeven point using a fusion reactor having the current levels of neutron yield. The number of reactions in a fusion reactor is a function of the parameters a (energy transfer efficiency from the source of energy to the plasma), and b (conversion efficiency of thermal to electrical energy), as Eq. (2) shows. To examine the effect of these two parameters on breakeven, Eq. (2) is rewritten in the following way: N · e R = E ( 1 - ab ) b , ( 2 ′ ) where eR is given by (4) and N has the same definition as before. Eq. (2′) then becomes: N E = n = 3.55 × 10 11 ( 1 b - a ) . ( 2 ″ ) This equation shows that the number of reactions n (or neutrons) required for breakeven per unit of energy expended in creating a burning plasma is a function of the two parameters a and b, and that n decreases when a and/or b increase and approach 1, the largest possible value that they can have. For instance, when a=b=80 percent, the number n of reactions required for breakeven is reduced by about an order of magnitude to 1.60×1011 relative to when a=b=30%. It is towards ways to increase a and b in a feasible reactor that aspects of the present invention are concerned. Ideally, one would like to have a=b=1. Practically, it is important to know how close to a=b=1 one must be in order to have breakeven with present fusion machines. Taking from Table 1 the number N of fusion reactions already achieved with the plasma focus and their respective energies E, one can find analytically, with the help of two equations like Eq. (2″), the values of parameters a and b that are required for breakeven under these conditions. The results of such an analysis are depicted in FIG. 4 which shows two plots of n as a function of b, as derived from Eq. (2″), for a=0.9990 (top graph) and a=1.0000 (lower graph). From these graphs, and the knowledge of the range of number of neutrons presently generated in the plasma focus per unit of energy used, as reported in Table 1, it appears clearly that breakeven can be obtained only if one is able to have a=1.00000 and b between 0.99970 and 1.00000. For instance, with a 104 J plasma focus that generates 4.41×1010 neutrons, or 4.41×106 neutrons per 1 J of input energy, the parameter a has to be equal to 1, and b only slightly smaller than 1. Attaining these values presents a larger challenge to fusion design. The farther away one is from breakeven with the neutrons obtained from the present plasma focus (or any other present machine), the closer to 1 the parameter b must be. By contrast, the more neutrons one will be able to generate in future machines, the less stringent will be the requirement that a and b be close to one. The consequence of having a parameter a different from 1 is that part of the initial available energy E from the source, rather than being used to create and heat the plasma, is used to produce heat in the transmission line from the condenser bank to the plasma chamber and within the source of energy itself. This is wasted heat that cannot be converted to electricity, because it is transferred to the environment surrounding the apparatus (see FIG. 1). An examination of FIG. 1 shows, however, that one can decrease such losses by making the transmission line as short as possible. This is a step in the right direction, but not sufficient, since a value of a equal to 1 is required. Embodiments of a reactor design which are capable of increasing the energy transfer efficiency from the energy source to the fusion reactor plasma (i.e. the value of the parameter a) will now be described below. Referring to FIG. 5, a nuclear fusion apparatus generally shown at 101 comprises a nuclear fusion device 103, a source of electrical energy 105 and an electrical transmission line 107 for supplying electrical energy from the source 105 to the nuclear fusion device 103. The apparatus further includes a chamber 109 having a chamber wall 111 which encloses the fusion device 103, the energy source 105 and the transmission line 107. The apparatus further includes an inner chamber 113 which also encloses the fusion device 103, the energy source 105 and the transmission line 107 and is enclosed within the outer chamber 109. The fusion device 103 includes a plasma chamber 117 defined by a chamber wall 119. In one embodiment, the energy source 105 may comprise a storage capacitor for storing electrical energy for driving the fusion device 103. The fusion device comprises any suitable device for generating fusion reactions and in one embodiment comprises a plasma focus fusion device. The transmission line 107 may comprise any suitable electrical conductor. The outer chamber 109 serves to contain a fluid for absorbing heat produced by the fusion device 103 and from the energy source 105 and transmission line 107. The fluid may comprises a working fluid which can be used by an energy conversion apparatus for converting the heat absorbed thereby into mechanical and/or electrical energy and may comprise a liquid such as water. The inner chamber 113 defined by the inner chamber wall 115 serves to separate the fluid contained in the outer chamber 109 from the fusion generator apparatus comprising the fusion device 103, the energy source 105 and the transmission line 107. The inner chamber 113, when in use, is filled with a fluid which enables heat to be conducted into the fluid contained in the outer chamber 109. Advantageously, the fluid contained in the inner chamber 113 may be pressurized to improve thermal conduction and to provide electrical insulation between parts of the fusion generator apparatus between which high electric fields are established. For example, the fluid in the inner chamber may comprise air, or helium, nitrogen or another gas, preferably an inert gas. In another embodiment, the inner chamber 113 may be omitted altogether or the inner chamber may enclose one or any combination of the fusion device 103, the transmission line 107 and the energy source 105. An important feature of this embodiment of the invention is the provision of a fluid which is arranged to absorb heat from the transmission line 107 and from the energy source 105. This is a significant departure from the presently conceived arrangement shown in FIG. 1 in which a fluid, e.g. a liquid blanket surrounds only the fusion device for absorbing energy from the plasma. In contrast, in the arrangement of the present embodiment, any heat generated by the transmission line or by the energy source itself is transferred to the heat absorbent fluid in the outer chamber 109, together with bremsstrahlung and heat losses from the plasma. As this arrangement allows the entire fusion generator apparatus to be surrounded by energy absorbing fluid as far as practically possible, the arrangement provides the opportunity for all of the heat from the energy source and the transmission line to be recovered. Therefore, this arrangement allows the heat losses from the transmission line to be directed into the heat absorbing fluid in the outer chamber so that these losses are eliminated as they can now fully be used for the conversion into electricity. Therefore, the arrangement allows the value of the parameter a=1 to be approached much more closely than hitherto, if not realized. In order for such restructuring of the reactor to be possible, the components of the fusion apparatus should be able to withstand the high temperature environment of the fluid in the outer chamber (e.g. liquid blanket) which is typically of the order of 400° C. The energy inventory of the restructured fusion reactor is now the following: E initial available energy; aE portion of the available energy transferred to the plasma chamber; (1−a)E portion of the available energy transferred as heat to the liquid blanket; aE energy transferred from the plasma chamber to the liquid blanket mainly in the form of bremsstrahlung radiation and heat losses; ER energy produced by the fusion reactions. This energy too is transferred to the liquid blanket; aE+(1−a)E+ER=E+ER thermal energy available from the liquid blanket for conversion to electricity; b(E+ER) portion of the thermal energy converted to electricity that is returned to the energy source; (1−b) (E+ER) portion of the thermal energy not converted to electricity that is deposited as heat in the surrounding environment through heat losses from the liquid blanket. For energy breakeven, one must have:E=b(E+ER)from which E R = E ( 1 - b ) b . ( 2 ) Assuming again the typical value of b=30 percent, one has:ER=2.33E. (3) This is a more favorable situation than before. For a further advance towards achieving breakeven, the parameter b must increase to a value of between 0.99970 and 1. To achieve breakeven, the parameter b has to be 0.99970 or higher. This means that at least 99.97 percent of the thermal energy of the liquid blanket must be converted into electricity, depending on energy input. In another aspect of the present invention, the inventor has appreciated that this can be achieved if virtually all of the thermal energy of the heat absorbing fluid in the outer chamber is retained within the fluid itself, and not transferred to the surrounding environment. In other words, the losses from the fluid must be greatly reduced. Moreover, the conversion of thermal energy into electricity has to be done with the highest possible efficiency. In the following, these objectives will be shown to be attainable through a further restructuring of the reactor configuration. One of the possible conceptual embodiments of a restructured reactor according to a second aspect of the invention is shown in FIG. 6. Referring to FIG. 6, a nuclear fusion apparatus generally shown at 101 includes a fusion device 103, an energy source 105 and a transmission line 107 for delivering energy from the energy source 105 to the fusion device 103, the fusion device, the energy source and transmission line all being housed within an inner chamber 113, which in turn is enclosed within an outer chamber 109. Thus, this arrangement is similar to that shown in FIG. 5 and like parts are indicated by the same reference numerals. In this embodiment, the wall 111 enclosing the outer chamber 109 comprises a material that greatly reduces thermal losses from the heat absorbing fluid contained within the outer chamber 109 which may comprise for example saturated steam at 400° C. In a preferred embodiment, the material comprises a super insulator (or super insulating material), examples of which are presently used in cryogenic systems and discussed in J. P. Holman. Heat Transfer (McGraw-Rill, New York 1981), p. 10. Referring to FIG. 6A, in one embodiment, the wall 111 of the outer chamber may comprise a plurality of layers 151 of highly reflective material, each separated by one or more insulating spacers 153. The super insulating material may be evacuated to minimize air conduction thereby providing very low thermal conductivity. The use of a super insulator is capable of bringing thermal losses down to acceptable levels as will be discussed below. Referring again to FIG. 6, the nuclear fusion apparatus further comprises another chamber 121 external of and surrounding the outer chamber 109, defined by a chamber wall 123. Referring again to FIG. 6, the fusion apparatus further comprises a power generating apparatus 125 which is mostly enclosed within the outer chamber 109. Part of the power generating apparatus 125 is also enclosed within the inner chamber 113 and part of the power generating apparatus 125 is disposed outside the outer chamber in the external chamber 121. Thus, the power generating apparatus 125 is arranged such that parts which it is deemed appropriate or desirable to house within the inner chamber 113 are housed within the inner chamber 113, those parts which it is deemed appropriate or desirable to be placed outside the inner chamber but within the outer chamber 109 are placed within the outer chamber 109 and those parts which it is deemed appropriate or desirable to position within the external chamber 121 are placed within the external chamber 121. For example, one embodiment of the power generating apparatus 125 may comprise electricity generating apparatus 127 and the electricity generating apparatus may be conveniently disposed within the inner chamber 113 which may contain a non-conductive fluid in its ordinary state, such as air or helium. The electricity generating apparatus may also be conveniently disposed within the inner chamber 113 to minimize the distance between the electricity generating apparatus 127 and the source of electrical energy, for example, the electrical energy storage device 105, thereby minimizing the length of transmission line, e.g. electrical conductor, required to feed electrical energy from the generator 127 to the storage device 105. The power generating device may further comprise means 129 for converting the heat energy absorbed into the fluid contained in the outer chamber 109 into mechanical energy to drive the electricity generator 127, and may comprise for example a turbine or reciprocating mechanical device. The energy conversion apparatus 129 may be conveniently placed, at least in part, within the outer chamber 109. For example, in one embodiment, the energy conversion device includes an inlet port 131 for receiving fluid contained in the outer chamber 109 and therefore, the inlet 131 may be conveniently situated in the outer chamber 109. During the conversion of heat energy into mechanical energy (e.g. kinetic energy) the fluid normally undergoes cooling and therefore, it may be convenient to situate those parts of the energy conversion device 129 which are subjected to the cooler temperatures of the fluid as the heat energy is transformed into mechanical energy, in the external chamber 121. In one embodiment, the energy conversion apparatus may comprise a steam turbine arranged to convert steam contained in the outer chamber 109 into mechanical energy. The external chamber may contain water at cooler temperatures for example at ambient temperatures or just above, e.g. at 20° C. In this embodiment, the portions of the turbine which is subjected to most heat are located within the outer chamber 109, i.e. in the saturated steam environment and those parts of the turbine which are subjected to cooler temperatures are located in the external chamber 121 which serves as a condenser. The turbine receives the high pressure saturated steam from its input port 131 and delivers the low pressure steam to the low pressure port 133 thus allowing the steam energy to be converted into work of rotation of the turbine which is used to drive the electrical generating apparatus 127 for the generation of electrical power which is then returned to the energy source 105. The fusion apparatus further comprises a valve 135 which allows condensed fluid in the external chamber 121 to be returned to the outer chamber 109 to complete the cycle. Preferably, the valve comprises a unidirectional valve connecting the external chamber 121 to the outer chamber 109. Advantageously, this arrangement allows the working fluid to be continuously recycled and residual energy remaining in the fluid from the outlet of the energy conversion device to be returned to the outer chamber 109. To illustrate the advance which the restructured fusion reactor apparatus described above offers in achieving the breakeven point, the energy inventory of the fusion reactor may be recalculated, by way of example assuming that the total thermal loss from the restructured system is between 0 and 0.3%, for example 0.05%. In this case, the energy inventory of the fusion reactor is as follows: E initial available energy; aE portion of the available energy transferred to the plasma chamber; (1−a)E portion of the available energy transferred as heat to the liquid blanket; aE energy transferred from the plasma chamber to the liquid blanket mainly in the form of bremsstrahlung radiation and heat losses; ER energy produced by the fusion reactions. This energy too is transferred to the liquid blanket; aE+(1−a)E+ER=E+ER thermal energy available from the liquid blanket for conversion to electricity; b(E+ER)=0.99995(E+ER) portion of the thermal energy converted to electricity that is returned to the energy source; (1−b) (E+ER)=0.00005(E+ER) portion of the thermal energy that is not converted to electricity and that is deposited as heat in the surrounding environment. For energy breakeven, one must have:E=0.99995(E+ER)from which E R = E ( 1 - 0.99995 ) b = 0.00005 E 0.99995 = 5 × 10 - 5 E E R E = E prod E br = 5 × 10 - 5 . ( 2 ) Table 1 shows that breakeven can be obtained in this case if the plasma focus is operated with an input energy of ˜105 J=100 kJ. Further details of an example of a specific reactor design will now be described for a relatively small reactor that can be accommodated in a standard plasma physics laboratory having particular regard to the following components of the reactor: 1. A fusion apparatus, comprising: A source of energy; A fusion device and plasma chamber wall; An energy delivery system; An inner chamber wall. 2. An outer (liquid blanket) chamber for containing heat absorbing fluid. 3. Electric power generating apparatus. In one embodiment, the apparatus should be able to work in a 400° C. gaseous environment, i.e., at the same temperature of the liquid blanket and superheated steam surrounding it. In this example, the source of energy comprises a capacitor designed so as to be able to work in a 400° C. gaseous environment, although, in other embodiments, the source of energy may be any other suitable means. The capacitor comprises first and second metallic plates separated by a suitable insulator. The metallic plates preferably have good electrical conductivity. Aluminum is one of the best metals that can be used for this purpose. No problem will arise in connection with the high temperature environment because the melting point of aluminum is well above 400° C. It is in fact 660° C. The insulator preferably comprises a material having high dielectric strength and at the same time be capable of withstanding high temperatures without deterioration. A material that suits these characteristics is mica, although any other suitable material may be used. From the manufacturer's specifications, mica has the following properties [Spruce Pine Mica Company, Spruce Pine, N.C., U.S.A.-Internet address: http://spruce-pine-mica.com/properti.htm. Maximum temperature with no decomposition: 400-500° C.; Dielectric constant 6.5-9; Dielectric strength (highest qualities 1-3 mils thick) 6,000-3,000 V/mil. In the present embodiment, the apparatus is of small size. For this reason, a small capacitor will be considered, capable of storing 100 joules of energy, which is the minimum energy required for producing a measurable number of fusion reactions from the smallest plasma focus (see Table 1). The formula used to calculate the area A of a capacitor storing energy E is: A = d 2 E ɛ o ɛ r V 2 . ( 7 ) where V is the capacitor charging voltage, εo=8.854×10−12 F/m is the vacuum permittivity, εr is the relative permittivity (or dielectric constant) of the insulating material e.g. mica, and d is the thickness of the insulating material equal to the plate separation. Eq. (7) has been derived from the formula relating energy E with capacitance C and voltage V: E = 1 2 C V 2 ( 8 ) and the formula relating capacitance C, area A, and thickness d: C = ɛ o ɛ r A d . ( 9 ) For a given energy E stored in the capacitor (=100 J), the higher the voltage V, the smaller the capacitance C and thus the area A. Since in this embodiment, the capacitor is to be a physically small capacitor, the working voltage needs to be reasonably high, e.g. V=100 kV. Hence: C = 2 E V 2 = 2 × 100 ( 10 5 ) 2 = 2 × 10 - 8 F . ( 10 ) The separation between the capacitor plates is given by: d = Voltage Dielectric Strength = 10 5 Volts 3000 Volts/mil = 10 5 Volts 1.18 × 10 8 Volts/m = 8.47 × 10 - 4 m . ( 11 ) where 1 mil=0.001 in=2.54×10−5 m. In the above formula a conservative value of 3000 Volts/mil for the dielectric strength of mica has been used. In all the design calculations of this embodiment, conservative parameters are used. The area A of a capacitor capable of storing energy E=100 joules can now be obtained from Eq. (7): A = d 2 E ɛ o ɛ r V 2 = 8.47 × 10 - 4 2 × 100 8.854 × 10 - 12 × 6.5 × ( 10 5 ) 2 = 2.94 × 10 - 1 m 2 . ( 12 ) The capacitor may have a rectangular geometry for practical reasons, i.e., for ease of accommodation in the fusion apparatus, although in other embodiments, the capacitor may have any other suitable shape. If we choose as its small side a=40 cm=0.40 m, the long side b of the rectangle is given by: b = 2.94 × 10 - 1 0.40 = 0.74 m = 74 cm ( 13 ) This is a capacitor of acceptable size. An example of a preferred configuration of a capacitor is shown in FIGS. 7, 9A and 9B. FIG. 7 shows a cross section of the capacitor cut along the major side. Referring to FIGS. 7, 9A and 9B, a capacitor 201 comprises a first conductive plate 203, a second conductive plate 205 and a dielectric insulator 207 between the first and second plates 203, 205. The ends of the capacitor 209, 211 extend upwardly and in this example extend upwardly above the base 213 of the capacitor by about 40 cm so that, in this example, the capacitor has a depth of 40 cm. One end 215 of the first plate 203 also extends upwardly for electrical connection to a fusion device. The inductance L of this capacitor is given by: [The inductance formula for our capacitor geometry is provided on the web at: http://emclab.umr.edu/new-induct/trace-v.html.] L ≈ μ 0 μ r h w l ( 14 ) where l is the length of the capacitor expressed in meters (l=0.7 m), μo−4π×10−7 henry/meter is the permeability of free space, μr=1 is the relative permeability of the medium (mica), and w is the width of the two plates expressed in centimeters (=40 cm). The parameter h is equal to the thickness of the mica plus the thickness of one plate (expressed in centimeters). In this embodiment, the thickness of each plate is 0.8 cm whereas the thickness of the mica is 8.47×10−2 cm. Hence:L=19.45 nH. (15) If the thickness of each plate is reduced to 0.4 cm, the inductance decreases to 10.65 nH. These inductances are sufficiently low for a Plasma Focus to be able to sustain the currents necessary for efficient neutron production. In fact, we know that neutron production in a Plasma Focus is related to current through the following relation: [A. Shyam, and M. Srinivasam, Neutron Emission from a 100 Joule Plasma. Appl. Physics 17, 425 (1978).]N=6×10−3·I4.29, (16)where the current I is in kiloamperes and neutron production occurs in a deuterium-deuterium mixture. The current I is therefore: I = ( N 6 × 10 - 3 ) 1 4.29 = 63.17 kA , ( 17 ) after inserting N=3.18×105 for deuterium. This number has been derived from the knowledge that N=1.53×107 cm−3 in deuterium-tritium (see Table 1, 1st row for a 100 joules condenser), and dividing by 48.15 for deuterium. In order to have a current of 63.17 kA in the condenser charged to 100 kV, the circuit should have an inductance no higher than: L = ( V I ) 2 C = ( 1 × 10 5 6.317 × 10 4 ) 2 · 2 × 10 - 8 = 5.01 × 10 - 8 H . ( 17 ) This is an inductance well above that of the embodiment of the capacitor described above (Eq. 15). In the present embodiment, the fusion device comprises a plasma focus fusion reactor although in other embodiments any other suitable fusion reactor may be used. An embodiment of a plasma focus fusion reactor is shown in FIG. 8. Referring to FIG. 8, the plasma focus fusion reactor which is generally shown at 301 comprises a plasma chamber 303 defined by a plasma chamber wall 305 and first and second plasma forming electrodes 307, 309 extending into the chamber 303. In this embodiment, the first electrode 307 comprises a rod disposed within and spaced from the second electrode 309 which is cylindrical. The upper end 311 of the inner electrode 307 is shaped so as to produce the desired electric field profile and intensity required to generate fusion reactions as is well known to those skilled in the art. A neutron source resulting from fusion reactions is shown at 313. The fusion device further includes an inner seal comprising Kovar attached to the inner electrode via for example a stainless steel flathead screw. An outer seal 317 is provided which may also be made from Kovar. An insulator 319 surrounds the inner electrode 307 and preferably comprises a ceramic material and preferably alumina (Al203) since it can be formed and machined to the desired shape and, after firing, can be braised to the inner and outer kovar seals 315, 317. The outer electrode 309 is attached to the base flange 321 of the vacuum chamber 303 for example via a small mounting collar 323. The fusion device may be constructed from high vacuum components using metal-to-metal and ceramic-to-metal seals. The plasma chamber wall 305 preferably comprises a material that offers no or little resistance to the fusion neutrons passing through it and may comprise for example conventional glass, e.g. Pyrex. The first and second electrodes 307, 309 may be made from oxygen-free copper and the vacuum chamber flanges and walls may comprise stainless steel. An example of a suitable fusion device is a dense plasma focus that can be fired repetitively such as the one described in R. A. Hill and J. W. Hubbs. A Multi-Shot Dense Plasma Focus with Improved Cathode Design. Phys. Lett. 98A, 417 (1983). An example of a practical size of a plasma focus fusion device, which will be driven by the capacitor described above and shown in FIG. 7, is calculated below on the basis that the fusion chamber contains enough deuterium-tritium mixture to deliver energy, when burned, for, for example a total of 10 days of operation at 10 watts output power. The amount of deuterium-tritium that will be burned is given by: 10 days = 864 , 000 sec . 10 watts × 864 , 000 sec = 8 , 640 , 000 Joules 8 , 640 , 000 Joules 2.82 × 10 - 12 Joules per reaction (see Eq. 4) = 3.06 × 10 18 reactions . ( 18 ) Since each reaction involves two atoms, we need to fuse 2×3.06×1018 atoms=6.13×1018 atoms. The dense plasma focus will operate at 3 Torr pressure. [R. A. Hill and J. W. Hubbs. A Multi-Shot Dense Plasma Focus with Improved Cathode Design. Phys. Lett. 98A, 417 (1983).] At this pressure, since 1 Torr corresponds to 3.56×1016 particles/cm3, the particle number density of the mixture will be 3×3.56×1016 particles/cm3=1.07×1017 particles/cm3. Therefore, the volume of the gas mixture (fuel) will be: 6.13 × 10 18 atoms 1.07 × 10 17 atoms / cm 3 = 57.27 cm 3 . ( 19 ) Assuming that we burn 1% of the available fuel, the reservoir of the deuterium-tritium mixture should have a volume equal to 5727 cm3, i.e., over 5 liters. This is an acceptable volume. As described in Ref. 11, the entire plasma focus assembly is given a vacuum bake at 300° C. for a pre-fill vacuum of ≈5×10−9 Torr. Then it is filled with a 50% mixture of deuterium-tritium at 3 Torr pressure. The mixture reservoir, as shown from the scale of the drawing, has volume slightly over 5 liters. FIG. 9A shows a cross-sectional view of a fusion reactor assembly 102 according to an embodiment of the invention including the capacitor described above and shown in FIG. 7, the plasma focus fusion device 301 described above and shown in FIG. 8 operatively coupled to the capacitor 201, all enclosed within an inner chamber 113 by an inner chamber wall 115. FIG. 9A also shows an embodiment of a suitable switch 325 through which energy stored in the capacitor can be delivered to the plasma focus device. The positive plate 203 of the capacitor 201 is connected to the anode electrode 307 of the plasma focus device. The ground plate 205 of the capacitor 201 is connected to the cathode electrode 309 of the plasma focus device through a triggered switch 325 (e.g. a standard triggered switch). Alternatively, another type of switch that can be used is the Quenched Spark Gap Switch described in the literature [E. Panarella, and V. Guty, A Kiloampere Current Diode Based on the Quenched Spark Gap Switch. J. Physics E: Scientific Instruments 7, 835 (1974).]. Since, in this embodiment, the energy stored in the capacitor and delivered to the fusion device is at high voltage (e.g. 100 kV), the energy source, i.e. the capacitor, and the fusion device is contained in an atmosphere which does not break down at the operating electric fields. To this end, the inner chamber 113 which encloses the assembly may be filled with gas at high pressure, for example dry air at pressures of a few atmospheres should be enough for proper insulation of the apparatus. The required repetition rate of operation of the plasma focus device will be a function of the rate of heat losses. In other words, the plasma focus must be able to generate enough fusion power to make up for the rate of thermal energy lost through the outer, heat reflective wall 111, shown in FIGS. 5 and 6. Although this wall greatly reduces thermal losses, they are however not zero, and the fusion power generated must be able to compensate for these losses in order to have at least power breakeven. Below, the thermal losses will be determined, and then used to determine the repetition rate of operation of the plasma focus. The wall of the inner chamber which encloses the energy source and fusion device preferably comprises a material which offers low resistance to neutrons and at the same time good thermal conductivity so that thermal equilibrium between the fluid contained in the outer chamber and the high pressure gas in the inner chamber is maintained. For example, the wall 115 of the inner chamber 113 may advantageously comprise stainless steel or any other suitable material which preferably has these properties. The fluid e.g. liquid blanket surrounding the fusion assembly is preferably arranged to absorb most of the neutrons generated in the fusion chamber in order to convert their kinetic energy into thermal energy of the water. On the other hand, in the present embodiment, absorption should take place within a short distance from the source in order to keep the apparatus small, i.e., on a laboratory scale. A suitable configuration of liquid blanket for this embodiment of the present invention is shown in FIGS. 10A and 10B. FIG. 10A shows a top view of the various neutron absorbing materials of the reactor assembly shown in FIG. 10B. This configuration is used below to calculate the neutron absorption and in particular to determine a reasonable dimension of the thickness of the liquid blanket for use in the present embodiment of the invention. For the purposes of the calculation, a spherical configuration for neutron absorption, proceeding radially outwards from the center of the neutron source 313, is made up of a shield of deuterium-tritium 503 having a radius of 10 cm, a plasma chamber wall 305 having a thickness of 1 cm and comprising silicon (e.g. Pyrex glass), a shield of dry air 118 having a thickness of 10 cm. (i.e. the pressurized gas in the inner chamber 113), an inner chamber wall 115 comprising stainless steel and having a thickness of 1 cm and a liquid blanket 507 comprising water and having a thickness of 30 cm, and an outer wall 111 comprising beryllium and having a thickness of 1 cm. Advantageously, this arrangement provides strong neutron absorption although strong neutron absorption may be obtained by other configurations having different dimensions to those of the embodiment described above as would be apparent to those skilled in the art. Advantageously, the above embodiment meets the above mentioned design considerations in providing strong neutron absorption over a relatively short distance. Further details of the neutron absorption calculations for the configuration shown in FIGS. 10A and 10B are given below. On the basis of these design considerations, radiation transport calculations have been made using a software developed by SAIC (Science Applications International Corporation), designated by the name of STREAM. This acts as a pre- and post-processor for ANISN calculations. ANISN (ANIsotropic scattering using Sn methods) is a one dimensional discrete ordinates transport code with anisotropic scattering. The primary uses of ANISM are to perform radiation shielding calculations. The STREAM software allowed the derivation of the neutron absorption through the above spherical shielding configuration. The source of 14.1 MeV neutrons and 3.6 MeV α particles at the center of the assembly (the a particles are readily absorbed by the surrounding material) was considered to be a small sphere of 1 cm diameter. For reasons that will become apparent later on (i.e., from the calculation of the repetition rate required from a plasma focus), the flux of the 14.1 MeV neutrons should be at least 8.5×1011 neutrons per second: a value of 5×1012 neutrons per second will be considered in order to include some net energy gain, the gain being better defined later on by the repetition rate that can be reached by the plasma focus beyond 63.17 kHz (see Eq. 17). A spherical geometry as shown in FIG. 10A was considered because it is a sufficiently close approximation to the embodiment shown in FIG. 10B. The numerical analysis was done by dividing the sphere in 200 zones, the first zone being located at the center of the sphere and the last one at the periphery. The result of the calculations is shown in FIG. 11, where the total neutron flux (where ‘total’ means neutrons of any energy) is shown as a function of radius of the assembly. At the periphery of the assembly the flux is reduced from 5×1012 to about 7×107. This is a reduction by 5 orders of magnitude, which means that most of the neutrons are absorbed within the sphere, their kinetic energy being converted into thermal energy available for electricity production. And this has been obtained with a sphere of relatively small radius of about 55 cm. FIG. 12 shows the total gamma flux, which is already quite low but is nevertheless reduced further from about 109 to about 108 sec−1. FIGS. 13 to 15 show the neutron and gamma energy profiles at the following positions along the radius of the assembly: at the center of the sphere (FIG. 13), immediately after the plasma chamber wall (FIG. 14), after the inner chamber wall (FIG. 15), and after the beryllium reflector (FIG. 16). From these graphs it appears that the 14.1 MeV neutrons are well absorbed by a relatively thin (30 cm) water shielding, their energy being deposited in the liquid. This energy can be used to heat steam and run an electric generator. According to another aspect of the present invention, a heat source is configured with an energy conversion apparatus such that as much heat as possible from the heat source is converted into work and/or electrical energy. In one embodiment, parts of the energy conversion apparatus which are subjected to elevated temperatures by heat received from the heat source and/or heat generated by the conversion apparatus itself (e.g. through friction and/or vibration of mechanical parts and through electrical resistance (e.g. through Ohmic heating) and through electrical reactance are configured such that the heat absorbed by these parts is not lost from the system but directed back, for example into the medium used to convey heat from the heat source to the energy conversion apparatus, e.g. into a working fluid or a heat transfer fluid. The apparatus may further be arranged so that residual heat in a working fluid which has undergone a temperature drop in converting heat energy into mechanical work, is held within the system. In one embodiment, residual heat in the working fluid is returned to the system and increased by heat from the heat source. The heat may be returned by the working fluid or by another heat transfer fluid. In returning the heat back to the heat source, appropriate measures are taken to prevent heat escaping from the system by using for example heat reflective and/or heat insulative materials. It is to be noted that in this aspect of the invention, the heat source is not limited to a fusion reactor and may comprise any heat source, for example a heat source created by burning any fossil fuels or any other fuel, heat from other nuclear reactions, such as from a nuclear fission reactor or naturally occurring heat, such as geothermal heat or solar heat. An example of a possible configuration of an energy conversion apparatus in which the heat source comprises a fusion reactor such as that described above will now be described with reference to FIG. 17. An electric power generating plant is preferably configured such that the liquid blanket absorbs all energy released by the plasma generator (i.e. the capacitor and transmission line and any other parts of the energy source and transmission line from which heat may be transmitted) and by the plasma itself (bremsstrahlung, neutrons, heat, etc.) In one embodiment, the liquid blanket may act as a boiler. In order to convert to electricity almost all the thermal energy deposited in the liquid blanket, a possible embodiment of such a converted device is shown in FIG. 17. Referring to FIG. 17, an energy conversion apparatus shown generally at 601 comprises a central burning plasma 603 of for example deuterium-tritium (or any other suitable material), a neutron absorbing spherical layer 605 of water (or any other suitable liquid), a layer of superheated steam 607 for example at 400° C., and a covering layer of super insulator material 609. The energy conversion apparatus may further comprise a neutron reflector 611 comprising for example beryllium to reflect neutrons back into the layer of water 605 for further absorption and heat generation. The conversion apparatus may be surrounded by an environment having ambient temperature of for example 20° C. In one embodiment, the fusion reactor may have a configuration described above and shown in FIGS. 5 and 6 including the plasma chamber and the inner chamber enclosing the energy source, the transmission line and the fusion device. The rate of heat loss from a boiler having the configuration shown in FIG. 17 may be calculated as follows: FIG. 18, reproduced from FIG. 1-7 of the book “Heat Transfer” by J. P. Holman (McGraw-Hill, New York 1981, p. 11), provides data on the thermal conductivity of various materials, including those of superinsulator type. This graph gives the thermal conductivity coefficient required to calculate the rate of heat loss C from the boiler. For a spherical geometry of the boiler, the formula to be used is the following: [Leonard R. Ingersoll, Otto J. Zobel, and Alfred C. Ingersoll, Heat Conduction (McGraw-Hill Book Company, New York, 1948), p. 38.] C ( r 1 , r 2 , T 1 , T 2 ) = 4 π kr 1 r 2 r 2 - r 1 ( T 1 - T 2 ) ( 20 ) where r1, T1 are the radius and temperature, respectively, of the internal surface of the superinsulator, r2, T2 are the radius and temperature, respectively, of the external surface of the superinsulator in contact with the environment, and k is the thermal conductivity of the superinsulator. In one example of a specific embodiment of the conversion apparatus, r1=77 cm=0.77 m, T1=400° C., and r2=100 cm=1.00 m, T2=20° C. (ambient temperature). The thermal conductivity of the superinsulator will be conservatively chosen from FIG. 18 to be k=0.05 mW/m° C.=0.05×10−3 W/m° C. Hence: C ( r 1 , r 2 , T 1 , T 2 ) = 4 π . × 0.05 · 10 - 3 × 0.77 × 1.00 1.00 - 0.77 ( 400 - 20 ) = 0.799 Watts This shows that, with a good superinsulator material, the rate of heat loss can be dramatically low. Another important result is that this rate of heat loss is independent of the power generated in the boiler. In other words, irrespective of how many kilowatts or megawatts of power the boiler generates, the rate of heat loss remains the same, as long as r1, T1, r2, T2 are constant. This will normally be the case for steady state operation of the boiler. In order to convert the thermal energy available from the boiler to electricity, any suitable means may be used, for example one or more turbines, for example steam turbine(s) and/or one or more reciprocating devices. An example of a possible energy conversion apparatus will now be described with reference to FIG. 19. Referring to FIG. 19, an embodiment of a reciprocating engine for converting heat energy into mechanical energy, and generally shown at 701 comprises a body 703 defining a chamber 705, a piston 707 which can reciprocate in the chamber 705 and which is connected to a piston rod 709. The chamber is provided with a fluid inlet port 711 and a fluid exhaust port 713. The inlet port is arranged to receive working fluid fed through a conduit 715 under the control of a valve 716. An exhaust fluid conduit 717 is arranged to receive fluid exhausted from the chamber 705 through the exhaust port 713 under the control of a valve 718, which in the present embodiment may comprise a slide valve used to control both the injection of fluid into the chamber 705 and the exhaustion of fluid from the chamber 705, although in other embodiments any other valve system may be employed, including separate valve systems for the fluid inlet and fluid outlet. The reciprocating engine further comprises a second chamber 719 for slidably receiving the piston rod 709 and containing a reciprocating piston rod guide 721 connected to the piston rod 709. A rotary fly wheel 723 is arranged to be rotatably driven by the engine via a main driving rod 725 which is connected between the guide 721 and to the fly wheel 723 at an eccentric position from the rotational axis 727 thereof. A valve driving mechanism 729, 731 is coupled to the fly wheel 723 for driving the valve 716, 718. An example of an operating cycle of the engine proceeds as follows with steam as the working fluid. With the piston 707 at the low end of its stroke, the inlet valve 716 opens for steam intake. The piston begins to move upwardly, out of the chamber 705 and the inlet valve remains open until the piston 707 has completed, for example, about half of its upper run in the cylinder. During this time, steam is admitted from a boiler (e.g. from a boiler having a configuration of the one described above and shown in FIG. 17) and performs useful work of moving the piston. This phase is isothermal, the steam remaining substantially at the temperature at which it is admitted into the cylinder, e.g. the temperature of the boiler (e.g. 400° C.). The inlet valve closes as the piston reaches about the mid-point of its upward stroke and the steam expands adiabatically. The piston proceeds and reaches the top of its upward stroke where the permissible expansion of steam is complete. At about that time, the exhaust outlet valve opens and the expanded steam begins to be discharged through the exhaust outlet port 713. Part of the energy imparted to the fly wheel 723 during the upward stroke of the piston is returned to the piston during the downward stroke to assist in exhausting all the steam from the chamber. As soon as the piston reaches a position at or near the bottom of its stroke, the exhaust valve closes, the inlet valve opens to admit steam and a new cycle begins. In this embodiment, the piston chamber is thermally insulated to substantially reduce heat losses to the surrounding environment so that heat to which the engine is subjected which is not converted into work energy is held within the system for further use. This arrangement advances the objective of minimizing heat losses in the entire system, including the energy conversion apparatus to maximize the value of parmater {dot over (b)} defined above. In this embodiment, the working fluid inlet conduit 715 and the exhaust outlet conduit 717 are also thermally insulated. The thermal insulation used to minimize heat losses from the cylinder, the inlet and exhaust conduits are preferably of the same quality of super insulating material used for the boiler. An example of an arrangement for returning working fluid from the energy conversion apparatus to the boiler in accordance with an embodiment of another aspect of the present invention is shown in FIG. 20. Referring to FIG. 20, a working fluid return apparatus generally shown at 801 comprises a working fluid return conduit 803 connected to the exhaust system of an energy conversion apparatus, for example that described above and shown in FIG. 19, a reservoir chamber 805 having a working fluid inlet 807 connected to the exhaust conduit 803 and an outlet port 809. The apparatus further includes a working fluid return conduit 811 connected to the outlet port 809, for returning working fluid to the boiler (not shown) and a valve 813 for controlling the flow of working fluid from the reservoir 805 to the boiler. Preferably, at least part of at least one of the exhaust conduit 803, the reservoir 805 and the return conduit 811 are thermally insulated to assist in reducing heat loss from the working fluid to the surrounding environment during its passage from the energy conversion apparatus through the working fluid return apparatus. More preferably still, and as exemplified in FIG. 20, all parts of the exhaust conduit 803, the reservoir 805 and the return conduit 811 are thermally insulated and preferably insulated to the same or a similar standard as that of the boiler, which may be achieved by using the same or similar material(s). An example of an operation of the return apparatus shown in FIG. 20, with steam as the working fluid, proceeds as follows: The return apparatus 801 receives exhaust fluid from the energy conversion apparatus which passes through the exhaust conduit 803 and into the reservoir 805. The valve 813 at the boiler inlet controls the pressure at which the fluid in the reservoir is transferred to the boiler. As the energy conversion apparatus draws steam from the boiler, the steam pressure in the boiler gradually decreases. At the same time, exhaust steam from the energy conversion apparatus is accepted by the reservoir and the working fluid pressure in the reservoir increases. When a preset pressure in the reservoir is reached which exceeds the steam pressure in the boiler, the valve 813 opens and part of the reservoir steam is admitted into the boiler until the pressure in both the boiler and reservoir equalizes and the valve closes. An example of a power generating plant which includes the principals of heat recovery and reducing heat loss as described above, in which the heat source comprises a fusion device will now be described below. In this embodiment, the source of energy is a fusion device which is similar to that shown in FIG. 9 and for convenience is reproduced as FIG. 21. Thus, the description relating to FIG. 9 applies equally to FIG. 21 and like parts are designated by the same reference numerals. FIG. 22 shows an example of an arrangement of a boiler, generally shown at 901, in which the plasma focus device 102 shown in FIGS. 9 and 21 is situated. Preferably, the plasma focus device is situated at or near the center of the boiler, as shown in FIG. 22. The boiler 901 is similar to that shown in FIG. 17 with the heat source 603 in that Figure comprising the plasma focus device 102 (FIGS. 21 and 22). Thus, the boiler includes a spherical layer of neutron absorbing fluid (e.g. water) 905 surrounded by a neutron reflecting layer 911 for example made of beryllium, a layer of super heated steam 907 all contained within an enclosure 909 whose wall includes a thermally insulating material preferably a super insulating material. An example of a boiler and energy conversion apparatus is shown in FIG. 23. Referring to FIG. 23, the boiler 901 comprises a boiler similar to that shown in FIG. 22, coupled to an energy conversion apparatus 701 which is similar to that shown in FIG. 19. The assembly shown in FIG. 23 further includes an exhaust working fluid return apparatus 801 for returning exhaust working fluid from the conversion apparatus 701 to the boiler 901. The above description of the boiler, the conversion apparatus and the return apparatus described above in connection with FIGS. 17, 19, 20 and 22 apply equally to the respective components of the assembly shown in FIG. 23 and like parts are designated by the same reference numerals. In another embodiment of an energy conversion assembly, the assembly includes an electrical generator coupled to the energy conversion apparatus for generating electrical energy, a part of which may be returned for driving the heat source, e.g. a fusion device, and any surplus may be used to supply electrical power to any one or more other devices. Preferably, the generator is arranged such that heat generated thereby in converting mechanical energy into electrical energy is held within the power generating system rather than lost to the environment. An example of such an arrangement in which an electrical generator is incorporated into the assembly described above and shown in FIG. 23 is shown in FIG. 24. Referring to FIG. 24, the power generating plant, generally shown at 551, includes a boiler 901, an energy conversion apparatus 701 and an exhaust fluid return apparatus 801 similar to those shown in FIG. 23 and like parts are designated by the same reference numerals. In addition, the power generating plant 551 further includes an electric generator 553 coupled to be driven by the energy conversion apparatus 701. The electrical generator 553 is enclosed within a chamber 555 defined by a chamber wall 557. The chamber 555 includes a fluid inlet/outlet port 559 which is connected to the exhaust working fluid conduit 803 of the working fluid return apparatus 801 by a fluid feed conduit 561. In this embodiment, the wall 557 of the chamber 555 enclosing the electric generator 553 comprises a thermally insulative material which may provide the same standard of insulation as the insulating layer of the boiler 901. Similarly, the fluid feed conduit 561 is also thermally insulated and preferably to the same standard of insulation as the thermally insulating layer used for the boiler 901. In operation, exhaust fluid from the energy conversion apparatus 701 is fed to the electric generator 553 through the fluid feed conduit 561. Heat generated by the electric generator during operation is absorbed into the exhaust fluid which conveys the heat into the boiler 901 via the return reservoir 805. In this way, heat generated by the electric generator 553 may be held within the system, recovered and converted into useful power. First, by positioning the electric generator within the apparatus, surrounding the generator with exhaust working fluid and with a layer of for example high quality super insulator, the heat losses from this component of the system are largely recovered. As the temperature of the working fluid is relatively high, for example of the order of 300 to 400° C., the generator should be adapted to operate in an environment at these temperatures. Operating an electric generator in an environment at these elevated temperatures should be possible given that the Curie point for the magnets, when they lose their magnetization, is well above this temperature (Curie temperature 770° C. for iron, for instance, [Encyclopedia Britannica, Vol. 14, p. 600]) and the resistivity of wire does not change dramatically at this temperature. [Smithsonian Physical Tables, 1964, P. 393.] As described above, for energy breakeven, it is required that: 1) all energy used in the process of generation and heating of the plasma be recovered as heat in the liquid blanket, and 2) almost all thermal energy deposited in the liquid blanket be converted to electricity. Requirement 1) is a consequence of the fact that the parameter a must be equal to 1. Requirement 2) follows from the fact that the parameter b has to be larger than 0.99970. In other words, at least 99.97 percent of the thermal energy of the liquid blanket must be converted into electricity. As far as requirement 1) is concerned, this is satisfied when for example, the source of energy e.g. fusion device is located within the boiler, as in our case. In this situation, the liquid layer will absorb all the energy released from the fusion device, and a will equal 1. As to requirement 2) the parameter b needs to have a value of the order of 99.97 percent. This parameter refers to the ability of converting the thermal energy into electrical energy, whereby in excess of 99.70 percent of the thermal energy is converted to electricity. As described above, irrespective of how many kilowatts or megawatts of heat are deposited in the boiler, always the same amount of heat is lost out of the boiler. This loss, though unavoidable, is fortunately small, and the fusion device must be able to generate, for breakeven, at least the power lost. In the following section, the numbers of times per second an example of a fusion device must be operated in order to have that power output is calculated, and examples of the calculated values are given in Table 2 below. TABLE 2Number ofFrequency ofNumber ofFrequency ofNumber ofFrequency ofReactionsOperation of aReactionsOperation of aReactionsOperation of aThermalRadius ofRadius ofper Second100 J Plasmaper Second100 J Plasmaper Second100 J PlasmaCoefficient ofInnerOuterTemperatureTemperatureRate ofRequiredFocus Required2 × RateRequiredFocus Required3 × RateRequiredFocus RequiredSuperinsulatorSphereSphereof Innerof OuterHeatforfor Breakevenof Heatforfor Breakevenof Heatforfor Breakeven(W/m. ° C.)(m)(m)Sphere (° C.)Sphere (° C.)Loss (W)Breakeven(kHZ)Loss (W)Breakeven(kHZ)Loss (W)Breakeven(kHZ)(1)(2)(3)(4)(5)(6)(7)(8)(9)(10)(11)(12)(12)(13)1.00E−050.771.00300200.1184.18E+102.730.2368.35E+105.460.3531.25E+118.192.00E−050.771.00300200.2368.35E+105.460.4711.67E+1110.920.7072.51E+1116.383.00E−050.771.00300200.3631.25E+118.190.7072.51E+1116.381.0603.76E+1124.574.00E−050.771.00300200.4711.67E+1110.920.9423.34E+1121.841.4145.01E+1132.765.00E−050.771.00300200.5892.09E+1113.651.1784.18E+1127.301.7676.27E+1140.956.00E−050.771.00300200.7072.51E+1116.381.4145.01E+1132.762.1207.52E+1149.141.00E−050.771.00400200.1605.67E+103.710.3201.13E+117.410.4801.70E+1111.122.00E−050.771.00400200.3201.13E+117.410.6392.27E+1114.820.9593.40E+1122.233.00E−050.771.00400200.4801.70E+1111.120.9593.40E+1122.231.4395.10E+1133.354.00E−050.771.00400200.6392.27E+1114.821.2794.54E+1129.641.9186.80E+1144.465.00E−050.771.00400200.7992.83E+1118.531.5995.67E+1137.052.3988.50E+1155.586.00E−050.771.00400200.9593.40E+1122.231.9186.80E+1144.462.8781.02E+1266.691.00E−050.771.00500200.2027.16E+104.680.4041.43E+119.360.6062.15E+1114.042.00E−050.771.00500200.4041.43E+119.360.8082.86E+1118.721.2124.30E+1128.083.00E−050.771.00500200.6062.15E+1114.041.2124.30E+1128.081.8176.44E+1142.124.00E−050.771.00500200.8082.86E+1118.721.6155.73E+1137.442.4238.59E+1156.165.00E−050.771.00500201.0103.58E+1123.402.0197.16E+1146.803.0291.07E+1270.206.00E−050.771.00500201.2124.30E+1128.082.4238.59E+1156.163.6351.29E+1284.25 C ( r 1 , r 2 , T 1 , T 2 ) = 4 π kr 1 r 2 r 2 - r 1 ( T 1 - T 2 ) Since the power lost is a function of various parameters, such as thermal conductivity of the superinsulator, radius of the boiler, etc., as prescribed by Eq. (20), in Table 2 a few combinations of these parameters have been listed and used to calculate the rate of heat loss C from the boiler (Eq. 20 is reproduced at the bottom of the Table for convenience). In column (1) of the Table various thermal conductivity coefficients have been listed, ranging from 1×10−5 to 6×10−5 W/m·° C., as taken from FIG. 18. Each set is then used in a range of steam temperatures from 300 to 500° C., as listed in column (4). The results of the calculation are shown in column (6), which therefore gives the rate of heat loss in watts for each combination of the listed parameters. In order now to calculate the number of reactions per second required from the fusion device for breakeven, i.e., to compensate for this rate of heat loss, from Eq. 4 each reaction releases 2.82×10−12 joules of energy. Hence, the numbers in column (6) must be divided by this number. The result is reported in column (7). Lastly, in order to determine the number of times per second the fusion device must operate in order to produce the above reactions, from Table 1, a 100 joules plasma focus is able to produce 1.53×107 reactions per discharge. Hence, the numbers in column (7) are divided by this number in order to obtain the number of discharges per second (in Hz). Column (8) reports the frequency in kilohertz. All the calculations so far have been carried out on the assumption that only the boiler loses heat. Although it is true that the largest part of the heat losses occurs from this component of the system with the highest temperature, other losses occur in other places. For instance, losses occur also from the electric generator used to recharge the fusion device, but such losses can be largely recovered via an arrangement like that described above and shown in FIG. 24. The overall heat losses from the system could be precisely calculated, were its geometry precisely known. FIG. 24 shows a possible but not the only geometry. Other geometries are possible. Although this Figure shows that the main losses occur from the boiler, additional losses arise in other components of the system, and these must also be taken into account. Table 2 considers two additional general cases, namely, when the overall heat losses are estimated to be twice as much as those of the boiler, and when they are three times. Correspondingly, the operating regime of the fusion device is calculated and the results reported in columns (11) and (13), respectively, for these additional cases. The numbers provided in Table 2 for the operating frequency of a Plasma Focus device as a function of the rate of heat loss allows the characteristics of an electric generator system capable of driving the Plasma Focus at that operating frequency to be found. In the following, an electric generator driven by a reciprocating engine that converts the thermal energy contained in the steam in the boiler into mechanical energy of rotation of the generator's shaft. Table 3 provides a detailed analysis of the reciprocating engine performance. This Table provides a detailed analysis of the history of the steam in both in the boiler and the condenser. Some of the formulas used in the Table are explained immediately after Table 3. The analysis has been done for a typical set of parameters of a reciprocating engine as these evolve from cycle to cycle. Of the various possibilities listed in Table 2, the chosen typical set of parameters is the following: thermal coefficient of the superinsulator=5×10−5 W/m.° C.; temperature of the boiler inner sphere=400° C.; overall losses from the system equal to three times the losses from the boiler (=1.937 W). For these parameters, the operating frequency of the 100 joules Plasma Focus is 55.58 kHz. The electric generator should therefore be able to generate 5,558 kilowatts of power to feed the 100 joules Plasma Focus (55.58 kHz×100 J=5,558 kW). This is the power that needs to be recirculated in the system in order to generate enough fusion energy for breakeven. An initial additional parameter that is required in the calculations is the steam pressure in the boiler, which, for the purpose of this example is 60 bars. TABLE 3AHistory of steam in boiler and condenser.Difference ofVolume ofShellOuter andVolumeThicknessInnerInnerofof VolumeOuterOuterSphere =RadiusSphereInnerofSphereSphereInitialofRadiusSphereSaturatedRadiusVolumeVolume ofPistonRow(m)(m3)Steam (m)(m)(m3)Steam (m3)(m)No.123456720.540.660.230.771.911.250.2030.540.660.230.771.911.250.2040.540.660.230.771.911.250.2050.540.660.230.771.911.250.2060.540.660.230.771.911.250.20 TABLE 3BRadius ofHeight ofVolume ofFirstAdiabaticHeightVolumeExpansionExpansionExpansionExpansionExpansionofofChamberChamberChamberVolume inVolume inPressure inPistonPiston(Condenser)(Condenser)(Condenser)PistonPistonInitial VolumeRow(m)(m3)(m)(m)(m3)(m3)(m3)of Steam (bar)No.8910111213141510.800.100.201.000.130.050.0560.020.800.100.201.000.130.050.0558.030.800.100.201.000.130.050.0556.040.800.100.201.000.130.050.0555.050.800.100.201.000.130.050.0555.060.800.100.201.000.130.050.0555.0 TABLE 3CTemperatureVolume ofSpecificofTotalSteamVolume ofPressure ofSuperheatedMassPlus FirstSteam afterSteam afterSteam inofExpansionFirstFirstInitialSaturationSpecificSuperheatedVolume inExpansionExpansionVolume ofTemperatureVolumeSteamPistonin Pistonin PistonRowSteam (° C.)(° C)(m3/kg)(kg)(m3)(m3/kg)(bar)No.161718192921221400275.640.0473926.431.310.0493758.02400273.430.0493725.381.310.0514356.03400271.170.0514324.361.310.0535754.04400270.020.0521424.021.310.0543253.05400270.020.0521424.021.310.0543253.06400270.020.0521424.021.310.0543253.0 TABLE 3DTotal InternalSpecific VolumeTemperatureMass ofEnergy ofof Steam afterof Steam afterWork Done in theSteam inInternalSteam inEntropy ofFull AdiabaticFullInitial IsothermalPiston beforeEnergyPiston beforeSuperheatedExpansion inExpansion inExpansion in PistonAdiabatic Expansionof steamAdiabatic ExpansionSteamEntire PistonEntire PistonRow(kJ)(kg)(kJ/kg)(kJ)(kJ/kg.K)(m3/kg)(° C.)No.232425262728291335.641.062,895.63,066.206.56070.094942802322.201.022,898.42,946.226.58110.098902803309.290.982,901.22,830.936.60210.103032804305.040.962,902.52,793.286.61280.104462805305.040.962,902.52,793.286.61280.104462806305.040.962,902.52,793.286.61280.10446280 TABLE 3EInternalSpecific Volume ofPressureEnergy ofTotal InternalSteam in Piston andof SteamSteam afterEnergy ofCondenser afterafter FullAdiabaticSteam inTotal WorkAdiabatic Expansion inExpansionExpansion inPiston AfterWork Done in theDone by theCondenser and Beforein EntireEntireAdiabaticAdiabatic ExpansionExpansion of SteamBeing Compressed inRowPiston (bar)Piston (kJ/kg)Expansion (kJ)in Piston (kJ)in Piston (kJ)Condenser (m3/kg)No.303132333435125.02,723.52,883.96182.24517.880.21361224.02,726.22,771.18175.04497.240.10899323.02,728.82,622.71168.22477.510.07413422.52,730.012,627.37165.91470.950.06707522.52,730.012,627.37165.91470.950.06707622.52,730.012,627.37165.91470.950.06707 TABLE 3FInternal Energy ofTotal Internal EnergyTotal Internal EnergyTemperature ofPressure of SteamSteam afterof Steam in Pistonof Steam in PistonSteam afterafter AdiabaticAdiabatic Expansionand Condenser beforeand Condenser beforeAdiabatic ExpansionExpansion inin Condenser andbeing Compressed inbeing Compressed inin Condenser andCondenser andBefore BeingCondenser in Case ofCondenser in Case ofBefore BeingBefore BeingCompressed inNo Steam Transfer toSteam Transfer toCompressed inCompressed inRowCondenser (kJ/kg)the Boiler (kJ)the Boiler (kJ)Condenser (° C.)Condenser (bar)No.363738394012,561.32,712.20—165 8.622,713.85,632.26—27021.532,815.68,590.946,785.9434035.542,844.1—6,854.6236041.052,844.1—6,854.6236041.062,844.1—6,854.6236041.0 TABLE 3GSpecific Volume ofTemperature ofPressure ofPressure of SteamTemperature ofSteam after beingSteam after beingSteam afterin Condenser afterSteam in CondenserCompressed inCompressed inCompressed inAdiabaticafter AdiabaticCondenser inCondenser inCondenser inExpansion in MainExpansion in MainCase of No SteamCase of No SteamCase of No SteamChamber andChamber and beforeTransfer to theTransfer to theTransfer to thebefore RestartingRestarting theRowBoiler (m3/kg)Boiler (° C.)Boiler (bar)the Cycle (bar)Cycle (° C.)No.414243444510.1186724518.518.520.0605537045.045.0—30.0411945074.056.04104———56.04105———56.04106———56.0410 TABLE 3HSteam Volume ofSteam in CondenserTotal Mass ofTotal Mass ofTotal Mass ofInternalTotal Internalafter AdiabaticSuperheatedSuperheatedSuperheated SteamEnergy ofEnergy ofExpansion in MainSteam inSteam Left inTransferred fromSteam afterSteam afterChamber and beforeCondenser beforeCondenser afterCondenser intobeingbeingRestarting theExpansion in MainExpansion in MainMainCompressed inCompressed inRowCycle (m3/kg)Chamber (kg)Chamber (kg)Chamber (kg)Condenser (kJ/kg)Condenser (kJ)No.4647484950511————2,674.82,832.392————2,856.85,929.0430.052143.052.410.64——40.052143.372.410.96——50.052143.372.410.96——60.052143.372.410.96—— TABLE 3IInternal EnergyTotal Internal EnergyNumber ofNumber ofof Steam afterof Steam afterCycles ofCycles ofAdiabaticAdiabatic Expansion inWork DoneNet WorkPiston perPiston perExpansion inMain Chamber inby Piston inDone inSecond for aMinute for aMain ChamberCase of SteamCompressingOne Full5.558 MJ/sec5.558 MJ/secand beforeTransfer to the BoilerSteam inCycle of(=5.558 MW)(=5.558 MW)Restarting theand before RestartingCondenserPiston RunElectricElectricRowCycle (kJ/kg)the Cycle (kJ)(kJ)(kJ)GeneratorGeneratorNo.5253545556571——120.19397.6913.98 838.532——296.78200.4527.731663.6232,917.77,032.01246.07231.4424.011440.8842,917.77,032.01177.38293.5718.931135.9552,917.77,032.01177.38293.5718.931135.9562,917.77,032.01177.38293.5718.931135.95 Note No. 1 The formula for the work done by the steam in the isothermal process of initial expansion in the piston [ΔW=RT1ln (v2/v1), where R=461.48 J/kg·° K, v1 and v2 are the specific volumes before and after expansion, respectively] is taken from “Steam Turbines and Their Cycles” (Robert E. Krieger Publishing Company, Huntington, N.Y., 1974) by J. Kenneth Salisbury, pp. 17-18. This considers an ideal gas or steam. Our steam can be considered ideal because the compressibility factor is ˜1 at the pressures we are dealing with [˜40 bar—see FIG. 3-12 in “Thermodynamics for Engineers” (John Wiley & Sons, New York, 1983] by Jesse S. Doolittle and Francis J. Hale, p. 65). Note No. 2 In an adiabatic expansion, the work done is equal to the change in internal energy of the steam (from “Steam Turbines and Their Cycles” (Robert E. Krieger Publishing Company, Huntington, N.Y., 1974) by J. Kenneth Salisbury, pp. 17). Note No. 3 The work done by the piston in compressing the steam in the condenser is calculated as follows. First, it is assumed that the steam will expand by itself from the piston in the condenser volume (which is considered to be evacuated at the beginning) until the pressure is equal everywhere. At this point, the specific volume of the steam is calculated and, since it is an adiabatic expansion, and we know the entropy, which is the same as before, we derive from the tables the specific internal energy. Then we calculate the specific volume after the piston has compressed the steam in the condenser, and we derive the specific internal energy from the steam tables. The difference between the two total internal energies (i.e., specific internal energies multiplied by the mass of steam) gives the work done by the piston. The reason for this is that, assuming the opposite phenomenon to occur, i.e., adiabatic expansion of the steam from the condenser into the piston chamber, against the piston, this would be the work done on the piston. Note No. 4 The volume of the piston has been divided in two equal parts: the first for the isothermal expansion of the steam, and the other for the adiabatic expansion. Since the sliding valve will not close abruptly midway in the run of the piston, we have allowed some extra run for the piston (=0.02 times the volume of piston) to take into account this additional expansion. Note No. 5 In using the “Steam Tables” (J. H. Keenan, F. G. Keyes, P. G. Hill, and J. G. Moore, Wiley, New York, 1969), we did not interpolate, but rather used the closest values of the parameters in order to derive new values of other paremeters from the Tables. Note No. 6 In all adiabatic or isentropic processes considered in the analysis of the reciprocating engine, the entropy per unit weight per unit degree of temperature has been taken as a constant. Example 9-8 confirms this at p. 198 of “Thermodynamics for Engineers” (Jesse S. Doolittle, and Francis J. Hale, John Wiley & Sons, New York, 1983). Table 3 is self-explanatory. However, for added clarity, each row and column, as well as the calculations that have been done, and the results obtained are explained below. Column 1 reports the radius R of the inner sphere delimited by the beryllium neutron reflector. The radius is 54 cm, as used in FIG. 11 to calculate the neutron attenuation by the water blanket. Column 2 reports the calculation of the volume of the inner sphere:Vin=(4/3)πR3. Column 3 reports the thickness of the spherical shell containing the saturated steam between the beryllium reflector and the superinsulator. Column 4 reports the radius Rout of the outer sphere. Column 5 calculates the volume of the outer sphere:Vout=(4/3)πRout3. Column 6 calculates the difference between the previous two spherical volumes. It is the volume of the spherical shell containing the steam. Column 7 reports the radius r of the cylinder containing the piston of the reciprocating engine. Column 8 reports the height h of the cylinder of the reciprocating engine, i.e., the length of the piston stroke. Column 9 calculates the volume of the piston:Vpiston=πr2·h. Column 10 reports the radius rexp of the expansion chamber (condenser). Column 11 reports the height hexp of the expansion chamber (condenser). Column 12 calculates the volume of the expansion chamber:Vexp=πrexp2hexp. Column 13 calculates the volume of that part of the cylinder where the expansion of the piston is considered to be isothermal. The volume has been chosen to be one half the total volume of the piston. Column 14 calculates the volume of the cylinder where the steam expands adiabatically after the initial isothermal expansion. This volume is in the upper part of the cylinder. Column 15 reports the pressure of the initial volume of steam. This number has been chosen to be 60 bars. Column 16 specifies the assigned value of the steam temperature in the initial volume. This number has been chosen to be 400° C. Column 17 reports the value of the steam saturation temperature, as found from the ‘Steam Tables’ [J. H. Keenan, F. G. Keyes, P. G. Hill, and J. G. Moon, Steam Tables, (Wiley, New York, 1969).], as a function of steam pressure (column 15) and temperature (column 16). Column 18 reports the steam specific volume, as found from the ‘Steam Tables’ [J. H. Keenan, F. G. Keyes, P. G. Hill, and J. G. Moon, Steam Tables, (Wiley, New York, 1969).], as a function of steam pressure (column 15) and temperature (column 16). Column 19 calculates the total mass of the superheated steam in the boiler by dividing the steam volume given by column 6 by the specific volume of column 18. Column 20 calculates the volume sum of the initial volume of the steam in the boiler and the portion of the piston volume where the steam will expand isothermally. Column 21 calculates the new specific volume of the steam after its isothermal expansion in the piston by dividing the steam volume given by column 20 by the steam mass of column 19. Column 22 reports the steam pressure after its isothermal expansion in piston, as found from the ‘Steam Tables’ [J. H. Keenan, F. G. Keyes, P. G. Hill, and J. G. Moon, Steam Tables, (Wiley, New York, 1969).], as a function of temperature (column 16) and specific volume (column 18) Column 23 reports the work done on the piston during the initial isothermal expansion of the steam. The formula for the work done by the steam [ΔW=RT1ln (v2/v1), where R=461.48 J/kg° K, v1 and v2 are the specific volumes before and after expansion, respectively] is taken from “Steam Turbines and Their Cycles” by J. Kenneth Salisbury (Robert E. Krieger Publishing Company, Huntington, N.Y., 1950), pp. 17-18. This considers an ideal gas or steam. In our case, the steam can be considered ideal because the compressibility factor is ˜1 at the pressures we are dealing with (˜60 bars—see FIG. 3-12 in “Thermodynamics for Engineers” by Jesse S. Doolittle and Francis J. Hale, John Wiley & Sons, New York, p. 65). Column 24 calculates the mass of the superheated steam in the piston by dividing the volume given by column 13 by the steam specific volume of column 21. Column 25 reports the internal energy of the steam, as found from the ‘Steam Tables’ [J. H. Keenan, F. G. Keyes, P. G. Hill, and J. G. Moon, Steam Tables, (Wiley, New York, 1969).], as a function of temperature (column 16) and specific volume (column 21). Column 26 calculates the total internal energy of the steam by multiplying the specific internal energy (column 25) by the mass (column 24). Column 27 reports the entropy of the steam, as found from the ‘Steam Tables’ [J. H. Keenan, F. G. Keyes, P. G. Hill, and J. G. Moon, Steam Tables, (Wiley, New York, 1969).], as a function of temperature (column 16) and specific volume (column 21). Column 28 calculates the specific volume of the steam after its adiabatic expansion in the entire piston by dividing the total piston volume (column 13+column 14) by the mass of steam in piston (column 24). Column 29 gives the temperature of the steam after its adiabatic expansion in the entire piston, as found from the ‘Steam Tables’ [J. H. Keenan, F. G. Keyes, P. G. Hill, and J. G. Moon, Steam Tables, (Wiley, New York, 1969).], as a function of entropy (column 27) and specific volume (column 28). Column 30 gives the steam pressure after its adiabatic expansion in the entire piston, as found from the ‘Steam Tables’ [J. H. Keenan, F. G. Keyes, P. G. Hill, and J. G. Moon, Steam Tables, (Wiley, New York, 1969).], as a function of entropy (column 27) and specific volume (column 28). Column 31 gives the internal energy of the steam after its adiabatic expansion in the entire piston, as found from the ‘Steam Tables’ [J. H. Keenan, F. G. Keyes, P. G. Hill, and J. G. Moon, Steam Tables, (Wiley, New York, 1969).], as a function of entropy (column 27) and specific volume (column 28). Column 32 calculates the total internal energy of the steam by multiplying the specific internal energy (column 31) by the mass (column 24). Column 33 calculates the work done during the adiabatic expansion of the steam in the cylinder. This work equals the difference between the steam total internal energy before (column 26) and after (column 32) the adiabatic expansion. Column 34 calculates the total work done by the steam during the isothermal expansion and the following adiabatic expansion. This total work is obtained by adding the numbers in columns 23 and 33. Column 35 calculates the specific volume of the steam in the piston and condenser, after the adiabatic expansion in the condenser. It is assumed that the steam will expand from the piston to the condenser (which is considered to be evacuated at the beginning) as soon as the valve is opened, until the pressure is equal everywhere. The calculation is done by adding the volume of the piston (column 9) to that of the expansion chamber (column 12), and dividing by the mass of steam in the piston (column 24). Column 36 reports the internal energy of the steam after the expansion in the condenser. Since the expansion is adiabatic, this internal energy is found from the ‘Steam Tables’ [J. H. Keenan, F. G. Keyes, P. G. Hill, and J. G. Moon, Steam Tables, (Wiley, New York, 1969).], as a function of entropy (column 27) and new specific volume (column 35). Column 37 calculates the total internal energy of the steam in the case of no steam transfer to the boiler by multiplying the specific internal energy (column 36) by the mass (column 24). Column 38 calculates the total internal energy of the steam, when some of the steam is transferred to the boiler, by multiplying the specific internal energy (column 36) by the mass (column 24). Column 39 gives the temperature of the steam after its adiabatic expansion in the condenser, as found from the ‘Steam Tables’ [J. H. Keenan, F. G. Keyes, P. G. Hill, and J. G. Moon, Steam Tables, (Wiley, New York, 1969).], as a function of entropy (column 27) and specific volume (column 35). Column 40 gives the pressure of the steam after its adiabatic expansion in the condenser, as found from the ‘Steam Tables’ [J. H. Keenan, F. G. Keyes, P. G. Hill, and J. G. Moon, Steam Tables, (Wiley, New York, 1969).], as a function of entropy (column 27) and specific volume (column 35). Column 41 gives the specific volume of the steam, after being compressed by the piston in the condenser. This specific volume is obtained by dividing column 12 by column 24. Column 42 gives the temperature of the steam after its compression in the condenser, as found from the ‘Steam Tables’ [J. H. Keenan, F. G. Keyes, P. G. Hill, and J. G. Moon, Steam Tables, (Wiley, New York, 1969).], as a function of entropy (column 27) and specific volume (column 41). Column 43 gives the pressure of the steam after its compression in the condenser, as found from the ‘Steam Tables’ [J. H. Keenan, F. G. Keyes, P. G. Hill, and J. G. Moon, Steam Tables, (Wiley, New York, 1969).], as a function of entropy (column 27) and specific volume (column 41), in case of no steam transfer to the boiler. Column 50 reports the internal energy of the steam after its compression in the condenser. Since the compression is adiabatic, this internal energy is found from the ‘Steam Tables’ [J. H. Keenan, F. G. Keyes, P. G. Hill, and J. G. Moon, Steam Tables, (Wiley, New York, 1969).], as a function of entropy (column 27) and specific volume (column 41). Column 51 calculates the total internal energy of the steam by multiplying its specific internal energy (column 50) by its mass (column 24). Column 54 calculates the work done by the piston during the adiabatic compression of the steam in the condenser. This work equals the difference between the steam total internal energy after (column 51) and before (column 37) the adiabatic compression. Column 55 calculates the net work done by the piston. This net work is obtained by subtracting the work of column 54 from the work of column 34. Column 56 calculates the number of cycles of piston per second required for a 5,558 kJ/sec (=5.558 MJ/sec=5.558 MW) electric generator. This number of cycles per second is obtained by dividing 5,558 kJ/sec by the number in column 55. Column 57 calculates the number of cycles of piston per minute required for a 5.558 MJ/sec (=5.558 MW) electric generator. This number of cycles per minute is obtained by multiplying by 60 the number in column 56. The second row of the Table contains the same data as the first, with the exception that, since we are now considering the second cycle of engine operation, we have to insert as initial condition in column 15 the pressure in the boiler found in the previous row 1 (see column 22), i.e., 58 bars. The temperature of the steam remains always 400° C. The calculations then proceed as before, and one finds that the pressure in the boiler will be reduced to 56 bars (column 22) whereas in the condenser it will increase to 45 bars (column 43). This pressure is still not sufficient to activate the valve that allows transfer of steam from the condenser to the boiler. In the meantime, the engine runs and delivers 397.69 kJ of energy during the first cycle, and 200.45 kJ during the second cycle. The flow of steam can be adjusted by means of a throttle-valve and governor for example as described in [Robert H. Thurston, A History of the Growth of the Steam Engine (Kennikat Press, Port Washington, N.Y., 1939) pp. 114-115] to keep the number of engine revolutions per minute commensurate with an engine constant power output equal to 5.558 MW. The required revolutions per minute during the first cycle are 838.53, whereas they are 1663.62 during the second cycle. In this row, where the third cycle of the reciprocating engine is analyzed, we have to insert in column 15 the boiler pressure that was left over from the previous cycle, namely 56 bars (see column 22). The steam temperature remains 400° C. The calculations then proceed as before. One finds that the boiler pressure will be reduced to 54 bars (column 22) during this cycle, whereas in the condenser the pressure would increase to 74 bars (column 43), were it not relieved in the manner described below, and the steam temperature in the condenser would reach 450° C. (column 42). The other numbers relative to the unrelieved case are to be found in columns 35, 36, 37, and 41. When the pressure is relieved, the temperature reaches 410° C. (column 45), as will be shown shortly. Relief occurs in this way. Assuming that the one-way valve from the condenser to the boiler opens as soon as the condenser pressure is slightly higher than the boiler pressure (say, when the former is 56 bars), then steam from the condenser will flow into the boiler until the pressure almost equalizes on both sides of the valve, which then closes. Since we have an adiabatic expansion from the condenser into the boiler, and both entropy and pressure of the steam are known (see column 27 and 44, respectively), the ‘Steam Tables’ [J. H. Keenan, F. G. Keyes, P. G. Hill, and J. G. Moon, Steam Tables, (Wiley, New York, 1969).] provide us with the steam specific volume (column 46), temperature (column 45), and internal energy (column 52). With the steam specific volume given in column 46 and the volume of the condenser given in column 12, one can calculate the mass of steam left over in the condenser (column 48) after transfer of some steam to the boiler. Without such transfer the steam mass would be as given by column 47, which is the sum of the masses given in the first three rows of column 24. Column 49 gives the difference between the two masses, i.e., the mass of steam that has moved from the condenser to the boiler. Column 53 calculates the total internal energy of the steam in the condenser by multiplying the specific internal energy given by column 52 by the mass of steam left in the condenser (column 48). The remaining columns have the same meaning as in the first row, as follows: Column 54 calculates the work done by the piston during the adiabatic compression of the steam in the condenser. This work equals the difference between the steam total internal energy after (column 53) and before (column 38) the adiabatic compression. Column 55 calculates the net work done by the piston. This net work is obtained by subtracting the work of column 54 from the work of column 34. Column 56 calculates the number of cycles of piston per second required for a 5,558 kJ/sec (=5.558 MJ/sec=5.558 MW) electric generator. This number of cycles per second is obtained by dividing 5,558 kJ/sec by the number in column 55. Column 57 calculates the number of cycles of piston per minute required for a 5.558 MJ/sec (=5.558 MW) electric generator. This number of cycles per minute is obtained by multiplying by 60 the number in column 56. In this row, where the fourth cycle of the reciprocating engine is analyzed, we have to insert in column 15 the pressure that has been reached in the boiler after the transfer of steam from the condenser. This pressure should be the same as in the condenser, i.e., 56 bars. However, the valve will presumably close slightly before the exact equalization of the two pressures has been reached, say at 55 bars. The steam temperature remains always 400° C. The analysis then proceeds as before, and the net work done in the full cycle of piston run is 293.57 kJ. From this the number of cycles of piston per second, and per minute for a 5.558 MJ/sec (=5.558 MW) electric generator is calculated and reported in columns 56 and 57, respectively. Now, the reciprocating engine has reached a steady-state regime, whereby the phases are replicated at each cycle. This regime is characterized by an engine that runs at ˜1135 RPM delivering the power required to feed the fusion device. The graph at the end of the Table (FIG. 25) shows a summary of the results. For a few cycles, the pressure of the steam, both in the boiler and the condenser, has been plotted. One can see that, as the boiler's pressure goes down, the condenser's pressure goes up. At the time the valve is opened, and steam is realesed into the boiler. In subsequent cycles, the pressure in both boiler and condenser stabilizes, and the reactor can operate indefinitely. An embodiment of an electric circuit for driving a plasma focus fusion device or another fusion device as shown in FIG. 26. Referring to FIG. 26, the circuit 651 comprises an alternator 653 which may be arranged to be driven by an energy conversion unit from the energy produced by the fusion device, a transformer 655 coupled to the output of the alternator 653, a rectifier 657 coupled to the transformer 655 and a storage capacitor 659 coupled to the output of the rectifier 657. The condenser 201 for storing the energy required to drive the plasma focus is coupled to the output of the storage capacitor 659 through an inductor 661. The circuit may be arranged to generate a charging voltage for charging the condenser 201 of any suitable value. For example, in the embodiment described above, in order to store sufficient energy in the condenser 201, the condenser has to be charged to a voltage of about 100 kilovolts as the condenser is relatively compact. However, for larger condenser the charging voltage may be less. The transformer 655 comprises a step-up transformer which converts the input voltage from the generator to the required charging voltage. The rectifier 657 and the storage capacitor 659 provide voltage regulation. The inductor 661 provides an isolating element between the output of the storage capacitor and the load, i.e. the condenser 201 to provide inductive or resonant charging. In an alternative embodiment, the inductance 661 could be replaced by a resistor acting as an isolating element. However, in resistive charging, the resistance can dissipate up to 50% of the total electrical energy used in the circuit and the voltage to which the capacitor is charged is the same of that as the power supply which must therefore be able to supply the voltage required. Advantageously, in inductive charging, the inductance is essentially non-dissipative. When an inductor is used to charge a capacitor from a constant voltage source, the voltage across the capacitance has the form of a damped oscillation, whose first maximum is approximately equal to twice the supply voltage if the initial voltage across the capacitance and the current through the inductance are zero. The maximum occurs at a time equal to π√LC after the voltage source is connected to the inductance-capacitance combination. The inductance to be used with a given network is, therefore, calculated by setting the interpulse interval equal to π√LC, where C is the network capacitance. With careful design of the inductance, the efficiency of the charging circuit is as high as 90 to 95 percent, and the power-supply voltage needs to be only slightly greater than one half of the desired network voltage, resulting in a great advantage over resistance charging. A factor of 1.9 to 1.95 between network and supply voltage can be obtained if the charging inductance is designed so that the quality factor Q of the charging circuit is high.” For the embodiment described above, in order to obtain a charging frequency of 55.58 kHz, as required by one embodiment of the Plasma Focus fusion device to reach breakeven, the inductance is calculated from the period of the resonant circuit (=1/55,580) as follows:T=π√LchargC=1/55,580=1.80×10−5 sec, (22)from whichLcharg=T2/π2C=1.64×10−3 H. (23) This inductance can be obtained with a coil of 36 turns, each circular loop having a radius of 25 cm, and wire diameter 1 cm. The formula used to calculate the inductance is: [The inductance formula for this coil geometry is provided on the web at: http://emclab.umr.edu/new-induct/circular.html] L charg = N 2 R μ o μ r [ ln ( 8 R a ) - 2.0 ] nanohenries ( 24 ) where N is the number of turns in the coil, R and a are the radius of the coil and the radius of the wire in meters, respectively, μo=1.2566×10−6 henry/meter is the permeability of free space, and μr is the relative permeability for air, which is equal to 1. The repetition frequency of the Plasma Focus circuit should be such that the plasma has time to quench before the formation of the next discharge. In the present embodiment, the plasma should have time to quench at a repetition frequency of 55.58 kilohertz. When the condenser is discharged in the Plasma Focus, the discharge oscillation has the following period:T=2π√{square root over (LdischC)} (25)where Ldisch is the inductance of the discharge circuit. This is made up of the inductance of the condenser (=19.45 nH) and the inductance of the circuit itself. The latter is a function of the geometry of the circuit. Usually, the overall circuit inductance in a Plasma Focus is ˜50 nH. Hence:T=2π√{square root over (50·10−9×20·10−9)}=1.99·10−7 sec (26) Assuming that there are ten current oscillations before the plasma is fully quenched, one has as duration of the discharge:t=1.99·10−6 sec. (27) Therefore the discharge lasts ˜2 □sec. allowing another 8 □sec to make sure that the plasma is fully recombined, the repetition frequency of the Plasma Focus circuit can be as high as 1/10 □sec=100 kHz. This is almost twice the repetition frequency of 55.58 kHz at which the Plasma Focus fusion device will be operating. Hence, this is a frequency that can safely be reached. In order to bring the reactor to the operating regime for breakeven, it is necessary first to raise the water and steam temperature in the boiler to 400° C. In order to calculate the heat energy necessary and the time that it will it take to reach this temperature, one needs to proceed as follows. In a closed system, such as the one of the boiler, the formula to be used is: [Gordon J. Van Wylen, and Richard Sonntag, Fundamentals of Classical Thermodynamics (John Wiley and Sons, Inc., New York, 1973), P. 96.]ΔKE+ΔPE+ΔU=Qinput−Qlost−W (28)where ΔKE is the change in the amount of kinetic energy of the system, ΔPE is the change in the amount of gravitational potential energy, ΔU is the change in the amount of internal energy, Qinput is the amount of heat energy transferred into the system during a certain time interval, Qlost is the amount of heat energy lost from the system during that same time interval, and W is in net amount of work done by the system. In this analysis, ΔKE, ΔPE, Qlost and W are assumed to be zero for obvious reasons. We are then left with:Q=ΔU, (29)which can be written as:Q=Mwater(u2water−u1water)+Msteam(u2steamr−u1steam), (30)where Mwater is the total mass of water in the boiler, u2water and u1water are the specific internal energies of the water at final state (400° C.), and initial state (20° C.), respectively, Msteam is the mass of steam, and u2steam and u1steam are the specific internal energies of the steam at final state (400° C.), and initial state (20° C.), respectively. The mass of water in the closed system is given by:Mwater=(V/v) (31)where V is the volume occupied by the water, and v is its specific volume. The volume V occupied by the water is considered to be the entire central spherical volume of the boiler, although the fusion apparatus occupies part of that volume. In other words, we are considering for heating more water than we really have to. The volume of water is (see column 2 of Table 3):V=0.66 m3. (32) The density of water is 1000 kg/m3. The specific volume is the inverse of this number, i.e., 0.001 m3/kg. Hence, the mass of water is Mwater=660 kg. The water at temperature 400° C. is beyond its critical temperature Tcrit=374.14° C., and therefore it is in the form of superheated steam. Although in this form, its specific density remains the same as originally at 20° C. because the water is confined in the containing vessel. The pressure, however, increases at this temperature. In order to find the water pressure at 400° C. and liquid density=1000 kg/m3, Table 4 reports data taken from the ‘Steam Tables’ [J. H. Keenan, F. G. Keyes, P. G. Hill, and J. G. Moon, Steam Tables, (Wiley, New York, 1969).] on the specific volume and specific internal energy of superheated steam at 400° C. In FIG. 27 data is plotted taken from Table 4, i.e., specific volume vs. pressure, and, by exponential curve fitting, extrapolated the data up to the point where the specific density coincides with the water liquid density (=0.001 m3/kg). At this point, the pressure is 2,131 bars. This means that the superheated steam at 400° C. confined in the same volume as the one it had when it was at 20° C. has a pressure of 2,131 bars. The extrapolation equation appears on top of the graph, where the Linear Correlation Coefficient R is also displayed. This coefficient represents the relationship between the X and Y data to indicate how well the calculated curve fits the data. [KaleidaGraph—Data Analysis/Graphing Application (Synergy Software, Reading, Pa.) p. 295.] The procedure is repeated in FIG. 28 for the specific internal energy data vs. pressure, and found that the internal energy corresponding to 2,131 bars is 1,390.6 kJ/kg. This is the value that we will use in the formula above to determine u2water. It remains to find the value of u1water, i.e., the specific internal energy of water at 20° C. But this is easily found from the properties of water [Jesse S. Doolittle, and Francis J. Hale, Thermodynamics for Engineers (John Wiley & Sons, New York, 1983), p. 559.], i.e., from the specific heat of water at 20° C. This specific heat is 4.182 kJ/kg ° K. Hence:u1water=4.182 kJ/kg·° K×293° K=1,225.33 kJ/kg (33) As to Msteam, u2steam, and u1steam, the first is given in column 19 of Table 3. It is:Msteam=26.43 kg. At the beginning, this steam is in the form of water at 20° C., and its specific internal energy is the same as in (33). Hence:u1steam=1,225.33 kJ/kg. (34) The final specific internal energy at 400° C. and 60 bars pressure (see column 15 of Table 3) is found from the “Steam Tables”: [J. H. Keenan, F. G. Keyes, P. G. Hill, and J. G. Moon, Steam Tables, (Wiley, New York, 1969).]u2steam=2,892.9 kJ/kg. (34) The total amount of heat energy required to bring both the water and the steam in the boiler to a temperature of 400° C. can now be determined. Using (30):Q=660 (1,390.6−1,225.33)+26.43 (2,892.9−1,225.33)=1.532×105 kJ (35) In order to find how long it will take to deposit this heat energy in the boiler, we write:Q=W×t (36)where W is the rate of heat addition and t is the time. Table 4 reports the results. It shows that the boiler can be brought to the operating regime within a time that ranges from less than an hour to more than two hours, depending on rate of heat deposition. Modifications to the embodiments described above will be apparent to those skilled in the art. 1. E. Panarella, “Analysis of the Fusion Breakeven Conditions for D-T Plasmas of Prescribed Temperature Evolution” in Current Trends in International Fusion Research—Proceedings of the 1st Symposium (ed. E. Panarella, Plenum Press, New York, 1997) p. 211. 2. J. S. Brzosko, J. H. Degnan, N. V. Filippov, B. L. Freeman, G. F. Kiutlu, and J. W. Mather, “Comments on the Feasibility of Achieving Scientific Breakeven with a Plasma Focus Machine” in Current Trends in International Fusion Research—Proceedings of the 1st Symposium (ed. E. Panarella, Plenum Press, New York, 1997) p. 11. 3. A. Shyam, and M. Srinivasam, Neutron Emission from a 100 Joule Plasma. Appl. Physics 17, 425 (1978). 4. NRL Plasma Formulary (revised 1978), p. 37. 5. Francesco Pegoraro. “Ignition Physics and the Ignitor Project” in Current Trends in International Fusion Research—Proceedings of the 1st Symposium (ed. E. Panarella, Plenum Press, New York, 1997) p. 125. 6. Andrew M. Sessler, Thomas H. Stix, and Marshall N. Rosenbluth, “Build the International Thermonuclear Experimental Reactor?”, Physics Today, June 1996, p. 21, and references therein. 7. Charles D. Orth, “Prospects for Inertial Fusion Energy Based on a Diode-Pumped Solid-State Laser (DPSSL) Driver: Overview and Development Path” in Current Trends in International Fusion Research—Proceedings of the 2nd Symposium (ed. E. Panarella, NRC Research Press, Ottawa, 1999) p. 241. 8. J. P. Holman. Heat Transfer (McGraw-Hill, New York 1981), p. 10. 9. Spruce Pine Mica Company, Spruce Pine, N.C., U.S.A. -Internet address: http://spruce-pine-mica.com/properti.htm. 10. The inductance formula for our capacitor geometry is provided on the web at: http://emclab.umr.edu/new-induct/trace-v.html. 11. R. A. Hill and J. W. Hubbs. A Multi-Shot Dense Plasma Focus with Improved Cathode Design. Phys. Lett. 98A, 417 (1983). 12. E. Panarella, and V. Guty. A Kiloampere Current Diode Based on the Quenched Spark Gap Switch. J. Physics E: Scientific Instruments 7, 835 (1974). 13. R. W. Conn. First Wall and Divertor Plate Material Selection in Fusion Reactors. J. of Nuclear Materials 76 & 77, 103 (1978). 14. Leonard R. Ingersoll, Otto J. Zobel, and Alfred C. Ingersoll, Heat Conduction (McGraw-Hill Book Company, New York, 1948), p. 38. 15. Encyclopaedia Britannica, Vol. 14, p. 600. 16. Smithsonian Physical Tables, 1964, p. 393. 17. J. H. Keenan, F. G. Keyes, P. G. Hill, and J. G. Moon, Steam Tables (Wiley, New York, 1969). 18. Robert H. Thurston, A History of the Growth of the Steam Engine (Kennikat Press, Port Washington, N.Y., 1939), pp. 114-115. 19. John E. Traister, Handbook of Power Generators: Transformers and Generators (Prentice-Hall, Englewood Cliffs, N.J. 1983), p. 25. 20. G. N. Glasoe, and J. V. Labacqz, Pulse Generators (McGraw-Hill Book Company, Inc., 1948), pp. 12, 380. 21. The inductance formula for our coil geometry is provided on the web at: http://emclab.umr.edu/new-induct/circular.html. 22. Gordon J. Van Wylen, and Richard Sonntag, Fundamentals of Classical Thermodynamics (John Wiley and Sons, Inc., New York, 1973), p. 96. 23. KaleidaGraph—Data Analysis/Graphing Application (Synergy Software, Reading, Pa.) p. 295. 24. Jesse S. Doolittle, and Francis J. Hale, Thermodynamics for Engineers (John Wiley & Sons, New York, 1983), p. 559. |
|
abstract | Plant eradication and stressing of plants using illumination signaling where a short-time dual component, low energy, unnatural set of irradiances is applied, with no mutagenic or high radiative energy transfers in any wavelength for eradication by substantial high temperature thermally-induced leaf and plant component failure or incineration. An Indigo Region Illumination Distribution of wavelength 300 nm to 550 nm is directed to plant foliage and/or a plant root crown, while infrared radiation that is substantially Medium Wavelength Infrared radiation of 2-20 microns wavelength, 2.4-8.0 microns preferred, is directed to a plant root crown and/or soil immediately adjacent the root crown. The Indigo Region Illumination Distribution can pass through the MWIR emitter to form a compact illuminator. The MWIR emitter can comprise borosilicate glass at 400° F. to 1000° F. |
|
05999584& | abstract | A rigging system for reactor closure head and reactor internals lifts. The system includes a plurality of latchbox pendants attached to the lifting structure of an overhead crane. A first set of a plurality of lifting lugs is attached to the reactor internals, each of the lifting lugs corresponding to one of the plurality of latchboxes. A second set of a plurality of substantially identical lifting lugs, each of the lifting lugs corresponding to one of the plurality of latchboxes, is attached to a plurality of solid rod lifting pendants attached at one end to the reactor closure head. In operation, the latchbox pendants may be rigged once to the overhead crane for handling reactor internals and left in place throughout the entire rigging sequence without changing to turnbuckle pendants for reactor closure head handling. |
044629540 | summary | TECHNICAL FIELD This invention introduces a self oscillating nuclear power plant able to circulate a liquid medium by radial movements of a pair of nuclear mass blocks which becomes super critical when in contact with each other thereby emanating an energy burst in form of high energy charged particles which are collected and organized inside an energy cell to produce electric induction current. BACKGROUND OF THE INVENTION According to the present invention there is provided a self oscullating nuclear reactor power plant to improve the efficiency, safety and the endurance of a nuclear reactor plant. In todays standard a fission reactor had become too expensive, mainly because of their short life span limited by nuclear decay as introduced by a fission reaction whereby high energy charged particles are emanating from the nuclear fuel core and thereby penetrate throughout the nuclear structure introducing radiation, corrosion and nuclear decay. The present invention collects and organize those high energy charged particles which can be converted into induction current for consumer purpose and thereby improve the efficiency and reduce nuclear decay. There is a similar approach as indicated in references R. L. Moore, U.S. Pat. No. 3,549,490 Dec. 22, 1970 shows a reciprocation type of nuclear motor whereby the working fluid itself becomes super critical to introduce an energy impulse by nuclear oscillation whereby high energy charged particles are emitted by each nuclear thermo expansion but otherwise completely wasted and therefore introduces nuclear decay of the nuclear reactor involved. Reference R. I. Weiner et al U.S. Pat. No. 3,309,622 Mar. 14, 1967 introduces a self oscullating nuclear device to create high energy charged particles for the sole purpose of emitting powerful signal beacon or radiation beams into space. R. I. Weiner uses a direct head on collision between two nuclear mass blocks whereby the mechanical device to control the nuclear excursion is centralized around the center of the nuclear mass blocks and therefore puts a maximum stress to the mechanism not able to introduce nuclear excursion in a high frequency. The present invention has overcome the impact stress by centralizing the mechanical device above the critical mass blocks in form of a center pin used as axis for the pendant motion of the nuclear mass block. This insures a smooth mechanical procedure and also makes it possible to introduce a very high frequency nuclear excursion. SUMMARY OF THE INVENTION In todays technology mass and matter is recognized as the ultimate in energy meaning matter can be converted into energy and energy can be concentrated into matter. In this understanding we introduced fission reaction whereby five percent of the nuclear fuel is converted into energy and ninety five percent of the involved mass in wasted without any beneficial use. Therefore, the inventor designed a pulsar reactor able to convert twenty five percent of the nuclear fuel into useful power. The biggest problem in todays fission reactors is the large amount of medium needed to introduce a nuclear reaction whereby the medium become highly radioactive which in time will decay the entire structure of a nuclear reactor, therefore, shorten the life span and increase danger factors, which makes standard projects of nuclear fission reactors impractical and two expensive. Additionally, till now the main energy obtained in a nuclear reactor was used to activate a steam plant to drive turbo electric generator which is a heavy glumsey and expensive set up. By todays standard there is no question the oscillating nuclear reactor is far more compact circulates its own medium by each nuclear impulse to do physical work, at the same time it emits high energy charged particles which can be organized in a cooling coil and directed to a high tension coil inside an energy cell to supply induction current. The present invention entitled "Pulsar Reactor" emits those energy impulses in intervals, therefore, a condenser is needed able to collect and store high energy charged particles for a short time long enough to fill the gap in between the electro magnetic impulses. There is no such a conductor available, therefore, the inventor designed a super conductor in form of an energy cell. The Energy Cell is similar to a condensor or liquid acid battery. Its functioning is: to collect high energy charged particles and keep them in orbit in a copper tubing which winds around a grounded cylinder through which the working fluid from the nuclear reactor circulates the space inbetween the energy cell is filled with a active solution able to absorb some of the energy emitted by nuclear reaction. This is achieved by installing heavy zinc blades against the moderator tank inside the energy cell. Each energy impulse introduced by each nuclear excursion will release a large amount of high energy charged particles which are attracted and collected by the cooling coil from the reactor housing into the energy cell orbiting a negative moderator tank which acts as a cathode, meaning the energy impulse inside the nuclear reactor creates immediately a high tension electro magnetic field around the moderator tank inside the energy cell which can be tapped from the outside by an electric contacts. In between the energy impulses the active solution inside the energy cell will absorb conductive energy exchanged between secondary coil and the cathode whereby atoms from the copper tubing are exchanged visa verse with atoms of the zinc plates fasten against moderator tanks, therefore a steady supply of electro magnetic energy is secured between the energy impulses of the reactor and galvanic activity inside the energy cell, meaning two energy sources are combined inside an energy cell to supply a steady flow of an electric current to the consumer line. Additionally, the impulses inside the reactor also produce hydraulic power to do physical work. This will not only improve the efficiency, but also reduce corrosion or decay inside the reactor structure which till now limits the life span of a nuclar reactor. Meaning the present invention makes an oscillating nuclear reactor more efficient lighter per horsepower and improves the life span and safey factor of a nuclear reactor. I like to mention that in general nuclear power is recognized as the ultimate energy supply for man. But I questioned that because matter is already the product of a secondary energy source created when nothing, meaning absolute space in a high degree of symmetry as existing between the stars decays into a lower form of energy, therefore, the ultimate of energy are universal forces in space in a stage of harmony. But when universal forces which one can describe as gods or goddesses come out of balance perhaps because of territorial disputes then infinity is converted into antimatter and space into matter, the ultimate in fuel, meaning if man ever can leave the solar system and travel in intersteller space there is no more need for fuel because one travels inside the ultimate of energy meaning being among gods or godesses. I hardly can believe that a specimen like the human can physically enter the terrain of gods or godesses, but I know, we can travel into any distance, any dimension, or any domain mentally, therefore it is most important to keep a precise trace on Pioneer 10, in my opinion the announcement of Pioneer 10 leaving the solar system on June 18, 1983 was a hoax in bad taste. If Pioneer 10 can travel in interstellar space than man can travel in between the stars far simpler, far more efficient than ever imagined before in a space without limitations of speed meaning it takes millions of light years for a space vehicle to reach the nearest star, but it would take only a fraction of a second to reach any start througout the universe by a single thought . . . a thought is energy superior to matter and without a nucleus structure, therefore not bounded to electro magnetism or gravitational forces which do not exist in interstellar space. Therefore, measurements conducted throughout the universe by light speed are false because the photon of light behaves quite different in interstellar space as in comparison to planetary space. OBJECTIVES OF THE INVENTION A principal object of the invention is to provide a new and better approach to obtain energy out of a nuclear fission reactor. This is achieved by using a working fluid to control the impulses of a oscillant nuclear reaction as the thermo expansion created by each nuclear reaction introduces a hydraulic working impulse whereby high energy charged particles emanating from the nuclear reaction are collected and organized inside an energy cell to supply induction current for consumer purposes. This makes the present inventions more efficient, lighter per horsepower, as demanded in the aerodynamic and hydro dynamic industry. Oceanic mining will be the industry of the future, because it is the only source left to obtain strategic metals and minerals never known to man. Those treasures have been untouched because of technical difficulties to operate at the bottom of the sea, but with todays technology there is no question in the inventors mind that electrolysis is the answer in oceanic mining. Electrolysis needs a powerful electro magnetic energy source. The pulsar reactor of this invention would indeed supply enough hydraulic power to propel a sizeable vessel and at the same time create enough electro maganetic energy needed for oceanic mining. Further objects of this invention will be pointed out in the following detailed description and claims as illustrate in the accompanying drawing which discloses by way of example the principal of this invention and the best mode which has been contemplated of applying the principal. |
claims | 1. A device for confining the melt of a nuclear reactor core, comprising:a melt trap body configured to be mounted below a bottom of a reactor vessel, the melt trap comprising a cooled multilayered housing;a filler for diluting melt, the filler being arranged in the multilayered housing;an upper melt trap support; anda lower melt trap support comprising a horizontal embedded plate mounted under the multilayered housing and configured to be mounted in concrete of a reactor shaft;wherein the embedded plate comprises lower radial supports and the multilayered housing comprises upper radial supports resting on the lower radial supports of the embedded plate;wherein the lower radial supports and the upper radial supports are connected by means of fasteners and comprise oval holes, and the fasteners have openings in the form of hyperbolic surfaces; andwherein the upper melt trap support comprises a plurality of turnbuckles mounted in pairs on an upper part of the multilayered housing such that, from a top view of the melt trap body, each lower radial support extends in projection equidistantly from file attachment points of corresponding paired turnbuckles of the plurality of turnbuckles, the corresponding paired turnbuckles mounted tangentially to the melt trap body and configured to connect the melt trap body to a vertical wall of the reactor shaft. 2. The device of claim 1, the embedded plate being a sectional embedded plate. 3. The device of claim 1, the embedded plate being a solid embedded plate. 4. The device of claim 1, the embedded plate being an embedded plate made of separate pieces. |
|
046997563 | summary | CROSS REFERENCE TO RELATED APPLICATIONS Reference is hereby made to the following copending U.S. applications dealing with related subject matter and assigned to the assignee of the present invention: 1. "A Nuclear Reactor Control Rod Having A Reduced Worth Tip" by John F. Wilson et al, assigned U.S. Ser. No. 633,774 and filed July 24, 1984 (W.E. 51,888). 2. "Light Water Moderator Rod For A Nuclear Reactor" by P. K. Doshi et al, assigned U.S. Ser. No. 654,709 and filed Sept. 26, 1984 (W.E. 52,140). 3. "Soluble Burnable Absorber Rod For A Nuclear Reactor" by P. K. Doshi et al, assigned U.S. Ser. No. 654,625 and filed Sept. 26, 1984 (W.E. 52,141). BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates generally to nuclear reactors, and more particularly, is concerned with an improved control rod for use with a nuclear fuel assembly in reactor shutdown. 2. Description of the Prior Art In a typical nuclear reactor, the reactor core includes a large number of fuel assemblies each of which is composed of top and bottom nozzles with a plurality of elongated transversely spaced guide thimbles extending between the nozzles and a plurality of tranverse grids axially spaced along the guide thimbles. Also, each fuel assembly is composed of a plurality of elongated fuel elements or rods transversely spaced apart from one another and from the guide thimbles and supported by the grids between the top and bottom nozzles. The fuel rods each contain fissile material and are grouped together in an array which is organized so as to provide a neutron flux in the core sufficient to support a high rate of nuclear fission and thus the release of a large amount of energy in the form of heat. A liquid coolant is pumped upwardly through the core in order to extract some of the heat generated in the core for the production of useful work. Since the rate of heat generation in the reactor core is proportional to the nuclear fission rate, and this, in turn, is determined by the neutron flux in the core, control of heat generation at reactor start-up, during its operation and at shutdown is achieved by varying the neutron flux. One common way of doing this is by absorbing excess neutrons using control rods which contain neutron absorbing material. The guide thimbles, in addition to being structural elements of the fuel assembly, also provide channels for insertion of the neutron absorber control rods within the reactor core. The level of neutron flux and thus the heat output of the core is normally regulated by the movement of the control rods into and from the guide thimbles. Representative of the prior art are the control rods and systems disclosed in U.S. Pats. Nos. to Busby et al (3,088,898), Hitchcock (3,230,147 and 3,255,086), Eich (3,485,717), French et al (3,519,535), Schabert et al (3,734,825), Radkowsky et al (4,123,328), Bevilacqua (4,169,759) and Anthony et al (4,172,762). One common arrangement utilizing control rods in association with a fuel assembly can be seen in U.S. Pat. No. 4,326,919 to Hill and assigned to the assignee of the present invention. This patent shows an array of control rods supported at their upper ends by a spider assembly, which in turn is connected to a control rod drive mechanism that vertically raises and lowers (referred to as a stepping action) the control rods into and out of the hollow guide thimbles of the fuel assembly. The typical construction of the control rod used in such an arrangement is in the form of an elongated metallic cladding tube having a neutron absorbing material disposed within the tube and with end plugs at opposite ends thereof for sealing the absorber material within the tube. Generally, the neutron absorbing material is one having a high neutron absorption cross section, such as boron carbide, tantalum, a combination of silver-indium-cadmium, or many others well known in the art. The material is ordinarily in the form of a stack of closely packed ceramic or metal pellets which only partially fill the tube, leaving a void space or axial gap between the top of the pellets and the upper end plug which defines a plenum chamber for receiving gasses generated during the control operation. A coil spring is disposed within this plenum chamber and held in a state of compression between the upper end plug and the top pellet so as to maintain the stack of pellets in their closely packed arrangement during stepping of the control rod. At end of cycle life (EOL) and hot zero power (HZP) core condition in reactors, an adverse power (flux) distribution shift to the top of the core commonly occurs. For instance, the axial flux imbalance (AFI) for a typical pressurized water reactor is on the order of 50 to 60%. With such extreme AFI, there is significant reactivity redistribution which nuclear designers have to account for in accident analysis via a reactivity penalty on available rod worth. The penalty, commonly known as reactivity redistribution factor (RRF), is approximately 0.85% delta p at EOL and accounted for in the shutdown margin calculation. The control rod designs used heretofore have failed to adequately alter this imbalance in the core axial power distribution at reactor shutdown. Consequently, a need exists for a control rod design which will conteract this situation so as to substantially reduce RRF during reactor shutdown. SUMMARY OF THE INVENTION The present invention provides a control rod employing axially inhomogeneous absorber material designed to satisfy the aforementioned need. Unlike the prior art control rods, the control rod of the present invention has stronger absorber material placed in the upper, approximately 25%, of the rod than in the lower three-quarters thereof. With this design concept, the heavier worth control rod absorber material will push the HZP, EOL flux shape to the more typical hot full power shape which is reasonably balanced at the middle portion of the reactor core. In such manner, the need for RRF penalty is eliminated. This design is used for all control rods in the core including shutdown banks. As mentioned earlier, the AFI at HZP and EOL with prior art homogeneous control rods is approximately 60%. With the heavier absorber material in the upper 25%, the AFI at the same conditions becomes 4% only. Accordingly, the present invention sets forth in a fuel assembly for a nuclear reactor including a plurality of guide thimbles and a plurality of nuclear fuel rods spaced apart from one another and from the guide thimbles and grouped together in an array organized to generate a neutron flux in the fuel assembly, an improved control rod for insertion into at least one of the guide thimbles for regulating the reactor neutron flux. The improved control rod is composed of: (a) an elongated hollow tubular member having opposite ends and a hermetically sealed chamber defined therein between its opposite ends, one of the member ends being a leading end and the other of the member ends being a trailing end upon insertion of the control rod into the fuel assembly; (b) a first plurality of neutron absorbe material pellets contained in the chamber and located nearer to the trailing than to the leading end of the member; and (c) a second plurality of neutron absorber material pellets contained in the chamber and located nearer to the leading than to the leading end of the member. More particularly, the first neutron absorber material, preferably being boron carbide, has a higher neutron absorption cross section than that of the second neutron absorber material, which preferably is silver-indium-cadmium. Also, the second neutron absorber material is greater in quantity than that of the first neutron absorber material. Particularly, the quantities of the first and second neutron absorber materials are represented by the respective lengths thereof. The length of the second material is approximately three times longer than that of the first material. These and other advantages and attainments of the present invention will become apparent to those skilled in the art upon a reading of the following detailed description when taken in conjunction with the drawings wherein there is shown and described an illustrative embodiment of the invention. |
summary | ||
claims | 1. An electron beam column comprising:(a) a thermal field emission electron source to generate an electron beam;(b) an electron beam blanker to blank the electron beam;(c) a beam shaping module to shape the electron beam;(d) electron beam optics comprising a plurality of electron beam lenses including one or more of a condenser, projection, magnification, demagnification and objective lens,wherein the optical parameters of the electron beam blanker, beam shaping module, and electron beam optics are set to achieve an acceptance semi-angle β of from about ¼to about 3 mrads, where the acceptance semi-angle β is half the angle subtended by the electron beam at the writing plane. 2. A column according to claim 1 wherein the optical parameters of the electron beam blanker, beam shaping module, and electron beam optics are set to achieve an acceptance semi-angle β of from about 1 to about 3 mrads. 3. A column according to claim 1 wherein electron beam optics are arranged in a column having a length of from about 300 to about 1200 mm. 4. A column according to claim 3 wherein electron beam optics are arranged in a column having a length of from about 400 to about 800 mm. 5. A column according to claim 1 wherein the acceptance semi-angle β is the angle defined by βfinal=(dsource/shapefinal)αsource, where dsource is the source diameter and shapefinal is the final size of the shaped beam, and αsource is the source semi-angle. 6. A column according to claim 1 wherein the electron beam column comprises a magnification of from about 15 to about 60. 7. A column according to claim 1 wherein the electron beam column comprises a demagnification of from about 32 to about 128. 8. A column according to claim 1 wherein the electron beam column comprises an aperture size of from about 3 to about 20 μm. 9. A column according to claim 1 wherein the electron beam column comprises a final beam shape of from about 1/32 to about 1 μm. 10. A column according to claim 1 wherein the electron beam column comprises a source size of from about 10 to about 100 nm. 11. A column according to claim 1 wherein the thermal field emission electron source comprises a tip having a diameter of at least about 1.2 micron. 12. A column according to claim 11 wherein the thermal field emission electron source comprises a tip having a diameter of from about 1.2 to about 2 micron. 13. A column according to claim 12 wherein the thermal field emission electron source comprises zirconiated tungsten. 14. A beam shaping module for an electron beam column, the beam shaping module comprising:(a) an upper projection lens;(b) an upper beam shaper having upper aperture, the upper beam shaper located downstream of the upper projection lens;(c) a deflector comprising a plurality of deflector plates spaced apart about an electron beam gap, at least one pair of deflector plates facing each other, the deflector plates capable of being electrostatically charged to deflect an electron beam which is focused at a crossover point within the electron beam gap;(d) a lower beam shaper having a plurality of lower apertures; and(e) a lower projection lens,whereby the beam shaping module operates as a single lens with the object and image lengths defined by the upper and lower projection lenses, respectively. 15. A beam shaping module according to claim 14 wherein the upper projection lens has a focal length set to focus the electron beam at a point along an axis at the center of a deflector plane of the deflector. 16. A beam shaping module according to claim 14 wherein the lower projection lens has a focal length selected to focus the shaped beam image into a crossover point corresponding to the entrance pupil of a downstream demagnification lens. 17. A beam shaping module according to claim 14 wherein the upper aperture of the upper beam shaper is a square. 18. A beam shaping module according to claim 17 wherein the plurality of lower apertures in the lower beam shaper comprise a square aperture which is surrounded by a plurality of right triangle apertures. |
|
description | This invention relates to systems for treating materials, especially waste materials, with liquid reactant metals. The invention encompasses both methods for treating materials in a liquid reactant metal and treatment systems for performing the treatment operations. It is known that certain chemically active metals or reactant metals held as a liquid at elevated temperatures have the ability to chemically reduce organic compounds. Suitable reactant metals include aluminum, magnesium, lithium, and alloys of these metals as described in U.S. Pat. Nos. 5,000,101, 6,069,290, and 6,355,857 to Wagner. The entire content of each of these prior patents is hereby incorporated in this disclosure by this reference. These liquid reactant metals chemically reduce organic molecules to produce mostly hydrogen and nitrogen gas, elemental carbon, char, and metal salts. Most metals mixed with the organic materials or bound up in organic molecules in the waste materials dissolve or melt into the liquid reactant metal. Low boiling point metals such as Mercury may go to a gaseous state and separate from the liquid reactant metal along with other gases. Other metals alloy with the liquid reactant metal or separate from the liquid reactant metal by gravity separation. Liquid reactant metals are also useful in treating radioactive wastes and mixed radioactive and nonradioactive wastes. U.S. Pat. No. 6,355,857 discloses processes for treating radioactive and mixed radioactive and nonradioactive wastes in a liquid reactant metal reactor. Many of the materials in the waste are chemically reduced to produce relatively innocuous compounds or constituent elements. Radioactive metals such as Uranium and transuranic metals are dissolved or otherwise dispersed into the liquid reactant metal. As shown in U.S. Pat. No. 6,355,857 and U.S. patent application Ser. No. 10/059,808, the entire content of which is incorporated by reference, radiation absorbing metals and radiation moderating metals may be included in the liquid reactant metal. The liquid reactant metal, trapped radioactive isotopes, and radiation absorbing or moderating materials may be solidified to form an ingot. In the resulting ingot the radiation absorbing materials absorb radioactive emissions from the trapped radioactive isotopes and greatly reduce the amount of radiation escaping from the ingot. Thus, the ingot provides a good vehicle for the relatively safe, long-term storage of radioactive isotopes. The liquid reactant metal treatment processes described above and in U.S. Pat. No. 6,355,857 and application Ser. No. 10/059,808 provide ways to effectively isolate radioactive isotopes from mixed non-radioactive and radioactive wastes and effectively store radioactive materials. There remains a need, however, for improved systems for providing the necessary contact between the material to be treated and the reactant metal, and for handling the resulting reaction products. The need is particularly acute for high-level nuclear waste materials such as spent nuclear fuel rods. The present invention provides treatment methods and devices for treating various types of materials with liquid reactant metals. Although the invention is applicable for treating many types of materials, the present treatment system is especially suited for treating articles such as spent nuclear fuel rods or similar articles that include high-level radioactive materials. The invention places the radioactive elements from the radioactive material in a storage mixture that includes the liquid reactant metal and radioactive emission control materials. This storage mixture can be cooled to form ingots in which the radioactive elements may be stored in relative safety over long periods of time. As used in this disclosure and the accompanying claims, the radioactive atoms dissolved or otherwise liberated from the target material being treated will be referred to as “radioactive material decomposition constituents.” The term “decomposition” is not used here to imply that the atoms dispersed into the reactant metal from the target material change from one isotope to another by radioactive emission. Rather the term “decomposition” is used to describe the fact that the respective atoms were once part of the article made up of the target material being treated or were once included in the physical structure of the target material, and have been released from the target material into the liquid reactant metal. This release into the liquid reactant metal at least partially, and preferably completely, eliminates the original article or physical structure of the target material. The materials included in a storage mixture according to the invention to absorb or moderate radioactive emissions from the radioactive material decomposition constituents in the storage mixture will be referred to in this disclosure and the accompanying claims as “radioactive emission control materials.” The word “control” in this phrase is not intended to imply that the materials prevent radioactive emissions from the radioactive isotopes in the storage mixture. It will be appreciated that the “control” provided by the radioactive emission control material is in absorbing the radioactive emissions that inevitably occur, either producing a stable isotope or one that degrades further by radioactive emission. The phrase radioactive emission control materials also encompasses moderating materials that absorb high energy particles or radiation and produce lower energy emissions in response. According to the invention, material to be treated, that is, the target material, is placed or loaded into a containment area defined within a liquid reactant metal treatment vessel. The containment area is then placed below the level of the liquid reactant metal in the treatment vessel. This places the target material in contact with the liquid reactant metal and allows the desired reactions to occur. Reaction products are then removed from the treatment vessel. In one form of the invention the treatment vessel is held in a first position to load the target material into the vessel. The treatment vessel is then tilted to a treatment position in order to place the containment area, and thus the target material, below the level of liquid reactant metal in the vessel. In other forms of the invention, liquid reactant metal is poured or otherwise transferred from a separate vessel into the treatment vessel to place the containment area below the liquid reactant metal level in the treatment vessel. The manner in which reaction products are removed from the treatment vessel depends upon the nature of the target materials being treated. Where the target material is a spent nuclear fuel rod for example, the reaction products comprise decomposition constituents made up of radioactive materials and other materials from the spent fuel rods dissolved or otherwise dispersed in the liquid reactant metal. In this case, the reaction products are removed from the treatment vessel by transferring the entire melt including the reactant metal, decomposition constituents, and radioactive emission control materials into ingots for cooling. In forms of the invention in which the material to be treated includes hydrocarbons or other materials that are chemically reduced by the liquid reactant metal, the reaction products include products from the chemical reduction reaction. These reaction products are removed from the treatment vessel in gaseous, liquid, or solid form as is known in the art of liquid reactant metal reactors. The present invention provides a relatively simple arrangement for placing materials to be treated in contact with a liquid reactant metal. The invention is particularly advantageous for treating spent nuclear fuel rods because the system allows the rods to be treated in a single vessel which may be loaded easily in an automated fashion necessary for handling such radioactive materials. The resulting storage mixture may then be poured off into molds in an automated fashion to form the desired long-term storage products. These and other objects, advantages, and features of the invention will be apparent from the following description of the preferred embodiments, considered along with the accompanying drawings. Referring to the schematic representation shown in FIG. 1, a liquid reactant metal treatment system 10 embodying the principles of the invention includes a treatment vessel 11, a target material loading arrangement 12 and a reaction product removal arrangement 14. Alternative forms of the invention may also include a reactant metal forming vessel or melt forming vessel 15 and an emission control material vessel 16. Depending upon the nature of the target material being treated in system 10, the entire system may be contained within a suitable containment vessel or housing 18. One preferred treatment vessel 11 will be discussed in detail below with reference to FIGS. 2 through 6. Any treatment vessel 11 according to the invention will include a vessel suitable for containing a liquid reactant metal such as molten aluminum or mixtures or alloys of molten reactant metal together with other metals, including radioactive emission control materials. Although liquid aluminum preferably makes up the bulk of the liquid reactant metal in the preferred treatment system, liquid aluminum may be replaced partially or completely with other metals such as liquid magnesium or lithium for example. All of these liquid reactant metals are extremely aggressive and thus treatment vessel 11 must be either formed from, or coated with, a suitable refractory material that will not react substantially with the reactant metal. Treatment vessel 11 must also be capable of maintaining structural integrity at the required elevated operating temperatures. In some forms of the invention, a heating device 20 will be associated with treatment vessel 11. Heating device 20 might be used for maintaining the liquid reactant metal in treatment vessel 11 at the desired temperature for performing the process or for melting an initial charge of metals in addition to maintaining the reactant metal temperature. Heating device 20 may include a fossil fuel burning system or an electrical induction heating system, or any other heating system suitable for use in liquid reactant metal reactors. A circulating arrangement 21 may also be associated with treatment vessel 11 for circulating the liquid reactant metal within the vessel. Some forms of the invention may also include a tilting mechanism or arrangement 22 for tilting treatment vessel 11 from one position to another in the course of the treatment process. Tilting arrangement 22 will be described in further detail with reference to FIGS. 2 through 6. Target material loading arrangement 12 is included in system 10 for loading the material to be treated, that is, the target material, into treatment vessel 11. Target material loading arrangement 12 may be any of a number of different structures or devices depending upon the particular target material. For example, where the target material is comprised of spent nuclear fuel rods or portions of such fuel rods, loading arrangement 12 may comprise a remotely operated robotic arm or other structure for picking up one or more of the highly radioactive spent fuel rods and placing the rod or rods into a target material containment structure within treatment vessel 11. This target material containment structure is not shown in the schematic diagram of FIG. 1, but will be described in detail below with reference to FIGS. 4 through 6. A suitable door or hatch will commonly be included with treatment vessel 11 for providing access to the interior and the treatment vessel for the target material loading arrangement 12. An example loading hatch will also be described below with reference to FIGS. 2 and 3. Reaction product removal arrangement 14 may include a number of different elements depending upon the nature of the target material. Again using the example of a target material comprising a spent nuclear fuel rod, reaction product removal arrangement 14 will comprise a structure associated with treatment vessel 11 for pouring or otherwise physically removing the storage mixture and directing the storage mixture to molds (not shown in FIG. 1). One type of reaction product removal arrangement comprises a spout or similar structure on vessel 11 through which the molten contents of vessel 11 may be poured into molds. This pouring structure and the molds will be described below with particular reference to FIG. 6. It will be appreciated that tilting arrangement 22 may cooperate with reaction product removal arrangement 14 in this form of the invention or may even be considered to be part of the reaction product removal arrangement. Where the target material includes materials such as hydrocarbons or other materials that are chemically reduced by the liquid reactant metal, the reaction product removal arrangement may include a gaseous reaction product removal component and a solid/liquid reaction product removal component. Examples of these gaseous liquid/solid reaction product removal components are described in U.S. Pat. No. 6,227,126, the entire content of which is hereby incorporated herein by reference. The reactant metal conditioning vessel 15 shown in FIG. 1 may comprise a separate vessel including its own heating arrangement 24, circulating arrangement 25, and loading arrangement 26. Vessel 15 may be loaded with metals in solid form through loading arrangement 26, and this charge material may be heated and placed in molten form using heating arrangement 24. Circulating arrangement 25 may be used to circulate the molten materials in vessel 15 and ultimately produce the desired uniform liquid reactant metal for use in treatment vessel 11. It will be appreciated that other preferred forms of the invention may charge treatment vessel 11 directly with solid metals for inclusion in the desired liquid reactant metal, and thus vessel 15 and its associated components may be eliminated in some forms of the invention. Where a separate liquid reactant metal forming and conditioning vessel 15 is employed, the liquid reactant metal may be transferred to treatment vessel 11 in any suitable fashion such as by pouring or by transfer through a suitable liquid metal pumping system, not shown in FIG. 1. In forms of the invention in which the target material includes radioactive constituents to be captured in the liquid reactant metal, the treatment process will include adding radioactive emission control material to the liquid reactant metal to ultimately produce a storage mixture. The emission control materials may be added to the liquid reactant metal in any of a number of different ways within the scope of the invention. In one form of the invention, the emission control materials are included with the original materials making up the liquid reactant metal. In this form of the invention the emission control material is already in the liquid reactant metal at the time the target material is added to treatment vessel 11. In other forms of the invention, the emission control materials may be added to the treatment vessel after the target materials are contacted with the liquid reactant metal. In these forms of the invention, emission control material vessel 16 shown in FIG. 1 may be used to melt and condition the emission control materials to be added to treatment vessel 11. Alternatively, the target material may first be decomposed in the liquid reactant metal in vessel 11, and then the resulting mixture may be transferred to emission control material vessel 16. The materials in vessel 16 may then be circulated and mixed thoroughly to produce the desired uniform storage mixture. The storage mixture may then be transferred from vessel 16 into ingot molds to form a desired storage product for the radioactive materials. Regardless of the manner in which vessel 16 is employed according to the invention, where the vessel is present in the system, it will commonly include its own heating arrangement and circulating arrangement 31. Transfer of the storage mixture to the ingots may be accomplished by physically tilting vessel 16 to pour the mixture or by a suitable pumping arrangement. Where materials from vessel 16 are added to treatment vessel 11, the transfer may similarly be accomplished by pouring the liquid metals from vessel 16 or by pumping the liquid metals. The nature of containment structure 18 will depend upon the nature of the target material being treated in system 10. Where high-level radioactive materials such as spent nuclear fuel rods are being treated, containment structure 18 may comprise a lead lined, reinforced concrete structure. Where no radioactive materials are being treated in system 10, the containment structure may comprise any suitable structure for containing untreated materials, reaction product gases, or molten metals that may inadvertently escape from the various vessels or containers in the system. An example treatment vessel 11 is shown in FIGS. 2 through 6. The vessel itself and its associated components may be described with reference to FIGS. 2 through 4. The operation of this example treatment vessel 11 will be described below with reference to FIGS. 4 through 6. In the side view of treatment vessel 11 provided in FIG. 2, the vessel is shown mounted on tilting arrangement 22 and adapted to tilt or pivot about an axis P. The tilting of treatment vessel 111 in the course of treating a target material will be described further below with reference to FIGS. 4 through 6. Mechanisms for tilting vessels containing molten or liquid metals are well known in the metal production and recycling industry. The tilting arrangement 22 shown in FIG. 2 may comprise a gear or chain driven device adapted to drive the vessel about pivot axis P. An alternate tilting system may comprise a structure for suspending vessel 11 and a device for lifting one side of the vessel so as to cause the vessel to pivot about a pivot point associated with the suspension structure. Any other suitable vessel tilting arrangement may be used within the scope of the present invention. A number of fossil fuel burners 34 are mounted on a top enclosure 35 of vessel 11. These burners 34 form part of the heating system 20 shown schematically in FIG. 1, and may be used to burn a suitable fuel to apply heat to the interior of vessel 11. The heat may be required to melt the reactant metals and other metals placed in vessel 11, or may be required to maintain the contents of the vessel 11 at the desired temperature during treatment. A flue or stack 37 is connected to vessel 11 for removing combustion products from the fuel burned at burners 34. It will be noted that the flue 37 must include a flexible or articulating portion, or a separating structure (not shown) in order to accommodate the tilting movement of vessel 11. Also, conduits providing fuel to burns 34 must be flexible or articulating in order to accommodate the tilting movement of the vessel. Circulation within vessel 11 is provided by a number of circulating devices 40 mounted on vessel top 35. These circulating devices 40 correspond to the circulating arrangement 21 shown schematically in FIG. 1. Each circulating device 40 includes a motor 41 adapted to drive an impeller or other agitating device 42 on a shaft 43 within the interior of vessel 11. It will be appreciated that the agitating device 42 and parts of the shaft 43 exposed to the liquid reactant metal must be made of a suitable refractory material or coated with such material in order to protect the components from the reactant metal. The agitating device 42 and parts of shaft 43 within vessel 11 must also be able to maintain structural integrity at the operating temperatures within the vessel. These temperatures will depend upon the liquid reactant metal and the target materials, but will generally be approximately 800 degrees Celsius or greater. As shown in FIG. 4, vessel 11 includes a containment structure 45 mounted in its interior. This containment structure 45 defines a containment area in which target materials are treated according to the invention. The particular containment structure 45 shown in the present figures is well adapted for containing spent nuclear fuel rods as they are treated according to the invention. Containment structure 45 includes a lower or shelf component 48 and a top or roof component 49. The space between the distal end of top component 49 and the distal end of lower component 48 allows the spent fuel rods to be dropped onto the lower component. Top component 49 prevents the rods from escaping from the containment area as the rods are submerged in the liquid reactant metal as will be described further below with reference to FIG. 5. As can be appreciated from FIG. 2, the length of vessel 11 may be such that the containment structure mounted within the vessel may accommodate an entire spent nuclear fuel rod, which may be on the order of 12 feet long. Referring to FIG. 3, the top 35 of the treatment vessel includes a door or hatch 52 through which solid or liquid materials for the liquid reactant metal may be added to vessel 11. The hatch 52 shown in FIG. 3 may comprise a simple pivoting hatch that pivots upwardly to expose an opening through treatment vessel top 35. Numerous other arrangements may be used with treatment vessel 11 for adding components of the liquid reactant metal or emission control materials to be used for radioactive target materials. The vessel top 35 also includes a target material loading door or hatch 54. This loading door 54 may be opened to expose a loading access opening in vessel top 35 which provides access to containment structure 45 to facilitate loading a target material into the containment structure. In the form of the invention shown in FIGS. 2 through 6, which is specifically adapted for treating spent nuclear fuel rods, loading door 54 extends the entire length of the elongated vessel 11 to accommodate loading an entire fuel rod. The illustrated loading door 54 comprises simply a hinged door or hatch that may be pivoted upwardly to expose the loading access opening. Any other suitable door or hatch may be used within the scope of the present invention. Where other types of heating arrangements are used that do not require an area within vessel 11 for containing combustion gases, it may also be possible to eliminate door 54 and simply leave the target material loading access opening exposed throughout the treatment cycle. The same may be said for charging door 52. As shown in FIGS. 3 and 4, the tilting treatment vessel 11 includes one or more spouts 55 to facilitate pouring the liquid contents of the vessel into a subsequent container, whether the subsequent container is an ingot forming mold or another vessel such as vessel 16 described above with reference to FIG. 1. Each pouring spout 55 may be associated with a lid or door 56 which may be pivoted or otherwise moved out of the way for pouring. The operation of the tilting treatment vessel 11 shown FIGS. 2 through 6 may be described with reference to the series of FIGS. 4 through 6. FIG. 4 shows treatment vessel 11 in a loading position with loading door 54 open and ready to receive a target material in containment structure 45. FIG. 4 also shows a spent nuclear fuel rod 60 loaded in containment structure 45. In the illustrated loading position, treatment vessel 11 is already loaded or charged with a liquid reactant metal. The level of the liquid reactant metal is shown at line L in FIGS. 4 and 5. Once the target material (rod 60) is loaded through loading door 54 and vessel 11 contains the desired quantity of liquid reactant metal, the vessel is tilted by the tilting mechanism 22 to the treating position shown in FIG. 5. In this treating position, the containment area defined by containment structure 45, and thus the target material (rod 60) is located well below the level L of liquid reactant metal in vessel 11. The target material is thus held in contact with the liquid reactant metal. Circulating devices 40 may be operated in this position to circulate the liquid reactant metal and thereby enhance the dissolution or decomposition of the target material. Tilting arrangement 22 may also be operated to tilt treatment vessel 11 back and forth slightly to slosh liquid reactant metal back and forth within the vessel to provide some mixing of liquid reactant metal in the vessel. This sloshing action may even provide sufficient mixing in some cases to eliminate the need for circulating devices 40. The particular containment structure 45 shown in FIGS. 4 through 6 uses the top component 49 to retain the target material, fuel rod 60, in the containment area. As vessel 11 is tilted, rod 60 will eventually be buoyed up off of lower component 48 by the liquid reactant metal as the level L passes the level of the lower component. Rod 60 will continue to be buoyed up until it reaches top component 49. The distal end of top component 49 includes a downward hook shape to catch the rod 60 and prevent it from leaving the containment area through the opening defined between the distal end of top component 49 and the distal end of lower component 48. It will be appreciated that containment structure 45 made up of overlapping components 48 and 49 is preferred for its simplicity. However, numerous other types of containment arrangements may be used with in the scope of the invention. For example, alternative containment structures may include a cage fixed within vessel 11 having a separate cage door or closure. Also, some forms of the invention may include a removable cage or containment structure that may be removed from vessel 11, loaded with target material, and then placed back in the vessel and fixed in the desired position within the vessel. All of these alternatives are encompassed within the scope of the accompanying claims. Once the target material, in this case spent fuel rod 60, is totally dissolved or otherwise dispersed in the liquid reactant metal below level L in FIG. 5, and the liquid reactant metal has been circulated sufficiently to disperse the radioactive decomposition constituents throughout the liquid reactant metal, tilting arrangement 22 is operated to tilt vessel 11 to the pouring position shown in FIG. 6. In this position, all of the liquid contents of treatment vessel 11 pour through spout 55 into the receiving device shown at 58 in the figure. Receiving device 58 may comprise ingot forming molds where the emission control materials have been added to form the desired storage mixture within vessel 11. Alternatively, receiving device 58 may comprise an emission control material vessel such as vessel 16 shown in FIG. 1. In this latter case, the storage mixture would be formed in vessel 16 and then transferred to the desired ingot forming molds. In any event, the storage mixture received in an ingot forming mold may be allowed to cool and solidify to produce a storage product for the radioactive material decomposition constituents. The ingot may then be encapsulated in a suitable radiation shielding material. The above described preferred embodiments are intended to illustrate the principles of the invention, but not to limit the scope of the invention. Various other embodiments and modifications to these preferred embodiments may be made by those skilled in the art without departing from the scope of the following claims. |
|
056299648 | claims | 1. A neutron absorbing apparatus for external application to a BWR fuel assembly of the type incorporating a flow channel and having a longitudinal axis, a generally rectangular cross section lying in a plane orthogonal to said longitudinal axis, an upper and a lower end with each of said ends lying in separate planes that are generally orthogonal to said longitudinal axis, and four sides with each side generally extending from one side of the upper end to a corresponding side of the lower end and running generally parallel to said longitudinal axis, a fuel rod affixed to and located within said fuel assembly and oriented generally parallel to said longitudinal axis, a bail affixed to and extending outwardly from said upper end and oriented generally parallel to said longitudinal axis, a triangular corner plate lying in a plane generally orthogonal to said longitudinal axis with the edges along two of its triangular sides aligned with and attached to two edges of one corner of the fuel assembly at said upper end of the fuel assembly, said neutron absorbing apparatus comprising: (a) a mounting plate having a generally rectangular cross section and a size generally equal to that of said upper end of the neutron absorbing apparatus, said mounting plate being oriented in a plane generally orthogonal to said longitudinal axis and being positioned immediately above and in generally alignment with said upper end of the neutron absorbing apparatus, said mounting plate including a first and a second aperture, said first aperture being aligned with and being of sufficient magnitude to pass said bail, (b) a tongue rotatably mounted to said mounting plate and located in said second aperture in said mounting plate, said tongue having a first and a second end and said tongue being positioned to set the first end of the tongue beneath the corner plate to secure said neutron absorbing apparatus to said fuel assembly, (c) means for detachably securing the second end of the tongue to the mounting plate to latch said first end of the tongue beneath said corner plate, and (d) a first backing plate positioned generally parallel to and generally covering a first side of said four sides of the fuel assembly, said first backing plate having an upper and a lower end, the upper end of said first backing plate being connected to a first edge of the mounting plate, said backing plate being formed from a material that is a neuron absorber. (a) a second backing plate positioned generally parallel to and generally covering a second side of the fuel assembly, said second backing plate having an upper and a lower end, the upper end of the second backing plate being connected to a second edge of the mounting plate, (b) a second neutron absorbing sheet having a size smaller than the second backing plate and being positioned against the second backing plate on the side facing the fuel assembly, (c) a second cover plate having a size larger than the second neutron absorbing sheet to completely cover the second neutron absorbing sheet, said second cover plate being positioned over and against the second neutron absorbing sheet and lying between the the second neutron absorbing sheet and the fuel assembly, and (d) means for attaching the second cover plate to the second backing plate to provide a protective enclosure about the second neutron absorbing sheet. (a) a mounting plate oriented in a plane generally orthogonal to said longitudinal axis and positioned immediately above and in generally alignment with said upper end of the fuel assembly, (b) means for detachably securing the mounting plate to the fuel assembly, (c) a first backing plate generally parallel to and generally covering a first side of said four sides of the fuel assembly, said first backing plate having an upper and a lower end, the upper end of the first backing plate being connected to a first edge of the mounting plate, and said first backing plate being formed of a neutron absorbing material. (a) a second backing plate positioned generally parallel to and generally covering a second side of the fuel assembly, said second backing plate having an upper and a lower end, the upper end of the second backing plate being connected to a second edge of the mounting plate. (a) a sleeve having an aperture, said aperture having a cross sectional size sufficient to pass closely about said PWR nozzle, said sleeve having an upper and a lower end and said sleeve being positioned to surround said PWR nozzle with said upper end of the sleeve being set to be generally aligned with the upper end of said PWR nozzle, (b) a first arm attached to the upper end of said sleeve and extending down within the aperture in said PWR nozzle to engage the recess in said aperture in said PWR nozzle to secure the neutron absorbing apparatus to the PWR fuel assembly, and (c) a first backing plate positioned generally parallel to and generally covering a first side of said four sides of the fuel assembly, said first backing plate having an upper and a lower end, the upper end of said first backing plate being connected to a first segment of the edge of said sleeve along the lower end of said sleeve, said backing plate being formed of neutron absorbing material. (a) a second backing plate positioned generally parallel to and generally covering a second side of said four sides of the fuel assembly, said second backing plate having an upper and a lower end, the upper end of said second backing plate being connected to a second segment of the edge of said sleeve along its lower end. (a) a sleeve having an aperture, said aperture having a cross sectional size sufficient to pass closely about said PWR nozzle, said sleeve having an upper and a lower end and said sleeve being positioned to surround said PWR nozzle with said upper end of the sleeve being set to be generally aligned with the upper end of said PWR nozzle, (b) a first arm attached to the upper end of said sleeve and extending down within the aperture in said PWR nozzle to engage the recess in said aperture in said PWR nozzle to secure the neutron absorbing apparatus to the PWR fuel assembly, (c) a first backing plate positioned generally parallel to and generally covering a first side of said four sides of the fuel assembly, said first backing plate having an upper and a lower end, the upper end of said first backing plate being connected to a first segment of the edge of said sleeve along the lower end of said sleeve, (d) a first neutron absorbing sheet having a size smaller than the first backing plate and being positioned against the first backing plate on the side facing the fuel assembly, said first absorbing sheet being selected from a group that includes cadmium and boron, (e) a first cover plate having a size larger than the neutron absorbing sheet to completely cover the neutron absorbing sheet, said first cover plate being positioned over and against the first neutron absorbing sheet and lying between the first neutron absorbing sheet and the fuel assembly, and (g) means for attaching the first cover plate generally about its edges to the first backing plate to provide a protective enclosure about the neutron absorbing sheet with one of the edges of the first cover plate running in the aggregate generally parallel to said longitudinal axis. 2. A neutron absorbing apparatus as claimed in claim 1 wherein said means for attaching the first cover plate to said first backing plate extends along all the edges about the first cover plate and one of said edges is positioned in the aggregate generally parallel with said longitudinal axis. 3. A neutron absorbing apparatus as claimed in claim 1, further comprising means for constraining said tongue in a position generally orthogonal to said mounting plate, said means for constraining being actuated to constrain said tongue when said tongue is placed by means of an applied force in a direction generally orthogonal to said mounting plate and said means for releasing said tongue from constraint when said tongue is placed by means of an applied force in a direction generally parallel to said mounting plate. 4. A neutron absorbing apparatus as claimed in claim 2 wherein said means for constraining includes an interference contact between said mounting plate and said tongue, said interference contact being encountered when said tongue is placed in a position generally orthogonal to said mounting plate. 5. A neutron absorbing apparatus as claimed in claim 1, further comprising: 6. A neutron absorbing apparatus as claimed in claim 5 wherein said first and second backing plates cover adjacent sides of the fuel assembly and further comprising means for joining the adjacent edges of the first and second backing plates to form a chevron configuration in a cross section of the first and second backing plates taken in a plane orthogonal to said longitudinal axis. 7. A neutron absorbing apparatus as claimed in claim 6, further comprising a first projection of resilient material extending from the lower end of said first backing plate along the edge located opposite the edge joined to the second backing plate, said first projection extending in a direction to lie against a third side of the fuel assembly adjacent said first side of the fuel assembly to secure the lower end of said neutron absorbing apparatus to said fuel assembly. 8. A neutron absorbing apparatus as claimed in claim 7 further comprising a second projection of resilient material extending from the lower end of said first backing plate along the edge located opposite the edge joined to the second backing plate, said second projection extending in a direction to lie against a fourth side of the fuel assembly adjacent said second side of the fuel assembly to secure the lower end of said neutron absorber to the fuel assembly. 9. A neutron absorbing apparatus as claimed in claim 1, further comprising a second backing plate formed of a neutron absorber and positioned generally parallel to and generally covering a second side of the fuel assembly, said second backing plate having an upper and lower end, the upper end of the second backing plate being connected to a second edge of the mounting plate. 10. A neutron absorbing apparatus as claimed in claim 9, wherein said first and second backing plates cover adjacent sides of the fuel assembly and further comprise means for joining the adjacent edges of the first and second backing plates to form a chevron configuration in a cross section of the first and second backing plates taken in a plane orthogonal to said longitudinal axis. 11. A neutron absorbing apparatus as claimed in claim 10, further comprising a first projection of resilient material extending from the lower end of said first backing plate along the edge located opposite the edge joined to the second backing plate, said first projection extending in a direction to lie against a third side of the fuel assembly adjacent said first side of the fuel assembly to secure the lower end of said neutron absorber to the fuel assembly. 12. A neutron absorbing apparatus as claimed in claim 11, further comprising a second projection of resilient material extending from the lower end of said second backing plate along the edge located opposite the edge joined to the first backing plate, said second projection extending in a direction to lie against a forth side of said fuel assembly adjacent the second side of the fuel assembly to secure the lower end of said neutron absorber to the fuel assembly. 13. A neutron absorbing apparatus as claimed in claim 12 wherein said backing plates are formed of borated stainless steel. 14. Apparatus as claimed in claim 13 wherein said backing plates for formed of borated aluminum. 15. A neutron absorbing apparatus for external application to a BWR fuel assembly of the type having a longitudinal axis, a generally rectangular cross section lying in a plane orthogonal to said longitudinal axis, an upper and a lower end with each of said ends lying in separate planes that are generally orthogonal to said longitudinal axis, and four sides with each side generally extending from one side of the upper end to a corresponding side of the lower end and running generally parallel to said longitudinal axis, a fuel rod affixed to and located within said fuel assembly and oriented generally parallel to said longitudinal axis, a bail affixed to and extending outwardly from said upper end of the fuel assembly and oriented generally parallel to said longitudinal axis, said neutron absorbing apparatus comprising: 16. A neutron absorbing apparatus as claimed in claim 15, wherein said BWR fuel assembly incorporates a flow channel which forms the outer covering of the upper end and all four sides of the fuel assembly, the fuel assembly being of the type that includes a triangular corner plate lying in a plane generally orthogonal to said longitudinal axis with the edges along two of its triangular sides being aligned with and attached to two edges of one corner of said upper end of the fuel assembly, and wherein said means for securing the mounting plate to said upper end of the fuel assembly comprises a tongue rotatably mounted to said mounting plate and located in said second aperture in said mounting plate, said tongue having a first and a second end and said tongue being positioned to set the first end of the tongue beneath the corner plate to secure the neutron absorbing apparatus to said fuel assembly. 17. A neutron absorbing apparatus as claimed in claim 15, further comprising: 18. A neutron absorbing apparatus as claimed in claim 15, wherein said backing plate is formed of borated stainless steel. 19. A neutron absorbing apparatus as claimed in claim 15, wherein said backing plated is formed of borated aluminum. 20. A neutron absorbing apparatus for external application to a PWR fuel assembly, said fuel assembly being of the type having a longitudinal axis, a generally rectangular cross section lying in a plane orthogonal to said longitudinal axis, an upper and a lower end with each of said ends lying in separate planes generally orthogonal to said longitudinal axis, and four sides with each side generally extending from one side of the upper end to a corresponding side of the lower end and running generally parallel to said longitudinal axis, a fuel rod affixed to and located within said fuel assembly and oriented generally parallel to said longitudinal axis, a PWR nozzle affixed to and generally covering said upper end of the PWR fuel assembly and lying in a plane generally orthogonal to said longitudinal axis, said PWR nozzle having an aperture centrally located about said longitudinal axis and said aperture passing through said PWR nozzle in the direction of said longitudinal axis, said PWR nozzle including a recess in said aperture lying in a plane generally orthogonal to said longitudinal axis, said neutron absorbing apparatus comprising: 21. Apparatus as claimed in claim 20, wherein said backing plate is formed borated aluminum. 22. Apparatus as claimed in claim 20, wherein said backing plate is formed of borated stainless steel. 23. A neutron absorbing apparatus as claimed in claim 20, further comprising a second a third and a fourth arm, each arm being attached to the upper end of said sleeve and extending down within the aperture in said PWR nozzle to engage the recess in said aperture to secure said neutron absorbing apparatus to said PWR fuel assembly. 24. A neutron absorbing apparatus as claimed in claim 20, further comprising: 25. A neutron absorbing apparatus as claimed in claim 20, wherein said first and second backing plates cover adjacent sides of the fuel assembly and further comprising means for joining the adjacent edges of the first and second backing plates to form a chevron configuration in a cross section of the first and second backing plates taken in a plane orthogonal to said longitudinal axis. 26. A neutron absorbing apparatus for external application to a PWR fuel assembly, said fuel assembly being of the type having a longitudinal axis, a generally rectangular cross section lying in a plane orthogonal to said longitudinal axis, an upper and a lower end with each of said ends lying in separate planes generally orthogonal to said longitudinal axis, and four sides with each side generally extending from one side of the upper end to a corresponding side of the lower end and running generally parallel to said longitudinal axis, a fuel rod affixed to and located within said fuel assembly and oriented generally parallel to said longitudinal axis, a PWR nozzle affixed to and generally covering said upper end of the PWR fuel assembly and lying in a plane generally orthogonal to said longitudinal axis, said PWR nozzle having an aperture centrally located about said longitudinal axis and said aperture passing through said PWR nozzle in the direction of said longitudinal axis, said PWR nozzle including a recess in said aperture lying in a plane generally orthogonal to said longitudinal axis, said neutron absorbing apparatus comprising: |
description | This application claims all priorities and other benefits of prior pending U.S. provisional application 60/970,356, filed 2007 Sep. 6. This invention relates to the field of integrated circuit fabrication. More particularly, this invention relates to photolithography of integrated circuits. Modern integrated circuits are fabricated using a wide variety of processes, many of which involve photolithographic methods. As the term is used herein, “integrated circuit” includes devices such as those formed on monolithic semiconducting substrates, such as those formed of group IV materials like silicon or germanium, or group III-V compounds like gallium arsenide, or mixtures of such materials. The term includes all types of devices formed, such as memory and logic, and all designs of such devices, such as MOS and bipolar. The term also comprehends applications such as flat panel displays, solar cells, and charge coupled devices. As the feature size of integrated circuits has become smaller, new photolithographic methods have been instituted to enable these smaller feature sizes. One method is called dual pattern lithography. Dual pattern lithography uses multiple reticles (two or more) to expose a denser feature pattern (features closer together) than that which is possible using just a single reticle. This is accomplished by exposing a first set of features having a first spacing with a first reticle, and then interleaving a second set of features having a second spacing by exposure with a second reticle. By interleaving the feature sets in this manner, the spacing between the features of the two sets can be made closer than that which could otherwise be accomplished with features that are defined on a single reticle. This process could be accomplished with more than two reticle sets as well, even though the name “dual pattern” tends to indicate a limitation of two reticles. Further, it is understood that the term “reticle” as used herein also includes “masks,” and vice-versa. Further, separately exposed patterns that originate from a single reticle are also contemplated by dual pattern lithography. The use of dual pattern lithography brings new problems that are not present with conventional lithography. For example, the use of dual pattern lithography tends to severely affect both critical dimension and overlay tolerances because of lens/scanner induced errors and reticle pattern placement errors. These errors have been compensated for in the past by directly measuring the exposed pattern after it is printed on the substrate, and then using the measured error information to make changes in the setup of the exposure tool. Unfortunately, this method requires substrates to be printed before the errors can be measured. Because such a procedure is time consuming, this trial and error process carries with it a greater than desirable cost. What is needed, therefore, is a system that overcomes problems such as those described above, at least in part. The above and other needs are met by a method for constructing an error map for a lithography process, by constructing a first error map using spatial error data compiled on a lithography tool used in the lithography process, and constructing a second error map using spatial error data compiled on a mask used in the lithograph process, and then combining the first error map and the second error map to produce an overall error map for the lithography process. In this manner, the spatial error is determined prior to committing product to the process, and excessive error can be corrected or otherwise resolved prior to such commitment. In various embodiments, the spatial error data includes lens error data and stage movement error data. In some embodiments the spatial error data compiled on the mask is constructed by comparing mask pattern placement data to mask pattern source files. Some embodiments include the step of adjusting process variables to reduce errors represented in the overall error map. The embodiments of the present invention allow efficient and accurate assessment of the combined results of two reticle patterns in regard to critical dimension and overlay tolerances by considering (1) lens data, (2) reticle pattern placement data, and (3) computer aided design data. These three sets of information are described in more detail below. The lens signature data (1) is available from routine service derived testing, or from the lens manufacturer. This data represents optical aberrations, and is also know as Zernike coefficients. This data is generally constant over time and other standard conditions, and tends to be unique to each lens or lens-illuminator combination. There is also an additional placement error (typically of from about three nanometers to about five nanometers) that is induced by the stage of the tool, and so forth. The lens data is used to match the available exposure tools. Vendors of such equipment can adjust the optical components in the lens to make each lens more like the other tools in the fleet that is owned by the fabricator. The reticle pattern placement data (2) is available from the reticle fabrication files, such as are available through Vistec (IPRO tools). In the fabrication of reticles, such as electron beam generated reticles, there is an intrinsic limit on the precision at which the beam can write the patterns. This precision is currently no better than about twelve nanometers to about twenty nanometers at 4× magnification. When reduced to 1×, these errors manifest as edge placement error at the wafer plane of from about three nanometers to about five nanometers. The computer aided design data (3) is the standard in regard to where the edges of patterns on the reticle should be. This information is taken from the computer files that were used to generate the reticle images such as GDSII or OASIS type data files. Using the lens data, the reticle pattern placement data, and the computer aided design data for both of the reticles, an accurate prediction of the results of the dual lithography combination can be had before actually printing any integrated circuit substrates. This is accomplished by combining these data sets, such as into vector maps, to show the direction and magnitude of the placement error, or in the case of layer to layer overlay analysis, how well one level overlays to another. The resulting images, containing actual lens and reticle errors, are then used to more accurately model and predict the resulting pattern from the two reticles. If there are anticipated, compounded problems that result in too great of an error in the printed image, then steps can be taken at this point to alleviate the condition, such as by using a different exposure tool or reworking the reticle. Thus, this method allows for many errors to be corrected prior to actually printing any integrated circuit substrates. In one embodiment, the method is performed by clipping the GDS2 computer aided design data for strategic areas of the integrated circuit pattern on the reticle. Then the lens distortion data and/or the reticle pattern placement error is entered. The modeling pattern placement error is then computed, such as by using either sparse or aggressive sampling of either/both the lens field or reticle pattern placement error data. Finally, modeling software is used to determine the combined effects of the lens and reticle errors on the computer aided design data. One example of a possible use of this method is to make routine checks by lithography group once reticles are manufactured and delivered to the fabrication facility. Lens and scanner tool data from the fabrication facility may then be combined with GDS2 data to create a clear picture of the final expected pattern, such as a vector map, prior to committing production substrates to the process. Vector maps are typically used to show the direction and magnitude of the placement error, or in the case of layer to layer overlay, how well one level overlays to another. The compiled information is used to extract overlay correction values so that the misregistration can be improved. There is only so much that can be corrected and what is remaining is typically referred to as the “residual overlay error.” However, the error coming from the reticles is responsible for most of what is currently called residual error. The embodiments of the present invention enable the use of dual reticles. Currently, the critical dimension and overlay errors inherent in such a process are considered to be “uncorrectable” residual errors. The present method quantifies the error contributed from both the exposure tool (lens, illuminator, etc.) and from the reticles themselves. The method can be embodied in a software product, and can include a database catalogs the entire reticle stock and associated metrology of the fabrication facility. The foregoing description of preferred embodiments for this invention has been presented for purposes of illustration and description. It is not intended to be exhaustive or to limit the invention to the precise form disclosed. Obvious modifications or variations are possible in light of the above teachings. The embodiments are chosen and described in an effort to provide the best illustrations of the principles of the invention and its practical application, and to thereby enable one of ordinary skill in the art to utilize the invention in various embodiments and with various modifications as are suited to the particular use contemplated. All such modifications and variations are within the scope of the invention as determined by the appended claims when interpreted in accordance with the breadth to which they are fairly, legally, and equitably entitled. |
|
abstract | Attenuating, while hunting or observing wildlife, one's own emanated electromagnetic field by wearing apparel that includes an electromagnetically shielding fabric. The shielding fabric comprises a substantially continuous system of conductive fibers combined with non-conductive fabric. Or attenuating, while a hunter is hunting or an observer is observing wildlife, the electromagnetic field emanated by the hunter or observer, by (i) providing to the hunter or observer apparel that includes the electromagnetically shielding fabric, and (ii) instructing the hunter or observer to wear it while hunting or observing wildlife, respectively. The attenuation of the emanated electromagnetic field decreases the likelihood of detection by an animal. A wearable article of apparel comprises the electromagnetically shielding fabric, and can also comprise one or both of (i) a visual camouflage pattern on at least a portion of the its outer surface, or (ii) an odor absorber, suppressant, attenuator, or blocker. |
|
055263887 | claims | 1. In a nuclear fuel assembly having a plurality of fuel rods held in a spaced apart array above a lower end fitting by a plurality of spacer grids along the length of the fuel rods, with each spacer grid defining a plurality of cells that each receive one fuel rod and with each cell having at least one hard stop, a debris resistant fuel rod sleeve, comprising a sleeve received over the lower end of a fuel rod and extending above the top of the lowermost spacer grid and down to the lower end fitting, said sleeve having a plurality of openings therethrough spaced around the circumference of said sleeve that receive the hardstops of the spacer grid. 2. The debris resistant fuel rod sleeve of claim 1, wherein said sleeve is provided with a portion of the circumference of at least one end of sleeve being tapered inwardly toward the longitudinal center of said sleeve. |
061880760 | summary | This invention relates to capillary discharges for use as imaging sources in Extreme Ultraviolet Lithography (EUVL) and other technologies such as EUV microscopy, interferometry, inspection, metrology, and the like. The invention describes characteristics of sources that radiate intense light in the wavelength region between 10 and 14 nm. The operation of these sources can be determined by: (1) the gas or vapor pressure within the capillary which generates optimum emission flux; (2) the range of discharge currents at which sufficient radiation flux occurs but above which significant detrimental debris and bore erosion begins; (3) the desired range of capillary bore sizes and lengths, some specific gaseous media that radiate effectively in the capillary discharges under the conditions described above, and (4) two specific configurations for housing the capillary discharge system. BACKGROUND AND PRIOR ART A commercially suitable Soft-X-Ray (or EUV) lithography facility will require an intense soft x-ray/EUV light source that can radiate within a specific wavelength region of approximately 11 to 14 nm in the EUV part of the electromagnetic spectrum. This region is determined by the wavelength range over which high reflectivity multilayer coatings exist. The multilayer coatings can be used to manufacture mirrors which can be integrated into EUVL stepper machines. Specifically, these coatings are either Mo:Be multilayer reflective coatings (consisting of alternate ultrathin layers of molybdenum and beryllium) which provide high reflectivity between 11.2 and 12.4 nm, or Mo:Si multilayer reflective coatings (consisting of alternate ultrathin layers of molybdenum and silicon) which provide high reflectivity between 12.4 nm and 14 nm. Thus any intense EUV source emitting in the wavelength range of 11-14 nm may be applicable to lithography. Two proposed EUV sources are synchrotrons which generate synchrotron radiation and soft-x-ray emitting laser-produced plasmas (LPP's). Synchrotron sources have the following drawbacks: the synchrotron and synchrotron support facilities cost up to $100 million or more: together they occupy a space of approximately 1,000,000 cubic feel Such a volume is incompatible with a typical microlithography fabrication line. Laser produced plasmas that have the necessary wavelength and flux for a microlithography system require a high power laser to be focused onto a target material such that sufficient plasma density can be produced to efficiently absorb the incident laser radiation. Laser produced plasmas have the following drawback: if a solid target material is used, the interaction of the focused laser beam with the target produces an abundant quantity of debris which are ejected from the laser focal region in the form of atoms, ions, and particulates. Such eject a can accumulate on and thereby damage the optics that are used in collecting the light emitted from the plasma The use of volatile target materials in LPP sources has been successful in overcoming the debris problem. A volatile target material is simply a material which is unstable to evaporation in a room temperature vacuum, examples of these are liquefied or solidified gases such as oxygen or xenon, and also liquids such as water. For these materials any bulk mass not directly vaporized by the laser pulse will evaporate and will be subsequently pumped away. Thus the excess target material does not collect or condense on the optics. Although such laser-produced plasma sources have been developed for EUVL using oxygen and xenon as radiating species, there still exist two prohibitive drawbacks for which no realistic scenarios of significant improvement have been proposed. First, the total electrical efficiency of such sources is of the order of only 0.005-0.025%. This results from considering the multiplicative combination of the laser efficiency, which is of the order of 1-5%. and the conversion efficiency of laser light to useful EUV radiation (within the reflectivity bandwidth of a multilayer-coated reflecting mirror) of approximately 0.5%. Second, the cost of a laser that would necessarily operate at repetition rates of over 1 kHz would be a minimum of several million dollars. To overcome the unique problems specific to the synchrotron sources and to the LPP sources we have invented a compact electrically produced intense capillary discharge plasma source which could be incorporated into an EUV lithography machine. Compared to synchrotrons and LPP's this source would be significantly more efficient, compact, and of lower cost (both to manufacture and to operate). We envision that one of these sources (along with all the necessary support equipment) would occupy the space of less than 10 cubic feet and would cost less than $ 100,000. One such embodiment of the proposed capillary discharge source was first described in U.S. Pat. No 5,499,282 by William T. Silfvast issued on Mar. 12, 1996. That particular proposed source would operate in a lithium vapor electrically excited to within specific ranges of plasma electron temperatures (10-20 eV) and electron densities (10.sup.16 to 10.sup.21 cm.sup.-3) which are required for optimally operating a lithium vapor discharge lamp at 13.5 nm. That same patent also proposed soft-x-ray lamps at wavelength of 7.6, 4.86, and 3.38 nm in beryllium, boron, and carbon plasmas. These wavelengths, however, are not within the range of wavelengths required for EUV lithography. Although that patent described the general features of these lamps, it did not give the specific discharge current operating range that would minimize bore erosion and the emission of debris from the lithium lamp, or the appropriate range of bore sizes for operating such a lamp. That patent did not mention the use of other materials, such as atomic or molecular gases that could be successfully operated in the lamp configurations described in that patent; it naturally follows that neither could it have mentioned what are the preferred operating pressure ranges of those gases that would be suitable for EUV lithography. SUMMARY OF THE INVENTION Although gaseous plasma discharge sources have been produced previously in many different kinds of gases for use as light sources and as laser gain media, none have been demonstrated to have sufficient flux at appropriate EUV wavelengths for operating a commercial EUV lithography machine. Consequently the necessary plasma discharge current and gas pressure necessary to obtain the required flux for use in an EUV lithography system and/or related applications have not previously been identified and described. Likewise the required capillary discharge bore size range for EUV lithography, as well as some specific capillary discharge configurations for use with gases and metal vapors have not been previously identified. The subject invention specifically indicates the range of gas pressures the range of discharge currents and/or current densities under which debris ejected from the capillary is minimized, as well as some specific gases to be used under those conditions. Also described, are two specific discharge configurations one of which is designed specifically for gases or vapors and requires no vacuum window. We have termed this the "differentially pumped capillary discharge". The other is designed specifically for metal vapors or liquid vapors. We have termed this the "heat pipe capillary discharge." It contains a wick which is located only beyond the discharge capillary (unlike that described in U.S. Pat. No. 5,499,282 by William T. Silfvast issued on Mar. 12, 1996, in which the wick is located inside the capillary). For purposes of definition of a capillary discharge, we are operating an electrical current within an open channel of an insulating material where the open channel is filled with a gas or vapor that allows for electrical conduction within the capillary. The channel or capillary is typically of cylindrical shape with a diameter in the range of 0.5 mm to 3 mm and a length varying from 0.5 mm to 10 mm. The ends of the capillary are attached to conducting materials to serve as electrical interfaces between the electrical current within the capillary and the electrical current of the external circuit The capillary is filled with a gaseous medium that becomes ionized so as to provide a low resistance for conduction of the electrical discharge current within the capillary. The electrical discharge current excites the gas or vapor within the capillary which then provides the desired radiation in the spectral region between 11 nm and 14 nm. The gas or vapor within the capillary when ionized by the discharge current thus acts as both an electrically conducting medium and an EUV radiator. The following objectives relate to capillary discharge sources operating in the wavelength range of 11-14 nm and which, within that wavelength region, provide the necessary flux for their particular applications. The objectives relate to: debris formation, materials considerations, discharge geometry, and applications. The first objective of the present invention is to define the necessary capillary bore diameter and length ranges of a capillary discharge source. These dimensions are determined by experimental evidence in which strong EUV emission was observed. The second objective of the present invention is to define the currents and current densities of operation of a capillary discharge source containing a gas or liquid vapor or metal vapor such that it will not produce debris destructive to the optics for a duration of at least the industry-defined Lifetime of those optics. The third objective of the present invention is to describe a method of pre-treating the capillary bore region so as to make it resistant to erosion or other changes in the capillary during subsequent normal operation. The fourth objective of the present invention is to define the necessary operating pressure range of a gas or metal vapor or liquid vapor or other atomic or molecular species present within the capillary of a capillary discharge source. The fifth objective of the present invention is to describe the "differentially pumped capillary geometry." This geometry obviates the need for an EUV transmitting window which would provide a barrier between the vacuum within the condenser system and the gas required for the source plasma emission. The sixth objective of the present invention is to describe the "heat pipe capillary discharge" which contains a wick within a heat pipe configuration such that the wick is mounted only outside of the capillary discharge region. The seventh objective of the present invention is to describe various materials which may be used in the "differentially pumped capillary discharge" and/or the "heat pipe capillary discharge." The eighth objective of the present invention is to provide a capillary discharge source for use in any of the following applications: microscopy, interferometry, metrology, biological imaging, pathology, alignment, resist exposure testing for microlithography, and extreme ultraviolet lithography (EUVL). A preferred method of operating a capillary discharge source in the 11 nm to 14 nm wavelength region includes forming a discharge within a capillary source having a bore size of approximately 1 mm, and at least one radiating gas, with a discharge current of approximately 2000 to approximately 10,000 amperes, and radiating selected wavelength regions between approximately 11 to approximately 14 nm from the discharge source. The gases can include one radiating gas such as xenon or an oxygen containing molecule to provide oxygen as the one radiating gas, each having a pressure of approximately 0.1 to approximately 20 Torr. The gas can include a metal vapor such as lithium, to radiate the selected wavelength regions and has a pressure of approximately 0.1 to approximately 20 Torr. Besides the radiating gas, a buffer gas can be used, wherein the total pressure in the capillary can range from approximately 0.1 to approximately 50 Torr. The use of multiple plural gases can include lithium radiating the selected wavelength region between approximately 11 to approximately 14 nm, and helium as a buffer gas. Another preferred method of operating a capillary discharge source in the 11 nm to 14 nm wavelength region includes forming a discharge across a capillary source having a bore size diameter of approximately 0.5 to approximately 3 mm, and a length of approximately 1 to approximately 10 mm, and at least one radiating gas, with a discharge current density of approximately 250,000 to approximately 1,300,000 Amperes/cm.sup.2, and radiating selected wavelength regions between approximately 11 to approximately 14 nm from the discharge source. A method of constructing the capillary discharge lamp source operating in the ultraviolet wavelength region includes constructing a capillary from an electrically insulating material, inserting at least one gaseous species in the capillary, wherein the capillary is used to generate ultraviolet discharges. A metallic conductor such as molybdenum, Kovar, and stainless steel, can be used as electrodes on opposite sides of the capillary. A nonconducting and the insulating material can be used such as quartz, saphire, aluminum nitride, silicon carbide, and alumina Furthermore, the capillary can be a segmented bore of alternating conductive and nonconductive materials. Another preferred embodiment of the discharge lamp source operating the ultraviolet wavelength region can include a capillary, a first electrode on one side of the capillary, a second electrode on a second side of the capillary opposite to the first side, a pipe having a first end for supporting the second electrode and a second end, a discharge port connected to the second end of the pipe, a wick passing through the pipe from the discharge port to a portion of the pipe adjacent to but not within the capillary having a lithium wetted mesh for operation as a heat pipe, and means for operating the capillary as a discharge source for generating ultraviolet wavelengths signals. Pre-processing techinques of the capillary discharge bore source is when the bore is used with an optical element that operates in the ultraviolet region, prior to operating the source, in order to prevent rupturing of the optical element or contaminating mirrors that receive radiation, are disclosed. The pre-processing techniques include the steps of pre-conditioning interior bore surface walls of a capillary discharge source that operates in the ultraviolet region, and continuing the pre-conditioning until a selected impulse value is reached. The pre-processing technique can use a heat source, such as an excimer laser, a Nd:Yag laser, and a Copper Vapor laser. The laser can be focussed within the bore, and operated at a focussed intensity in the range of approximately 10.sup.7 to approximately 10.sup.11 Watts/cm.sup.2. Another version of the pre-processing technique has the selected value less than approximately 20 Torr-.mu.s, wherein initiating discharge current discharge pulses within the capillary with a second gas having a pressure range of approximately 1 to approximately 20 Torr., and the pre-operation pulses are approximately 3000 pulses. |
047012994 | summary | FIELD ON THE INVENTION The invention relates to a moldular lining for adapting the containment to the core of a pressurized water nuclear reactor. BACKGROUND OF THE INVENTION In pressurized water nuclear reactors, the core generally consists of prismatic assemblies arranged vertically and side by side. The outer surface of the core has a complex shape possessing numerous steps. Arranged around the core, inside the nuclear reactor vessel, there is a core containment consisting of a cylindrical shell with a vertical axis. Between the outer surface of the core and the inner surface of the core containment, there is an annular region of varying thickness. To hold the reactor core laterally and to channel the greater part of the cooling water flow into the core itself, a modular lining is arranged in this annular region, the said lining consisting of elements joined to one another, some of which can be fixed to the core containment. This modular lining generally consists of metal plates screwed to one another, some of them being arranged horizontally over the whole width of the annular space, and the others being arranged vertically, in contact with the outer surface of the core. The horizontal plates, called shape adaptors, are in contact via their outer edge with the inner surface of the core containment and via their inner edge with the outer surface of the core. These shape adaptors are arranged at different levels over the height of the core. The shape adaptors can be fixed to the core containment by screws inserted in a horizontal bore passing through the core containment and bearing against the core containment with their heads, the shape adaptor possessing a bore in the extension of the bore of the core containment, which is partially tapped to enable screwing the threaded part of the screw. The temperature of the reactor cooling water is higher inside the core than outside the core containment, because this water heats up in contact with the assemblies in which it circulates from bottom to top, and then, after cooling in the steam generators, returns to the base of the core through the peripheral region of the vessel around the core containment. The core containment is therefore in contact via its outer surface with cooling water at a temperature below the temperature of the water inside the core, and more particularly of the water in the upper part of the core. The shape adaptors which are in contact both with the core containment and with the vertical plates forming the shield in contact with the outer surface of the core are therefore subjected to substantial thermal gradients. Furthermore, the shape adaptors which are subjected to the radiation emitted by the core are subjected to heating within their bulk. The same applies to the fixing screws. It is therefore necessary to avoid any confined space between the screws and their housing which could lead to vaporization of the water contained in this confined space and to heating and corrosion of the screw. It is therefore necessary to cool the fixing screws of the shape adaptors and especially to make their temperature uniform. PRIOR ART It has been proposed, for example in French Patent No. 2,333,325, to establish a reactor cooling water circuit around the screw. To do this, the bore is provided with sufficiently large dimensions to create a water circulation space around the screw in the shape adaptor, and water inlet and outlet holes are provided in the shape adaptor, communicating with the bore of the screw. In a modified embodiment, a screw is used which possesses an axial hole over part of its length, communicating, via a lateral hole, with the space created around the screw in the bore of the shape adaptor. Thus, water circulation can be established through the interior of the screw between the outside and the inside of the core containment. However, this screw cooling process requires special machining of the shape adaptors and can result in mechanical weakening of the fixing screws. French Patent Application No. 82/18,012, filed by the assignee of the present application, discloses a nuclear reactor of improved efficiency comprising, in particular, a solid modular lining occupying virtually all the volume of the annular space between the core and the core containment. A solid modular lining of this type, consisting, for example, of stainless steel blocks, can act as a reflector for the fast neutrons in addition to performing the usual functions of modular linings consisting of metal plates joined together. Furthermore, this solid modular lining makes it possible to protect the vessel from excessively intense neutron bombardment and very substantially to reduce the amount of water in the space between the core and the core containment. This improves the resistance of the modular lining in the event of an accident resulting in a loss of pressurized cooling water. Moreover, French Patent No. 2,499,752, discloses a solid modular lining consisting of modular blocks stacked on top of one another over the height of the core and firmly fixed to one another by long, vertically arranged ties. The modular blocks are cooled by vertical channels passing through each of the blocks and located in their mutual extension. Keys inserted in grooves on the lateral faces of contacting blocks enable the blocks to be joined together before completing the fixing by means of the ties, to which nuts are fixed at the top part of the core. This modular lining is not therefore fixed to the core containment, giving rise to disadvantages associated with the fact that the position of the modular lining is not perfectly defined relative to the containment. Furthermore, it is necessary to make a large number of cooling channels in order to limit the stresses and the deformations of thermal origin, which greatly reduces the reflectance of the modular lining. In fact, on the one hand, if the cooling is inadequate, the stack of modular blocks will suffer overall thermal deformation, making it necessary to provide a large clearance between the blocks and the core, which results in starving the peripheral assemblies and maintaining the core less effectively, and on the other hand, in the event of an earthquake, the long ties are subjected to high stresses during the operation of the reactor and are liable to break and separate from the modular lining. The whole construction is furthermore relatively complex and requires the machining of solid blocks, which must be matched perfectly to one another. Finally, it is not possible, for solid blocks, to use the method of fixing to the core containment recommended for shape adaptors consisting of relatively thin plates. In fact, for cooling the screws, these devices require holes to be provided in order to bring the bore for the passage of the screws in the shape adaptors into communication with part of the annular space filled with cooling water. SUMMARY OF THE INVENTION The object of the invention is therefore to propose a modular lining for adapting the containment to the core of a pressurized water nuclear reactor, which consists of assemblies of prismatic shape, arranged side by side inside a cylindrical core containment with a vertical axis, so as to create an annular space surrounding the core, in which the modular lining is arranged, the said lining consisting of modular metal elements juxtaposed so that the modular lining occupies virtually all the volume of the annular space around the core, inside the core containment, this lining allowing the expansion and the cooling of the modular elements and allowing effective cooling of the screws without it being necessary to provide holes for the passage of water in the modular lining elements, the solid modular lining thus having a high reflectance towards fast neutrons. For this purpose, arranged between the adjacent faces of the modular elements, there are thin packing pieces maintaining a certain gap between the modular elements consisting of undrilled solid blocks, for their expansion and their cooling, these blocks being fixed non-contiguously to the core containment by screw devices cooled by water circulation. |
summary | ||
claims | 1. A method of removing, from a fusion power reactor, a tile apparatus that comprises a tile and a tile-support tube, wherein the tile-support tube is attached to a back portion of the tile and comprises a coolant channel, the method comprising steps of:rotating the tile apparatus, which is installed in a locked orientation in a manifold channel of a first wall of the fusion power reactor, until the tile apparatus is in an install/remove orientation;grasping, with a removal tool, the tile-support tube between the back portion of the tile and the first wall; andlifting the tile apparatus away from the first wall of the fusion power reactor with the removal tool such that the tile apparatus is completely removed from the manifold channel of the first wall of the fusion power reactor. 2. The method of claim 1, wherein the step of rotating the tile apparatus until the tile apparatus is in the install/remove orientation comprises rotating the tile apparatus in a clockwise direction. 3. The method of claim 1, wherein the step of rotating the tile apparatus until the tile apparatus is in the install/remove orientation comprises rotating the tile apparatus in a counter-clockwise direction. 4. The method of claim 1, wherein a plasma-facing portion of the tile is manufactured from tungsten (W). 5. The method of claim 1, wherein the back portion of the tile is manufactured from international thermonuclear experimental reactor-grade (ITER-grade) stainless steel. 6. The method of claim 1, wherein a surface of the back portion of the tile is coated with an electrically insulating material. 7. The method of claim 1, wherein the coolant channel is manufactured from international thermonuclear experimental reactor-grade (ITER-grade) stainless steel. 8. The method of claim 1, wherein:the tile apparatus is one of a plurality of tile apparatuses each of which comprises a respective tile, and a respective tile-support tube, wherein the respective tile-support tube is attached to a back portion of the respective tile and comprises a respective coolant channel;each tile apparatus of the plurality of tile apparatuses is individually rotatable and removable;when a first tile apparatus of the plurality of tile apparatuses is in a respective locked orientation, the tile of the first tile apparatus overlies at least a portion of another tile of the plurality of tile apparatuses; andwhen the first tile apparatus is in a respective install/remove orientation, the tile of the first tile apparatus does not overlie any portion of the other tile. 9. The method of claim 1, wherein:the coolant channel of the tile-support tube is configured to channel the coolant to and/or from the back portion of the tile, andthe tile comprises a hollow, extending along a plasma-facing surface of the tile. 10. The method of claim 1, wherein the tile-support tube further comprises at least one guard vacuum region. 11. A method of removing, from a fusion power reactor, a machine-replaceable tile apparatus comprising a plasma-facing tile and a tile-support tube, wherein the tile-support tube is attached to a back portion of the plasma-facing tile and comprises a coolant channel, the method comprising steps of:rotating the machine-replaceable tile apparatus, which is installed in a locked orientation in a manifold channel of a first wall of the fusion power reactor, until the machine-replaceable tile apparatus is in an install/remove orientation;accessing a tile-removal tool, which comprises an elongated handle and two tines, and whereinone end of each of the two tines is connected to a first end of the elongated handle, anda second end of the elongated handle is located opposite the first end of the elongated handle;rotating the second end of the elongated handle of the tile-removal tool such that the two tines are in an open state;inserting the two tines of the tile-removal tool between outer edges of the plasma-facing tile and the first wall of the fusion power reactor;rotating the second end of the elongated handle of the tile-removal tool such that the two tines are in a closed state and grasp the tile-support tube, wherein the two tines are closer together in the closed state than in the open state; andlifting the machine-replaceable tile apparatus away from the first wall of the fusion power reactor with the tile-removal tool such that the machine-replaceable tile apparatus is completely removed from the manifold channel of the first wall of the fusion power reactor. 12. The method of claim 11, wherein the step of rotating the machine-replaceable tile apparatus until the machine-replaceable tile apparatus is in the install/remove orientation comprises rotating the machine-replaceable tile apparatus in a clockwise direction. 13. The method of claim 11, wherein the step of rotating the machine-replaceable tile apparatus until the machine-replaceable tile apparatus is in the install/remove orientation comprises rotating the machine-replaceable tile apparatus in a counter-clockwise direction. 14. The method of claim 11, wherein a plasma-facing portion of the plasma-facing tile is manufactured from tungsten (W). 15. The method of claim 11, wherein the back portion of the plasma-facing tile is manufactured from international thermonuclear experimental reactor-grade (ITER-grade) stainless steel. 16. The method of claim 11, wherein a surface of the back portion of the plasma-facing tile is coated with an electrically insulating material. 17. The method of claim 11, wherein the coolant channel of the tile-support tube is manufactured from international thermonuclear experimental reactor-grade (ITER-grade) stainless steel. 18. The method of claim 11, wherein when the machine-replaceable tile apparatus is installed in the locked orientation, the coolant channel of the tile-support tube of the machine-replaceable tile apparatus is mated with a coolant channel of the manifold channel of the first wall of the fusion power reactor. 19. The method of claim 11, wherein the coolant channel of the tile-support tube is configured to channel the coolant to and/or from the back portion of the plasma-facing tile. 20. The method of claim 11, wherein the tile-support tube further comprises at least one guard vacuum region. |
|
abstract | A size and/or shape specific 3D-beam modulation filter and a size and/or shape specific immobilizer are provided for cone-beam breast computed tomography (bCT). The immobilizer places the breast on an optimal position in the field of view of the scanner system and the 3D-beam modulation filter modulates the incident x-ray beam in the cone-angle (i.e. z-axis of the detector panel) and fan angle (i.e. x-axis of the detector panel) directions in order to improve equalization of the photon fluence incident upon the detector panel and reduce unnecessary radiation dose that the breast receives. Both the immobilizer and the 3D-beam modulation filter are selected among a plurality of alternatives based on the specific shape, size and/or shape or size of the person's breast. |
|
description | This application claims priority from U.S. Ser. No. 61/661,322, filed Jun. 18, 2012, the disclosure of which is specifically incorporated herein by reference. The field of the present invention is dentistry and, specifically, devices used by dentists when taking intra-oral radiographs with dental X-ray film or an intra-oral digital dental sensor. The rectangular collimator is a dental device that has been used in conjunction with external positioning devices intended to give proper geometric alignment between the X-ray generator, the patient's teeth and the X-ray film or digital radiographic sensor. The purpose of the rectangular collimator is to restrict the size of the X-ray beam to approximately the size of the imaging field of the film or sensor. This protects the patient against unnecessary radiation exposure and improves image quality by reducing side scatter. Such devices also improve image quality by reducing X-ray side scatter from anatomic structures outside the imaging field of view but within the uncollimated X-ray beam. The main deficiency in the current design of all rectangular collimating devices is the user's inability to adjust the size of the rectangular opening to match that of the actual X-ray film or digital sensor size to minimize the non-imaging patient X-ray exposure. There are three common sizes of intra oral x-ray film or digital sensors commonly used in dentistry: Size 0, size 1, and size 2. The existing rectangular collimators are usually slightly larger than the size 2 X-ray film or digital sensor. A rectangular collimating device with the adjustability for the three different size imaging fields would further reduce the patient's X-radiation exposure by matching the rectangular opening of the collimator to the specific film or sensor size used. The present invention is generally directed to attachments useful with an external ring and rod x-ray film or digital sensor holder system. An adjustable aperture rectangular collimating device will reduce the area of the active X-ray beam to properly match the active area of the X-ray film or digital sensor. This will promote better radiation hygiene in the dental profession and reduce unnecessary and unproductive X-ray exposure to the patient during dental X-ray exams. Accordingly, it is primary object of the present invention to provide for an adjustable aperture rectangular collimating device to be used in conjunction with existing x-ray film or digital sensor holder systems. This and further objects and advantages will be apparent to those skilled in the art in connection with the drawings and the detailed description of the invention set forth below. The present invention provides a retrofit solution for allowing an intraoral radiology positioning device to be retrofit with a rectangular collimation attachment. As illustrated in FIGS. 1 and 2, a standard intraoral radiology positioning device, generally designated as 1, has an a guide arm 2, an aiming ring 3 attached to guide arm 2, and bite block 4 and sensor basket 5 attached at one end of guide arm 2. Details of such a standard intraoral radiology positioning device are set forth in U.S. Pat. No. 6,343,875, the disclosure of which is specifically incorporated herein by reference. The present invention provides a retrofit collimation attachment, generally designated as 10, which is designed to secure itself about guide arm 2 of intraoral radiology positioning device 1. Retrofit collimation attachment 10 is comprised of a near side cover 11 and a far side cover 15 (preferably made out of plastic that will withstand autoclaving) that are designed to fit about guide arm 2 and then releasably attach themselves together so as to secure a collimation plate, generally designated as 20, with a collimation aperture 21 that is within the opening of aiming ring 3 relative to an intraoral X-ray device. Either or both of near and far side covers 11 and 15 can be adapted to hold a collimation plate which will form collimation plate 20 and either or both of near and far side covers 11 and 15 can be fitted with one or more handles 30 for ease of use by a patient. It is especially preferred that near side cover 11 has its own near side collimation plate 12 having an aperture 13 and far side cover 15 has its own far side collimation plate 16 having an aperture 17, which allows the thickness of each such plate to be half what would otherwise be required to provide a sufficient amount of thickness for collimation plate 20 to block X-ray radiation. In an especially preferred embodiment, near and far side collimation plates 12 and 16 are made of stainless steel and each have a thickness of approximately 1 mm so that, together, the two plates will provide 2 mm of steel protection for collimation plate 20 and apertures 12 and 13 will be aligned so as to form collimation aperture 21. Because ease of use and adaptability are key goals of the present invention, it is especially preferred that retrofit collimation attachment 10 can easily be adapted to provide different sizes for collimation aperture 21 so that a user can choose the correct opening that corresponds to the proper size of the imaging media (size #1, #1, or #2), whether it be X-ray film or a digital sensor. A first way this can be accomplished is to simply use a different retrofit collimation attachment 10 for each different desired size of collimation aperture 21 (which will generally have a rectangular shape). A second way this can be accomplished is for one or both of near and far side collimation plates 12 and 16 to be readily detachable from, and thus easily interchangeable with, another plate with a different size aperture. Accordingly, either or both of near and far side collimation plates 12 and 16 might, for example, be snap fit into, respectively, near and far side covers 11 and 15. A third way this can be accomplished is for collimation aperture 21 to be adjustable (such as, by example, use of sliding shutters that can be moved between two or more positions as illustrated in FIG. 3). Near and far side covers 11 and 15 should function together so as to provide a quick and easy way to releasably connect them together about aiming ring 3. An especially preferred way in which this can be accomplished is for near and far side covers 11 and 15 to snap-fit together, although any suitable means for such attachment can be used such as, but not limited to: threaded screw, ball and socket, friction grip, male/female connectors, clamp, magnetic, suction, fixed or removable adjustable ring/band, Velcro, single or double sided adhesive, inelastic ring/band, elastic ring/band, adjustable ring/band, and the like. While the invention has been described herein with reference to certain preferred embodiments, those embodiments have been presented by way of example only, and not to limit the scope of the invention. Additional embodiments thereof will be obvious to those skilled in the art having the benefit of this detailed description. Accordingly, it will be apparent to those skilled in the art that still further changes and modifications in the actual concepts described herein can readily be made without departing from the spirit and scope of the disclosed inventions. |
|
claims | 1. A method for reconstructing an x-ray image comprising: generating x-ray beams emanating from an array of x-ray source points, said x-ray beams passing through an object to be imaged; detecting said x-rays beams with an array of detectors; generating intensity values corresponding to detection of said x-rays beams at said array of detectors; and reconstructing an image along a focal plane, said image containing an array of image elements, each of said array of image elements resulting directly by summing one or more of said intensity values, said focal plane located between said array of x-ray source points and said array of detectors. 2. The method of claim 1 in which the distance from said array of x-ray source points to said focal plane is expressed by: claim 1 where xcex d represents a detector pitch of said array of detectors, xcex s represents a source pitch of said array of x-ray source points, Z d represents the distance from said array of x-ray source points and said array of detectors, m represents a distance number for said array of detectors, n represents a distance number for said array of x-ray source points, and m*xcex d and n*xcex s represent baseline lengths of similar triangles. 3. The method of claim 2 in which m and n are natural numbers. claim 2 4. The method of claim 3 in which m and n have a common factor. claim 3 5. The method of claim 2 in which an image pitch of image pixels at said focal plane is expressed as: claim 2 . 6. The method of claim 1 in which intensity data for multiple focal planes are reconstructed around a region of interest. claim 1 7. The method of claim 6 in which intensity data of said multiple focal planes are combined. claim 6 8. The method of claim 7 in which high spatial frequency components of said intensity data are combined. claim 7 9. The method of claim 1 in which: claim 1 said array of x-ray source points is a two-dimensional array of SOURCE x by SOURCE y on a source plane, each of said array of x-ray source points is represented as SOURCE (k,l); said array of detection is a two-dimensional array of DET x by DET y detectors on a detector plane, each of said array of detectors is represented as DET (i,j); INTENSITY (i,j,k,e) represents an intensity of x-ray illumination detected at detector DET(i,j) from source point SOURCE(k,l); and said image is reconstructed by summing each value of INTENSITY (i,j,k,l), into appropriate image pixels forming a two-dimensional array of image pixels, IMAGE m,n (i*n+k*m,j*n+1*m). 10. The method of claim 9 wherein said two-dimensional array of image pixels are reconstructed on a uniform pixel pitch, xcex fp , on said focal plane. claim 9 11. The method of claim 10 further comprising: claim 10 generating multiple focal planes each of said multiple focal planes having a unique pixel pitch. 12. The method of claim 9 wherein said source plane, said detector plane and said focal planes are substantially parallel. claim 9 13. The method of claim 1 wherein said array of x-ray source points sources is rectangular. claim 1 14. The method of claim 1 wherein said array of detectors is a pseudo-circular array. claim 1 |
|
abstract | The invention relates to a device for generating low-voltage dips in an electrical power generator (2), particularly an aerogenerator, consisting of: a circuit which is disposed between the control cabinet (4) of the generator and the output transformer (3) to the network (30), comprising a transformer (31) and a plurality of in-series impedances (11, 14, 17; 12, 15, 18; 13, 16, 19) for each phase, having switches (24, 25, 26; 20, 21, 22; 27) associated therewith; and short-circuit generator means, selectively actuating the switches as a function of the type of voltage dip required. |
|
047770082 | claims | 1. In a nuclear reactor having a nuclear reactor pressure vessel and a steam generator, said steam generator including a vertical outer shell and a water chamber located at a lower end of said outer shell, communicating with said nuclear reactor pressure vessel via coolant piping having a nozzle portion and a manhole in and through a wall of said outer shell of cross-sectional area less than the cross-sectional area of said nozzle portion, the improvement wherein said reactor includes an isolating device for said water chamber which comprises a rigid support frame extending through said manhole to said nozzle portion; a plug; plug mounting means pivotably mounting said plug on a tip end portion of said support frame; and means for adjusting an angle of said plug with respect to said support frame so as to position said plug snugly within said nozzle portion, said angle adjusting means including an adjusting screw extending between said support frame and said plug, said screw being in threaded engagement with one of said support frame and said plug to pivot said plug towards or away from said support frame upon rotation of said screw; said plug including a steel lid and a mount plate, said steel lid including a lid member and two flexible seal plates respectively affixed on opposite faces of said lid member, said mount plate removably attached at a front face thereof to one side of said seal lid with one of said seal plates disposed between said lid member and said mount plate, said lid member having a cross-sectional area grater than said cross-sectional area of said manhole and being formed of at least two rigid plate members and connecting means for pivotably connecting said at least two rigid plate members such that said seal lid is foldable at said connecting means into a shape permitting said seal lid to pass out of said water chamber through said manhole when said mount plate is not attached to said seal lid. 2. The improvement as in claim 1, further comprising means for removably fixing said at least two rigid plate members to said mount plate and for preventing pivotal movement of said at least two rigid plate members relative to each other and said mount plate. 3. The improvement as in claim 2, wherein said removably fixing means includes side-by-side hold plates removably sandwiched between said seal lid and said mount plate, bolts extending from said seal lid through aligned holes in said hold plates and said mount plate, and nuts on said bolts fastening said seal lid, said mount plate and said hold plates together, each of said hold plates having such cross-sectional area as to permit individual passage thereof through said manhole when separated from said seal lid and said mount plate. 4. The improvement as in claim 3, wherein said lid member is circularly plate-shaped, said at least two rigid plate members including two semicircular segment plates and a center plate joined by said connecting means to said segment plates, said connecting means comprising hinges pivotably connecting opposite sides of said center plate to said segment plates, said hold plates forming a circular plate member consisting of two semicircular plates facing each other along diametrically extending edges thereof. 5. The improvement as in claim 4, wherein said two flexible seal plates are circularly shaped and have diameters greater than those of said circular plate member and said lid member, outer peripheral edges of said two flexible seal plates engaging an inner peripheral surface of said nozzle portion. 6. The improvement as in claim 5, wherein said manhole and said nozzle portion have circular cross sections, the diameter of the cross section of said manhole being less than the diameter of the cross section of said nozzle portion. 7. The improvement as in claim 1, further comprising a cover removably closing said manhole in water tight relationship. 8. The improvement as in claim 1, wherein said adjusting screw is a support rod rotatably mounted at one end thereof on said support frame in threaded engagement with said support frame, said support rod engaging a back face of said mount plate opposite said front face at an end of said support rod opposite said one end such that screw rotation of said support rod pivots said plug about said plug mounting means. 9. The improvement as in claim 1, wherein said manhole and said nozzle portion have circular cross sections, the diameter of the cross section of said manhole being less than the diameter of the cross section of said nozzle portion. 10. In a nuclear reactor having a nuclear reactor pressure vessel and a steam generator, said steam generator including a vertical outer shell and a water chamber located at a lower end of said outer shell, communicating with said nuclear reactor pressure vessel via coolant piping having a nozzle portion and a manhole in and through a wall of said outer shell of cross-sectional area less than the cross-sectional area of said nozzle portion, the improvement wherein said reactor includes an isolating device for said water chamber which comprises a rigid support frame extending through said manhole to said nozzle portion; a plug; plug mounting means pivotably mounting said plug on a tip end portion of said support frame; and means for adjusting an angle of said plug with respect to said support frame so as to position said plug snugly within said nozzle portion; said plug including a seal lid and a mount plate, said seal lid including a lid member and two flexible seal plates respectively affixed on opposite faces of said lid member, said mount plate removably attached at a front face thereof to one side of said seal lid with one of said seal plates disposed between said lid member and said mount plate, said lid member having a cross-sectional area greater than said cross-sectional area of said manhole and being formed of at least two rigid plate members and connecting means for pivotably connecting said at least two rigid plate members such that said seal lid is foldable at said connecting means into a shape permitting said seal lid to pass out of said water chamber through said manhole when said mount plate is not attached to said seal lid, and means for removably fixing said at lest two rigid plate members to said mount plate and for preventing pivotal movement of said at least two rigid plate members relative to each other and said mount plate, said removably fixing means including side-by-side hold plates removably sandwiched between said seal lid and said mount plate, bolts extending from said seal lid through aligned holes in said hold plates and said mount plate, and nuts on said bolts fastening said seal lid, said mount plate and said hold plates together, each of said hold plates having such cross-sectional area as to permit individual passage thereof through said manhole when separated from said seal lid and said mount plate. 11. The improvement as in claim 10, wherein said lid member is circularly plate-shaped, said at least two rigid plate members including two semicircular segment plates and a center plate joined by said connecting means to said segment plates, said connecting means comprising hinges pivotably connecting opposite sides of said center plate to said segment plates, said hold plates forming a circular plate member consisting of two semicircular plates facing each other along diametrically extending edges thereof. 12. The improvement as in claim 11, wherein said two flexible seal plates are circularly shaped and have diameters greater than those of said circular plate member and said lid member, outer peripheral edges of said two flexible seal plates engaging an inner peripheral surface of said nozzle portion. 13. The improvement as in claim 12, wherein said manhole and said nozzle portion have circular cross sections, the diameter of the cross section of said manhole being less than the diameter of the cross section of said nozzle portion. 14. The improvement as in claim 10, further comprising a cover removably closing said manhole in water tight relationship. 15. The improvement as in claim 10, wherein said angle adjusting means includes a support rod rotatably mounted at one end thereof on said support frame in threaded engagement with said support frame, said support rod engaging a back face of said mount plate opposite said front face at an end of said support rod opposite said one end such that screw rotation of said support rod pivots said plug about said plug mounting means. 16. In a nuclear reactor having a nuclear reactor pressure vessel and a steam generator, said steam generator including a vertical outer shell and a water chamber located at a lower end of said outer shell, communicating with said nuclear reactor pressure vessel via coolant piping having a nozzle portion and a manhole in and through a wall of said outer shell of cross-sectional area less than the cross-sectional area of said nozzle portion, the improvement wherein said reactor includes an isolating device for said water chamber which comprises a rigid support frame extending through said manhole to said nozle portion; a plug; plug mounting means pivotably mounting said plug on a tip end portion of said support frame; and means for adjusting an angle of said plug with respect to said support frame so as to position said plug snugly within said nozzle portion; said plug including a seal lid and a mount plate, said seal lid including a lid member and two flexible seal plates respectively affixed on opposite faces of said lid member, said mount plate removably attached at a front face thereof to one side of said seal lid with one of said seal plates disposed between said lid member and said mount plate, said lid member having a cross-sectional area greater than said cross-sectional area of said manhole and being formed of at least two rigid plate members and connecting means for pivotably connecting said at least two rigid plate members such that said seal lid is foldable at said connecting means into a shape permitting said seal lid to pass out of said water chamber through said manhole when said mount plate is not attached to said seal lid, said angle adjusting means including a support rod rotatably mounted at one end thereof on said support frame in threaded engagement with said support frame, said support rod engaging a back face of said mount plate opposite said front face at an end of said support rod opposite said one end such that screw rotation of said support rod pivots said plug about said plug mounting means. 17. The improvement as in claim 16, further comprising means for removably fixing said at least two rigid plate members to said mount plate and for preventing pivotal movement of said at least two rigid plate members relative to each other and said mount plate. 18. The improvement as in claim 17, wherein said removably fixing means includes side-by-side hold plates removably sandwiched between said seal lid and said mount plate, bolts extending from said seal lid through aligned holes in said hold plates and said mount plate, and nuts on said bolts fastening said seal lid, said mount plate and said hold plates together, each of said hold plates having such cross-sectional area as to permit individual passage thereof through said manhole when separated from said seal lid and said mount plate. 19. The improvement as in claim 18, wherein said lid member is circularly plate-shaped, said at least two rigid plate members including two semicircular segment plates and a center plate joined by said connecting means to said segment plates, said connecting means comprising hinges pivotably connecting opposite sides of said center plate to said segment plates, said hold plates forming a circular plate member consisting of two semicircular plates facing each other along diametrically extending edges thereof. 20. The improvement as in claim 19, wherein said two flexible seal plates are circularly shaped and have diameters greater than those of said circular plate member and said lid member, outer peripheral edges of said two flexible seal plates engaging an inner peripheral surface of said nozzle portion. 21. The improvement as in claim 20, wherein said manhole and said nozzle portion have circular cross sections, the diameter of the cross section of said manhole being less than the diameter of the cross section of said nozzle portion. 22. The improvement as in claim 16, further comprising a cover removably closing said manhole in water tight relationship. 23. The improvement as in claim 16, wherein said manhole and said nozzle portion have circular cross sections, the diameter of the cross section of said manhole being less than the diameter of the cross section of said nozzle portion. |
description | The present disclosure relates to nuclear reactors and, more specifically, to devices and methods for stabilizing a dryer assembly in a nuclear reactor pressure vessel. The statements in this section merely provide background information related to the present disclosure and may not constitute prior art. A reactor pressure vessel (RPV) of a nuclear reactor such as a boiling water reactor (BWR) typically has a generally cylindrical shape and is closed at both ends, by a bottom head and a removable top head. A top guide typically is spaced above a core plate within the reactor pressure vessel. A core shroud, or shroud, typically surrounds the reactor core and is supported by a shroud support structure. The shroud has a generally cylindrical shape and surrounds and supports both the core plate and the top guide. There is a space or annulus located between the cylindrical reactor pressure vessel and the cylindrically-shaped shroud. Heat is generated within the core and water circulated up through the core is at least partially converted to steam. Steam separators located over the top of the shroud separate the steam and the water. Residual water is removed from the steam by steam dryers located above the separator assembly. The de-watered steam exits the reactor pressure vessel through a steam outlet near the vessel top head. Typically, a reactor pressure vessel includes a top head secured to the reactor pressure vessel with vessel closure bolts. A conventional steam dryer is positioned within the reactor pressure vessel for removing residual water entrained in steam exiting the steam separators. It is positioned by its dryer support skirt, which rests on four to six dryer support brackets attached to the vessel shell. During loss of coolant accident (LOCA) conditions, upward displacement of the steam dryer is also limited by a steam dryer hold down assembly. The steam dryer hold down assembly often includes a plurality of RPV steam dryer hold down brackets connected to the steam dryer via corresponding steam dryer lifting rod eyes attached to steam dryer lifting rods. A typical steam dryer hold down assembly for conventional BWR steam dryers includes four RPV steam dryer hold down brackets attached to the inside of the top head of the reactor pressure vessel. During reactor construction, the corresponding steam dryer lifting rod eyes are typically adjusted so that their upper surfaces have from about a one-half inch to one inch clearance to the bottom surface of the steam dryer hold down brackets to allow for differential thermal expansion between the reactor pressure vessel and the steam dryer lifting rod. However, conventional BWR design steam dryers can exhibit vibratory motion during operation, which manifests itself as unusual wear or, in some cases, cracking on upper bearing surfaces of steam dryer support brackets which support the steam dryer within the reactor pressure vessel. The wear and cracking indicates that the dryer is rocking due to uneven contact with its support brackets. The current dryer hold down assembly is generally intended to function only during a steam line break accident (i.e., loss-of-coolant accident (LOCA)), where the dryer lifts completely off of its support brackets, which support dryer support ring of the steam dryer. Thus, the dryer hold down assembly is not effective as a stabilizing support to prevent the vibratory motion of the steam dryer during normal operation. There is additional concern that operating reactor plants implementing up-rated power operations (power operations in excess of rated power output) may be more susceptible to steam dryer vibratory motion due to increased steam flow and pressure drop across the dryer. The steam dryer assembly and/or its support bracket may become worn or otherwise damaged during such vibratory motion. When this occurs, the steam dryer vibratory motion is further enhanced and the vibratory motion and damage increases with further reactor operation due to uneven positioning of the steam dryer and/or the hold down brackets. Typically, the steam dryer assembly is inspected during routine refueling outage maintenance on the reactor. Where damage or uneven contact with the support brackets is observed, a steam dryer rocking test has been used to evaluate required corrective action. The amount and location of the non-uniformity at the interfaces which support the dryer and the dryer support ring between the respective mating steam dryer support brackets which are attached to the RPV upper shell is determined by test. The test is performed by inducing rocking of the dryer that can include inserting a shim in one support bracket location, and applying a tipping weight on the top of the dryer at one eccentrically positioned location, then the diagonally opposite location. The amount of tipping is measured using dial indicators that record the relative movement of the tops of the dryer lifting rods. This testing procedure is repeated with various shim and weight positions. As the measured values include effects of sagging due to dryer flexibility and non-uniform spacing of the support brackets, adjustment calculations are required to determine the amounts of wear or non-uniformity. If the tests indicated wear or non-uniform seating of the dryer assembly to the support brackets, adjustment is typically made by grinding the bottom of one or more dryer support ring contact or bearing surfaces. Generally during the testing and grinding processes, no further reactor maintenance or correction can be performed within the reactor pressure vessel and the steam dryer cannot be removed until the testing is complete. As such, the testing and modification to the dryer assembly and support brackets is a critical path item during reactor maintenance and therefore increases the amount of time the reactor is off line. The inventors hereof have recognized that reducing or eliminating steam dryer vibrations and the resulting damage can increase the life of the steam dryer assembly, reduce the critical path duration required for dryer support measurements, and reduce reactor maintenance intervals. The inventors have succeeded at designing a device and method for stabilizing a dryer assembly within a reactor pressure vessel of a nuclear reactor. This can include retrofitting or modifying an existing nuclear reactor. By providing improved stabilization of the steam dryer, various benefits can include one or more of improved operations of a nuclear reactor assembly, reduced maintenance intervals, and reduced maintenance costs. According to one aspect, a device for stabilizing a dryer assembly in a reactor pressure vessel of a nuclear reactor includes a spring dimensioned and positioned within the reactor pressure vessel to apply a stabilizing force to the dryer assembly relative to the reactor pressure vessel. According to another aspect, a device for stabilizing a dryer assembly in a reactor pressure vessel of a nuclear reactor, includes a reaction arm coupled to a hold down bracket of a top head of the reactor pressure vessel, and a spring coupled to the reaction arm and positioned to preload the dryer assembly downward against a steam dryer support bracket of the reactor pressure vessel. According to another aspect, in a reactor pressure vessel of a nuclear reactor, a stabilizing means applies a stabilizing force to a dryer assembly relative to the reactor pressure vessel. According to yet another aspect, a method for stabilizing a dryer assembly in a reactor pressure vessel of a nuclear reactor includes preloading the dryer assembly with a stabilizing force within the reactor pressure vessel. According to still another aspect of the invention, a method of modifying a reactor pressure vessel of a nuclear reactor to stabilize a dryer assembly during operation of the nuclear reactor includes positioning a spring between the reactor pressure vessel and the dryer assembly to provide a stabilizing force to the dryer assembly within the reactor pressure vessel. According to another aspect, a method of modifying a reactor pressure vessel of a nuclear reactor to stabilize a dryer assembly during operation of the nuclear reactor includes positioning a spring between the reactor pressure vessel and the dryer assembly to provide added stiffness to a support of the dryer assembly, and stabilizing the dryer against upward motion within the reactor pressure vessel. Further aspects of the present invention will be in part apparent and in part pointed out below. It should be understood that various aspects of the invention may be implemented individually or in combination with one another. It should also be understood that the detailed description and drawings, while indicating certain exemplary embodiments of the invention, are intended for purposes of illustration only and should not be construed as limiting the scope of the invention. It should be understood that throughout the drawings, corresponding reference numerals indicate like or corresponding parts and features. The following description is merely exemplary in nature and is not intended to limit the present disclosure or the disclosure's applications or uses. According to some embodiments of the invention, in a reactor pressure vessel (RPV) of a nuclear reactor, a stabilizing means such as a stabilizing assembly is configured for applying a stabilizing force to a dryer assembly relative to the reactor pressure vessel. Referring to FIG. 1, an upper portion of a reactor pressure vessel (RPV) for a boiling water reactor includes a steam dryer stabilizing device and method according to one exemplary embodiment of the invention. A reactor pressure vessel (RPV) 10 includes a top head 12 having an inner surface 13 secured to an RPV upper shell 11 via main closure head bolts 14. Some of the components within the RPV 10 of the conventional BWR have been removed for clarity. Although FIG. 1 illustrates a head spray nozzle 16 penetrating the top head 12, a conventional dryer assembly 18 for removing residual water entrained in steam exiting the steam separators (not shown), a steam dryer hold down assembly 20, and the steam outlet nozzle 22. The spray nozzle 16 carries the de-watered steam exiting the dryer assembly 18 out of the RPV 10 to power turbine generators and associated downstream electrical distribution systems (not shown). Residual water or condensate removed from a steam-water mix 24 exiting the steam dryers is returned to the reactor via drain channels 26 in the dryer assembly 18. The steam dryer assembly 18 includes a dryer support ring 27 for mounting the steam dryer assembly 18 on a dryer support bracket 28 within the RPV upper shell 11. The steam dryer hold down assembly 20 can include a plurality of RPV steam dryer hold down brackets 30 connected to the dryer assembly 18 via a corresponding steam dryer lifting rod eye 32 to steam dryer lifting rod 34. A typical steam dryer hold down assembly 20 for dryer assembly 18 includes four RPV steam dryer hold down brackets 30 attached to the inner surface 13 of the top head 12 of the RPV 10, corresponding to the same number of lifting rods 34 used to install the dryer assembly 18. As shown in the exemplary embodiment of FIG. 1, one or more of the steam dryer hold down assemblies 30 includes a stabilizing assembly 36 mounted to the dryer hold down assembly 20. The stabilizing assembly 36 is adapted and positioned between the dryer hold down bracket 30 and the lifting rod 34 and/or lifting rod eye 32 to provide a stabilizing force 38 to the dryer assembly 18 (as illustrated by arrows 38). The stabilizing force 38 applied by the stabilizing assembly 36 to the lifting rod eye 32 creates a stabilizing force on the dryer assembly 18. The stabilizing force 38 also acts to stabilize the dryer support ring 27 against the dryer support bracket 28. This stabilizing force 38 between the dryer assembly 18 and the dryer support bracket 28 is illustrated in FIG. 1 as a stabilizing force 40. In this exemplary embodiment, the stabilizing assembly 36 is coupled to the dryer hold down assembly 20. However, it should be understood that the stabilizing assembly 36 could be coupled to other structures or positioned in other relationships within the RPV and in relationship to the dryer assembly 18 in one or more other embodiments. In some embodiments, the stabilizing forces 38 and 40 are desired to be a substantial downward force that is applied even during thermal expansion. The stabilizing forces 38 and 40 are often desired to prevent any rocking of the dryer assembly 18 on the dryer support brackets 28. In one embodiment, the preferred spring force is approximately ¼ to ½ of the weight of the dryer, or about 20,000 pounds per lifting rod 34. While sufficient to effectively restrain motion of the dryer assembly 18, this preferred spring force is not significant with respect to the combined weight of the top head 12 and closure head bolt 14 preload available to react the spring force. In some embodiments, the stabilizing force 38 and stabilizing force 40 can be a displacement limiting force on one or more stabilizing assemblies 36. For example, in some embodiments the stabilizing assembly 36 may not apply a downward force when the dryer assembly 18 is in a resting or normal position. In such, the stabilizing assembly 36 is configured to apply the stabilizing force 38 upon an upward movement of the dryer assembly 18. For example, this can include the upward movement of the dryer support ring 27 from the dryer support bracket 28. In this case, it is the added stiffness of the stabilizing assembly 36 that inhibits undesirable motion of the steam dryer 18. In other embodiments, all installed stabilizing assemblies 36 are configured to apply the stabilizing force 38 during normal operations following assembly of the RPV 10. For example, this can be a continuous downward force. In such embodiments, the stabilizing assembly 36 can be preloaded as a result of placement of the top head 12 and dryer hold down assemblies 20. For example, in some embodiments the stabilizing assembly 36 can include one or more springs (not shown) that are compressed between the top head 12 and the dryer assembly 18 when the top head 12 is placed onto the RPV 10 after each refueling. Such a compressed spring can provide the stabilizing force 38 to the dryer lifting rod eye 32 continuously downward thereby applying a continuously downward stabilizing force 40 between the dryer support ring 27 of the dryer assembly 18 and the dryer support bracket 28. FIGS. 2 and 3 provide two illustrations (FIG. 2 a side view and FIG. 3 a front view) of a dryer stabilizing assembly 36 according to one exemplary embodiment. As illustrated in FIG. 2, a dryer stabilizing assembly 36 can be coupled to the top head 12 via a dryer hold down bracket 30. One or more reaction arms 202 are rotatably coupled to the dryer hold down bracket 30 about a pivoting point 204. The reaction arms 202 are defined by and coupled to the dryer hold down bracket 30 by fastener 206 positioned through a mounting hole 203 as shown in FIG. 3. The fastener 206 can be any type of suitable fastener and can include, by way of example, a bolt and nut assembly. As shown in FIG. 3, in one embodiment the mounting hole 203 receives a bolt fastener 206. A first reaction arm 202A is positioned on a first side of the dryer hold down bracket 30 and a second reaction arm 202B is positioned on a second side of the dryer hold down bracket 30. A fastener or bolt is positioned to couple the two reaction arms 202A and 202B to the dryer hold down bracket 30. A spring 208 is coupled to the reaction arm 202 via a coupling fastener 210. The spring 208 can be any type of spring or elastic device or assembly and is shown in FIG. 2 as a spiral spring, only by way of example. The spring 208 can be made of any high strength corrosion resistance elastic material suitable for use as a spring within the reactor. For example, the spring 208 could be made of a Nickel-Chromium-Iron alloy such as the X-750 alloy. The coupling fastener 210 can be any torque transmitting fastener and can include an integral square lug, as shown in FIGS. 2 and 3. When the spring 208 is a spiral spring, the coupling fastener 210 can be fixedly coupled to a center portion of the spring 208 such that the center of the spring does not rotate about the coupling fastener 210. Use of the high strength alloy in the spiral spring form that is nested against the hold down bracket 30 can provide a compact envelope size to minimize blockage of the flow path of steam from the dryer assembly 18 existing the RPV 10. The spring 208 can include a protruding end 212 that is positioned between a lower surface 214 of the dryer hold down bracket 30 and a top surface 216 of the dryer lifting rod eye 32. The spring 208 is illustrated as having a free state 218A wherein the protruding end 212 is at a lower position and an installed position 218B. In the installed position 218B, a lower surface of the protruding end 212 is compressed against the top surface 216 and the spring 208 is elastically unwound to position 218B. In the installed position 218B, a stabilizing gap 220 is defined between the protruding end 212 and the lower surface 214 of the dryer hold down bracket 30. The stabilizing gap 220 can be various distances such as to enable the spring 208 and dryer lifting rod eye 32 to flex upward while still enabling the stabilizing assembly 36 to provide a downward stabilizing force 38. The gap size can be predetermined, consistent with the spring sizing, and to accommodate differential expansion between the dryer assembly 18 and the top head 12 during transients such as reactor startup and shutdown. In some embodiments, the stabilizing gap 220 can be about one-half an inch. In some embodiments, the reaction arm 202 can include a first reaction arm portion and a second reaction arm portion positioned generally in parallel with the first reaction arm portion to form the reaction arm 202. The first reaction arm portion can be positioned on a first side of the dryer hold down bracket 30 and a first side of the spring 208. The second reaction arm portion can be positioned on a second side of the dryer hold down bracket 30 and a second side of the spring 208. In this manner, the spring 208 and the dryer hold down bracket 30 are held between the two portions of the reaction arm 202 the fastener 206 and the coupling fastener 210 to form, at least in part, the stabilizing assembly 36. In some exemplary embodiments, the stabilizing assembly 36 applies a downward force (which can be a continuous downward force) between the top head 12 and the dryer lifting rod eye 32 thereby applying the stabilizing force 38 to the dryer assembly 18. The spring 208 can be placed in free state 218A upon installation of the stabilizing assembly 36 and coupling of the reaction arms 202A and 202B to the dryer hold down bracket 30 and to the spring 208. The stabilizing assembly 36 can be configured so the spring 208 is supported in correct alignment for engagement with the dryer lifting rod eye 32 by contact between the spring 208 and the side of the hold down bracket 30. The spring 208 is compressed in the installed position 218B upon lowering of the top head 12 onto the RPV 10. In some embodiments, a stabilizing assembly 36 can be installed on a new RPV 10 during construction and installation. In other embodiments, the stabilizing assembly 36 can be installed as a modification to an existing reactor and reactor pressure vessel (RPV). For example, in one embodiment, a method of modifying a reactor pressure vessel (RPV) of a nuclear reactor to stabilize a dryer assembly during operation of the nuclear reactor includes positioning a spring between the reactor pressure vessel and the dryer assembly to provide a stabilizing force to the dryer assembly within the reactor pressure vessel. In some embodiments, the method can also include attaching the spring 208 to a hold down bracket 30 of a top head 12 of the reactor pressure vessel 10 and placing the top head 12 onto the reactor pressure vessel 10. In this manner, the stabilizing force 38 can be either a continuous downward stabilizing force against a steam dryer hold down bracket 30 or a displacement limiting force that inhibits the upward movement of the dryer assembly 18. The latter embodiment provides added stiffness to the support of the dryer assembly 18, thereby stabilizing the dryer assembly 18 against upward motion within the RPV 10. In some embodiments, the method includes placing a portion of the spring between a portion of a hold down bracket 30 and a dryer lifting rod 34. In this manner, the spring 208 can be deflected by contacting the dryer lifting rod 34 upon placement a top head 12 onto the reactor pressure vessel 10 and thereby create a downward stabilizing force 38 against the dryer lifting rod and therefore the dryer assembly 18. By way of example, FIG. 4 illustrates a conventional reactor pressure vessel 400 capable of modification with the current method of stabilizing a dryer assembly 18. This RPV 400 is the unmodified form of the above RPV 10. As with conventional RPVs 10, the dryer assembly 18 is mounted via the dryer support ring 27 to the dryer support bracket 28 without a stabilizing force, either during normal operation or during an upward movement of the dryer from the dryer support bracket 28. In the conventional RPV 400, the dryer assembly 18 has considerable weight that is supported at the bottom of the dryer assembly 18 by the seating of corresponding dryer support ring 27 on a plurality of dryer support brackets 28 attached to the RPV upper shell 11. Four steam dryer lifting rods 34 are used as an accident condition hold down device for the dryer assembly 18. Upward displacement of the steam dryer lifting rods 34 is limited by the four hold down brackets 30 attached to the inside of the top head 12 of the RPV 400. The dryer hold down brackets 30 typically have from about a one-half inch to one inch clearance or gap 402 from the lifting rod eyes 32. The clearance gap 402 typically provides for differential thermal expansion between the RPV 10 and the steam dryer lifting rod 34 and is generally formed during construction by adjusting each steam dryer lifting rod eye 32 so that its upper surface forms the desired clearance gap 402. As such, the dryer hold down brackets 30 do not restrain the operational motions that occur due to dryer assembly 18 rocking on dryer support brackets 28. However, the RPV 400 can be modified during a reactor maintenance procedure as described above and as further illustrated in FIGS. 5 and 6. FIG. 5 illustrates a conventional reactor pressure vessel 400 dryer hold down bracket 30 in an installed position relative to the dryer lifting rod eye 32. As shown, the dryer hold down bracket 30 includes a lower portion 502 with a lower surface 504 that extends downward to form the gap 402 with the top surface 216 of dryer lifting rod eye 32. In order to modify the dryer hold down bracket 30 to accept the stabilizing assembly 36, the lower portion 502 is shortened to the lower surface 214. The amount of removed portion 602 can be determined by lifting the top head 12 and replacing it one or more times with measurement devices or tools in place for determining the necessary amount of required removed portion 602. Alternatively, amount of required removed portion 602 can be determined by measuring the height of each dryer lifting rod eye 32 above a vessel closure seal surface (not shown), and the height of each hold down bracket 30 above the mating top head seal surface (not shown). This can be performed without replacing the top head 12. As shown in FIG. 6, a removed portion 602 of the lower portion 502 is removed from the dryer hold down bracket 30 to form a larger gap 604. The removed portion 602 can be removed by any conventional cutting methods, including grinding, sawing, or milling. The new larger gap 604 is dimensioned to accept the protruding end 212 of the spring 208 (as shown in FIG. 2 by way of example) between the dryer hold down bracket 30 and the dryer lifting rod eye 32 and forming the desired stabilizing gap 220. Additionally, the mounting hole 203 can be drilled or otherwise machined into the dryer hold down bracket 30 as illustrated in FIG. 6. The mounting hole 203 is configured to receive the fastener 206 and to provide the pivot point 204 for one or more reaction arms 202. Following modification of the dryer hold down bracket 30 as shown in FIG. 6, the stabilizing assembly shown and described above with regard to FIGS. 2 and 3 can be coupled to the dryer hold down bracket 30 and the top head 12 placed back on top of RPV 400. In this manner, the stabilizing assembly 36 as shown in FIG. 1 is implemented. It should be understood that the illustrated modifications can vary depending on the structure and positioning and design of the stabilizing assembly. This modification method is only directed to one of various designs of reactor pressure vessels, dryer assemblies, and stabilizing assemblies. Other such implementations, consistent with these teachings, are also considered within the scope of the present disclosure. When describing elements or features of the present invention or embodiments thereof, the articles “a”, “an”, “the”, and “said” are intended to mean that there are one or more of the elements or features. The terms “comprising”, “including”, and “having” are intended to be inclusive and mean that there may be additional elements or features beyond those specifically described. Those skilled in the art will recognize that various changes can be made to the exemplary embodiments and implementations described above without departing from the scope of the invention. Accordingly, all matter contained in the above description or shown in the accompanying drawings should be interpreted as illustrative and not in a limiting sense. It is further to be understood that the processes or steps described herein are not to be construed as necessarily requiring their performance in the particular order discussed or illustrated. It is also to be understood that additional or alternative processes or steps may be employed. |
|
description | The semiconductor integrated circuit (IC) industry has experienced exponential growth. Technological advances in IC materials and design have produced generations of ICs where each generation has smaller and more complex circuits than the previous generation. In the course of IC evolution, functional density (i.e., the number of interconnected devices per chip area) has generally increased while geometry size (i.e., the smallest component (or line) that can be created using a fabrication process) has decreased. This scaling down process generally provides benefits by increasing production efficiency and lowering associated costs. Such scaling down has also increased the complexity of IC processing and manufacturing. For these advances to be realized, similar developments in IC processing and manufacturing are needed. For example, the need to perform higher resolution lithography processes grows. One lithography technique is extreme ultraviolet lithography (EUVL). Other techniques include X-Ray lithography, ion beam projection lithography, electron beam projection lithography, and multiple electron beam maskless lithography. The EUVL employs scanners using light in the extreme ultraviolet (EUV) region, having a wavelength of about 1-100 nm. Some EUV scanners provide 4× reduction projection printing, similar to some optical scanners, except that the EUV scanners use reflective rather than refractive optics, i.e., mirrors instead of lenses. In order to achieve adequate aerial image contrast for future nodes, several techniques, e.g., the attenuated phase-shifting mask (AttPSM) and the alternating phase-shifting mask (AltPSM) have been developed to obtain resolution enhancement for EUVL. As technology nodes approach further down, a shadowing effect becomes a more severe issue in EUVL. So it is desired to have further improvements in this area. The following disclosure provides many different embodiments, or examples, for implementing different features of the invention. Specific examples of components and arrangements are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to be limiting. For example, the formation of a first feature over or on a second feature in the description that follows may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features may be formed between the first and second features, such that the first and second features may not be in direct contact. In addition, the present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed. Further, spatially relative terms, such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures. The spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. For example, if the device in the figures is turned over, elements described as being “below” or “beneath” other elements or features would then be oriented “above” the other elements or features. Thus, the exemplary term “below” can encompass both an orientation of above and below. The apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly. Referring to FIG. 1, an EUV lithography process 100 that may benefit from one or more embodiments of the present invention is disclosed. The EUV lithography process 100 employs an EUV radiation source 120 having a wavelength of about 1-100 nm. The EUV lithography process 100 also employs an illuminator 130. The illuminator 130 may comprise refractive optics, such as a single lens or a lens system having multiple lenses (zone plates) or reflective optics, such as a single mirror or a mirror system having multiple mirrors in order to direct light from the radiation source 120 onto the mask. In the EUV wavelength range, reflective optics is employed generally. Refractive optics, however, can also be realized by e.g., zoneplates. In the present embodiment, the illuminator 130 is set up to direct radiation from the radiation source 120 onto the EUV mask 140 nearly perpendicularly. For example, the chief ray angle of incidence at the object side (CRAO) is less than three degrees. All incoming light rays incident on the EUV mask 140 at almost the same angle of incidence (AOI) as that of the CRAO. The EUV lithography process 100 also employs a mask 140 (in literatures, a mask is also called a photomask or a reticle). The mask 140 can be a transmissive mask or a reflective mask. In the present embodiment, the mask 140 is a reflective mask such as described in further detail below. The mask 140 may incorporate other resolution enhancement techniques such as attenuated phase-shifting masks (AttPSM) and sub-resolution assist features (SRAF). SRAF are not printed on a final target, e.g., a semiconductor wafer. However, they help in increasing the exposure latitude (EL) or depth of focus (DOF) of main features. The EUV lithography process 100 also employs a projection optics box (POB) 150. The POB 150 may have refractive optics or reflective optics. The radiation reflected from the mask 140 (e.g., a patterned radiation) is collected by the POB 150. The POB 150 may include a magnification of less than one (thereby reducing the patterned image included in the radiation). Referring to FIG. 2, after being reflected from the mask 140, an incident light 160 is diffracted into various diffraction orders due to presence of these mask patterns, such as a 0th diffraction order ray, a negative (−) 1st diffraction order ray 162 and a positive (+) 1st diffraction order ray 164. The 0th diffraction order ray is reflected back to the illuminator and can be reused. Meanwhile, the −1st and +1st diffraction order rays, 162 and 164, are also collected by the POB 150 and directed to expose a target 170. Since the strength of the −1st and +1st diffraction order rays, 162 and 164, are well balanced, they interfere with each other and will generate a high contrast aerial image. Also, the −1st and +1st diffraction order rays, 162 and 164, are of the same distance from the pupil center in the pupil plane, and depth of focus (DOF) is maximized. The target 170 includes a semiconductor wafer with a photosensitive layer (e.g., photoresist or resist), which is sensitive to the EUV radiation. The target 170 may be held by a target substrate stage. The target substrate stage provides control of the target substrate position such that the image of the mask is scanned onto the target substrate in a repetitive fashion (though other lithography methods are possible). The following description refers to the EUV mask 140 and an EUV mask fabrication process. The EUV mask fabrication process includes two steps: a blank mask fabrication process and a mask patterning process. During the blank mask fabrication process, a blank mask is formed by depositing suitable layers (e.g., a reflective multilayer consisting of alternating layers of different materials or material combinations) on a suitable substrate. The blank mask is patterned during the mask patterning process to have a design of a layer of an integrated circuit (IC) device (or chip). The patterned mask is then used to transfer circuit patterns (e.g., the design of a layer of an IC device) onto a semiconductor wafer. The patterns can be transferred over and over onto multiple wafers through various lithography processes. Several masks (for example, a set of 15 to 30 masks) may be used to construct a complete IC device. In general, various masks are fabricated for being used in various processes. Types of EUV masks include binary intensity masks (BIM) and phase-shifting masks (PSM). An example BIM includes an almost totally absorptive region (also referred to as a dark region) and a reflective region. In the dark region, an absorber is present and an incident light beam is almost fully absorbed by the absorber. The absorber can be made of materials containing chromium, chromium oxide, chromium nitride, titanium, titanium oxide, titanium nitride, tantalum, tantalum oxide, tantalum nitride, tantalum oxynitride, tantalum boron oxide, tantalum boron nitride, tantalum boron oxynitride, aluminum, aluminum oxide, silver, silver oxide, palladium, copper, ruthenium, molybdenum, other suitable materials, or mixture of some of the above. In the reflective region, the absorber is removed and the incident light is reflected by a multilayer (ML), which will be described in further detail below. A PSM includes an absorptive region and a reflective region. There is a proper phase difference, e.g., 180 degrees, between light reflected from the absorptive region and the reflective region to enhance resolution and imaging quality. The absorber of the PSM can be made of materials such as tantalum nitride and tantalum boron nitride at a specific thickness. The PSM can be an attenuated PSM (AttPSM) or an alternating PSM (AltPSM). An AttPSM usually has 2%-15% of reflectivity from its absorber, while an AltPSM usually has larger than 50% of reflectivity from its absorber. Referring to FIG. 3, a blank mask 200 comprises a substrate 210 made of low thermal expansion material (LTEM). The LTEM material may include TiO2 doped SiO2, or other low thermal expansion materials known in the art. The LTEM substrate 210 serves to minimize image distortion due to mask heating. In the present embodiment, the LTEM substrate includes materials with a low defect level and a smooth surface. In addition, a conductive layer 205 may be deposited under (as shown in the figure) the LTEM substrate 210 for the electrostatic chucking purpose. In an embodiment, the conductive layer 205 includes chromium nitride (CrN), though other compositions are possible. A reflective multilayer (ML) 220 is deposited over the LTEM substrate 210. According to Fresnel equations, light reflection occurs when light propagates across the interface between two materials of different refractive indices. The reflected light is larger when the difference of refractive indices is larger. To increase the reflected light, one may also increase the number of interfaces by depositing a multilayer of alternating materials and let light reflected from different interfaces interferes constructively by choosing appropriate thickness for each layer inside the multilayer. However, the absorption of the employed materials for the multilayer limits the highest reflectivity that can be achieved. The ML 220 includes a plurality of film pairs, such as molybdenum-silicon (Mo—Si) layer pairs (e.g., a layer of molybdenum above or below a layer of silicon in each layer pair). Alternatively, the ML 220 may include molybdenum-beryllium (Mo—Be) layer pairs, or any layer pairs consisting of two materials or two material combinations with large difference in refractive indices and with small extinction coefficients. The thickness of each layer of the ML 220 depends on the EUV wavelength and the incident angle (on the mask). For a specified incident angle, the thickness of the ML 220 is adjusted to achieve a maximal constructive interference for light reflected at different interfaces of the ML 220. A typical number of layer pairs is 20-80, however any number of film pairs is possible. In an embodiment, the ML 220 includes forty layer pairs of Mo—Si. Each Mo—Si layer pair has a thickness of about 7 nm, with a total thickness of 280 nm. In this case, a reflectivity of about 70% is achieved. In one embodiment, a capping layer (not shown) is formed above the ML 220 to prevent oxidation of the ML. The capping layer may include silicon. Additionally, a buffer layer (not shown) may also be formed above the capping layer to act as an etching stop layer in a patterning or repairing process of an absorption layer. The buffer layer has different etching characteristics from the absorption layer (which will be described in detail later). The buffer layer may include ruthenium (Ru), Ru compounds such as RuB, RuSi, chromium (Cr), Cr oxide, and Cr nitride. A low temperature deposition process is often chosen for the buffer layer to prevent inter-diffusion of the ML 220. In the present embodiment, the buffer layer 140 contains ruthenium (Ru) and is of the thickness of 2-5 nm. In one embodiment, the capping layer and the buffer layer is a single layer. An absorption layer 230 is formed above the ML 220. The absorption layer 230 preferably absorbs radiation in the EUV wavelength range projected onto a patterned EUV mask 300. The absorption layer 230 includes multiple film layers containing materials from a group of chromium, chromium oxide, chromium nitride, titanium, titanium oxide, titanium nitride, tantalum, tantalum oxide, tantalum nitride, tantalum oxynitride, tantalum boron oxide, tantalum boron nitride, tantalum boron oxynitride, aluminum, aluminum oxide, silver, silver oxide, palladium, copper, ruthenium, molybdenum, other suitable materials, or mixture of some of the above. With a proper configuration of multiple film layers, the absorption layer 230 will provide process flexibility in a subsequent etching process by different etch characteristics of each film. One or more of the layers 205, 220, the capping layer, the buffer layer and 230 may be formed by various methods, including physical vapor deposition (PVD) process such as evaporation and DC magnetron sputtering, a plating process such as electrodeless plating or electroplating, a chemical vapor deposition (CVD) process such as atmospheric pressure CVD (APCVD), low pressure CVD (LPCVD), plasma enhanced CVD (PECVD), or high density plasma CVD (HDP CVD), ion beam deposition, spin-on coating, metal-organic decomposition (MOD), and/or other methods known in the art. The MOD is a liquid-based deposition technique. By using MOD, a metal-organic precursor, dissolved in a solvent, is spin-coated onto a substrate, and the solvent is then evaporated. A vacuum ultraviolet (VUV) source is used to convert the metal-organic precursors to constituent metal elements. Referring to FIG. 4, in one of the present embodiments, the absorption layer 230 is patterned to form the design layout pattern EUV mask 300. A patterning process may include resist coating (e.g., spin-on coating), soft baking, mask aligning, exposure, post-exposure baking, developing the resist, rinsing, drying (e.g., hard baking), other suitable processes, and/or combinations thereof. An etching process is followed to remove a portion of the absorption layer 230. The etching process may include dry (plasma) etching, wet etching, and/or other etching methods. In order to achieve enough optical density, the thickness of the patterned absorption layer 230 is usually sufficiently large, e.g. 80 nm. When the thickness of the patterned absorption layer 230 is accompanied by a CRAO being not close to zero, shadows beside mask patterns are created, referred to as the shadowing effect. The shadowing effect causes critical dimension (CD) difference for horizontal and vertical patterns, shift of patterns, and intrafield CD variation (if the azimuthal angle of the incident light varies in the exposure field), though they can be modeled and corrected. The shadowing effect also causes aerial image contrast loss, which will reduce the process window and increase the mask error enhancement factor (MEEF). The shadowing effect becomes more severe when we go to smaller technology nodes if the thickness of the absorption layer is kept the same. This is because the size of the shadow occupies a larger portion of the size of the reflective region. The shadowing effect raises challenges for EUVL to go to smaller technology nodes and puts thickness constraints in the absorption layer. In the present embodiment, the CRAO is set fairly close to zero, e.g. less than 3 degrees. Thus the shadowing effect is minimized dramatically and thickness constraints of the absorption layer can be relaxed. The present disclosure is directed towards lithography systems and processes. In one embodiment, an extreme ultraviolet lithography (EUVL) process includes receiving an extreme ultraviolet (EUV) mask, an EUV radiation source and an illuminator. The process also includes exposing the EUV mask by a radiation from the EUV radiation source, with a less-than-three-degree CRAO achieved by the illuminator. The process further includes removing most of the non-diffracted light and collecting and directing the diffracted light and the not removed non-diffracted light by a projection optics box (POB) to expose a target. In another embodiment, an EUVL process includes receiving an EUV mask, exposing the EUV mask perpendicularly by a radiation from a radiation source, removing more than 70% of the non-diffracted light and collecting and directing the diffracted light and the not removed non-diffracted light by a projection optics box (POB) to expose a semiconductor wafer. In yet another embodiment, an extreme ultraviolet lithography (EUVL) process includes receiving an extreme ultraviolet (EUV) mask and an EUV radiation source. The process also includes directing a radiation from the radiation source by an illuminator and exposing the EUV mask with a less-than-three-degree CRAO. Based on the above, it can be seen that the present disclosure offers the EUV lithography process 100. The EUV lithography process 100 employs a radiation from an illuminator with a less-than-three-degree CRAO to expose an EUV mask to produce diffracted light and non-diffracted light. The EUV lithography process 100 removes more than 70% of the non-diffracted light and utilizes mainly the diffracted light from two symmetrically located (on the pupil plane) and intensity balanced −1st and +1st diffraction orders to expose a semiconductor wafer. The EUV lithography process 100 demonstrates a significant shadowing effect reduction and provides a resolution enhancement technique for future nodes. The EUV lithography process 100 enhances aerial image contrast, pattern profile and image resolution. The foregoing outlines features of several embodiments so that those skilled in the art may better understand the aspects of the present disclosure. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. Those skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions, and alterations herein without departing from the spirit and scope of the present disclosure. |
|
claims | 1. A sintered nuclear fuel pellet comprising nuclear fuel,wherein the sintered nuclear fuel pellet includes a burnable absorber consisting of one or more consolidated bodies,wherein the one or more consolidated bodies of the burnable absorber is inside of the nuclear fuel pellet,whereinthe one or more consolidated bodies is in the nuclear fuel pellet at a volume fraction of 2˜20 percent volume by the total volume of the nuclear fuel pellet,all of the one or more consolidated bodies do not comprise nuclear fuel, andall of the one or more consolidated bodies are located in a radially central region of the nuclear fuel pellet,such that all of the one or more consolidated bodies are surrounded by the nuclear fuel in all directions without the one or more consolidated bodies being exposed to an outside of the nuclear fuel pellet, andwherein only the radially central region includes burnable absorber material,such that area of the nuclear fuel pellet located outside of the radially central region is free of burnable absorber material. 2. The sintered nuclear fuel pellet according to claim 1, wherein either a combustion speed or self-shielding effect of the burnable absorber, or both are controlled by regulating a size, shape, or insertion location of the one or more consolidated bodies of the burnable absorber. 3. The sintered nuclear fuel pellet according to claim 1, wherein the one or more consolidated bodies of the burnable absorber includes Gd2O3; Er2O3; Gd2O3 stabilized with one or more selected from the group consisting of CeO2, In2O3, Y2O3, TiO2, ZrO2, Al2O3, and Y2O3-ZrO2 (yttria-stabilized zirconia); Er2O3 stabilized with one or more selected from the group consisting of CeO2, In2O3, Y2O3, TiO2, ZrO2, Al2O3, and Y2O3-ZrO2 (yttria-stabilized zirconia); or a combination thereof. 4. The sintered nuclear fuel pellet according to claim 3, wherein the CeO2, In2O3, Y2O3, TiO2, ZrO2, Al2O3, and Y2O3-ZrO2 are included in Gd2O3 and Er2O3 at a concentration of 1˜60 weight %. 5. A method of manufacturing a sintered nuclear fuel pellet comprising the following steps:forming one or more consolidated bodies of a burnable absorber;inserting the one or more consolidated bodies in nuclear fuel;forming a nuclear fuel-consolidated body composite by press-molding a mixture of the nuclear fuel and the one or more consolidated bodies; andforming a sintered nuclear fuel pellet by sintering the nuclear fuel-consolidated body composite,wherein the sintered nuclear fuel pellet includes the burnable absorber consisting of the one or more consolidated bodies,wherein the one or more consolidated bodies of the burnable absorber is inside of the nuclear fuel pellet,whereinthe one or more consolidated bodies is in the nuclear fuel pellet at a volume fraction of 2˜20 percent volume by the total volume of the nuclear fuel pellet,all of the one or more consolidated bodies do not comprise nuclear fuel, andall of the one or more consolidated bodies are located in a radially central region of the nuclear fuel pellet,such that all of the one or more consolidated bodies are surrounded by the nuclear fuel in all directions without the one or more consolidated bodies being exposed to an outside of the nuclear fuel pellet, andwherein only the radially central region includes burnable absorber material,such that area of the nuclear fuel pellet located outside of the radially central region is free of burnable absorber material. 6. The method of manufacturing according to claim 5, wherein the step of forming the one or more consolidated bodies of the burnable absorber comprises the following substeps:homogenizing and mixing burnable absorber powder; andpress-molding the mixed burnable absorber powder. 7. The method of manufacturing according to claim 6, wherein the step of press-molding the mixed burnable absorber powder comprisesa first press-molding at a pressure of 30 MPa˜100 MPa anda second press-molding at a pressure of 200 MPa˜500 MPa by a hydrostatic press (CIP). 8. The method of manufacturing according to claim 6,wherein the step of press-molding the mixed burnable absorber powder is performed once at a pressure of 100 MPa˜500 MPa. 9. The method of manufacturing according to claim 5, wherein the step of forming the one or more consolidated bodies of the burnable absorber comprises the following substeps:homogenizing and mixing burnable absorber powder; andinjecting the burnable absorber powder into a hole of a hollow green body of nuclear fuel, and pressing an inside of the hole. 10. The method of manufacturing according to claim 9, wherein the hollow green body of nuclear fuel includes one or more materials selected from the group consisting of CeO2, In2O3, Y2O3, TiO2, ZrO2, Al2O3, and Y2O3-ZrO2. 11. The method of manufacturing according to claim 9, wherein the step of pressing is performed by uniaxial pressing the inside of the hole at a pressure of 30 MPa˜100 MPa. 12. The method of manufacturing according to claim 9, wherein the hollow green body of nuclear fuel is in the shape of a ring or a tube, and a diameter of the hollow is 1˜3 mm. 13. The method of manufacturing according to claim 9, wherein the one or more consolidated bodies of the burnable absorber includes Gd2O3; Er2O3; Gd2O3 stabilized with one or more selected from the group consisting of CeO2, In2O3, Y2O3, TiO2, ZrO2, Al2O3, and Y2O3-ZrO2 (yttria-stabilized zirconia); Er2O3 stabilized with one or more selected from the group consisting of CeO2, In2O3, Y2O3, TiO2, ZrO2, Al2O3, and Y2O3-ZrO2 (yttria-stabilized zirconia); or a combination thereof. 14. The method of manufacturing according to claim 13, wherein the one or more selected from the group consisting of CeO2, In2O3, Y2O3, TiO2, ZrO2, Al2O3, and Y2O3-ZrO2 (yttria-stabilized zirconia) are included in Gd2O3 or Er2O3 at a concentration of 3˜30 weight %. |
|
description | 1. Field of the Invention The present invention relates to a drawing apparatus, and a method of manufacturing an article. 2. Description of the Related Art As one of apparatuses employed in a process of manufacturing, for example, a semiconductor device (lithography process), a multiple charged particle beam drawing apparatus which draws (transfers) a pattern on a substrate with, a plurality of charged particle beams (electron beams) has been proposed in Japanese Patent Laid-Open No. 07-273006. A charged particle beam drawing apparatus makes a blanking deflector control ON and OFF of the irradiation of a substrate with a plurality of charged particle beams (control blanking of these charged particle beams), thereby drawing a pattern on the substrate. More specifically, a blanking control unit which, controls the blanking deflector stores, in a low speed, high capacity storage unit, drawing data which is transmitted front a drawing pattern data generation unit and serves to control the blanking operations of deflectors that constitute the blanking deflector. The drawing data necessary to draw a pattern is transmitted from the low speed, high capacity storage unit to a memory, and read out from the memory in synchronism with the blanking operation of the blanking deflector, thereby drawing a pattern on the substrate. With miniaturization of semiconductor devices, a charged particle beam drawing apparatus is required to attain a drawing grid with a narrower pitch (a narrower drawing range of each charged particle beam). Also, to improve the productivity of semiconductor devices, the pattern drawing area of the apparatus is often widened by increasing the number of charged particle beams which allow simultaneous drawing. This enormously increases the size of drawing data for controlling the blanking operation of the blanking deflector, so the apparatus must be kept in a standby state until the end of storage (transmission) of the drawing data in (to) the storage unit or memory, thus lowering the throughput. This problem is posed not only in a multiple charged particle beam drawing apparatus but also in a single charged particle beam drawing apparatus. The present invention provides, for example, a technique advantageous in terms of throughput of a drawing apparatus. According to one aspect of the present invention, there is provided a drawing apparatus which performs drawing on a substrate with a beam, the apparatus including a generation device configured re-generate drawing data, a blanking device configured to blank the beats, and a blanking controller configured to control the blanking device, wherein the blanking controller includes a first storage device, a second storage device, and a third storage device configured to respectively store the drawing data generated by the generation device, the blanking controller being configured to control the blanking device based on the drawing data stored in the third storage device, and control operations of the first storage device, the second storage device, and the third storage device so that an operation of storing the drawing data generated by the generation device in a selected one of the first storage device and the second storage device, and an operation of reading out the drawing data stored in the other of the first storage device and the second storage device and storing the readout drawing data in the third storage device are executed in parallel. Further features of the present invention will become apparent from the following description of exemplary embodiments with reference to the attached drawings. Preferred embodiments of the present invention will be described below with reference to the accompanying drawings. Note that the same reference numerals denote the same members throughout the drawings, and a repetitive description thereof will not be given. FIG. 1 is a block diagram showing the configuration of a drawing apparatus 100 according to an aspect of the present invention. The drawing apparatus 100 draws a pattern on a substrate with a charged particle beam (electron beam), and serves as a multiple charged particle beam drawing apparatus (a drawing apparatus which draws with a plurality of charged particle beams) in this embodiment. However, the drawing apparatus 100 may serve as a single charged particle beam drawing apparatus (a drawing apparatus which draws with a single charged particle beam). The drawing apparatus 100 includes an electron gun 1, collimator lens 2, aperture array 3, electro-static lens 4, blanking aperture 5, and blanking deflection unit 6. The drawing apparatus 100 also includes an electro-static lens 7, a deflection unit 8, a substrate stage 10 which moves upon holding a substrate 9, a drawing data generation unit 11, a blanking control unit 12, and a main control unit 13. Referring to FIG. 1, the electron gun 1 forms a crossover image CI. A charged particle beams (electron beam) diverging from the crossover image CI is converted into a nearly collimated charged particle beam via the collimator lens 2, and enters the aperture array 3. The aperture array 3 includes a plurality of circular apertures arrayed in a matrix, and divides the charged particle beam having passed through the collimator lens 2 into a plurality of charged particle beams. The charged particle beams having passed through the aperture array 3 enter the electro-static lens 41 formed by a plurality of electrode plates (for example, three electrode plates) each including a circular aperture. The blanking aperture 5 including a plurality of minute apertures arrayed in a matrix is placed at the position at which the charged particle beams having passed through the electro-static lens 4 form crossover images for the first time. The blanking deflection unit 6 includes deflectors 6a which deflect the charged particle beams. The blanking deflection unit 6 performs a blanking operation (ON and OFF of the irradiation of the substrate 9 with each charged, particle beam) in cooperation with the blanking aperture 5, under the control of the blanking control unit 12. The charged particle beams having passed through the blanking aperture 5 form, via the electrostatic lens 7, images corresponding to the crossover image CI on the substrate 9 held by the substrate stage 10. At this time, the charged particle beams having passed through the blanking aperture & are deflected by the deflection unit 8 including deflectors arranged between the blanking aperture 5 and the electro-static lens 7 (that is, the images formed on the substrate 9 are deflected). The drawing data generation unit 11 generates drawing data corresponding to a pattern, to be drawn on the substrate 9. Note that the blanking control unit 12 converts the drawing data into bitmap data for controlling the driving of each deflector 6a (bitmap data for turning on or off the driving of each deflector 6a) in the blanking deflection unit 6, as will be described later. The blanking control unit 12 controls the blanking deflection unit 6 based on the drawing data generated by the drawing data generation unit 11. The configuration and operation of the blanking control unit 12 will be described in detail in the following embodiments. The main control unit 13 includes, for example, a CPU and memory and controls the overall drawing apparatus 100 (its operation). For example, in drawing a pattern on the substrate 9, the main control unit 13 continuously moves the substrate stage 10 which holds the substrate 9 in the X-axis direction. The main control unit 13 makes the deflection unit 8 deflect images formed on the substrate 9 in the Y-axis direction while making the blanking aperture 5 and blanking deflection unit & blank the charged particle beams via the blanking control unit 12. This draws a pattern on the substrate 9. Before a detailed description of the blanking control unit 12 in each embodiment, a blanking control unit 1200 in the related art technique (for example, Japanese Patent Laid-Open No. 07-273006) will be described herein with reference to FIGS. 10A and 10B. FIG. 10A is a block diagram showing the configuration of the blanking control unit 1200, and FIG. 10B is a view showing the operation sequence of the blanking control unit 1200. A drawing data generation unit 1100 generates drawing data A and E corresponding to two types of patterns, respectively. Drawing data generated by the drawing data generation unit 1100 is transmitted to a storage unit 1202 and stored in the storage unit 1202, as shown in FIG. 10A. The storage unit 1202 is implemented by a low speed, high capacity storage device, for example, a nonvolatile storage device such as a hard, disk drive (HDD) or a solid-state drive (SSD). Drawing of a pattern corresponding to drawing data A on a substrate is repeated while sequentially reading out drawing data necessary for drawing from the storage unit 1202, and storing them in a memory 1204, as shown in FIG. 10B. At this time, drawing data A stored (expanded) in the memory 1204 is transmitted to an arithmetic unit 1206, and is converted by various arithmetic operations into bitmap data for turning on or off the driving of a deflector 1300 in a blanking deflection unit. The bitmap data is input (transmitted) to the deflector 1300 in the blanking deflection unit via a transceiver 1208. In the blanking control unit 1200 of the related art technique, to draw a pattern corresponding to drawing data B on the substrate next, drawing data B generated by the drawing data generation unit 1100 must be transmitted to and stored in the storage unit 1202. Therefore, as shown in FIG. 10B, a standby time ΔTb occurs until the start of drawing a pattern corresponding to drawing data B, thus lowering the throughput of a drawing apparatus. It is also possible to increase the storage capacity of the storage unit 1202 so as to store a plurality of drawing data (for example, drawing data A and B) in advance. However, storing a plurality of drawing data in the storage unit 1202 in advance requires not only a considerable time (transmission time), but also a higher cost due to an increase in storage capacity. To solve this problem, the drawing apparatus 100 uses the blanking control unit 12 configured as will described in the following embodiments, thereby suppressing a decrease in throughput even when patterns corresponding to a plurality of drawing data, respectively, are drawn sequentially. FIG. 2 is a block diagram showing the configuration of a blanking control unit 12 in the first embodiment. In this embodiment, the blanking control unit 12 includes a first storage unit 121, second storage unit 122, and third storage unit 123 which respectively store drawing data, and the first storage unit 121 and second storage unit 121 are arranged in parallel in the preceding stage of the third storage unit 123. The third storage unit 123 has a storage capacity lower than those of the first storage unit 121 and second storage unit 122, and has a storage speed higher than those of the first storage unit 121 and second storage unit 122. More specifically, each of the first storage unit 121 and second storage unit 122 is implemented by a low speed, high capacity storage device, that is, a nonvolatile storage device such as a hard disk drive (HDD) or a solid-state drive (SSD). Such a storage device has a low storage speed (write speed), but holds data (drawing data) even after a shutdown and therefore need not transmit the data again (store it again) upon a restart. On the other hand, the third storage unit 123 is implemented by a high speed, low capacity storage device, that is, a semiconductor memory (DDR memory) from which drawing data can be read out at high speed, so as to drive a deflector 6a in a blanking deflection unit 6 at high speed. The blanking control unit 12 also includes an arithmetic unit 124 and transceiver 125. The arithmetic unit 124 converts, by various arithmetic operations, drawing data stored in the third storage unit 123 into bitmap data for turning on or off the driving of the deflector 6a, and inputs the bitmap data to the deflector 6a via the transceiver 125. In this manner, the arithmetic unit 124 functions as a control unit (first control unit) which controls ON and OFF of the irradiation of a substrate 9 with a charged particle beam by driving the deflector 6a, based on the drawing data stored in the third storage unit 123. The blanking control unit 12 moreover includes a switching unit 126 and a switching control unit (second control unit) 127 which controls the switching unit 126 so that the flow of drawing data generated by a drawing data generation unit 11 can be switched. The switching unit 126 is implemented by switches which switch the state of connection among the drawing data generation unit 11, the first storage unit 121, the second storage unit 122, and the third storage unit 123. As shown in FIG. 2, the switching unit 126 selects (sets) switch A to set state A (second state) in which the second storage unit 122 and the third storage unit 123 are connected to each other, and the drawing data generation unit 11 and the first storage unit 121 are connected to each other. Also, the switching unit 126 selects (sets) switch B to set state B (first state) in which the first storage unit 121 and the third storage unit 123 are connected to each other, and the drawing data generation unit 11 and the second storage unit 122 are connected to each other. The switching control unit 127 controls the switching unit 126 to parallelly execute a first-operation associated with storage of drawing data in the first storage unit 121 or second storage unit 122, and a second operation associated with storage of drawing data in the third storage unit 123. Note that in the first operation, drawing data transmitted from the drawing data generation unit 11 is stored in the selected one of the first storage unit 121 and second storage unit 122. Also, in the second operation, drawing data stored in the other of the first storage unit 121 and second, storage unit 122 is read out and stored in the third storage unit 123. Therefore, an operation of storing drawing data from the drawing data generation unit 11 in the first storage unit 121, and an operation of reading out drawing data stored in the second storage unit 122, and storing it in the third storage unit 123 are parallelly executed in state A. Also, an operation of storing drawing data from the drawing data generation unit 11 in the second storage unit 122, and an operation of reading out drawing data stored in the first storage unit 121, and storing it in the third storage unit 123 are parallelly executed in state B. The operation sequence of the blanking Control unit 12 in this embodiment will be described with reference to FIG. 3. The drawing data generation unit 11 generates drawing data A, B, and C corresponding to three types of patterns, respectively. First, the switching control unit 127 selects switch A (that is, sets state A) in the switching unit 126 to store drawing data A transmitted from the drawing data generation unit 11 in the first storage unit 121. When the switching control unit 127 receives a signal SG1 indicating completion of storage of drawing data A transmitted from the drawing data generation unit 11 in the first storage unit 121, it selects switch B in the switching unit 126 to switch the state of connection from state A to state B. The blanking control unit 12 then parallelly executes an operation of reading out drawing data A stored in the first storage unit 121, and storing it in the third storage unit 123, and an operation of storing drawing data B transmitted from the drawing data generation unit 11 in the second storage unit 122. After the storage of drawing data A in the third storage unit 123 is completed, the arithmetic unit 124 converts drawing data A into bitmap data by various arithmetic operations (correction operations) such as magnification correction and proximity effect correction. The bitmap data is input to the deflector 6a via the transceiver 125 to draw a pattern corresponding to drawing data A. Even, while a pattern corresponding to drawing data A is drawn, the operation of storing drawing data B transmitted from the drawing data generation unit 11 in the second storage unit 122 continues. Also, in this embodiment, the deflector 6a (blanking deflection unit 6) transmits to the switching control unit 127 a signal SG2 indicating completion of driving of the deflector 6a based on drawing data A which is read out from the first storage unit 121 and stored in the third, storage unit 123. This guarantees transmission of drawing data from the transceiver 125 to the deflector 6a with no errors (that is, correct completion of drawing of a pattern corresponding to drawing data A). When the switching control unit 127 receives both the signal SG2, and a signal SG3 indicating completion of storage of drawing data B transmitted from the drawing data generation unit 11 in the second storage unit 122, it selects switch A in the switching unit 126 to switch the state of connection from state B to state A. The blanking control unit 12 then parallelly executes an operation of reading out-drawing data B stored in the second storage unit 122, and storing it in the third storage unit 123, and an operation of storing drawing data C transmitted from the drawing data generation unit 11 in the first storage unit 121. After the storage of drawing data B in the third storage unit 123 is completed, a pattern corresponding to drawing data B is drawn. Even while a pattern corresponding to drawing data B is drawn, the operation of storing drawing data C transmitted from the drawing data generation unit 11 in the first storage unit 121 continues. Also, when the switching control unit 127 receives both the signal SG2, and a signal SG1 indicating completion of storage of drawing data C transmitted from the drawing data generation unit 11 in the first storage unit 121, it selects switch B in the switching unit 126 to switch the state of connection from, state A to state B. FIG. 4 is a circuit diagram schematically illustrating an example of the logic of switching from one switch to another in the switching emit 126 by the switching control unit 127. Switching from switch A to switch B is done under the condition in which storage of drawing data transmitted from the drawing data generation unit 11 in the first storage unit 121 is complete, and storage, in the third storage unit 123, of drawing data stored in the second storage unit 122 is complete. Similarly, switching from switch B to switch A is done under the condition in which storage of drawing data transmitted from the drawing data generation unit 11 in the second storage unit 122 is complete, and storage, in the third storage unit 123, of drawing data stored in the first storage unit 121 is complete. Hence, in state A, when the switching control unit 127 receives both the signal SG1 from the first storage unit 121 and the signal SG2 from the deflector 6a, it generates and inputs a signal SG4 for switching from switch A to switch B to the switching unit 126, as shown in FIG. 4. Similarly, in state B, when the switching control unit 127 receives both the signal SG3 from the second storage unit 122 and the signal SG2 from the deflector 6a, it generates and inputs a signal SG4 for switching from switch B to switch A to the switching unit 126. Note that the signal SG1 indicates completion of storage of drawing data transmitted from, the drawing data generation unit 11 in the first storage unit 121, and the signal SG3 indicates completion of storage of drawing data transmitted from the drawing data generation unit 11 in the second storage unit 122. Also, the signal SG2 indicates completion of driving of the deflector 6a based on drawing data stored in the third storage unit 123. As described above, in this embodiment, the blanking control unit 12 includes the first storage unit 121 and second storage unit 122 implemented by low speed, high capacity storage devices, and the third storage unit 123 implemented by a high speed, low capacity storage device. This makes it possible to parallelly execute an operation of storing drawing data transmitted from the drawing data generation unit 11 in the selected one of the first storage unit 121 and the second storage unit 122, and an operation of reading out drawing data stored in the other, and storing it in the third storage unit 123. Therefore, in this embodiment, compared to the related art technique (see FIGS. 10A and 10B), the standby time ΔTb until the start of drawing of a pattern corresponding to drawing pattern B can be greatly shortened, thereby suppressing a decrease in throughput due to the standby time ΔTb, as shown in FIG. 3. Also, the third storage unit 123 must be implemented by, for example, an expensive semiconductor memory so as to drive the deflector 6a in the blanking deflection unit 6 at high speed. However, it is difficult in terms of cost to increase the storage capacity of the third storage unit 123 so as to store a plurality of drawing data, as described above. In this embodiment, instead of increasing the number of storage units (third storage units) implemented by, for example, expensive semiconductor memories, only two storage units (first and second storage units) implemented by, for example, inexpensive hard disk drives are provided, offering an advantage in terms of cost. Although not shown in FIG. 2, the first storage unit 121, second storage unit 122, and third storage unit 123 are in practice provided with controllers which respectively control their operations. A network controller is also provided because the drawing data generation unit 11 transmits drawing data via a network such as Ethernet. These controllers, arithmetic unit 124, transceiver 125, switching unit 126, and switching control unit 127, for example, can also be implemented by, for example, integrate circuits (FPGAs). Because drawing; data generated by the drawing data generation unit 11 is fine grid data on the order of about several nanometers, drawing data corresponding to one pattern is generally an enormous amount of data on the order of several terabytes. It is difficult to store such drawing data in one storage unit (first or second storage unit) implemented by, for example, a hard disk, so the first storage unit 121, second storage unit 122, and third storage unit 123 may be Implemented by multilevel storage units, as shown in FIG. 5. Referring to FIG. 5, the drawing data is divided into four independent drawing data in correspondence with deflectors 6a1 to 6a4 in the blanking deflection unit 6. To store each divided drawing data, the blanking control unit 12 includes four first storage units 121a to 121d, four second storage units 122a to 122d, and four third storage units 123a to 123d. The blanking control unit 12 also includes four integrated circuits (FPGAs) which form, for example, the arithmetic unit 124, transceiver 125, switching unit 126, and switching control unit 127, respectively. FIG. 6 is a block diagram showing the configuration of a blanking control unit 12 in the second embodiment. The blanking control unit 12 in the second embodiment is different from the blanking control unit 12 in the first embodiment in that in the former a signal SG5 indicating completion of storage of drawing data read out from a first storage unit 121 or a second storage unit 122 in a third storage unit 123 is input to a switching control unit 127. The operation sequence of the blanking control unit 12 in the second embodiment will be described with reference to FIGS. 7A and 7B, based on a comparison with the first embodiment. FIG. 7A shows the operation sequence in the first embodiment, and FIG. 7E shows the operation sequence in the second embodiment. In the second embodiment, a standby time ΔTt until completion of an operation of storing drawing data transmitted from a drawing data generation unit 11 in the first storage unit 121 or second storage unit 122 (this operation is executed in parallel with an operation of drawing a pattern) is omitted. Therefore, a standby time ΔTb1 until the start of drawing a pattern can be shortened, thereby improving the throughput. Referring to the operation sequence in the first embodiment shown in FIG. 7A, an operation of storing drawing data 8 transmitted from the drawing data generation unit 11 in the second storage unit 122 is incomplete when an operation of drawing a pattern corresponding to drawing data A has just been completed. In such a case, in the first embodiment, switching from switch B to switch A in a switching unit 126 is done after waiting until completion of storage of drawing data B transmitted from the drawing data generation unit 11 in the second storage unit 122, as shown in FIG. 7A. This generates a standby time ΔTt, thus lowering the throughput of a drawing apparatus 100. On the other hand, in the second embodiment, switching from switch B to switch A in the switching unit 126 is done when an operation of drawing a pattern corresponding to drawing data A has just been completed, as shown in FIG. 7B. At this time, if an operation of storing drawing data B transmitted from the drawing data generation unit 11 in the second storage unit 122 is incomplete, remaining drawing data B which is not stored in the second storage unit 122 yet is stored in the first storage unit 121. The blanking control unit 12 then parallelly executes an operation of storing the remaining drawing data B in the first storage unit 121, and an operation of reading out drawing data B (drawing data B other than the remaining drawing data B) stored in the second storage unit 122, and storing it in the third storage unit 123. The moment these two operations are completed, switching from switch A to switch B is done to read out the remaining drawing data B stored in the first storage unit 121 and store it in the third storage unit 123 (that is, to store entire drawing data B in the third storage unit 123). The moment the storage of drawing data B in the third storage unit 123 is completed, switching from switch B to switch A is done. At this time, switching from switch B to switch A is done when the switching control unit 127 receives a signal SG5 indicating completion of storage of drawing data B in the third storage unit 123. The blanking control unit 12 then parallelly executes an operation of drawing a pattern corresponding to drawing data B, and an operation of storing drawing data C transmitted from the drawing data generation unit 11 in the first storage unit 121. As described above, in this embodiment, in state A, when the switching control unit 127 receives a signal SG2 indicating completion of driving of a deflector 6a based on drawing data which is read out from the first storage unit 121 and stored in the third storage unit 123, it switches the state of connection from state A to state B. Also, upon switching of the state of connection from state A to state B, when the switching control unit 127 does not receive a signal SG3 indicating completion of storage of drawing data transmitted from the drawing data generation unit 11 in the second storage unit 122, two operations are parallelly executed in the following way. That is, an operation of storing, in the first storage unit 121, remaining drawing data which is not stored in the second storage unit 122 yet, and an operation of reading out drawing data other than the remaining drawing data, which is stored in the second storage unit 1.22, and storing it in the third storage unit 123 are executed parallelly. Similarly, in this embodiment, in state 3, when the switching control unit 127 receives a signal SG2 indicating completion of driving of the deflector 6a based on drawing data which is read out from the second storage unit 122 and stored in the third storage unit 123, it switches the state of connection from state E to state A. Also, upon switching of the state of connection from state B to state A, when the switching control unit 127 does not receive a signal SG1 indicating completion of storage of drawing data transmitted from the drawing data generation unit 11 in the first storage unit 121, two operations are parallelly executed in the following way. That is, an operation of storing, in the second storage unit 122, remaining drawing data which is not stored in the first storage unit 121 yet, and an operation of reading out drawing data other than the remaining drawing data, which is stored in the first storage unit 121, and storing it in the third storage unit 123 are executed parallelly. Therefore, in this embodiment, a standby time ΔTb2 until the start of drawing a pattern can be made shorter than that in the first embodiment (ΔTb1), thereby further improving the throughput of the drawing apparatus 100, as shown in FIG. 7B. FIG. 8 is a block diagram showing the configuration of a blanking control unit 12 in the third embodiment. The blanking control unit 12 in the third embodiment is different from the blanking control, unit 12 in the first embodiment in that in the former a signal SG2 indicating completion of driving of a deflector 6a based on drawing data stored in a third storage unit 123 is not input to a switching control unit 127. The operation sequence of the blanking control unit 12 in the third embodiment will be described with reference to FIG. 9. In this embodiment, after an operation of storing drawing data B transmitted from a drawing data generation unit 11 in a second storage unit 122 is completed, switching from switch B to switch A in a switching unit 126 is done without waiting until an operation of drawing a pattern corresponding to drawing data A is completed. Therefore, an operation of drawing a pattern corresponding to drawing data A, and an operation of storing drawing data C transmitted from, the drawing data generation unit 11 in a first storage unit 121 are executed parallelly. However, because drawing data A is read out from the first, storage unit 121 and stored in the third, storage unit 123, drawing pattern C can be stored in the first storage unit 121. As described above, in this embodiment, in state A, when the switching control unit 127 receives a signal SG3 indicating completion of storage of drawing data transmitted from the drawing data generation unit 11 in the second storage unit 122, it switches the state of connection from state A to state B. Similarly, in state B, when the switching control unit 127 receives a signal SG1 indicating completion of storage of drawing data transmitted from the drawing data generation unit 11 in the first storage unit 121, it switches the state of connection from state A to state B. Therefore, in this embodiment, a standby time ΔTb until the start of drawing a pattern corresponding to drawing data C can be shortened, thereby improving the throughput of a drawing apparatus 100. However, in this embodiment, new drawing data (drawing data C in this embodiment) is stored in a storage unit which stores drawing data used in drawing the current pattern (the first storage unit 121 which stores drawing data A in this embodiment). Therefore, if drawing of the current pattern is not completed normally (if, for example, drawing data is not correctly transmitted from a transceiver 125 to the deflector 6a), the drawing data generation unit 11 must transmit drawing data again. A method of manufacturing an article according to an embodiment of the present invention is suitable for manufacturing an article including a microdevice such as a semiconductor device or an element having a microstructure. This method includes a step of forming a latent image pattern on a photosensitive agent, applied on a substrate, using the drawing apparatus 100 (a step of drawing on a substrate), and a step of developing the substrate having the latent image pattern formed on it in the forming step. This method also includes subsequent known steps (for example, oxidation, film formation, vapor deposition, doping, planarization, etching, resist removal, dicing, bonding, and packaging). The method of manufacturing an article according to this embodiment is more advantageous in terms of at least one of the performance, quality, productivity, and manufacturing cost of an article than the conventional method. While the present invention has been described with reference to exemplary embodiments, it is to be understood that the invention is not limited to the disclosed exemplary embodiments. The scope of the following claims is to be accorded the broadest interpretation so as to encompass all such modifications and equivalent structures and functions. This application claims the benefit of Japanese Patent Application NO. 2011-198865 filed on Sep. 12, 2011, which is hereby incorporated by reference herein in its entirety. |
|
description | This application is related to U.S. patent application Ser. No. 13/738,918, entitled “A Method of Timing Laser Beam Pukes to Regulate Extreme Ultraviolet Light Dosing,” filed on even date herewith. 1. Field of the Invention The present invention relates generally to laser technology for photolithography, and more particularly to EUV dose control during laser firing. 2. Description of the Prior Art The semiconductor industry continues to develop lithographic technologies which are able to print ever-smaller integrated circuit dimensions. Extreme ultraviolet (“EUV”) light (also sometimes referred to as soft x-rays) is generally defined to be electromagnetic radiation having wavelengths of between 10 and 110 nm. EUV lithography is generally considered to include EUV light at wavelengths in the range of 10-14 nm, and is used to produce extremely small features (e.g., sub-32 nm features) in substrates such as silicon wafers. These systems must be highly reliable and provide cost-effective throughput and reasonable process latitude. Methods to produce EUV light include, but are not necessarily limited to, converting a material into a plasma state that has one or more elements (e.g., xenon, lithium, tin, indium, antimony, tellurium, aluminum, etc.) with one or more emission line(s) in the EUV range. In one such method, often termed laser-produced plasma (“LPP”), the required plasma can be produced by irradiating a target material, such as a droplet, stream or cluster of material having the desired line-emitting element, with a laser beam at an irradiation site. The line-emitting element may be in pure form or alloy form (e.g., an alloy that is a liquid at desired temperatures), or may be mixed or dispersed with another material such as a liquid. Delivering this target material and the laser beam simultaneously to a desired irradiation site (e.g., a primary focal spot) within an LPP EUV source plasma chamber for plasma initiation presents certain timing and control challenges. Specifically, it is necessary for the laser beam to be focused on a position through which the target material will pass and timed so as to intersect the target material when it passes through that position in order to hit the target properly to obtain a good plasma, and thus, good EUV light. A droplet generator holds the target material and extrudes the target material as droplets which travel along an x-axis of the primary focal spot to intersect the laser beam traveling along a z-axis of the primary focal spot. Ideally, the droplets are targeted to pass through the primary focal spot. When the laser beam hits the droplets at the primary focal spot, EUV light output is theoretically maximized. In reality, however, achieving maximal EUV output light across bursts over time is very difficult because energy generated by irradiation of one droplet varies randomly from energy generated by irradiation of another droplet. Thus, maximal EUV light output might sometimes—but not always—be realized. This variability in output is a problem for downstream utilization of the EUV light. For example, if variable EUV light is used downstream in a lithography scanner, wafers can be non-uniformly processed, with resultant diminution of quality control of dies cut from the wafers. Thus, a tradeoff of non-maximal EUV for greater reliability may be desirable. A stroboscopic pattern produces EUV in short exposures throughout exposure of a wafer die. Although this pattern of bursts can be beneficial for control of the EUV energy dose, what is needed is a method to generate—with greater reliability—acceptable levels of EUV energy output for downstream purposes—that is, to more accurately control an EUV energy dose. In one embodiment is provided a method of regulating a dose of energy produced during stroboscopic firing of an EUV light source configured to generate an energy dose target within one or more packet comprising: (a) setting by a laser controller a dose servo value for a current packet; (b) timing by the laser controller a trigger to pulse a laser beam to irradiate a droplet during the current packet; (c) sensing by a sensor EUV energy generated by irradiation of the droplet; (d) accumulating by the laser controller the sensed EUV energy with EUV energy generated by irradiation of one or more preceding droplet during the current packet; (e) repeating steps (b), (c), and (d) when the accumulated EUV energy within the current packet is less than an adjusted dose target based on the energy dose target and an accumulated dose error; and (f) mistiming by the laser controller the trigger to pulse the laser beam to not irradiate another droplet during the current packet. In another embodiment is the method further comprising: (g) calculating by the laser controller a dose error for the current packet; (h) accumulating by the laser controller the dose error for the current packet with a dose error for one or more preceding packet; (i) calculating by the laser controller a new adjusted dose target for a next packet based on the energy dose target and the accumulated dose error; and (j) calculating by the laser controller a new dose servo value for the next packet. In still another embodiment a system for regulating a dose of energy produced during stroboscopic burst-firing of an EUV light source configured to generate an energy dose target within one or more packet comprising: a drive laser configured to pulse a laser beam when a trigger is received; a sensor configured to sense EUV energy generated by irradiation of a droplet; and a controller configured to: (a) set a dose servo value for a current packet; (b) time the trigger to pulse the laser beam to irradiate a droplet during the current packet; (c) accumulate sensed EUV energy generated by irradiation of the droplet with EUV energy generated by irradiation of one or more preceding droplet during the current packet; (d) repeat steps (b) and (c) when the accumulated EUV energy within the current packet is less than an adjusted dose target based on the energy dose target and an accumulated dose error; and (e) mistime the trigger to pulse the laser beam to not irradiate another droplet during the current packet. In yet another embodiment is the system wherein the controller is further configured to: (f) calculate a dose error for the current packet; (g) accumulate the dose error for the current packet with a dose error for one or more preceding packet; (h) calculate a new adjusted dose target for a next packet based on the energy dose target and the accumulated dose error; and (i) calculate a new dose servo value for the next packet. A method of regulating a dose of energy produced during continuous burst mode of an EUV light source comprising: (a) beginning a burst having a predetermined energy dose target; (b) timing by the laser controller a trigger to pulse a laser beam to irradiate a droplet during the burst; (c) sensing EUV energy generated by the droplet; (d) calculating by the laser controller a current dose error for the droplet based on the sensed EUV energy and the energy dose target; (e) accumulating by the laser controller a burst error based on the current dose error and a running burst error calculated for one or more preceding droplet during the burst; (e) repeating steps (b)-(e) for a next droplet when the burst is not finished and the accumulated burst error does not meet or exceed a threshold burst error; (f) mistiming by the laser controller the trigger to pulse the laser beam to not irradiate the next droplet when the burst is not finished and the accumulated burst error meets or exceeds the threshold burst error; and (g) repeating steps (c)-(g) until the burst is finished. A system for regulating a dose of energy produced during continuous burst firing of an EUV light source configured to generate an energy dose target comprising: a drive laser configured to pulse a laser beam when a trigger is received; a sensor configured to sense EUV energy generated by irradiation of a droplet; and a controller configure to: (a) time the trigger to pulse a laser beam to irradiate a droplet during the burst; (b) calculate a current dose error for the droplet based on the sensed EUV energy and the energy dose target; (c) accumulate a burst error based on the current dose error and a running burst error calculated for one or more preceding droplet during the burst; (d) repeat steps (a)-(c) for a next droplet when the burst is not finished and the accumulated burst error does not meet or exceed a threshold burst error; (e) mistime the trigger to pulse the laser beam to not irradiate the next droplet when the burst is not finished and the accumulated burst error meets or exceeds the threshold burst error; and (f) repeat steps (b)-(e) until the burst is finished. As discussed above, energy (light) output by an EUV system can be used downstream in a number of applications, e.g., semiconductor lithography. In a typical scenario, EUV output might be passed to a lithography scanner in stroboscopic bursts to irradiate photoresist on successive wafers. In laser systems with no master oscillator (i.e., “NOMO” systems), such stroboscopic bursts of energy are achieved by controlling RF pump power to switch a laser between “on” and “off” states. Thus, the amount of energy passed for downstream dosing is controlled by this RF power pumping. MOPA laser systems (i.e., systems with a master oscillator and power amplifier, including those with a pre-pulse configuration, “MOPA+PP systems”) are capable of generating higher power output from a pulsed laser source than are NOMO systems, and are therefore preferable for some downstream applications. Downstream dosing in MOPA systems is not, however, as easily controlled as in NOMO systems because of laser start-up dynamics (e.g., temperature dependent oscillations) of MOPA systems and/or thermal instability of drive laser components (e.g., mirrors and/or lenses) during laser puking. Simply put, it is observed that the MOPA+PP system is unable to produce adequate stable levels of EUV for a period of time immediately after switching on an RF signal to power amplifiers. Thus, cycling the MOPA+PP laser system between “on” and “off” states is not a particularly practical or efficient way to control EUV dosing for downstream applications. As described herein with respect to various embodiments, the problematic laser start-up can be avoided by instead continuously pulsing the laser—that is, by keeping the laser system “on” (i.e., maintaining the RF signal gate in a continuous “on” state). Rather than switching the laser between “on” and “off” states, energy output levels can be controlled via a procedure to adjust timing of laser beam pulses so that some—but not all—pulses irradiate droplets at the primary focal spot. By regulating how many droplets are irradiated by laser beam pulses, the output energy dose can be maintained at a desired (and stable) dose target level. More specifically, the drive laser (e.g., MOPA) is switched “on” to fire long bursts (e.g., 2 sec.) of pulses, then switched “off” for a short period, then switched “on” to fire long bursts of pulses, etc. Within the long bursts, the drive laser can be timed to fire stroboscopically—that is, to continuously fire short mini-bursts (or “packets”), each having a pre-determined number of rapid pulses. During each packet, pulses are timed to lase droplets in the primary focal spot and thereby generate EUV energy—until a dose target of EUV has been achieved. Once the generated EUV energy within the packet reaches the dose target, pulses are timed to fire so as to not lase the droplets during the remainder of the packet, and thereby prevent additional EUV light generation during those portions of the packet. On a packet-to-packet basis i.e., between packets), calculated dosing error (that is, how much the achieved dose differs from the dose target) from previous packets is used to fine-tune the dose target for the next packet. Alternatively, the drive laser (e.g., MOPA) can be timed to fire continuously throughout the long bursts of pulses (i.e., fire in a continuous burst mode). During each burst, pulses are timed to lase droplets in the primary focal spot and thereby generate EUV energy—as long as dose error (i.e., deviation of obtained EUV energy from the desired energy dose target) accumulated within the burst does not meet or exceed an acceptable level of error. Once the accumulated dose error for the burst (“accumulated burst error) meets or exceeds the level of acceptable error, a next pulse is timed to fire so as to not lase a droplet, and thereby drive the accumulated burst error back to an acceptable level. When the dose error for the burst is at an acceptable level, a next pulse is again timed to lase a droplet in the primary focal spot and thereby generate EUV energy. Thus, the method described herein modulates pulse timing so that a desired dose target is obtained. For example, if pulses are fired at a rate of 50,000 pulses/sec, and all pulses are fired on-droplet, then an average packet output of 35 watts would be achieved. If, however, the dose target is only 30 watts, the method described herein provides a way to limit the achieved dose to that 30 watts—even at a pulse rate of 60,000 pulses/sec. FIG. 1 illustrates some of the components of a typical LPP EUV system 100. A drive laser 101, such as a CO2 laser, produces a laser beam 102 that passes through a beam delivery system 103 and through focusing optics 104. Focusing optics 104 have a primary focal spot 105 at an irradiation site within an LPP EUV source plasma chamber 110. A droplet generator 106 produces and ejects droplets 107 of an appropriate target material that, when hit by laser beam 102 at the irradiation site, produce plasma that emits EUV light. The EUV light is collected by an elliptical collector 108 which focuses the EUV light from the plasma at an intermediate focus 109 for delivering the produced EUV light to, e.g., a lithography system. Intermediate focus 109 will typically be within a scanner (not shown) containing boats of wafers that are to be exposed to the EUV light, with a portion of the boat containing wafers currently being irradiated by light through intermediate focus 109. In some embodiments, there may be multiple drive lasers 101, with beams that all converge on focusing optics 104. One type of LPP EUV light source may use a CO2 laser and a zinc selenide (ZnSe) lens with an anti-reflective coating and a clear aperture of about 6 to 8 inches. Energy output from the LPP EUV system varies based on how well laser beam 102 can be focused and can maintain focus over time on droplets 107 generated by droplet generator 106. Optimal energy is output from EUV system 100 if the droplets are positioned in primary focal spot 105 when hit by laser beam 102. Such positioning of the droplets allows elliptical collector 108 to collect a maximum amount of EUV light from the generated plasma for delivery to, e.g., a lithography system. A sensor (not shown, e.g., narrow field (NF) camera) senses the droplets as they pass from droplet generator 106 through a laser curtain during travel to primary focal spot 105 and provides droplet-to-droplet feedback to EUV system 100, which droplet-to-droplet feedback is used to adjust droplet generator 106 to re-align droplets 107 to primary focal spot 105 (i.e., “on-target”). When firing drive laser 101 in stroboscopic or continuous burst modes, EUV system 100 maintains droplets 107 on-target reasonably well using closed-loop (droplet to-droplet) feedback according to techniques known in the art. Regardless of how well droplets are maintained on-target, however, total energy produced during a packet can vary due to random fluctuations in the amount of energy generated by each irradiated droplet. These random fluctuations make maintenance of a constant dose target output difficult. Maintaining a constant level of output energy is, however, important for downstream purposes. If a constant level of output energy cannot be maintained, then downstream use of the output energy within, e.g., a lithography scanner negatively affects silicon wafer patterning. Energy generated during burst firing can be maintained at a reliably constant level by adjusting the timing between the arrival of a droplet at the primary focal spot and the arrival of the laser beam at the primary focal spot as will now be described with reference to FIGS. 2, 3, and 4. FIGS. 2 and 3 illustrate schematically the orientation of droplets 107 during burst firing when the laser is timed to pulse, respectively, to irradiate a droplet (i.e., to pulse “on-droplet”) and to avoid irradiating a droplet (to pulse “off-droplet”). FIG. 4 is a graph depicting energy generated over time during periods of laser pulsing to irradiate droplets and during periods of mistimed laser pulsing to avoid irradiating droplets. Referring first to FIG. 2, when the laser is timed to pulse on-droplet (“on-droplet pulsing”), the pulse of laser beam 102 hits a droplet 107 at primary focal spot 105, the target material of droplet 107 is vaporized, and a plasma 202 is generated at primary focal spot 105. EUV energy emitted from plasma 202 is collected by elliptical collector 108 and reflected onto intermediate focus 109 where it passes into or is used by, e.g., a lithography system. As shown in FIG. 4, the generated EUV energy during on-droplet pulsing 401 clusters, on average, around a mean energy value (here, approximately 0.45 mJ), but is highly variable due to random fluctuations of energy generated for each droplet. This variability can drive the obtained energy dose from any given packet away from a desired constant EUV dose target and thereby negatively impact downstream operations. Referring now to FIG. 3, when the laser pulsing is mistimed to pulse off-droplet (“off-droplet pulsing”), the pulse of laser beam 102 passes through primary focal spot 105 between droplets so that the target material of the droplet is not vaporized, and no plasma is generated at primary focal spot 105. In the MOPA+PP system, timing of a trigger to pulse can be either advanced or delayed such that laser beam 102 passes through primary focal spot 105 without hitting droplet 107. As shown in FIG. 4, little or no EUV energy is therefore produced when pulsing off-droplet 402. Embodiments of the method described herein for stroboscopic firing determine, on a pulse-to-pulse basis within a packet, whether the desired energy dose target of a current packet has been achieved. Thus, after a droplet within a packet is lased, the total energy dose for the packet is calculated and compared to the desired energy dose target. If the desired energy dose target has not been achieved, the trigger to the drive laser for the next pulse is timed so that a next droplet is lased on-droplet. If the desired energy dose target has been achieved, the trigger to the drive laser for the next pulse is mistimed so that the next droplet is lased off-droplet so that no additional energy is generated within the current packet. Between packets (i.e., on a packet-to-packet basis, calculated dose error from the current packet is accumulated with dose error from previous packets and used as a “servo” to fine-tune the dose target for a next packet. The block diagram of FIG. 5 shows EUV system components involved in dose control of generated EUV light according to one embodiment. A laser controller 502 times a trigger to drive laser 101 to pulse on-droplet such that the droplets, when irradiated, generate plasma that emits EUV energy. The amount of collected EUV energy is sensed on a pulse-to-pulse basis by an energy output sensor 501 and passed to laser controller 502 which accumulates a running total of the total EUV energy generated during a current packet. Sensor 501 is either a sensor within LPP EUV source plasma chamber 110, e.g., an EUV side sensor positioned at 90° with respect to the laser beam 102 or a sensor within the scanner measuring energy passed through intermediate focus 109. When the accumulated EUV equals or minimally exceeds the dose target, laser controller 502 mistimes the trigger to drive laser 101 such that drive laser 101 pulses off-droplet to avoid generating additional EUV energy. Drive laser 101 continues to pulse off-droplet for the remainder of the current packet. When the current packet is complete, laser controller 502 calculates dose error for the current packet, and accumulates that dose error with dose error from preceding packets. Controller, 502 then adjusts, based on that accumulated dose error, the dose target against which the accumulated achieved EUV energy is compared during a next packet. Embodiments of the method of laser beam pulse timing disclosed herein for stroboscopic pulsing regulate average EUV by firing some portion of pulses within a packet off-droplet. For example, when pulse energy increases, the number of pulses fired on droplet (the pulse count) is decreased in order to maintain the same average EUV. Over time, random fluctuations of generated EUV energy can be better understood so that packet size can be adjusted to minimize lasing time off-droplet. Referring now to FIG. 6, a flowchart of a method of timing laser beam pulses to control stroboscopic EUV dose according to one embodiment is presented. Before initiating the following steps, a dose target of EUV energy to be achieved within each packet of a burst (i.e., a setpoint to which the packet energy is to be regulated) and a packet size (i.e., a total number of pulses within each packet) are input by a user or determined by the system. The packet size is preferably selected so as to be the smallest packet size which allows the EUV energy dose to be controlled. If the packet size is too small (e.g., 1 or 2 droplets), it may not be possible to mistime pulsing for enough droplets to adequately control the EUV energy dose. If the packet size is too large (e.g., 1000 droplets), uncontrollable error accumulates throughout the packet (e.g., as shown in FIG. 4), with consequent poor control over the amount of EUV generated for downstream dosing. Thus, the packet size is ideally selected so that pulse timing can be modulated, but only for the droplets at the back end of a packet. For example, a packet size of 50 drops may be appropriate if an adequate dose can be achieved on average with 40 droplets (which would allow pulse mistiming to occur over the last 10 droplets). In step 601, laser controller 502 sets a dose servo value for a current packet. The dose servo value is an adjustment factor by which a dose target is increased or decreased as a function of the dose energies produced by previous packets. That is, the desired dose target is fine-tuned by the dose servo value which is determined (as discussed elsewhere herein) by error from previous packets. In one embodiment, the dose servo value is set to 0 for a first packet. Once the servo value has been set, firing of laser pulses for a packet can begin. Steps 602-607 are performed on a pulse-to-pulse basis—that is, for each pulse of the packet. In step 602, laser controller 502 times a trigger to pulse drive laser 101 on-droplet so that laser beam 102 irradiates droplet 107 in primary focal spot 105. In step 603, sensor 501 senses how much EUV energy has been generated by the irradiation of droplet 107 in step 602. In step 604, laser controller 502 accumulates EUV energy by adding the sensed EUV energy of step 603 to a running total of EUV generated since the first pulse of the packet (that is, since step 601). In step 605, laser controller 502 determines whether the accumulated EUV energy of step 604 is equal to or minimally greater than an adjusted dose target. The adjusted dose target is the sum of the dose target and the dose servo value of step 601. The accumulated EUV energy may be minimally greater than an adjusted dose target for various reasons, e.g., because of random fluctuations in EUV generated by each irradiated droplet and/or because energy generated by each irradiated droplet (even without random fluctuation) is not a constant even value. If the accumulated EUV energy is not greater than or equal to the adjusted dose target of step 601, laser controller 502 returns to step 602 to trigger another on-droplet pulse and repeat steps 603, 604, and 605. If the accumulated EUV energy is greater than or equal to the adjusted dose target, then in step 606, laser controller 502 mistimes the trigger to pulse drive laser 101 off-droplet such that laser beam 102 does not irradiate droplet 107 in primary focal spot 105. The mistimed trigger can be delayed or advanced in time relative to timing of a next trigger for on-droplet pulsing—that is, relative to timing of a next trigger for on-droplet pulsing if the accumulated EUV energy of step 604 were not greater than or equal to the adjusted dose target. In step 607, laser controller 502 determines whether the packet is complete—that is, whether the number of pulses fired by drive laser 101 is equal to the packet size. If laser controller 502 determines that the packet is not complete, laser controller 502 returns to step 606 to trigger another pulse off-droplet. If laser controller 502 determines that the packet is complete, then steps 608-611 and another step 601 are performed before a next packet begins. In step 608, laser controller 502 calculates a dose error for the packet. Dose error is defined as the dose target minus the EUV energy accumulated over the packet. Mathematically,dose errorpacket=dose target−ΣEUVpacket. In step 609, laser controller 502 accumulates dose error from the packet with dose error from previous packets. In step 610, laser controller 502 uses the accumulated dose error calculated in step 609 to calculate a new dose servo value. In one embodiment, the new dose servo value is calculated asprevious servo value+(gain*accumulated dose error)where the previous dose servo value is the dose servo value set in step 601. The gain is preferably 1.0. The gain can range between 0.01 and 100. In step 611, laser controller 502 resets the accumulated EUV to zero in preparation for a next packet and returns to step 601 where the new dose servo value is set as the dose servo value for the next packet. Importantly, packets repeat at a regular frequency. That is, regardless of how many pulses within a packet hit droplets at primary focal spot 105, a packet begins at a set time after firing the number of pulses in a packet. Because the number of pulses which hit droplets within a packet changes based on how much energy has been generated by irradiation of previous droplets, however, the last pulse to hit a droplet within a packet may vary across different packets. Further, because packets have a set number of pulses, although not shown in the figure, it is to be understood that if the set number of pulses has been reached during looping of steps 602-605, the packet may conclude without needing to mistime the trigger to pulse the laser off-droplet (e.g., if the accumulated EUV energy for the packet has not met or exceeded the adjusted dose target for the packet). Specifically, if laser controller 502 determines, after accumulating EUV energy for the packet in step 604, that the packet is complete (i.e., if the number of pulses fired by drive laser 101 is equal to the packet size), then laser controller 502 does not return to step 602 to time another trigger to pulse drive laser 101 on-droplet, and instead performs steps 608-611 before a next packet begins. Thus, laser controller 502 calculates the dose error for the packet (step 608), accumulates the dose error from the packet with dose error from previous packets (step 609), uses the accumulated dose error calculated in step 609 to calculate a new dose servo value (step 610), and resets the accumulated EUV to zero in preparation for a next packet before returning to step 601 where the new dose servo value is set as the dose servo value for the next packet (step 611). FIGS. 7 and 8 are time-aligned plots showing data generated over a 2-second burst using one embodiment of the laser beam pulse timing method to control EUV dose. FIG. 7 shows percent variation around an energy dose target achieved over the 2-second burst. As indicated by the plotted percent dose energy variation around a dose target seen in the figure, packet dosing controlled by pulse timing is achieved well within ±0.5% of dose target (i.e., within ±0.5% of 0 in the figure). The upper panel of FIG. 8 shows packet EUV generated over the 2-second burst. As seen in the figure, energy is maintained at the dose target (here, approximately 20 mJ) over time—and is stably maintained within ±0.5% of dose target. The lower panel of FIG. 8 shows a corresponding pulse count over the 2-second burst. Each diamond represents a count of the number of pulses on-droplet (“pulse count”) within a single packet. Exemplary packet EUV energy (upper panel) and packet pulse count (lower panel) with greater on-droplet pulsing 801 and with greater off-droplet pulsing 802 (and, therefore, a lower pulse count) are indicated by arrows. As indicated by the arrows, depending on random fluctuations of generated EUV energy, fewer pulses may be needed to achieve a constant EUV energy. As applied to continuous burst firing, embodiments of the method described herein determine, on a pulse-to-pulse basis within each burst, a dose error for each droplet (i.e., how much obtained EUV energy deviates from the desired energy dose target). Dose error is accumulated as the burst progresses. Thus, after a droplet within a burst is lased, dose error for that droplet is calculated and accumulated with dose error for preceding droplets within the burst. If the accumulated dose error for the burst (i.e., “accumulated burst error”) meets or exceeds an acceptable level of burst error (i.e., “threshold burst error”), the trigger to the drive laser for a next pulse is mistimed so that the next droplet is lased off-droplet and no additional energy is generated. Since no additional energy is generated, the dose error for that next droplet is of sufficient magnitude to drive the accumulated burst error back to an acceptable level (i.e., below a threshold burst error). When the accumulated burst error is less than the threshold burst error, the trigger to the drive laser for a next pulse is timed so that the next droplet is lased on-droplet to generate additional EUV energy. Referring now to FIG. 9, a flowchart of a method of timing laser beam pulses to control EUV dose during continuous burst firing according to one embodiment is presented. Before initiating the following steps, a dose target of EUV energy to be achieved within each burst (i.e., a setpoint to which the burst energy is to be regulated) and a threshold burst error (i.e., an acceptable level of burst error) are input by a user or determined by the system. Once the dose target has been set, then, in step 901, firing of laser pulses for a burst can begin. The process of steps 902-908 are performed on a pulse-to-pulse basis—that is, for each pulse of the burst. In step 902, laser controller 502 times a trigger to pulse drive laser 101 on-droplet so that laser beam 102 irradiates a current droplet 107 in primary focal spot 105. In step 903, sensor 501 senses how much EUV energy has been generated by the irradiation of current droplet 107 in step 902. In step 904, laser controller 502 calculates a current dose error for current droplet 107. Current dose error is defined as the EUV energy generated by irradiation of current droplet 107 (and sensed in step 903) minus the dose target. Mathematically,current dose error=EUVcurrent droplet−dose target In step 905, laser controller 502 accumulates a burst error by adding the current dose error calculated in step 904 to a running total of dose error accumulated since the first pulse of the burst that is, since step 901). The current dose error is adjusted by a gain which can range between 0.01 and 100, but is preferably 1. In one embodiment, the accumulated burst error is calculated asrunning burst error+(gain*current dose error)where the running burst error is a running total of dose error accumulated from preceding droplets within the burst. That is, the running burst error is the accumulated burst error determined for a preceding droplet 107 in step 905. The running burst error is set to 0 when the current droplet is the first droplet in a burst. In step 906, laser controller 502 determines whether the burst is finished. If laser controller 502 determines that the burst is finished, laser controller 502 exits the pulse timing method and/or returns to step 901 to begin another burst. If, in step 906, laser controller 502 determines that the burst is not finished, then, in step 907, laser controller 502 determines whether the accumulated burst error of step 905 meets or exceeds a burst error threshold. The burst error threshold is input by a user or determined by the system. The burst error threshold is preferably zero, but may be greater or less than zero. If laser controller 502 determines in step 907 that the accumulated burst error does not meet or exceed the burst error threshold, then laser controller 502 returns to step 902 to time a trigger to pulse drive laser 101 on-droplet so that laser beam 102 irradiates a next droplet 107 in primary focal spot 105. If laser controller 502 determines in step 907 that the accumulated burst error meets or exceeds the burst error threshold, then, in step 908, laser controller 502 mistimes the trigger to pulse drive laser 101 off-droplet such that laser beam 102 does not irradiate a next droplet 107 in primary focal spot 105. The mistimed trigger can be fired so the laser pulse arrives at the primary focal spot early or late relative to the arrival of the droplet. After mistiming the trigger to pulse drive laser 101 off-droplet for next droplet 107, laser controller 502 returns to step 903 to sense how much EUV energy has been generated by irradiation of current droplet 107, and then, in step 904, to calculate a current dose error for next droplet 107. Because no EUV is generated for next droplet 107 due to the mistiming of the pulse, the calculated current dose error for next droplet 107 is equal in magnitude but opposite in sign to the dose target. For example, if the dose target is 1.75 mJ, the calculated current dose error would be −1.75 mJ—or 100%—which is very high relative to error around the dose target for an irradiated droplet (which is typically much less than 40%). Thus, when laser controller 502, in step 905, accumulates burst error by adding the relatively large current dose error for next droplet 107 to the running burst error, the accumulated burst error is typically reduced relative to the accumulated burst error for previous droplet 107. Assuming logic controller 502 decides, in step 906, that the burst is not finished, logic controller 502 determines, in step 907, whether the accumulated burst error meets or exceeds the burst error threshold. If laser controller 502 determines that the accumulated burst error does not now meet or exceed the burst error threshold, then laser controller 502 returns to step 902 to time the trigger to pulse drive laser 101 on-droplet so that laser beam 102 irradiates another droplet 107 (which now becomes current droplet 107) in primary focal spot 105, and the process of FIG. 9 iterates from that step. If laser controller 502 determines that the accumulated burst error again meets or exceeds the burst error threshold, then, in step 908, laser controller 502 mistimes the trigger to pulse drive laser 101 off-droplet such that laser beam 102 does not irradiate a next droplet 107 in primary focal spot 105, and then returns again to step 903 to sense how much EUV energy has been generated. The process of FIG. 9 then iterates from that point. In another embodiment, the current dose error of step 904 is defined instead as the dose target minus the EUV energy generated by irradiation of current droplet 107 (and sensed in step 903). Mathematically,current dose error=dose target−EUVcurrent droplet. In this embodiment, a negative gain (rather than the positive gain of the above embodiment) is used to adjust the current dose error during computation of the accumulated burst error in step 905. The gain can range between −0.01 and −100, but is preferably −1. One of skill in the art will recognize that other embodiments that may be less intuitively satisfying are possible (but non-preferred) as long as aspects of the method are internally consistent to meet the objective of comparing, on a pulse-to-pulse basis, accumulating burst error throughout the burst to a threshold of acceptable burst error to determine whether to control energy generation by mistiming a next pulse. Specifically, the mathematics of the calculation of the current dose error (step 904) and the gain applied to the current dose error when calculating the accumulated burst error (step 905) should remain consistent with each other and with the decision rule outcomes following from the comparison of the accumulated burst error to the threshold burst error (step 907). FIG. 10 shows a sliding window of time-aligned EUV energy (upper panel) and energy dose (lower panel) generated during a continuous burst firing using laser beam pulse timing to control EUV dose according to one embodiment. As can be seen in the upper panel, although most pulses were fired on-droplet (e.g., on-droplet pulse 1001), a number of pulses were fired off-droplet (as indicated by the pulses generating 0 mJ EUV, e.g., off-droplet pulse 1002) to control error around the dose target 1003 (approximately 1.75 mJ in the figure). Consequently, as shown in the lower panel, constant dosing 1004 was achieved around 1.75 mJ and maintained well within ±0.5% of the dose target 1003 as indicated by reference number 1005. Ideally, it is believed that if targeting conditions are correct and the drive laser has adequate performance, then embodiments of the laser beam pulse timing method described herein can maintain dose energy within ±0.5% of the dose target. One of ordinary skill in the art will recognize that mistiming of laser pulses can be accomplished through a variety of mechanisms known in the art. For example, the drive laser can be fired so the laser pulse arrives at the primary focal spot early or late relative to the arrival of the droplet. Or, the timing of system shutters (e.g., electro-optic modulators or acousto-optic modulators) can be changed to let through low-level continuous wave light which is sufficient to seed amplifiers and reduce gain of the system. A preferred embodiment is to close the shutters early, and thereby advance the laser beam relative to the droplet. As is known in the art, a MOPA+PP laser system pulses both a pre-pulse and a main pulse. One of skill in the art will recognize that both the main pulse and the pre-pulse are used to lase a droplet when the laser is pulsed on-droplet, and that neither the main pulse nor the pre-pulse are used to lase a droplet when the laser is pulsed off-droplet. The disclosed method and apparatus has been explained above with reference to several embodiments. Other embodiments will be apparent to those skilled in the art in light of this disclosure. Certain aspects of the described method and apparatus may readily be implemented using configurations other than those described in the embodiments above, or in conjunction with elements other than those described above. Further, it should also be appreciated that the described method and apparatus can be implemented in numerous ways, including as a process, an apparatus, or a system. The methods described herein may be implemented by program instructions for instructing a processor to perform such methods, and such instructions recorded on a computer readable storage medium such as a hard disk drive, floppy disk, optical disc such as a compact disc (CD) or digital versatile disc (DVD), flash memory, etc., or a computer network wherein the program instructions are sent over optical or electronic communication links. It should be noted that the order of the steps of the methods described herein may be altered and still be within the scope of the disclosure. It is to be understood that the examples given are for illustrative purposes only and may be extended to other implementations and embodiments with different conventions and techniques. While a number of embodiments are described, there is no intent to limit the disclosure to the embodiment(s) disclosed herein. On the contrary, the intent is to cover all alternatives, modifications, and equivalents apparent to those familiar with the art. In the foregoing specification, the invention is described with reference to specific embodiments thereof, but those skilled in the art will recognize that the invention is not limited thereto. Various features and aspects of the above-described invention may be used individually or jointly. Further, the invention can be utilized in any number of environments and applications beyond those described herein without departing from the broader spirit and scope of the specification. The specification and drawings are, accordingly, to be regarded as illustrative rather than restrictive. It will be recognized that the terms “comprising,” “including,” and “having,” as used herein, are specifically intended to be read as open-ended terms of art. |
|
046648682 | abstract | The present invention relates to a toroidal coil apparatus including a plurality of coil support frames which contain coils having wedge-like coupling portions at the inner end portions thereof, reinforcing members which are provided at portions off the straight portions of the coil support frames and in the vicinity thereof, the reinforcing members having keyways and spaces to couple and fasten said coil support frames together, keys that are inserted in the keyways of the reinforcing members to couple the coil support frames together, and bolts that are screwed into the reinforcing members at the portions thereof backed by spaces to rigidly secure the coil support frames. |
abstract | One embodiment disclosed relates to an electron beam imaging apparatus. An electron source is configured to generate an electron beam, and a beam-limiting aperture is configured to block a portion of the electron beam and to allow transmission of another portion of the electron beam through the aperture. A first detector is configured to detect scattered electrons emitted by the aperture due to the blocked portion of the electron beam. The imaging apparatus may also include a second detector configured to detect scattered electrons emitted by the sample due to impingement of the transmitted portion of the electron beam. A gain control device may also be included to adjust a gain of a detected signal derived from the second detector using a control signal derived from the first detector. Another embodiment disclosed relates to an electron beam lithography apparatus. The lithography apparatus may adjust a pixel dwell time based on a control signal derived from the scattered electrons emitted by the aperture. |
|
044877382 | summary | BACKGROUND OF THE INVENTION The invention disclosed herein is generally related to methods for producing radioisotopes for use in diagnostic and experimental medical applications. More particularly, the present invention is related to methods for producing and isolating the short-lived radioactive isotope .sup.67 Cu. Copper is a biologically important element which is present in low concentrations in virtually all biological systems. Radioactive isotopes of copper are useful for studying the metabolism of copper in such systems. One such isotope is .sup.67 Cu, which is particularly useful because it is easily detected at low concentrations by gamma ray spectroscopy, and also because it has a decay rate which is particularly convenient for use in radiochemical applications. In this regard, the half-life of .sup.67 Cu is 61.9 hours, which is sufficiently long to permit the isotope to be produced, shipped to an end user, and used for its intended purpose before it decays. At the same time, such a half life is sufficiently short that the isotope decays substantially completely over a period of a few weeks, thus avoiding the difficulties ordinarily associated with the disposal of radioactive waste. Other short-lived radioactive isotopes of copper include .sup.64 Cu, with a half-life of 13 hours, and .sup.61 Cu, with a half-life of 3.3 hours. Each of these isotopes is too short-lived to permit it to be produced and shipped to a distant user. In medical tracing applications, it is often desirable to use as small an amount of radioactive tracer as possible. This is because it is desirable not to disturb the natural chemical equilibrium of a living biological system, such as would result from injecting a large amount of a chemical element. When the normal equilibrium of a living system is overwhelmed with a large quantity of an element, the natural biological elimination mechanisms operate to collect and excrete the element, such that it cannot be traced in the course of its ordinary metabolism. Consequently, it is desirable to use a radioactive tracer which has a sufficiently high activity to permit the detection and location of very small amounts of the tracer within a biological system. When such a radioactive nuclide is substantially free of stable isotopes of the same element, it is referred to as being carrier-free. One well known method of producing artificial nuclides utilizes low energy charged particle accelerators. Such accelerators can be used to synthesize various nuclides by inducing the capture of accelerated particles by target nuclides. By such a method, the isotope .sup.67 Cu could conceivably be produced through a (p, 2p) reaction by bombarding .sup.68 Zn with protons; or through a (d, 2p) reaction by bombarding .sup.67 Zn with deuterons; or through a (.alpha., 2p) reaction by bombarding .sup.65 Cu with alpha particles. However, none of these reactions is of practical interest, either because of the high cost of the enriched isotope species or a low reaction cross section, or both, and to date there has been no practical method of producing significant amounts of .sup.67 Cu with a low energy charged particle accelerator. Another technique that has been previously used to produce synthetic nuclides is the double neutron capture method. This method is conducted in the high neutron flux of a nuclear reactor, and uses two successive (n,.gamma.) reactions. Stable .sup.65 Cu would be used to produce .sup.67 Cu by this method. Such a method is not feasible, however, because the intermediate nuclide, .sup.66 Cu, is unstable, with a 9 minute half-life. Also, this technique would only produce .sup.67 Cu mixed with large amounts of stable copper, thus diminishing its value for medical tracing applications. .sup.67 Cu has previously been produced by neutron bombardment of .sup.67 Zn in a nuclear reactor. The .sup.67 Zn undergoes a (n,p) reaction to produce .sup.67 Cu directly. More specifically, the .sup.67 Zn captures a neutron to form .sup.68 Zn, which then decays by emission of a proton to give .sup.67 Cu. This method requires the use of isotopically enriched .sup.67 Zn as the starting material, which is very expensive and available only in small quantities. In such a reaction, 100 mg of 97% enriched .sup.67 Zn (purchased at a typical price of approximately $1,000 per gram) is irradiated for approximately 72 hours in a fast neutron flux of 10.sup.15 neutrons/cm.sup.2 -sec. However, the reaction has a cross-section of approximately 0.82 millibarns, which represents a relatively low reaction probability. Also, the probability of an (n,.gamma.) reaction in the target .sup.67 Zn, which produces .sup.68 Zn, is much higher than the probability of the (n,p) reaction, with the result that much more .sup.68 Zn than .sup.67 Cu is formed. Thus, the (n,p) reaction has a very low yield, but has nevertheless been the only method previously available for making .sup.67 Cu. The expensive .sup.67 Zn target was essentially consumed in each reaction run, forming unwanted .sup.68 Zn and only small amounts of .sup.67 Cu. In a typical run 12 millicuries of .sup.67 Cu were formed, as opposed to yields on the order of a curie with the method of the present invention described below. It will be further recognized that the relatively short half-life of .sup.67 Cu, less than three days, requires that any process of producing .sup.67 Cu by a nuclear reaction must be combined with a process of chemical separation and purification that is sufficiently rapid to permit prompt preparation of the .sup.67 Cu in a form suitable for medical use and shipping of the isotope to distant medical facilities. It has been known for some time that high energy charged particle accelerators are capable of producing various nuclides by spallation reactions. In such a reaction a target nuclide is impacted with high energy particles, which do not combine with the target nuclide as in the case of the low energy particle accelerators mentioned above, but rather decompose the target nuclide by spallation to produce a variety of nuclides which are generally of both lower atomic weight and lower atomic number than that of the target nuclide. However, until recently there have not been available high energy accelerators with sufficient beam current capacity to produce significant quantities of nuclides by spallation reactions. The advent of high current proton accelerators, such as the Meson Physics Facility as the Los Alamos National Laboratory, made it feasible to pursue the production of nuclides such as .sup.67 Cu in significant quantities by proton spallation. At the same time, however, the selection of suitable target materials for this purpose was complicated by certain problems associated with the high current accelerators, particularly the high temperatures generated in the target and the production of significant amounts of radioactive byproducts. Such byproducts hinder the rapid chemical separation of short-lived nuclides after the target is removed from the accelerator. For example, arsenic and selenium were thought to be feasible target materials for producing .sup.67 Cu, on the basis of their atomic numbers and weights. However, heat calculations and irradiation experience indicated that these elements would be likely to vaporize from any target compound in which they might be incorporated, possibly causing the irradiation container to burst under the high temperature conditions of the target. In this regard, it is noted that the Los Alamos Meson Physics Facility presently produces a beam of protons having an energy of 800 MeV at a current of 700 microamps, which represents a power input into a single target of approximately 10 to 15 kilowatts. Gallium would also be a feasible target material in view of its atomic number and weight, but it is particularly difficult to separate chemically from the resulting .sup.67 Cu. Another material which was considered for use as a target in producing .sup.67 Cu was rubidium bromide. RbBr was considered particularly suitable because both Rb and Br will produce .sup.67 Cu by proton spallation reactions. However, upon testing RbBr as a target it was found that radioactive gaseous krypton is a significant fragmentation product of the spallation reaction. The production of radio-krypton requires a period of decay prior to target dissolution, with accompanying unacceptable delays in the chemical separation of the short-lived .sup.67 Cu. SUMMARY OF THE INVENTION Accordingly, it is the object and purpose of the present invention to provide a method of producing and isolating .sup.67 Cu. It is also an object of the present invention to provide a method of producing carrier-free .sup.67 Cu, or .sup.67 Cu which is substantially free of stable isotopes of copper, so that it may be used in very small undiluted amounts in biological applications. It is another object of the present invention to provide a method of producing .sup.67 Cu by a proton spallation reaction combined with a chemical separation process which is sufficiently fast to permit preparation of the .sup.67 Cu for medical uses and shipping of the isotope to medical facilities at distant locations. Additional objects, advantages and novel features of the invention will be set forth in part in the description which follows, and in part will become apparent to those skilled in the art upon examination of the following or may be learned by practice of the invention. The objects and advantages of the invention may be realized and attained by means of the methods particularly pointed out in the appended claims. To achieve the foregoing and other objects, and in accordance with the purposes of the present invention as embodied and broadly described herein, the method of the present invention comprises the steps of irradiating a target of zinc oxide with a beam of high energy protons to produce spallogenic reaction products including .sup.67 Cu, followed by chemical separation and purification of the spallogenic copper by ion exchange chromatography. For several reasons, this method constitutes a substantial improvement over the previously known method of producing .sup.67 Cu described above. For example, expensive isotopically enriched zinc is not required or even preferred. Nevertheless, the net yield of .sup.67 Cu by the method of the present invention is on the order of a hundred times the yield obtained with the previously used method mentioned above. These factors significantly reduce the net cost of production over that of the prior art method. Zinc oxide is employed as the target material in the present method because it is of the appropriate atomic number to produce significant amounts of .sup.67 Cu by proton spallation, and further because it is a material which is sufficiently refractory to withstand the high temperature conditions existing during irradiation with a high energy proton beam having a substantial beam current. Additionally, zinc oxide does not produce detectable amounts of radioactive krypton or other gaseous isotopes, which would impede and delay the subsequent chemical separation and purification process. Another advantage of this method is that the spallation of naturally occuring zinc results in the production of several isotopes of copper, including .sup.61 Cu, .sup.64 Cu and .sup.67 Cu, all of which are relatively short-lived radioactive isotopes. Of these three isotopes, .sup.67 Cu is the longest-lived, with a half-life of 62 hours. Only negligible amounts of stable Cu are produced, thus permitting the use of the spallogenic copper in no-carrier-added tracing applications. Ion exchange chromatography is employed to separate and purify the spallogenic copper because it is a fast and efficient method which permits the .sup.67 Cu to be shipped within hours after production. As a result the isotope can be shipped to distant medical facilities before its activity is substantially diminished by radioactive decay. In the preferred embodiment of the method the ZnO target is dissolved in acid solution and a palladium salt is added to the solution. The spallogenic copper is then isolated by precipitation of both the copper and palladium by adding hydrogen sulfide and metallic zinc to the aqueous solution, followed by liquid chromatography on an anion exchange column to separate the copper from the palladium and other contaminants. In accordance with an alternative separation method, purification of the .sup.67 Cu is accomplished by a three-stage chromatographic process. In accordance with this method, the irradiated ZnO target is dissolved in a concentrated acid solution, to which palladium chloride and finely divided metallic zinc are added in sequence. The zinc reduces the Pd.sup.+2 to the metal, which precipitates out and scavenges the spallogenic copper from the solution in the process. In this regard, it is noted that only extremely small amounts of spallogenic copper are produced by the proton irradiation of the target, on the order of a microgram or less, thus requiring resort to techniques for quantitatively extracting the copper without introducing contaminants, particularly stable copper. The palladium/copper mixture is then redissolved and passed successively through a cation exchange resin, an anion exchange resin, and another cation exchange resin, using an acidified acetone/water solvent and eluent in the latter step. These and other aspects of the invention are more fully set forth in the following detailed description of the invention. |
summary | ||
abstract | Sodium-tin and sodium-tin-lead compositions have been identified and created that exhibit better reactivity characteristics (i.e., are less reactive) than sodium metal under the same conditions, making these compositions safer alternatives to sodium metal for use as a coolant. These compositions include compositions having at least 90% sodium (Na), from 0-10% lead (Pb) and the balance being tin (Sn). |
|
047818859 | description | Referring now to the figures of the drawings in detail and first, particularly, to FIG. 1 thereof, there is seen a nuclear reactor fuel assembly having a fuel assembly top fitting 2 and a fuel assembly base 3 each having a square cross section, an elongated prismatic water pipe or water channel box 4 with a square cross section, and an elongated fuel channel 5 having a square cross section. The water pipe 4 is centrally disposed in lattice or grid-like spacers 6, which are spaced apart from one another, when viewed in the longitudinal direction of the water pipe 4. Each mesh opening or aperture in the lattice formed by these spacers 6 is penetrated by a fuel rod 7 containing nuclear fuel. For example, eight of the fuel rods 7 may be so-called supporting rods, which have threaded bolts at both ends with which they are firmly screwed to the fuel assembly top fitting 2 or base 3. The other fuel rods 7 pass loosely through openings in the fuel assembly top fitting 2 and the fuel assembly base 3, with bolts located on both ends thereof. The fuel rods are each fixed in place with a compression biased helical spring. The springs are seated on the bolts engaged in the openings in the fuel assembly top fitting 2 on the inner surface of the fuel assembly top fitting 2 and they are supported on the inner surface and on the associated fuel rod. While the fuel assembly top fitting 2 and base 3 are formed of stainless steel, the elongated fuel channel 5 is fabricated from a zirconium alloy. The fuel channe1 is open at both ends and encompasses the fuel assembly top fitting 2 and the fuel assembly base 3. The upper end of the fuel channel has non-illustrated sheet metal strips inside the corners thereof, which are firmly screwed at the upper end of stay bolts 9 to the top of the fuel assembly top fitting 2. As shown in FIG. 2, an imaginary lattice or grid is symbolized by broken lines 10 and 11 in a cross section of the fuel channel. The lines 10 and 11 are at right angles to one another and form spaces of equal volume surrounding each fuel rod or square mesh openings or apertures 12, which are rectangular at the inside of the fuel channel, in the imaginary lattice or grid in the cross section of the fuel channel. All of the lines 10 that are parallel to one another are parallel to one pair of sides of the cross section of the fuel channel, and all of the lines 11 that are parallel to one another are parallel to the other pair of sides pair of the cross section. The lattice or grid formed by the lines 10 and 11 has nine mesh openings or apertures 12 on each side of the cross section, or in other words the imaginary lattice or grid has a total of 9.times.9 mesh openings or apertures. The water pipe 4 is centrally disposed in the imaginary lattice or grid having the lines 10 and 11 and nas a square cross section. The cross-sectional sides of the water pipe are parallel to the associated adjoining cross-sectional side of the fuel channel 5. All of the cross-sectional sides of the water pipe 4 are spaced apart from the associated adjoining cross-sectional side of the fuel channel 5 by the same distance. The cross section of the water pipe 4 encompasses 3.times.3 mesh openings or apertures in the center of the imaginary lattice or grid. There are a total of 72 mesh openings or apertures of the imaginary lattice or grid located on all of the outer surfaces of the water pipe 4, between the water pipe 4 and the fuel channel 5, and one fuel rod 7 containing nuclear fuel is disposed in each of the mesh openings or apertures. Adjoining fuel rods 7 are spaced apart from one another by the same distances. As FIG. 3 shows, the water pipe 4 has an open upper end and a lower end which fits over a bottom part 14 having a circular cross section. The surface or shell of the bottom part 14 has four planar locations 15, each of which is spaced apart from the next by an angle of 90.degree. and is firmly welded to the water pipe 4. The underside or lower surface of the stainless steel bottom part 14 has a threaded bolt 16. The threaded bolt passes through an opening in the lattice-like grating on top of the fuel assembly base 3 and is firmly screwed to the fuel assembly base 3 with a non-illustrated nut. At the lower end of the water pipe 4, which is likewise fabricated from a zirconium alloy, the bottom part 14 forms four passage openings 17 for water, each being disposed between two respective planar locations 15 at the corners of the cross section of the water pipe 4. In a boiling water nuclear reactor, the water flows through the water pipe 4 from the fuel assembly base 3 toward the fuel assembly top fitting 2; the water does not evaporate in the water pipe 4 but leaves the water pipe 4 again at the upper end thereof. In a boiling water reactor, the water flowing through the nuclear reactor fuel assembly inside the fuel channel 5 on the outer surface of the water pipe 4 from the fuel assembly base 3 to the top fitting 2 enters the fuel channel 5 through the fuel assembly base 3, partly evaporates at the outside of the fuel rod 7, and finally leaves the fuel channel 5 in the form of a water and water vapor mixture through the fuel assembly top fitting 2. The foregoing is a description corresponding in substance to German application P No. 35 40 466.3, dated Nov. 14, 1985, the International priority of which is being claimed for the instant application, and which is hereby made part of this application. Any material discrepancies between the foregoing specification and the aforementioned corresponding German application are to be resolved in favor of the latter. |
055531075 | description | DESCRIPTION OF THE PREFERRED EMBODIMENT Referring now to the drawings in detail and in particular to FIG. 1 there is shown a nuclear reactor vessel 10 having a generally cylindrical vessel body 12 and a removable head 14 for heating coolant recirculating between the reactor vessel 10 and one or more steam generators (not shown). Commercial nuclear reactors normally are coupled with two to four steam generators. The coolant, which generally is deionized water containing parts per million quantities of boron and lithium, flows from a steam generator (not shown) through a large cold leg pipe 20 and into a cold leg nozzle 22 of the reactor vessel 10. The coolant flows downwardly through the annular space defined by the vessel body 12 and a generally concentric core barrel 24. The coolant then flows upwardly past the fuel assemblies, including interior assemblies (shown as one assembly 26) and peripheral assemblies (shown as one assembly 28) in the core 30, into the upper plenum 32, out of the reactor vessel 10 through a hot leg nozzle 34 into a hot leg pipe 36 and back to the steam generator. As employed herein, "peripheral" assemblies refer to those assemblies near the core barrel 24 and "interior" assemblies refer to the other assemblies in the interior of the array. The upper plenum 32 is generally defined by an upper core support plate 40 and an upper support plate 42 within the core barrel 24. Both support plates 40 and 42 are supported on the core barrel 24, which in turn is supported on the vessel body 12. The upper support plate 42 is further supported by peripheral support columns (shown as peripheral support column 46) and interior support columns (shown as interior support column 48) extending from the upper core support plate 40. As employed herein, "peripheral" support columns refer to those columns adjacent the core barrel 24 and "interior" columns refer to the other columns in the interior of the array. The interior support column 48 shown in FIG. 1 generally illustrates a well known column design having a cylindrical vertically extending length with downwardly extending support legs 50. The interior support columns normally are aligned with passageways 52 in the upper core support plate 40 and passageways 54 in the upper support plate 42 which permit incore instrumentation 56 to extend from the head 14 into the core 30. Peripheral support columns having a similar design generally have vertical rods rather than cylinders because they are not employed to protect the instrumentation 56. The passageways 52 may have diameters of up to about six inches or more. This type of design also permits some of the coolant to flow through the passageways 52 and into the lower region of the upper plenum 32. Another known support column design has a vertically oriented cylinder with flanges which contact the support plates 40 and 42 in the manner that the peripheral support column 46 shown in FIG. 1 contact the support plates 40 and 42. However, unlike the support column 46 of FIG. 1 (which has a series of peripheral slots 62 in its upper section 64 and an unslotted lower section 66), the earlier design provided a series of peripheral slots along the entire length of the cylinder which introduced coolant into the lower region as well as the upper region of the upper plenum. As is shown in FIG. 1, the upper plenum 32 also contains guide tubes 70 having bottom slots 72 extending therethrough. These tubes 70 permit control rod drives 74 to position the rod control clusters 76 in the core 30. The slots 72 are sized so that most of the coolant in the core region 30 flows into the upper plenum 32 through the bottom slots 72. The upper portion of the guide tubes 70 are unslotted so that streams of coolant flow into the lower region of the upper plenum 32 below the level of the hot leg nozzle 34 and mix together in the interior of the array as they flow toward the hot leg nozzle 34. FIG. 2 generally shows the relative locations of the peripheral support columns 46, the interior support columns 48 and the guide tubes 70 (shown in FIG. 1) in one quadrant of the upper plenum 32. The relative locations of columns 46 and 48 and tubes 70 will be the same in the other quadrants. FIG. 3 generally shows the calculated temperature of streams of coolant flowing from a core region 30 in which the fuel assemblies have been loaded in a low leakage pattern with the lowest enriched fuel in the central portion of the core and the highest enriched fuel in the surrounding portion of the core with low enriched peripheral fuel assemblies 28 (shown in FIG. 1) adjacent the core shroud 24. As may be seen in FIG. 3, the coolant temperature at the peripheral locations will vary from about 577 to about 591 degrees Fahrenheit and the highest coolant temperatures in the interior will vary from about 608 to about 635 degrees Fahrenheit. The inventors of the present invention have hypothesized that hot leg streaming from reactor vessels having low leakage loading patterns can occur due to the flow of relatively cool coolant through the lower portions of peripheral support columns toward the lower portion of the hot leg nozzles 34 without sufficiently mixing with the bulk of the coolant flowing from the interior region of the upper plenum. Thus, they introduce relatively cold coolant flowing from passageways in the upper core support plate 40 above the peripheral fuel rods 28 into the upper regions of the upper plenum 32 so that the relatively cold coolant can mix with the hotter coolant from the interior portions of the upper plenum 32. Accordingly, reactor vessels 12 of the present invention have peripheral hollow support columns with slots 62 in their upper portions 64 and unslotted lower portions 66. Preferably, the slots 62 comprise at least about 50% of the peripheral area of the upper section 64, and most preferably from abut 50% to about 66% of the peripheral area of the upper section 64, to provide a large flow area for introducing the relatively cold coolant into the bulk of the coolant at the lowest practical velocity. As used above and hereafter in the following claims of invention, the "upper portion" of a peripheral support column refers to the upper half of a support column and the "lower portion" of a support column refers to the lower half of a support column. Preferably, however, the slots 62 of the peripheral support columns 46 do not extend below the bottom of the hot leg nozzle 34. As is shown in FIG. 1, the slots 62 of the peripheral support columns 46 are preferably above the slots 72 of the guide tubes 70. The inventors conducted several analytical hot leg steaming studies using computational fluid dynamics to test their hypothesis using the core exit temperatures of FIG. 3 as input to a standard four loop upper plenum. A top slotted peripheral support column design embodying the present invention was compared with a standard unslotted peripheral support column design and a full slotted peripheral support column design. For each case, the mixing effectiveness was measured in terms of the range of coolant temperatures in the hot leg cross-section at the resistance temperature detectors (Temp. Range) and the standard deviation of the coolant temperatures in the hot leg cross-section at the resistance temperature detectors (Temp. Dev.). The mixing effectiveness of each design increases as each parameter decreases. The comparison is shown in the following table: ______________________________________ PERIPHERAL TEMP. TEMP. COLUMN DESIGN RANGE (.degree.F.) DEV. (.degree.F.) ______________________________________ No Slots Along Length 5.41 1.41 Slots Along Entire Length 5.97 1.41 Slots Only In Upper Portion 3.61 0.96 ______________________________________ As this table shows, the column design of the present invention (wherein only the upper portion of the peripheral columns are slotted) enhances thermal mixing by about 30-40% in the hot leg. While a present preferred embodiment of the present invention has been shown and described, it is to be understood that the invention may be otherwise variously embodied within the scope of the following claims of invention. |
summary | ||
description | Preferred embodiments of the present invention will now be described in detail in accordance with the accompanying drawings. The present invention utilizes defocusing produced when exposure to X-rays is performed. Utilizing defocusing means performing a physical operation that corresponds to calculation for convoluting the X-ray intensity distribution on the wafer surface, and obtaining an exposure intensity distribution that differs from the X-ray intensity distribution on the wafer surface in a case where defocusing is not utilized. Obtaining an exposure intensity distribution means convoluting a parallel X-ray intensity distribution to make it an intensity distribution that will be obtained upon completion of exposure. First, a calculation for determining how much defocusing should be utilized will be illustrated. A graph in which the X-ray intensity distribution of FIG. 4 has been convoluted with a normal distribution function is indicated by the dotted and dashed lines. The dotted and dashed lines have been convoluted with normal distribution functions, wherein it is assumed that the amount of defocusing is taken as standard deviations of "sgr"=30 nm and "sgr"=50 nm, respectively. In a manner similar to FIG. 6, the X-ray intensity distribution at the transparent portion of each size is convoluted at "sgr"=30 nm, the slice levels are taken as 1.0, 0.8, 0.6 and 0.4, and the line width of the resist is found at each slice level. This is shown in FIG. 7. As will be understood from FIG. 7, even if the size of the mask pattern is increased, a region in which the resist pattern does not increase in size does not exist. This indicates that the amount of change in the mask pattern and the amount of change in the resist pattern are fairly close, i.e., that a linear relationship exists between the amounts of change in the mask pattern and resist pattern. This means the resist pattern can be transferred to the mask pattern faithfully. Further, FIG. 8 illustrates the relationship between the mask pattern and resist pattern in a case where the standard deviation "sgr" is made 50 nm. The slice levels and gap are the same as those in FIG. 7. It will be appreciated that in comparison with FIG. 7 ("sgr"=30 nm), better linearity is achieved in FIG. 8 ("sgr"=50 nm). In other words, this means that the faithfulness of the resist pattern to the mask pattern is increased. However, there is an increase in the minimum dimensions that can be resolved. For example, when the slice level is made 0.6, the limit resolution is 50 nm (mask dimensions) at a standard deviation "sgr"=30 nm and 70 nm at a standard deviation "sgr"=50 nm. Whether the standard deviation "sgr" should be made 30 nm or 50 nm is decided on a pre-process basis or by the fabricated device depending upon whether the linearity between the mask pattern and the resist pattern is important or on the critical resolution. Physical convolution means will be described next. Described will be a method of convoluting an X-ray intensity distribution (the solid line in FIG. 4), which has been projected onto a wafer surface, utilizing the size of the light source, and obtaining an exposure intensity distribution indicated by the dotted line or dashed line in FIG. 4. As will be understood from FIG. 3, X-rays 4 that arrive at one point on a mask 1 from each point of a light source have a divergence angle 2xcex1 and do not travel on parallel paths. As a consequence, X-rays that have passed through a transparent portion in a mask pattern are not all transferred to the same position on the wafer but rather are transferred to positions displaced successively by 2xcex4. The length 2xcex4 is expressed as follows, where 2r represents the size of the light source, L the distance between the light source and the mask and g the distance (proximity gap) between the mask and the wafer: xcex4=rxc3x97g/Lxe2x80x83xe2x80x83(1). Accordingly, in a case where the luminance distribution of the X-ray light source is a Gaussian distribution in an exposure system in which the proximity gap is 10 xcexcm and the distance L between the light source and the mask is 500 mm, xcex4=30 nm will hold if r=1.5 mm holds, when the position at which the X-ray intensity is attenuated to a peak of exp (xe2x88x92xc2xd) is the size (standard deviation) r on one side of the light source. The luminance distribution of such an X-ray source is shown in FIG. 9. If exposure is performed by the X-ray source shown in FIG. 9, an exposure intensity distribution indicated by the dotted line in FIG. 4 is obtained. The relationships shown in FIG. 7 are obtained with linearity between the mask pattern and resist pattern. Furthermore, if r=2.5 mm holds, the standard deviation "sgr" will be 50 nm and the exposure intensity distribution indicated by the dashed line in FIG. 4 is obtained. The relationship shown in FIG. 8 are obtained with linearity between the mask pattern and resist pattern. Further, in a case when convolution is performed with a uniform distribution function, it will be understood that the width xcex4 of the uniform distribution should be selected so that xcex4={square root over (3xc3x97xcex40)} will hold in order to obtain results the same as achieved with a distribution (standard deviation xcex40) obtained by convolution with a Gaussian distribution function. Accordingly, in order to obtain an exposure intensity distribution with defocusing xcex4 of 30 nm in a case when the luminance distribution of the X-ray light source is a uniform distribution (i.e., in a case where the luminance is uniform), the size r of the light source should be selected to be 2.6 mm (={square root over (3xc3x971.5)} mm), as shown in FIG. 10. The exposure intensity distribution obtained by exposure using the radiation source having the uniform luminance distribution shown in FIG. 10 and the exposure intensity distribution obtained by exposure using the radiation source of FIG. 9 in which the luminance distribution is Gaussian are equal. Next, in the case of a lazer plasma radiation source, the radius of the generated plasma is on the order of 0.05 mm. Accordingly, xcex4=1 nm from Equation (1) and the exposure intensity distribution obtained is almost unchanged from the X-ray intensity distribution indicated by the solid line in FIG. 4. The linearity relationship between the mask pattern and the resist pattern is predicted to be as shown in FIG. 6 and transfer of the image is difficult. Since the size of the generated plasma depends upon the spot diameter of the irradiating laser light source, the diameter of the plasma will increase if the spot diameter of the laser light source is enlarged. It is evident that a light source of the desired size may thus be obtained. If the spot diameter of the irradiating laser light is enlarged, however, the density of the plasma experiences a sudden decline and so does the intensity of the generated X-rays. This arrangement, therefore, is impractical. A YAG laser for generating a plasma makes it possible to emit light at a repetition frequency of greater than 100 Hz. At the same time, it is necessary to generate a plasma of more than several hundred pulses in order to expose a resist. Accordingly, in a case where exposure is performed by carrying out laser irradiation a plurality of times during one exposure so that plasma X-rays are produced a plurality of times, an amount of defocusing can be introduced, without lowering the X-ray intensity, by moving the laser-irradiated position to obtain a desired shape for the radiation source without changing the spot diameter of the laser. The term xe2x80x9cduring one exposurexe2x80x9d means performing exposure within the same angle of view without stepping the mask or wafer. For example, in order to obtain an exposure intensity distribution with 30 nm of defocusing, the laser-irradiated zone should be irradiated to obtain an X-ray intensity of a normal distribution function of a standard deviation of 1.5 mm, or the laser-irradiated zone should be irradiated uniformly so as to obtain a circle having a radius of 2.6 mm. Performing irradiation to obtain an X-ray intensity of a normal distribution function of a standard deviation of 1.5 mm does not mean changing the irradiation energy of the laser; it means performing irradiation by changing the irradiation density, as by raising the irradiation density of the laser at a position where the X-ray intensity is high. Furthermore, in a case of a light source of a normal distribution of a standard deviation 1.5 mm, it will suffice to take into consideration a spread having approximately twice this size. In other words, an X-ray source having a diameter on the order of 6 mm will suffice. The optimum zone or range of plasma generating positions in proximity X-ray exposure will now be described in general terms. The maximum range of plasma generating positions requires that an amount xcex94 of defocusing be less than resolution line width Wr. A required condition, therefore, is that indicated by Equation (1) below. xcex94 less than Wrxe2x80x83xe2x80x83(Eq. 1) Furthermore, ordinarily 10 nm is required as the minimum amount of defocusing. With a small amount of defocusing on the order of 10 nm, it becomes necessary to consider the amount of stage vibration and the spread of secondary electrons produced by absorption of X-rays in addition to the size of the plasma generating position. Accordingly, these three elements are evenly divided so that the amount xcex94 of defocusing due to the size of the plasma generating positions becomes 3 nm or more. The following Equation (2), therefore, holds true: 3 nm less than xcex94xe2x80x83xe2x80x83(Eq. 2) The following condition is obtained from Equations (1), (2) and the above-mentioned equation xcex94=Rxc3x97g/L: 3 nm less than Rxc3x97g/L less than Wr Since the size D (diameter) of the plasma generating position is equal to 2 R, we have the following: xe2x80x836 nmxc3x97L/g less than D less than 2 Wrxc3x97L/gxe2x80x83xe2x80x83(Eq. 3) where L represents the distance between the radiation source and the mask, g the proximity gap and D the diameter of the plasma generating position. In a case where plasma is generated by projecting the laser so as to obtain an X-ray intensity of a normal distribution function of the standard deviation r, i.e., so as to obtain X-rays having a certain luminance distribution, the size D of the plasma generating position is considered to be equal to 4 r and therefore Equation (4) below is obtained. 1.5 nmxc3x97L/g less than r less than 0.5xc3x97Wrxc3x97L/gxe2x80x83xe2x80x83(Eq. 4) (First Embodiment) FIG. 16 shows an outline composition of the X-ray exposure apparatus 60 of the present embodiment. As shown in FIG. 16, the X-ray exposure apparatus 60 has a light system which includes X-ray light source 61. X-rays from the light system are supplied into a chamber 63 for exposing a wafer. Chamber 63 is provided with mask stage 64, mask holder 65, wafer stage 66, and wafer chuck 67. Mask holder 65 is a mechanism to maintain the mask in the stage of 64, and wafer chuck 67 is a mechanism to maintain the wafer in the wafer stage 66. Relative position detection system 68 detects slippage of the relative position of the wafer and the mask. Wafer stage 66 is driven based on this detection result so as to perform the relative position alignment of the mask and the wafer, and the exposure will be done. This X-ray exposure apparatus has the transfer system, and the transfer system supplies the mask and the wafer into the chamber 63, and loads them in mask holder 65 and wafer chuck 67, respectively. In addition, environment controller 69 controls the atmosphere in chamber 63. FIG. 1A is a structural view showing the principal components of an X-ray exposure apparatus according to a first embodiment of the present invention. Shown in FIG. 1A are a mask 1 serving as a reticle, a wafer 2 serving as a substrate, a wafer stage 3, X-rays 4, a laser 5, a laser beam 6, copper tape 7 serving as a target, a mirror 8, plasma 9 and a transparent portion 10. The X-ray exposure apparatus according to this embodiment is constituted by the wafer stage 3, laser 5, target 7 and mirror 8, etc. The mirror is arranged so that its angle is changed by an actuator such as a piezo-electric device. Note that the X-ray light source 61, the mask stage 64 and the wafer stage 65 of FIG. 15 correspond to the target 7, the mask stage 20 and the wafer stage 3 of FIG. 1A, respectively. The X-ray exposure apparatus thus constructed is operated as set forth below to perform exposure. The mask stage is moved so as to bring the mask 1 to a prescribed position relative to the wafer 2. Next, pulsed laser light 6 is emitted from the laser 5, which is a high-luminance pulsed laser such as a YAG laser, and the laser light is reflected by the mirror 8 so as to converge at a point A1 on the tape 7 serving as the target. The plasma 9 is produced at the position A1 on the surface of the tape 7. The plasma 9 is columnar in shape and has a diameter of 0.1 mm. The X-rays 4 are produced when excited atoms in the plasma recombine, the X-rays irradiate the mask 1 and X-rays 4 that have passed through the mask 1 irradiate the surface of the wafer. The X-ray intensity distribution at this time is one having peaks below the transparent portion 10 and elsewhere, as indicated by the solid line in FIG. 4. The X-rays 4 that have passed through the center of the transparent portion 10 irradiate a point B1 in FIG. 1A. Next, the angle of the mirror 8 is shifted to converge light onto the tape 7. The position at which the light converges is now A2. As a result, a plasma is produced at position A2, which is offset from position A1 at which the plasma was produced the previous time, and X-rays are emitted. The X-rays 4 pass through the transparent portion 10 of the mask 1 and irradiate the surface of the wafer. The X-rays 4 that have passed through the center of the transparent portion 10 at this time irradiate a point B2 in FIG. 1A. The point B2 is offset from the position B1 previously irradiated by the X-rays 4 produced by the plasma. By thus successively changing the angle of the mirror 8 to shift the position at which the plasma is generated, exposure is carried out while moving the position irradiated with the X-rays 4 that have passed through the transparent portion 10. When the plasma 9 has been produced N times and the positions at which the plasma 9 has been produced reaches An, exposure is terminated. The number of times the plasma 9 is produced, i.e., the number N of exposures, is decided in such a manner that the wafer 2 will be irradiated with the required amount of exposing light. Furthermore, the distance between A1 and An is decided from the required amount of defocusing. For example, a case will be described in which the amount of defocusing necessary is just 30 nm at the standard deviation of the normal distribution, the distance between the mask 1 and the position at which the plasma 9 is generated, which is the X-ray source position, is 500 mm and the distance between the mask 1 and the wafer 2 is 10 xcexcm. In a case where the X-ray light source is expressed by a uniform luminance distribution under these conditions, the distance between A1 and An should be made 5.2 mm and the mirror 8 should be rotated so as to generate the plasma 9 at equal intervals between A1 and An. Further, in a case where the luminance distribution of the X-ray light source is expressed by a normal distribution, the distance between A1 and An should be made 6 mm and it should be so arranged that the positions at which the plasma 9 is generated and the relative frequency become the distribution shown in FIG. 9. Of course, an arrangement may be adopted in which the angle of rotation of the mirror 8 is adjusted to obtain another luminance distribution. After exposure is thus performed in one angle of view, the wafer stage 3 is stepped and exposure is performed in the next angle of view. In a case where, depending upon the process, the line width of the mask pattern to be exposed is not that small but linearity is required with respect to the line width of the resist pattern that has been burned in, it is better to perform exposure in such a manner that an exposure distribution pattern will be obtained where the amount of defocusing is other than a standard deviation "sgr" of 30 nm, e.g., 50 nm. This is as set forth earlier. In order that an alignment error will not occur between exposure where the amount of defocusing is the standard deviation "sgr" of 30 nm and exposure where the amount of defocusing is the standard deviation "sgr" of 50 nm in such a case, the laser 5 and mirror 8 are adjusted in such a manner that the center positions of the two radiation sources will coincide. For the sake of simplicity, a case in which a one-dimensional mask pattern is transferred by a one-dimensional X-ray source has been described as an example. However, an actual pattern is two-dimensional and therefore it is desirable for the luminance distribution of an X-ray source in a direction perpendicular to the plane of the drawing to be made a distribution similar to the luminance distribution that is parallel to the plane of the drawing. FIG. 1B is a flowchart useful in describing processing for generating X-rays in a case where exposure processing is executed with the luminance distribution of the X-ray source being made a normal distribution. The processing described below is implemented by executing a predetermined control program using a CPU that exercises control of the X-ray exposure apparatus. First, at step S11, the size xcex4 of defocusing is entered from a console (not shown) or the like, whereupon the radius r of the light source is calculated at step S12. The radius r of the light source is obtained by the following equation: r=xcex4L/g (where L represents the distance between the light source and the mask and g the proximity gap). Next, at step S13, a circle the radius of which is twice the value of r obtained at step S12 is adopted as a laser irradiation area for X-ray generation, laser irradiation is performed in such a manner that the laser irradiation density will be a normal distribution within the laser irradiation area, and X-rays are generated. In a case where the laser irradiation density within the laser irradiation area is made uniform, it will suffice to exercise control illustrated by the flowchart shown in FIG. 1C. Specifically at step S23, a circle of the radius of which is {square root over (3,)} times the value of r obtained at step S12 is adopted as a laser irradiation area, and laser irradiation is performed in such a manner that the laser irradiation density will be uniform within the laser irradiation area. By the thus generated X-ray light source, a wafer can be irradiated with X-rays having a desired amount of defocusing. (Second Embodiment) FIG. 2 is a structural view showing the principal components of an X-ray exposure apparatus according to a second embodiment of the present invention. Shown in FIG. 1 are the mask 1 serving as the reticle, the wafer 2 serving as the substrate, the wafer stage 3, the X-rays 4, the laser 5, the laser beam 6, the copper tape 7 serving as the target, the mirror 8, the plasma 9 and the transparent portion 10. Also shown are lasers 11, 12 and 13, mirrors 14, 15 and 16 and plasmas 17, 18 and 19. The X-ray exposure apparatus according to this embodiment includes the plurality of lasers 5, 11, 12, 13 for irradiating different positions on the target 7, and the plurality of mirrors 8, 14, 15, 16. The operation of the X-ray exposure apparatus according to this embodiment will now be described. This embodiment is an example of an arrangement in which plasmas are produced at a plurality of points using a plurality of YAG lasers. The laser beams generated by the plurality of lasers 5, 11, 12, 13 are adjusted by the corresponding mirrors 8, 14, 15, 16, respectively, so as to be focused on different positions of the target 7. An X-ray generating source of a prescribed size is produced by the total of the laser beams. The substantial size of the X-ray generating source can also be changed by performing control so as to turn the irradiation by each laser beam ON and OFF independently. The X-ray exposure apparatus according to this embodiment is advantageous in that reliability is enhanced and the structure simplified. The reason for this is that the position at which the plasma 9 is generated is not moved by moving the mirror 8 as in the X-ray exposure apparatus of the first embodiment, and it is unnecessary to move the mirrors 8, 14, 15, 16. Further, with a laser plasma radiation source in which the angle of the laser light is changed using an optical fiber, the position at which the plasma is generated may be changed by altering the angle of the optical fiber to change the position irradiated by the laser. An X-ray exposure apparatus using a laser plasma X-ray source for generating plasma by relying upon a laser as the plasma X-ray source has been described. The present invention is applicable also to a gas plasma X-ray source in which a plasma is produced by using an electrical discharge. In such a case, an external electrical field would be applied in addition to the original electric field to move the position at which the plasma is generated. (Third Embodiment) FIG. 17 illustrates an example in which the present invention is applied to an exposure apparatus that employs a plasma X-ray source. This embodiment will be described in regard to a case where use is made of a Z-pinch X-ray source, which is a plasma X-ray source. The operation of the present invention will now be described in conjunction with FIG. 16, which illustrates the basic structure of the invention. less than Description of Components greater than The apparatus includes a high-speed valve 30 which, when opened, introduces a gas into a gas introduction passageway 35. An electrical discharge is produced by impressing a voltage across a pair of opposing electrodes 31 and 32. The position at which the plasma is produced is moved by a magnetic field generated at magnetic poles 33, 34. An X-ray window made of beryllium separates a mask/wafer exposure chamber having a helium atmosphere from the vacuum environment of the X-ray source. less than Operation greater than First, the high-speed valve 30 is opened to introduce the gas between the pair of electrodes 31, 32, which are placed in a vacuum environment in advance. The gas passes through the passageway 35 and forms a cylindrical gaseous mass between the electrodes 31 and 32. If a pulsed voltage is impressed across the electrodes 31 and 32 at this time, a current will flow through the gas. A plasma in which current flows through the gas is produced and, at the same time, a magnetic field produced by the current subjects the plasma to a force directed toward the center of the electrodes, whereby the plasma is caused to contract. If, in addition to the magnetic field produced by the current, a magnetic field is applied across the poles 33, 34, the position at which the plasma is produced will shift from the center position. The position at which the plasma is produced can be moved to A1, A2, . . . , An, depending upon the strength of the magnetic field. It will suffice if this movement of the plasma generating position takes place during one exposure operation, as already mentioned. Accordingly, if plasma is produced a plurality of times by a plurality of electrical discharges, as in the manner of an ordinary plasma radiation source, and a single exposure is performed, it will not be necessary to vary the magnetic field while the plasma is in the process of being generated; it will suffice to change the field whenever the plasma is produced. In the example described above, a magnetic field is used to move the position of the plasma. However, an electric field may be used for this purpose. Alternatively, the position at which the plasma is generated may be changed by changing the position of the electrodes mechanically. In this case, the entire X-ray source may be moved or one electrode may be moved or oscillated. Further, the plasma X-ray source is not limited to the above-mentioned Z-pinch X-ray source, and the present invention can be applied to many other plasma X-ray sources as well. By way of example, a coaxial-type plasma X-ray source of the kind shown in FIG. 18 is illustrated in SPIE VOL. 316 (1981) p.196. In this apparatus, an inner electrode 41 and an outer electrode 42 have a coaxial structure. When a pulsed voltage is applied across these electrodes, a sheet-like plasma moves to C1, C2, C3 and C4 owing to the interaction of electric and magnetic fields, the plasma is pinched at position D and high-intensity X-rays 4 are produced. The position at which the plasma is produced can be moved by externally applying a magnetic or electric field, as in the foregoing embodiment, or by oscillating the radiation source. (Fourth Embodiment) (Embodiment of Semiconductor Production System) Described next will be an example of a system for producing semiconductor devices (semiconductor chips such as IC and LSI chips, liquid crystal panels, CCDs, thin-film magnetic heads and micromachines, etc.) using the X-ray exposure apparatus according to the present invention. This system utilizes a computer network outside the semiconductor manufacturing plant to provide troubleshooting and regular maintenance of manufacturing equipment installed at the manufacturing plant and to furnish maintenance service such as the provision of software. FIG. 11 illustrates the overall system as seen from a certain angle. The system includes the business office 101 of the vendor (equipment supplier) that provides the equipment for manufacturing semiconductor devices. Semiconductor manufacturing equipment for various processes used in a semiconductor manufacturing plant is assumed to be the manufacturing equipment. Examples of the equipment are pre-treatment equipment (lithographic equipment such as exposure equipment, resist treatment equipment and etching equipment, heat treatment equipment, thin-film equipment and smoothing equipment, etc.) and post-treatment equipment (assembly equipment and inspection equipment, etc.). The business office 101 includes a host management system 108 for providing a manufacturing-equipment maintenance database, a plurality of control terminal computers 110, and a local-area network (LAN) 109 for connecting these components into an intranet. The host management system 108 has a gateway for connecting the LAN 109 to the Internet 105, which is a network external to the business office 101, and a security function for limiting access from the outside. Numerals 102 to 104 denote manufacturing plants of semiconductor makers which are the users of the manufacturing equipment. The manufacturing plants 102 to 104 may be plants belonging to makers that differ from one another or plants belonging to the same maker (e.g., pre-treatment plants and post-treatment plants, etc.). Each of the plants 102 to 104 is provided with a plurality of pieces of manufacturing equipment 106, a local-area network (LAN) 111 which connects these pieces of equipment to construct an intranet, and a host management system 107 serving as a monitoring unit for monitoring the status of operation of each piece of manufacturing equipment 106. The host management system 107 provided at each of the plants 102 to 104 has a gateway for connecting the LAN 111 in each plant to the Internet 105 serving as the external network of the plants. As a result, it is possible for the LAN of each plant to access the host management system 108 on the side of the vendor 101 via the Internet 105. By virtue of the security function of the host management system 108, users allowed to access the host management system 108 are limited. More specifically, status information (e.g., the condition of manufacturing equipment that has malfunctioned), which indicates the status of operation of each piece of manufacturing equipment 106, can be reported from the plant side to the vendor side. In addition, information in response to such notification (e.g., information specifying how to troubleshoot the problem, troubleshooting software and data, etc.), as well as the latest software and maintenance information such as help information, can be acquired from the vendor side. A communication protocol (TCP/IP), which is used generally over the Internet, can be employed for data communication between the plants 102xcx9c104 and the vendor 101 and for data communication over the LAN 111 within each plant. Instead of utilizing the Internet as the external network of a plant, it is also possible to utilize a highly secure leased-line network (ISDN, etc.) that cannot be accessed by a third party. Further, the host management system is not limited to that provided by a vendor, for an arrangement may be adopted in which the user constructs a database, places it on an external network and allows the database to be accessed from a number of plants that belong to the user. FIG. 12 is a conceptual view illustrating the overall system of this embodiment as seen from an angle different from that depicted in FIG. 11. In the earlier example, a plurality of user plants each having manufacturing equipment are connected by an external network to the management system of the vendor that provided the manufacturing equipment, and information concerning the production management of each plant and information concerning at least one piece of manufacturing equipment is communicated by data communication via the external network. In the example of FIG. 15, on the other hand, a plant having manufacturing equipment provided by a plurality of vendors is connected by an outside network to management systems of respective ones of the vendors of these plurality of pieces of manufacturing equipment, and maintenance information for each piece of manufacturing equipment is communicated by data communication. This system includes a manufacturing plant 201 of the user of manufacturing equipment (the maker of semiconductor devices). The manufacturing line of this plant includes manufacturing equipment for implementing a variety of processes. Examples of such equipment are exposure equipment 202, resist treatment equipment 203 and thin-film treatment equipment 204. Though only one manufacturing plant 201 is shown in FIG. 15, in actuality a plurality of these plants are networked in the same manner. The pieces of equipment in the plant are interconnected by a LAN 206 to construct an intranet and the operation of the manufacturing line is managed by a host management system 205. The business offices of vendors (equipment suppliers) such as an exposure equipment maker 210, resist treatment equipment maker 220 and thin-film treatment equipment maker 230 have host management systems 211, 221, 231, respectively, for remote maintenance of the equipment they have supplied. These have maintenance databases and gateways to the outside network, as described earlier. The host management system 205 for managing each piece of equipment in the manufacturing plant of the user is connected to the management systems 211, 221, 231 of the vendors of these pieces of equipment by the Internet or leased-line network serving as an external network 200. If any of the series of equipment in the manufacturing line malfunctions, the line ceases operating. However, this can be dealt with rapidly by receiving remote maintenance from the vendor of the faulty equipment via the Internet 200, thereby making it possible to minimize line downtime. Each piece of manufacturing equipment installed in the semiconductor manufacturing plant has a display, a network interface and a computer for executing network-access software and equipment operating software stored in a storage device. The storage device can be an internal memory or hard disk or a network file server. The software for network access includes a special-purpose or general-purpose Web browser and presents a user interface, which has a screen of the kind shown by way of example in FIG. 13, on the display. The operator managing the manufacturing equipment at each plant enters information at the input items on the screen while observing the screen. The information includes model 401 of the manufacturing equipment, its serial number 402, subject matter 403 of the problem, its date of occurrence 404, degree of urgency 405, the particular condition 406, countermeasure method 407 and progress report 408. The entered information is transmitted to the maintenance database via the Internet. The appropriate maintenance information is sent back from the maintenance database and is presented on the display screen. The user interface provided by the Web browser implements hyperlink functions 410 to 412 as illustrated and enables the operator to access more detailed information for each item, to extract the latest version of software, which is used for the manufacturing equipment, from a software library provided by the vender, and to acquire an operating guide (help information) for reference by the plant operator. Here the maintenance information provided by the maintenance database also includes the above-described information relating to the present invention, and the software library also provides the latest software for implementing the present invention. A process for manufacturing a semiconductor device utilizing the production system set forth above will now be described. FIG. 14 illustrates the overall flow of a process for manufacturing semiconductor devices. The circuit for the device is designed at step 1 (circuit design). A mask on which the designed circuit pattern has been formed is fabricated at step 2 (mask fabrication). Meanwhile, a wafer is manufactured using a material such as silicon or glass at step 3 (wafer manufacture). The actual circuit is formed on the wafer by lithography, using the mask and wafer that have been prepared, at step 4 (wafer process), which is also referred to as xe2x80x9cpre-treatmentxe2x80x9d. A semiconductor chip is obtained using the wafer fabricated at step 4, at step 5 (assembly), which is also referred to as xe2x80x9cpost-treatmentxe2x80x9d. This step includes steps such as actual assembly (dicing and bonding) and packaging (chip encapsulation). The semiconductor device fabricated at step 5 is subjected to inspections such as an operation verification test and a durability test at step 6 (inspection). The semiconductor device is completed through these steps and then is shipped (step 7). The pre- and post-treatments are performed at separate special-purpose plants. Maintenance is carried out on a per-plant basis by the above-described remote maintenance system. Further, information for production management and equipment maintenance is communicated by data communication between the pre- and post-treatment plants via the Internet or leased-line network. FIG. 15 is a flowchart illustrating the detailed flow of the wafer process mentioned above. The surface of the wafer is oxidized at step 11 (oxidation). An insulating film is formed on the wafer surface at step 12 (CVD), electrodes are formed on the wafer by vapor deposition at step 13 (electrode formation), and ions are implanted in the wafer at step 14 (ion implantation). The wafer is coated with a photoresist at step 15 (resist treatment), the wafer is exposed to the circuit pattern of the mask to print the pattern onto the wafer by the above-described exposure apparatus at step 16 (exposure), and the exposed wafer is developed at step 17 (development). Portions other than the developed photoresist are etched away at step 18 (etching), and unnecessary resist left after etching is performed is removed at step 19 (resist removal). Multiple circuit patterns are formed on the wafer by implementing these steps repeatedly. Since the manufacturing equipment used at each step is maintained by the remote maintenance system described above, malfunctions can be prevented and quick recovery is possible if a malfunction should happen to occur. As a result, the productivity of semiconductor device manufacture can be improved over the prior art. Thus, defocusing on a resist, which is an important process factor, can be changed by generating plasmas at a plurality of positions during proximity exposure. As a result, the width of a resist pattern can be enlarged reliably along with an increase in the size of the mask pattern, controllable parameters are increased and a more suitable resist pattern can be obtained. The end result is that process tolerance in terms of exposing finer patterns is improved. As many apparently widely different embodiments of the present invention can be made without departing from the spirit and scope thereof, it is to be understood that the invention is not limited to the specific embodiments thereof except as defined in the appended claims. |
|
summary | ||
description | 1. Field This invention relates generally to nuclear reactor systems, and in particular, to a method and apparatus for refueling a nuclear reactor. 2. Description of Related Art A pressurized water reactor has a large number of elongated fuel assemblies mounted within an upright reactor vessel. Pressurized coolant is circulated through the fuel assemblies to absorb heat generated by nuclear reactions in fissionable material contained in the fuel assemblies. The primary side of such a nuclear reactor power generating system which is cooled with water under pressure comprises an enclosed circuit which is isolated from and in heat exchange relationship with a secondary circuit for the production of useful energy. The primary side comprises the reactor vessel enclosing a core a pressurizer, pumps and pipes for circulating pressurized water; the pipes connecting each of the steam generators and pumps to the reactor vessel independently. In conventional nuclear plants of that type each of the parts of the primary side comprising the steam generator, a pump and a system of pipes which are connected to the reactor vessel form a loop of the primary side. For the purpose of illustration, FIG. 1 shows a simplified conventional nuclear reactor primary system, including a generally cylindrical pressure vessel 10 having a closure head 12 enclosing a nuclear core 14. A liquid coolant, such as water or borated water, is pumped into the vessel 10 by pumps 16 through the core 14 where heat energy is absorbed and is discharged to a heat exchanger 18, typically referred to as a steam generator, in which heat is transferred to a utilization circuit (not shown), such as a steam driven turbine generator. The reactor coolant is then returned to the pump 16, completing the primary loop. Typically, a plurality of the above described loops are connected to a single reactor vessel 10 by reactor coolant piping 20. An exemplary conventional reactor design is shown in more detail in FIG. 2. In addition to the core 14 comprised of a plurality of parallel, vertically co-extending fuel assemblies 22, for the purpose of this description, the other vessel internal structures can be divided into the lower internals 24 and the upper internals 26. In conventional designs, the lower internals function to support, align and guide core components and instrumentation as well as direct flow within the vessel. The upper internals restrain or provide a secondary restraint for the fuel assemblies 22 (only two of which are shown for simplicity in FIG. 2), and support and guide instrumentation and components, such as control rods 28. In the exemplary reactor shown in FIG. 2, coolant enters the reactor vessel through one or more inlet nozzles 30, flows down through an annulus between the reactor vessel and the core barrel 32, is turned 180° in a lower plenum 34, passes upwardly to a lower support plate 37 and a lower core plate 36 upon which the fuel assemblies are seated and through and about the fuel assemblies 22. In some designs, the lower support plate 37 and the lower core plate 36 are replaced by a single structure, a lower core support plate having the same elevation as 37. The coolant flow through the core and surrounding area 38 is typically large on the order of 400,000 gallons per minute at a velocity of approximately 20 feet per second. The resulting pressure drop and frictional forces tend to cause the fuel assemblies to rise, which movement is restrained by the upper internals, including a circular upper core plate 40. Coolant exiting the core 14 flows along the underside of the upper core plate and upwardly through a plurality of perforations 42. The coolant then flows upwardly and radially to one or more outlet nozzles 44. The upper internals 26 can be supported from the vessel or the vessel head and include an upper support assembly 46. Loads are transmitted between the upper support assembly 46 and the upper core plate 40 primarily by a plurality of support columns 48. Each support column is aligned above a selected fuel assembly 22 and perforations 42 in the upper core plate 40. Rectilinearly moveable control rods 28 which typically include a drive shaft or drive rod 50 and a spider assembly 52 of neutron poison rods, are guided through the upper internals 26 and into aligned fuel assemblies 22 by control rod guide tubes 54. The guide tubes are fixedly joined to the upper support assembly 46 and the top of the upper core plate 40. The support column 48 arrangement assists in retarding guide tube deformation under accident conditions which could detrimentally affect control rod insertion capability. To control the fission process, a number of control rods 28 are reciprocally moveable in guide thimbles located at predetermined positions in the fuel assemblies 22. Specifically, a control rod mechanism positioned above the top nozzle of the fuel assemblies supports a plurality of control rods. The control rod mechanism (also known as a rod cluster control assembly) has an internally threaded cylindrical hub member with a plurality of radial extending flukes or arms that form the spider 52 previously noted with regard to FIG. 2. Each arm is interconnected to a control rod 28 such that the control rod assembly mechanism 72 is operable to move the control rods 28 vertically within the guide thimbles within the fuel assemblies to thereby control the fission process in the fuel assembly 22, under the motive power of the control rod drive shaft 50 which is coupled to the control rod mechanism hub, all in a well known manner. The upper internals 26 also have a number of in-core instrumentation that extend through axial passages within the support columns 48 and into instrumentation thimbles generally, centrally located within the fuel assemblies. The in-core instrumentation typically includes a thermocouple for measuring the coolant core exit temperature and axially disposed neutron detectors for monitoring the axial and radial profile of neutron activity within the core. Nuclear power plants, which employ light water reactors require periodic outages for refueling of the reactor. New fuel assemblies are delivered to the plant and temporarily stored in a fuel storage building, along with used fuel assemblies which may have been previously removed from the reactor. During a refueling outage, a portion of the fuel assemblies in the reactor are moved from the reactor to the fuel storage building. A second portion of the fuel assemblies are moved from one support location in the reactor to another core support location in the reactor. New fuel assemblies are moved from the fuel storage building into the reactor to replace those fuel assemblies which were removed. These movements are done in accordance with a detailed sequence plan so that each fuel assembly is placed in a specific location in accordance with an overall refueling plan prepared by the reactor core designer. In conventional reactors, the removal of the reactor internal components necessary to access the fuel and the movement of the new and old fuel between the reactor and the spent fuel pool in the fuel storage building is performed under water to shield the plant maintenance personnel. This is accomplished by raising the water level in the refueling cavity and canal that is integral to the plant's building structure. The water level of more than 20 feet provides shielding for the movement of the reactor internal structures and the fuel assemblies. Refueling activities are often on a critical path for returning the nuclear plant to power operation, therefore, the speed of these operations is an important economic consideration for the power plant owner. Furthermore, the plant equipment and fuel assemblies are expensive and care must be taken not to cause damage or unnecessary radiation exposure due to improper handling of the reactor components that have to be removed to access the fuel assemblies, the fuel assemblies or fuel transfer equipment. The precision of these operations is also important since the safe and economical operation of the reactor core depends upon each fuel assembly being in its proper location. A typical pressurized water reactor needs to be refueled every 18 to 24 months. Commercial power plants employing the conventional designs generally illustrated in FIGS. 1 and 2 are typically on the order of 1,100 megawatts or more. More recently, Westinghouse Electric Company LLC has proposed a small modular reactor in the 200 megawatt class. The small modular reactor is an integral pressurized water reactor with all primary loop components located inside the reactor vessel. The reactor vessel is surrounded by a compact, high pressure containment. Due to both limited space within the containment and the low cost requirement for integral pressurized light water reactors, the overall number of auxiliary systems including those associated with refueling needs to be minimized without compromising safety or functionality. For example, the compact high pressure containment associated with the design of some small modular reactors does not allow for the incorporation of a large floodable cavity above the reactor vessel in which the transferred components can be shielded. Even in conventional designs, it would be desirable to reduce the amount of flooding required for refueling to save time and the expense of the operation. Accordingly, it is an object of this invention to provide a method and apparatus for shielding the movement of a fuel assembly that does not require flooding of the containment. It is a further object of this invention to provide such a method and apparatus that does not require the addition of motorized components to deploy shielding during fuel movement. It is an additional object of this invention to provide such a method and apparatus which practically does not require additional storage space over that currently required. These and other objects are achieved by a machine for moving a nuclear plant component from a first location to another that has a bridge assembly for positioning the machine over the nuclear plant component to be moved. A stationary mast is supported from the bridge assembly at a first end and extends down from the bridge assembly in the direction of the nuclear plant component. A moveable mast is telescopically nested within the stationary mast and configured to extend from the stationary mast and retract within the stationary mast under the control of an operator. A mast shield canister has an axially extending central opening through which the moveable mast can extend. The mast shield canister has an upper end portion and a lower end portion with a first stop on the upper end portion of the mast shield canister and/or on a lower end of the moveable mast preventing the lower end of the moveable mast from withdrawing out of the upper end portion of the mast shield canister. A second stop is provided on either or both the stationary mast or the mast shield canister that prevents the mast shield canister from moving more than a preselected distance from the stationary mast; the mast shield canister being sized at least to fit over substantially the full length of the nuclear plant component. A gripper assembly is supported at a lower end of the moveable mast and is configured to grip the nuclear plant component through the lower end portion of the mast shield canister. Preferably, the preselected distance is substantially long enough to place the mast shield canister on top of the nuclear plant component. In one embodiment the first stop comprises a first set of rollers circumferentially supported around an inner wall of the central opening on the upper end portion of the mast shield canister, that is at least in part in axial and circumferential alignment with a second set of rollers on the lower end of the moveable mast, below the first set of rollers. Preferably the second stop is a rod or cable attached to the upper end portion of the mast shield canister at a first end of the rod or cable and slidably coupled to the stationary mast at a second end portion of the rod or cable through an opening in an eyelet or tube that is affixed to the stationary mast with a second end of the second end portion of the rod or cable being larger than the opening in the eyelet or tube. Desirably, the mast shield canister is configured so that a downward movement of the mast shield canister relative to the moveable mast is powered solely under the force of gravity and an upward movement of the mast shield canister relative to the stationary mast is solely under the power of the moveable mast. The machine may also include a transfer cart having a moveable platform for moving the nuclear plant component from a first location to a second location. The transfer cart has a transfer cart shield canister having a central opening substantially completely enclosed by a shield wall system except for an open end providing access to the central opening with the central opening being sized to substantially enclose the nuclear plant component. The transfer cart also includes a rotatable coupling between the moveable platform and the transfer cart shield canister for rotating the transfer cart shield canister from a generally horizontal position, where the nuclear plant component within the transfer cart shield canister is on its side, to a generally vertical position, where the open end is facing substantially in an upward direction to face the gripper assembly, and back to the horizontal position. In another embodiment, the central opening in the transfer cart shield canister substantially matches the central opening through the mast shield canister and the gripper assembly is configured to extend through the lower end portion of the mast shield canister into the central opening of the transfer cart shield canister to place the nuclear plant component within the transfer cart shield canister. The invention also contemplates a method for relocating the nuclear plant component with the machine described above including the step of moving the bridge assembly to position the machine over the nuclear plant component to be relocated with the gripper assembly aligned with the nuclear plant component. Then the moveable mast is moved downward with the mast shield canister suspended below the gripper. The method then supports the lower end portion of the mast shield canister above the nuclear plant component with the nuclear plant component in line with the central opening through which the moveable mast can extend. The moveable mast is then lowered through the central opening and the gripper assembly engages on a top surface of the nuclear plant component. The method then raises the moveable mast to withdraw the moveable mast and the nuclear plant component upward within the central opening and moves the bridge assembly to position the nuclear plant component at a new location. The method may also include the steps of lowering the moveable mast at the new location; disengaging the gripper assembly from the nuclear plant component; and raising the moveable mast. In still another embodiment the new location is a transfer cart comprising a moveable platform for transporting the nuclear plant component; wherein the moveable platform has a transfer cart shield canister with a central opening substantially completely enclosed by a shield wall system except for an open end providing access to the central opening, with the central opening being sized to substantially enclose the nuclear plant component; and a rotatable coupling between the moveable platform and the transfer cart shield canister for rotating the transfer cart shield canister from a generally horizontal position where the nuclear plant component within the transfer cart shield canister is on a side, to a vertical position, where the open end is facing substantially in an upward direction to face the gripper assembly, and back to a horizontal position. In this embodiment, the method includes the step of rotating the rotatable couplings so that the transfer cart shield canister is in the vertical position. Then the method lowers the moveable mast so a lower end of the mast shield canister substantially rests on a top surface of the shield wall system. Then the method lowers the moveable mast through the central opening in the mast shield canister to lower the nuclear plant component within the opening in the shield wall system. The gripper assembly is then disengaged from the nuclear plant component and the moveable mast is raised. Preferably, the transfer cart shield canister is then rotated to a horizontal position and transported to a new destination. Desirably, in this embodiment, the step of raising the moveable mast after disengaging the gripper assembly includes the step of raising the mast shield canister so that an upper end of the mast shield canister substantially rests against the lower end of the stationary mast. The foregoing apparatus and method is particularly suited for moving nuclear fuel assemblies between a reactor and a spent fuel pool. This invention provides a practical means to incorporate gamma radiation shielding into the mast of a refueling machine. A shielded canister is incorporated into the mast design. The shielded canister is raised and lowered with a mast similar in design to those already in use in pressurized water reactor plants. The moveable mast telescopes within a stationary mast. The stationary mast is attached to a conventional bridge of the refueling machine. The invention allows for the addition of shielding that is positioned with the movement of the moveable mast. It does not require the addition of motorized components to deploy the shielding during fuel movement. The fuel is drawn up into the shielded canister as the moveable mast lifts the fuel assembly from the reactor core. The fuel assembly is then placed into a transfer cart which is also fitted with a shielded canister. The transfer is made without exposing the fuel assembly. The result is completely shielded fuel movement. The shielded material employed in the mast and the fuel transfer cart could be any high density material that is typically used to shield gamma radiation, e.g., concrete, etc. FIG. 3 shows a schematic cross sectional view of one embodiment of the fuel transfer machine 56 with a bridge assembly 58 for positioning the machine over the fuel assembly 22 to be moved. A stationary mast 60 is supported from the bridge assembly 58 at a first end and extends down from the bridge assembly in the direction of the fuel assembly 22. A moveable mast 62 is telescopingly nested within the stationary mast 60 and configured to extend from the stationary mast and retract within the stationary mast under the control of an operator (not shown). To that extent, the refueling machine illustrated in FIG. 3 is substantially conventional with the bridge assembly riding on wheels that are guided on tracks on the operating deck of a nuclear plant. In accordance with this embodiment, the mast shield canister 64 has an axially extending central opening 102 through which the moveable mast can extend and is suspended from a lower end of the moveable mast 62 during the mast shield canister's travel downward. The mast shield canister 64 has an upper end portion, with a first stop 68 on the upper end portion of the mast shield canister 64 and/or on a lower end of the moveable mast 62 preventing the lower end of the moveable mast from withdrawing out of the upper end portion 104 of the mast shield canister 64 and a second stop 70 on either or both the stationary mast 60 or the mast shield canister 64 that prevents the mast shield canister from moving more than a preselected distance from the stationary mast. The mast shield canister 64 is sized at least to fit over substantially the full length of the nuclear component. A gripper assembly 82 is supported at a lower end of the moveable mast 62 and is configured to grip the nuclear plant component (in this example a fuel assembly) through the lower end portion 104 of the mast shield canister 64. The first stop 68 illustrated in the embodiments shown in FIGS. 3-11 comprises a first set of rollers 78 circumferentially supported around an inner wall of the central opening 102 on the upper end portion 104 of the mast shield canister 64, that is at least in part in axial and circumferential alignment with a second set of rollers 80 on the lower end of the moveable mast 62, below the first set of rollers 78. The roller guides ensure lateral alignment while allowing the moveable mast 62 to move up and down. The rollers can ride in axial grooves to assure rotational stability. The interference of the rollers 78 and 80 prevents the mast shield canister from leaving the end of the moveable mast 62. However, a mechanical release can be provided for the rollers 78 so they can withdraw into their socket and release the mast shield canister 64 from the moveable mast 62 so it can be exchanged for corresponding mast shield canisters having other interior dimensions that will accommodate other core components that need to be removed from the reactor vessel. Accordingly, though the nuclear component transfer device shown in FIGS. 3-11 is illustrated in a configuration to transfer fuel assemblies, it should be appreciated that this invention has applicability to transfer other nuclear components as well. Additionally, the mast shield canister 64 illustrated in the figures is also shown to have an upper flange 110 which can also serve as a mechanical stop against the gripper 82 at the end of the moveable mast 62 to prevent the mast from being withdrawn entirely from the central opening 102. Other mechanical stops can also be configured for this purpose. A similar stop arrangement is provided between the stationary mast 60 and the moveable mast 62 to prevent the moveable mast 62 from being completely withdrawn from the stationary mast 60. A second mechanical stop 70 is configured between the upper portion 104 of the mast shield canister 64 and the lower end of the stationary mast 60. The second mechanical stop is a rod or cable 84 that is threaded through an eyelet or sleeve 88 that is attached to the outside of the lower end of the stationary mast 60. The cable or rod 84 is attached to the upper end portion 104 of the mast shield canister 64 at a first end of the rod or cable and slidably coupled to the stationary mast 60 at the second end portion of the rod or cable 84 through an opening in the eyelet or tube 88 with an end portion 86 of the rod or cable being larger than the opening in the eyelet or tube 88 so that the mast shield canister 64 can be lowered a preselected distance which in this embodiment is substantially equal to the length of the cables 84. As can be seen in FIG. 4 as the moveable mast 62 is lowered, the mast shield canister 64 lowers under the force of gravity against the stop 68 until the limit of the stop 70 is reached. Desirably, the length of the cables 84 are long enough to place the central opening 102 at the lower end of the mast shield canister 64 right over the fuel assembly 22 as shown in FIG. 4. Then the moveable mast 62 continues traveling downward until the gripper assembly can engage the fuel assembly 22 as shown in FIG. 5. Desirably, the length of the central opening 102 below the completely withdrawn gripper assembly is at least substantially equal to the height of the fuel assembly 22 so the fuel assembly can be totally withdrawn within the mast shield canister 64 as the moveable mast 62 is raised after the fuel assembly has been engaged, as shown in FIG. 6. Then the lower end of the moveable mast 62 engages the first stop 68 and raises the mast shield canister 64 into engagement with the lower end of the stationary mast 60 as shown in FIG. 7. Thus, the fuel assembly 22 can be removed from the reactor core, or from the spent fuel pool, as the case may be, completely shielded within the mast shield canister 64 and the bridge assembly can then be moved to a fuel transfer cart that can move the fuel assembly between the reactor and the spent fuel pool. FIGS. 8-11 illustrate the reverse operation where the fuel assembly is loaded into a transfer cart 90 for transport between the reactor and the spent fuel pool. The bridge assembly 58 positions the mast shield canister 64 over a transfer cart shield canister 92 having a central opening 100 substantially completely enclosed by a shield wall system 96, except for an open end 98 providing access to the central opening. The central opening is sized to substantially enclose the nuclear fuel assembly 22 and the transfer cart is connected to a moveable platform 93 through a rotatable coupling 94. The rotatable coupling rotates the transfer cart shield canister 92 from a generally horizontal position, where the nuclear fuel assembly within the transfer cart shield canister is on a side, to a generally vertical position, as shown in FIG. 8, where the open end 98 is facing substantially in an upward direction to face the gripper assembly 82. Desirably, the central opening 100 in the transfer cart shield canister 92 substantially matches the central opening 102 through the mast shield canister 64 and the gripper assembly 82 is configured to extend through the lower end portion of the mast shield canister into the central opening 100 of the transfer cart shield canister 92 to seat the nuclear fuel assembly 22 within the transfer cart shield canister as shown in FIG. 9. The moveable mast 62 is then raised as shown in FIG. 10, preferably to rest the top of the mast shield canister 64 against the bottom of the stationary mast 60. The transfer cart shield canister 92 is then rotated to a horizontal position for transport as shown in FIG. 11. While specific embodiments of the invention have been described in detail, it will be appreciated by those skilled in the art that various modifications and alternatives to those details could be developed in light of the overall teachings of the disclosure. Accordingly, the particular embodiments disclosed are meant to be illustrative only and not limiting as to the scope of the invention which is to be given the full breadth of the appended claims and any and all equivalents thereof. |
|
summary | ||
048184721 | description | Referring now to the figures of the drawings in detail and first, particularly, to FIG. 1 thereof, there is seen a component in the form of a vessel DB of a heat exchanger for a nuclear power plant which has a lower part 1.1 and a cover 1.2, to be mounted on a vessel flange 1.11 normal to the longitudinal axis 1' of the vessel; the cover has an annular flange 1.21 and screws 1.22 distributed over the periphery thereof in the vicinity of the cover flange. The cover screws 1.22 are anchored in the cover flange 1.21 of the bottom portion in suitably threaded bores. The vessel DB, hereinafter referred to as the pressure vessel DB, has a substantially hollow cylindrical shape with a spherical bottom 1.13 and a conical transition 1.14 between the cylindrical portion and the spherical bottom 1.13. A connector portion of the pressure vessel, where line connectors or sockets 2 are disposed, is located in the vicinity of the reinforced cover flange 1.21. The pressure vessel DB is mounted on a supporting ring structure with support claws 3. Reference numeral 5 designate a biological shield in the form of a concrete structure; reference numeral 6 designates a concrete structure on the bottom of the reactor building; and an annular gap 7a remains between a jacket or shell 8c and the pressure vessel DB, while an approximately hollow-conical space 7b remains in the vicinity of the spherical bottom 1.13. A portion 7a1 of the annular gap 7a and a portion 7b1 of the space 7b on the bottom are filled with a sheathing 8 for the pressure vessel DB, which is shown in cross section. The sheathing 8 has a thickness which permits the sheathing to perform a supporting function for a receptacle or decontamination tank for at least a part of a component DB that is to be dismantled or disintegrated into individual pieces 9. However, prior to supplying the sheathing, the cover 1.2 along with a cover insulating hood 11 seen in FIG. 2 is raised and moved into a temporary storage position. For shielding reasons, the pressure vessel DB is also filled with water to a level just below the flange 1.11, such as up to a level line 12. For the sake of good viewing conditions, it is favorable for the water to be cleaned continuously during the dismantling or disintegration by means of a water cleaning system, which has a pump 26 and filter 27. The component DB is then dismantled or disintegrated from above by a processing method involving removal into the individual pieces 9, using a non-illustrated manipulator having a tool head; the individual pieces are defined by removal or cutting lines in the form of horizontal system lines 9a and surface or jacket lines 9b, which will be described in further detail below. The sheathing 8 is advantageously formed of shielding material, in particular a concrete shielding jacket provided with a reinforcement 8a. It is also possible to use a tough plastic material capable of being cast or injected, as the sheathing. The plastic material is preferably reinforced as well, for instance with a network of cables formed of glass-fiber-reinforced polyester which are capable of absorbing high tensile strain. Before a network of this kind can be lowered into the annular gap and the integral casting can be started, all of the obstructions that may possibly be present in the annular gap must be removed. The network is then braced on the bottom in basket-like fashion by means of fixtures, so that it encompasses the entire lower portion of the pressure vessel DB. Subsequently or even previously, the bottom region of the concrete structure 6 surrounding the pressure vessel DB is suitably provided with a separation layer 8b, which is applied by remote control. The layer 8b may be in the form of separation foils, which are disposed on the inner wall of the shell or concrete structure together with the reinforcement network for the sheathing. The material for the sheathing itself is then introduced by casting or by extrusion filling of the spaces 7a1 and 7b1, and the wedge-shaped spaces 7b2 in the vicinity of the spherical bottom 1.13 are also suitably filled by means of filler pieces, since complete filling by casting is not necessary in these areas. Naturally, before the shielding water is introduced into the pressure vessel DB, the line connectors 2, which are truncated elements produced by severing the remaining parts of the primary coolant lines, are sealed off from inside by sealing bodies 2a; these connectors are likewise jacketed or lined by the sheathing 8, as are the support claws 3. The line connectors 2 and/or the claws 3 can then be used for attaching supporting cables of a non-illustrated construction crane. The pressure vessel DB and the sheathing 8 are then prepared to such an extent that with a non-illustrated manipulator and tool head, it is possible to move into the interior of the vessel from above. In order to perform the dismantling or disintegration into the individual pieces 9 along the removal or cutting lines 9a, 9b, chip-removing methods such as turning, milling, sawing or arc sawing are suitable. The advantage provided by such a process is that the water that fills the pressure vessel DB simultaneously provides the required cooling. However, it is also possible to use a chemical or electrochemical erosion method under water. If an electrolyte suitable for electrochemical erosion is used as a shielding liquid, then this liquid need merely be set into circulation by suitable pumps at the locations at which the electrodes are in operation at a particular time. As the system and surface lines 9a, 9b show, the component DB is dismantled or disintegrated into many wall pieces 9 by making circumferential grooves and/or longitudinal grooves or slits. It is possible for the component DB to first be dismantled or disintegrated into individual courses 90 by removal along the inside system or circumference lines 9a. The work is suitably performed progressively from top to bottom. The upper courses can then be lifted out as needed and moved to a temporary storage location. However, if the sheathing 8 is dimensioned in such a way as to support the entire weight of the component DB, then after suitable dismantling or disintegration of the component into the courses 90, dismantling or disintegration into the individual wall pieces 9 is suitably performed by tooling, machining or erosion along the surface lines 9b. The work is then preferably performed from top to bottom. With the use of such a method, further processing of portions located farther down cannot be hindered by wall pieces that become detached by themselves, because the separated wall pieces are held firmly by the adhesion of the wall pieces to the sheathing 8, unless they are removed by a gripping tool. Once the dismantling or disintegration of the component DB into the individual wall and bottom pieces 9 has been completed, some of the shielding water can be pumped out, because the pieces 9 can be piled up in the vicinity of the spherical bottom. A closure cover, preferably made of the same casting compound as the sheathing 8, is then suitably placed on the upper rim of the sheathing 8 and joined to it, preferably by being cast onto it. As FIG. 2 shows, the method according to the invention can also be used in the dismantling or disintegration of a reactor pressure vessel. Prior to supplying the sheathing, the cover 1.2 of the reactor pressure vessel, together with control rod tubes 10 and the cover insulating hood 11, is raised and moved to a temporary storage position. The inner periphery of the biological shield 5 and the bottom region of the concrete structure 6 surrounding the pressure vessel DB are provided with the separation layer 8b, which is preferably applied by remote control using spray lances. The same removal process as that described for the lower part 1.1 of the vessel is preferably also performed for the cover 1.2 of the reactor pressure vessel shown in FIG. 3; that is, the cover 1.2 is set in a hollow concrete form 1.3 by connectors 1.23, sheathed with a supporting sheathing 8 and then crushed. In FIG. 4, a reactor pressure vessel 1 is disposed inside a biological shield 28, which forms a reactor pit (concrete shielding) 16 seen in FIG. 5. A bottom plate 13, which may be made of steel, is disposed on the bottom of the shield 28 below the reactor pressure vessel. The vessel is provided with substitute or replacement supports or braces 14 for wet dismantling or disintegration of the reactor pressure vessel 1. The upper supports or braces (support claws) and all of the parts that discharge into the reactor pressure vessel 1, especially lines 1c shown in FIG. 5, are removed, as are support structures 1f in the upper region of the reactor pressure vessel 1, which are also shown in FIG. 5. The shell or enclosure tube 15 shown in FIG. 4 is then introduced between the reactor pressure vessel 1 and the biological shield 2. The diameter of the enclosure tube 15 is adapted to the diameter of the bottom plate 13 inserted into the reactor pit; the bottom plate can also be in the form of a tank or tub. Once the enclosure tube 15 which is provided with a manhole 15b has been introduced, it is joined tightly to the bottom plate 13 which is built in underneath the reactor pressure vessel 1, such as by means of a welded seam 13a. A flange 15a at the top of the enclosure tube 15 is joined to a flooding pit or well 24 by means of a seal 17. As a result of the flooding of the enclosure tube 15, the outside of the reactor pressure vessel is underwater as well and in this way it can be separated into suitable sections. The sequence of the steps in the dismantling and crushing of the reactor pressure vessel may, for instance, be in the following order (with the fuel assemblies and built-in core parts removed and the reactor and the flooding chamber flooded): (A) lowering of the water level far enough that it is just below the lower edge of a flange 1e of the reactor pressure vessel, as seen in FIG. 5; (B) installation of a seal 1b shown in FIG. 4 on the inside of the coolant inlet and outlet connectors and other auxiliary lines from the inside of the reactor pressure vessel 1 towards the outside; (C) introduction and completion of the bottom plate 13 underneath the reactor pressure vessel 1, installation of the replacement or substitute supports or braces 14 on the bottom of the reactor pit; (D) disassembly of a seal 20 shown in FIG. 5 between the flange of the reactor pressure vessel 1 and the lining of the flooding chamber; (E) severing of all of the parts of the reactor pressure vessel that obstruct the installation of the enclosure tube (such as the upper support structures 1f and the connectors of the lines 1c); (F) severing, for instance by milling or arc sawing while dry, lifting out and removing the non highly-radioactive reactor pressure vessel flange 1e shown in FIG. 5; (G) installation of the enclosure tube 15 seen in FIG. 4; (H) sealing the enclosure tube 15 with the bottom plate 13, for instance by welding; (I) possibly sealing the enclosure tube 15 with the flooding pool 24 by installation of the seal 17; (K) flooding the enclosure tube 15 up to the vicinity of the upper end thereof; and (L) annularly severing wall pieces 18 of the reactor pressure vessel along separation lines 19 and crushing them, as well as thermal insulation 25 that may still be present on the outside of the reactor. The enclosure tube 15 is used as a sealing element with respect to the reactor pit 16 and has the following functions: (a) Holding the water surrounding the reactor pressure vessel. The water is used for shielding the radiation of the reactor pressure vessel, the sections thereof and the biological shield (liner, reinforcing irons), toward the top; (b) Catching secondary waste (contaminants, etc., that are filtered out) which is produced during the separation of the reactor pressure vessel by the separating equipment located in the enclosure tube; (c) Providing a temporary storage location for the reactor pressure vessel sections and as a transfer site; (d) Holding the separation equipment necessary for the dismantling or disintegration of the reactor pressure vessel; (e) Providing water which serves as a coolant for the separating equipment; (f) Avoidance of spreading contamination into the biological shield; and (g) Shielding the radiation of the concrete reactor pit (biological shield) in the course of possible installation of a new reactor pressure vessel. The severing of the inlet and outlet connectors and of the reactor flange and reactor pressure vessel brackets, and the introduction of the enclosure tube 15, are performed from locations that have only a low radiation exposure. Instead of the steel bottom plate 13, a tub or tank-like bottom plate in the form of a lining 21 formed of plastic, such as epoxy resin with a glass-fiber laminate, can be disposed on the bottom of the reactor pit after an enclosure tube 15 with a manhole 15b has been introduced, as seen in FIG. 6. The plastic lining 21 is drawn upward somewhat at the lower end of the enclosure tube 15, to provide suitable sealing. Another possibility is shown in FIG. 7, which shows a boiling water reactor, which is supported by a pedestal frame 22. In this case, after severing all of the parts that would hinder the installation of the enclosure tube 15, the enclosure tube 15 is disposed between the biological shield 28 and the pressure vessel 1. The enclosure tube 15 is joined tightly to the bottom 1d of the reactor pressure vessel 1 by welding or by casting with sealing compound 23, especially a rubber compound. The reactor pressure vessel 1 can then be dismantled mechanically or by use of an arc saw under water, down to the bottom 1d. The bottom 1d itself can be further disassembled while dry, for instance, because the activity is low. This variation is possible wherever the vessel bottom 1d is supported. It is suitable for the connection of the enclosure tube 15 with the reactor pressure vessel 1 to be disposed just above the pressure vessel support or brace (pedestal frame 22). The enclosure tube, which is shortened at the top, can remain in the reactor pit after the installation of a new reactor. |
description | The present invention relates to preparation of samples for transmission electron microscopes and scanning transmission electron microscopes. Electron microscopy provides significantly higher resolution and greater depth of focus than optical microscopy. In a scanning electron microscope (SEM), a primary electron beam is focused to a fine spot that scans the surface to be observed. Secondary electrons are emitted from the surface as it is impacted by the primary beam. The secondary electrons are detected, and an image is formed, with the brightness at each point of the image being determined by the number of secondary electrons detected when the beam impacts a corresponding spot on the surface. In a transmission electron microscope (TEM), a broad beam impacts the sample and electrons that are transmitted through the sample are focused to form an image of the sample. The sample must be sufficiently thin to allow many of the electrons in the primary beam to travel though the sample and exit on the opposite site. Samples are typically less than 100 nm thick. In a scanning transmission electron microscope (STEM), a primary electron beam is focused to a fine spot, and the spot is scanned across the sample surface. Electrons that are transmitted through the work piece are collected by an electron detector on the far side of the sample, and the intensity of each point on the image corresponds to the number of electrons collected as the primary beam impacts a corresponding point on the surface. There are several methods for preparing a thin sample for viewing with a TEM or STEM. Some methods entail extracting a sample without destroying the entire substrate from which the sample is extracted. Other methods require destroying the substrate to extract the sample. One method, described by Anderson et al. in “Combined Tripod Polishing and FIB Method for Preparing Semiconductor Plan View Specimens,” Materials Research Society Proceedings, Vol. 480, pp. 187-192 (1997), cuts a thin strip for the substrate using a diamond saw, mechanically polishes the sample to a specified thickness, and then further thins the sample using a focused ion beam. Another method, described in E. C. G. Kirk et al., “Cross-Sectional Transmission Electron Microscopy of Precisely Selected Regions from Semiconductor Devices,” Inst. Phys. Conf. Ser. No. 100, Section 7, (1989) entails cutting a portion from a substrate using a diamond saw and then using a focused ion beam to produce a thin sample on a part of a substrate portion. U.S. Pat. No. 6,841,788 to Robinson describes using a femtosecond laser to cut through a semiconductor wafer to free a plug or block as thick as the wafer, that is, about 750 μm thick. A thin sample suitable for TEM or STEM viewing is formed in the top of the block. Laser drilled guide holes are cut in the block and used to pick it up. Robinson teaches that by removing the block, one avoids the removal a “fragile member,” that is, the thin sample viewable in a TEM. Although the method of Robinson does not destroy the entire wafer, the hole in the wafer after the plug is removed renders it unsuitable for further processing, because the hole will harbor contaminants. One method that allows a sample to be extracted without destroying the substrate described in U.S. Pat. No. 5,270,552 to Ohnishi et al., which describes using a focused ion beam to free a sample from a substrate and to weld a probe to the sample using ion beam deposition to transport the sample. Herlinger et al., “TEM Sample Preparation Using a Focused Ion Beam and a Probe Manipulator,” Proceedings of the 22nd International Symposium for Testing and Failure Analysis, p. 199-205 (1996) describes using a focused ion beam to free a sample from a substrate, removing the sample from the vacuum chamber, and then moving the sample to a TEM sample holder using a probe to which the sample adheres by electrostatic attraction. Another method include the use of tweezer-like gripper to grasp the sample. All these methods are slow and time consuming. In some extraction methods, such as that described by Ohnishi et al., the extracted sample is a “chunk” that needs to be thinned extensively before it can be viewed on a TEM or STEM. In other embodiment, such as that described in Herlinger et al., the extracted sample is a thin lamella, that requires only minor finishing before TEM viewing. An object of the invention is to provide simple and robust methods to extract TEM or STEM samples from substrates. The samples can then be processed on the probe or placed onto sample holders for a TEM or STEM within a vacuum chamber or outside a vacuum chamber. In one embodiment, a hole is drilled in the sample using a focused ion beam. A probe is inserted into the hole, and the sample remains attached to the probe for transport, processing, or both. Some embodiments allow knowledge of the orientation of the sample with respect to the substrate to be preserved after the sample is removed, so that the sample can be viewed at an appropriate angle or further processed In another embodiment, a sample is removed from a substrate within a vacuum chamber by electrical attraction to a probe, and the sample is placed into a TEM or STEM sample holder in the vacuum chamber, thereby eliminating need to weld the sample to the probe or to the sample holder, and eliminating the requirement to remove the sample from the vacuum chamber for placement in a sample holder. The foregoing has outlined rather broadly the features and technical advantages of the present invention in order that the detailed description of the invention that follows may be better understood. Additional features and advantages of the invention will be described hereinafter. It should be appreciated by those skilled in the art that the conception and specific embodiment disclosed may be readily utilized as a basis for modifying or designing other structures for carrying out the same purposes of the present invention. It should also be realized by those skilled in the art that such equivalent constructions do not depart from the spirit and scope of the invention as set forth in the appended claims. This disclosure relates to novel methods to remove small chunks of material from a substrate. This method could be used for an ex-situ or in-situ lift out of chunks or lamella. FIG. 1 shows a typical ion beam system, focused ion beam (FIB) system 10, suitable for practicing the present invention. FIB system 10 includes an evacuated envelope 11 having an upper neck portion 12 within which are located a liquid metal ion source 14 and a focusing column 16 including extractor electrodes and an electrostatic optical system. Other types of ion sources, such as multicusp or other plasma sources, and other optical columns, such as shaped beam columns, could also be used, as well as electron beam and laser system. An ion beam 18 passes from liquid metal ion source 14 through ion beam focusing column 16 and between electrostatic deflection means schematically indicated at deflection plates 20 toward sample 22, which comprises, for example, a semiconductor device positioned on movable X-Y stage 24 within lower chamber 26. A system controller 19 controls the operations of the various parts of FIB system 10. Through system controller 19, a user can control ion beam 18 to be scanned in a desired manner through commands entered into a conventional user interface (not shown). Alternatively, system controller 19 may control FIB system 10 in accordance with programmed instructions. For example, a user can delineate a region of interest on a display screen using a pointing device, and then the system could automatically perform the steps described below to extract a sample. In some embodiments, FIB system 10 incorporates image recognition software, such as software commercially available from Cognex Corporation, Natick, Massachusetts, to automatically identify regions of interest, and then the system can manually or automatically extract samples in accordance with the invention. For example, the system could automatically locate similar features on semiconductor wafers including multiple devices, and take samples of those features on different (or the same) devices. An ion pump 28 is employed for evacuating upper neck portion 12. The lower chamber 26 is evacuated with turbomolecular and mechanical pumping system 30 under the control of vacuum controller 32. The vacuum system provides within lower chamber 26 a vacuum of between approximately 1×10−7 Torr (1.3×10−7 mbar) and 5×10−4 Torr (6.7×10−4 mbar). If an etch-assisting gas, an etch-retarding gas, or a deposition precursor gas is used, the chamber background pressure may rise, typically to about 1×10−5 Torr (1.3×10−5 mbar). High voltage power supply 34 is connected to liquid metal ion source 14 as well as to appropriate electrodes in ion beam focusing column 16 for forming an approximately 1 keV to 60 keV ion beam 18 and directing the same toward a sample. Deflection controller and amplifier 36, operated in accordance with a prescribed pattern provided by pattern generator 38, is coupled to deflection plates 20 whereby ion beam 18 may be controlled manually or automatically to trace out a corresponding pattern on the upper surface of sample 22. In some systems the deflection plates are placed before the final lens, as is well known in the art. Beam blanking electrodes (no shown) within ion beam focusing column 16 cause ion beam 18 to impact onto blanking aperture (not shown) instead of target 22 when a blanking controller (not shown) applies a blanking voltage to the blanking electrode. The liquid metal ion source 14 typically provides a metal ion beam of gallium. The source typically is capable of being focused into a sub one-tenth micrometer wide beam at sample 22 for either modifying the sample 22 by ion milling, enhanced etch, material deposition, or for the purpose of imaging the sample 22. A charged particle detector 40, such as an Everhart Thornley or multi-channel plate, used for detecting secondary ion or electron emission is connected to a video circuit 42 that supplies drive signals to video monitor 44 and receiving deflection signals from controller 19. The location of charged particle detector 40 within lower chamber 26 can vary in different embodiments. For example, a charged particle detector 40 can be coaxial with the ion beam and include a hole for allowing the ion beam to pass. In other embodiments, secondary particles can be collected through a final lens and then diverted off axis for collection. A scanning electron microscope (SEM) 41, along with its power supply and controls 45, are optionally provided with the FIB system 10. A gas delivery system 46 extends into lower chamber 26 for introducing and directing a gaseous vapor toward sample 22. U.S. Pat. No. 5,851,413 to Casella et al. for “Gas Delivery Systems For Particle Beam Processing,” assigned to the assignee of the present invention, describes a suitable gas delivery system 46. Another gas delivery system is described in U.S. Pat. No. 5,435,850 to Rasmussen for a “Gas Injection System,” also assigned to the assignee of the present invention. For example, iodine can be delivered to enhance etching, or a metal organic compound can be delivered to deposit a metal. A micromanipulator 47, such as the AutoProbe 200™ from Omniprobe, Inc., Dallas Tex., or the Model MM3A from Kleindiek Nanotechnik, Reutlingen, Germany, can precisely move objects within the vacuum chamber. Micromanipulator 47 may comprise precision electric motors 48 positioned outside the vacuum chamber to provide X, Y, Z, and theta control of a portion 49 positioned within the vacuum chamber. The micromanipulator 47 can be fitted with different end effectors for manipulating small objects. In the embodiments described below, the end effector is a thin probe 50 having a tapered end. The thin probe 50 may be electrically connected to system controller 19 to apply an electric charge to the probe 50 to control the attraction between a sample and the probe. A door 60 is opened for inserting sample 22 onto X-Y stage 24, which may be heated or cooled, and also for servicing an internal gas supply reservoir, if one is used. The door is interlocked so that it cannot be opened if the system is under vacuum. The high voltage power supply provides an appropriate acceleration voltage to electrodes in ion beam focusing column focusing 16 for energizing and focusing ion beam 18. When it strikes sample 22, material is sputtered, that is physically ejected, from the sample. Alternatively, ion beam 18 can decompose a precursor gas to deposit a material. Focused ion beam systems are commercially available, for example, from FEI Company, Hillsboro, Oreg., the assignee of the present application. While an example of suitable hardware is provided above, the invention is not limited to being implemented in any particular type of hardware. FIG. 2 describes the steps of a first embodiment of the invention. In step 202, the outline of a sample to be extracted from a substrate is determined. For example, the substrate may be a semiconductor wafer or portion thereof and the portion to be extracted may include a portion of an integrated circuit that is to be observed using a TEM. FIG. 3 shows a portion of a substrate 302 with a sample area 304 indicated by a dashed line. In step 204, a hole is milled in the substrate within the portion that will be extracted as a sample. FIG. 3 shows a hole 306 in sample area 304. The hole should be positioned so that it does not interfere with the portion of the sample to be observed, for example, if a particular cross section of an integrated circuit is to be the target of observation, the hole should not damage that area of the circuit. The hole 306 is preferably sufficiently deep so that when a probe is inserted into the hole, the sample adheres to a probe when the probe is withdrawn from the substrate. In most embodiments, the hole preferably does not extend through the sample. The depth, diameter, and orientation of the hole will vary, therefore, depending on the type of sample to be extracted. For a sample of an integrated circuit being extracted for viewing on a TEM, the hole is typically about 1 or 2 microns in diameter, about 3 μm deep, and may be oriented at a non-normal angle to the sample surface. The walls of the hole will typically have a slight taper as a natural result of the focused ion beam milling process. A desired taper can also be produced by controlling the FIB beam path, for example, by controlling the ion dose so that the portion of the hole near the outer circumference receives less ions than the portion of the hole near its center, so the hole is deeper near its center. In step 206, sample 304 is partially freed from a substrate using a focused ion beam. The sample can be, for example, a “chunk,” that requires shaping and thinning before viewing with an electron microscope, or the sample could be, for example, a thin lamella, which requires little or no processing before viewing with an electron microscope. FIGS. 4 and 5 shows a “chunk” sample 304 freed from substrate 302. Sample 304 can be freed, for example, as described by U.S. Pat. No. 6,570,170 to Moore by directing a focused ion beam from two directions, or as shown in U.S. Pat. No. 5,270,552 to Ohnishi et al. The sample is freed, for example, by undercutting the sample from opposite directions to form two planes that intersect each other and the surface, and then milling the sides of the sample to free it. FIG. 5 is a cross sectional view of sample 304 in substrate 302 after sample 304 has been freed. FIG. 5 shows the angle 502 of the hole 306 with respect to the surface of sample 304. Angle 502 allows the probe to be inserted into the hole in a crowded FIB vacuum chamber, where the probe may not have sufficient clearance for vertical insertion. Inserting the probe at an angle may also provide some additional friction between the probe and the sample, to help keep the sample on the probe as the probe is moved. In optional step 208, the sample is removed from the vacuum chamber of the focused ion beam. In step 210, a probe tip is inserted into the hole 306. FIG. 6 shows a sample 304 with a probe 602 whose tip 604 inserted into the hole 306. Probe tip 604 has a taper that is about the same as or slightly steeper than the taper of hole 306 to ensure sufficiently close contact between probe tip 604 and the interior of hole 306. To maximize contact between the sides of hole 306 and probe tip 604, probe tip 604 preferably does not contact the bottom of hole 306. The taper of probe tip 604 can be created for example, by milling with the focused ion beam. While a round hole 306 and probe tip 604 are shown, other mating shapes can be used for the hole and probe. For example, the hole could have two parallel walls and two tapering walls to form a wedge-shaped point. Mechanical friction and/or electrostatic forces to keep the chunk adhered to the probe tip. Additionally a direct current, alternating current, or radio frequency signal can be applied to the probe to better adhere the tip to substrate. FIGS. 7, 8, and 9 show an embodiment of the invention in which a lamella is cut from the substrate 700, for example, using the method described by Herlinger et al. The ion beam cuts a small rectangular trench 702 on one side of the lamella 704 and a wider rectangular trench 706 on the opposite side of the lamella. The lamella is formed of the material left between the rectangles. A hole 708, similar to hole 306 described above, is milled in the top of the lamella 704. The substrate or the beam is then tilted and the lamella is either entirely or partially freed. The lamella can be partially freed by cutting with the ion beam along most of its perimeter, but leaving tabs attaching the lamella to the substrate on either side at the top. FIG. 8 shows a cross sectional view of a partially freed lamella 704 that remains attached to the substrate by tabs 810. The probe can be inserted into hole 708, and then the tabs 810 can be cut using the focused ion beam. In some embodiments, if the tabs are sufficiently thin and the contact between the probe and the hole sufficiently strong. The tabs can be snapped off mechanically by motion of the probe with the sample attached. Alternatively, the lamella can be entirely freed by cutting along its entire perimeter, without leaving tabs. FIG. 9 shows an embodiment in which the lamella is completely freed from the substrate and remains in trench 702 or trench 706. The probe can be inserted either before or after the lamella is freed. The ion beam can be used with or without an etch-enhancing gas. A focused beam or a shaped beam can be used. The order of the steps can be varied without departing from the scope of the invention. For example, the probe can be attached to the sample before or after the sample is freed from the substrate. The probe can be attached to the sample either in the vacuum chamber or outside of the vacuum chamber. While attached to the probe, the sample can be further processed by the focused ion beam, for example, for thinning. While attached to the probe, the sample could also be viewed in an electron microscope, such as an SEM, TEM, or STEM. Because the hole is milled into the sample at a known angle with respect to the substrate surface (which may be 90°), the orientation of the separated sample with respect to the substrate surface before separate can be readily determined. The sample can therefore be readily maintained in a preferred orientation for further processing or viewing. Alternatively, the sample can be removed from the probe and placed on a TEM sample holder. The sample can be freed from the probe, for example, by neutralizing static charge on the probe to eliminate attraction between the probe and the sample, so that the sample slips from the probe. In some embodiments, a static charge can be provided on the TEM sample holder to attract the sample to the sample holder to provide an additional force to urge the sample from the probe. In other embodiments, the sample can be adhered to the TEM sample holder by ion beam deposition or by an adhesive before or after the probe is removed from the sample. Alternatively, a portion of the probe can remain attached to the sample as described in U.S. Pat. App. Pub. No. 20040251412 of Tappel, which application is assigned to the assignee of the present application. FIGS. 10-16 show an alternative embodiment for TEM sample preparation that does not require a hole to be drilled in the sample. FIG. 10 is a flowchart showing the preferred steps of this embodiment, and FIGS. 11-16 illustrate the steps of FIG. 10. In Step 1002, a thin sample 1102 (FIG. 11) is freed from a substrate 1104 using a focused ion beam or other method. For example, the method described by Herlinger et al. is suitable. FIG. 11 shows the sample 1102 remaining in a cavity 1106 of the substrate 1104, with a probe 1108 positioned above the sample 1102. The substrate 1104 and sample 1102 remain in the vacuum chamber in which the sample was freed from the substrate. In step 1004, a probe 1108 is contacted to the sample 1102, as shown in FIG. 12, and the sample adheres to the probe 1108, preferably using a static electric force. The static charged can be controlled by, for example, adding or neutralizing electric charges using an electron beam, an ion beam, or by electrically biasing the probe by electrically connecting it to source of an electrical potential. After the probe 1108 is attached to the sample 1102, the sample is lifted from the substrate 1104 in step 1006 as shown in FIG. 13. In step 1008, a sample stage is then moved so that a TEM sample holder 1110 is positioned under the probe. Alternatively, the probe can be moved to position it over a TEM sample holder. In step 1010, the probe with the sample attached is then lowered to place the sample in the TEM sample holder as shown in FIG. 14. After the sample 1102 contacts the TEM sample holder 1110 as shown in FIG. 15, the sample is freed from the probe in step 1012, either because of a greater attraction for the TEM sample than for the probe, or by controlling the static electrical charge on the probe, the TEM sample holder or both. For example, the charge can be controlled by an electron beam, an ion beam, by altering the electrical bias on the probe, or by providing a bias or ground path through the TEM sample holder to the sample stage. Gravity assists the sample in falling from the probe after the attractive force between the sample and the probe is reduced or eliminated. After the static force holding the sample to the probe is discharged, or overcome by gravity or a countering force, the sample will leave the probe and remain on the TEM sample holder. FIG. 16 shows the sample freed from the probe 1108 and remaining fixed to sample holder 1110. The embodiment of FIG. 10 provides the advantage that it is unnecessary to weld the sample to the probe, as described in U.S. Pat. No. 5,270,552 and it is therefore unnecessary to cut the sample from the probe using the ion beam. It is also unnecessary to weld the sample to the TEM sample holder as described in U.S. Pat. No. 6,538,254 to Tomimatsu et al. By eliminating one or both welding steps, the extraction from the substrate, positioning on the TEM sample holder, and observation can be performed rapidly without the sample leaving the vacuum chamber. The embodiment of FIGS. 10-16 is particularly useful to provide a planar view of the sample by laying the sample flat in a TEM grid. Techniques such as the flip stage described in U.S. Pat. No. 6,963,068 to Asselbergs et al., may not readily lend itself to rapidly providing a planar TEM or STEM view of a sample. Although the present invention and its advantages have been described in detail, it should be understood that various changes, substitutions and alterations can be made herein without departing from the spirit and scope of the invention as defined by the appended claims. Moreover, the scope of the present application is not intended to be limited to the particular embodiments of the process, machine, manufacture, composition of matter, means, methods and steps described in the specification. As one of ordinary skill in the art will readily appreciate from the disclosure of the present invention, processes, machines, manufacture, compositions of matter, means, methods, or steps, presently existing or later to be developed that perform substantially the same function or achieve substantially the same result as the corresponding embodiments described herein may be utilized according to the present invention. Accordingly, the appended claims are intended to include within their scope such processes, machines, manufacture, compositions of matter, means, methods, or steps. |
|
summary | ||
058825525 | claims | 1. A method for manufacturing new fuel pellets by recycling defective fuel pellets of UO.sub.2 or UO.sub.2 containing an oxide of plutonium, gadolinium or erbium, comprising the following steps (a) heating said defective fuel pellets at a temperature in the range of about 300.degree. C. to about 800.degree. C. in an oxidizing gas to oxidize UO.sub.2 to U.sub.3 O.sub.8 in order to make fuel particles of U.sub.3 O.sub.8 or U.sub.3 O.sub.8 containing an oxide of plutonium, gadolinium or erbium; (b) mixing said fuel particles with fresh fuel powder of UO.sub.2 or UO.sub.2 containing an oxide of plutonium, gadolinium or erbium to form a sintering powder comprising said fresh fuel powder and at least about 20% by weight of said fuel particles; (c) mixing said sintering powder uniformly with a sintering aid, which sintering aid comprises an element selected from the group consisting of aluminum, magnesium, niobium, titanium, vanadium, chromium, lithium, silicon, tin and mixtures thereof, to make a product A; (d) pressing said product A into green pellets of about 40% to about 65% theoretical density; and thereafter (e) sintering said green pellets at a temperature in the range of about 1500.degree. C. to about 1800.degree. C. in a reducing atmosphere to produce new fuel pellets. (a) heating said defective fuel pellets at a temperature of 400.degree. C. under flowing air for 4 hours to to oxidize UO.sub.2 to U.sub.3 O.sub.8 in order to make fuel particles of U.sub.3 O.sub.8 ; (b) passing said fuel particles through a 200 mesh sieve to remove large agglomerates; (c) mixing said fuel particles with fresh fuel powder of UO.sub.2 to form a sintering powder comprising said fresh fuel powder and at least 20% by weight of said fuel power; (d) mixing said sintering powder uniformly with 0.3-0.5%, calculated as niobium, by weight of Nb.sub.2 O.sub.5, based on the weight of said sintering powder to make a product A; (e) pressing said product A into green pellets; and thereafter (f) sintering said green pellets at a temperature of 1680.degree. C. for 4 hours in hydrogen having a dew point of about 20.degree. C. to produce new fuel pellets. (a) heating said defective fuel pellets at a temperature in the range of about 300.degree. C. to about 800.degree. C. in an oxidizing gas to oxidize UO.sub.2 to U.sub.3 O.sub.8 in order to make fuel particles of U.sub.3 O.sub.8 or U.sub.3 O.sub.8 containing an oxide of plutonium, gadolinium or erbium; (b) mixing said fuel particles with fresh fuel powder of UO.sub.2 or UO.sub.2 containing an oxide of plutonium, gadolinium or erbium to form a sintering powder; (c) mixing said sintering powder uniformly with a sintering aid, which sintering aid comprises an element selected from the group consisting of aluminum, magnesium, niobium, titanium, vanadium, chromium, lithium, silicon, tin and mixtures thereof, to make a product A; (d) pressing said product A into green pellets of about 40% to about 65% theoretical density; and thereafter (e) sintering said green pellets at a temperature in the range of about 1500.degree. C. to about 1 800.degree. C. in a reducing atmosphere to produce new fuel pellets. 2. The method of claim 1, wherein said sintering step is carried out for about 1-20 hours. 3. The method of claim 1, wherein said fuel particles are reduced to a lower oxidation state to produce an oxide B before step (b). 4. The method of claim 3, further comprising oxidizing and reducing said oxide B at least once before step (b). 5. The method of claim 1, further comprising screening said fuel particles to remove agglomerates before step (b). 6. The method of claim 5, wherein said screening step is conducted by passing said fuel particles through a 200 mesh sieve. 7. The method of claim 1, wherein said fuel particles are milled before step (b). 8. The method of claim 1, wherein said sintering powder consists of at least 20% by weight of said fuel particles with the remainder being said fresh fuel powder and a grinding sludge. 9. The method of claim 1, wherein said sintering powder is mixed with an amount of said element of about 0.02% to about 2% by weight, based on the weight of said sintering powder. 10. The method of claim 9, wherein said sintering powder is mixed with niobium oxide in an amount, calculated as niobium, of about 0.1% to about 1% by weight, based on the weight of of said sintering powder. 11. The method of claim 9, wherein said sintering powder is mixed with a titanium oxide in an amount, calculated as titanium, of about 0.05% to about 1% by weight, based on the weight of said sintering powder. 12. The method of claim 1, wherein said reducing atmosphere is selected from the group consisting of hydrogen, a mixture of hydrogen and inert gases, a mixture of hydrogen and carbon dioxide, a mixture of hydrogen and carbon monoxide, and mixtures thereof. 13. The method of claim 12, wherein said sintering aid comprises an oxide of aluminum or magnesium and said reducing atmosphere consists of about 5% to about 40% carbon dioxide by volume and the remainder being hydrogen. 14. The method of claim 1, wherein water vapor is added to said reducing atmosphere to control the oxidation potential of said reducing atmosphere. 15. The method of claim 14, wherein water vapor is added to said reducing atmosphere in an amount yielding a reducing atmosphere with a dew point of about 20.degree. C. 16. The method of claim 1, wherein said step (a) comprises heating said defective fuel pellets at a temperature in the range of about 350.degree. C. to about 700.degree. C. in flowing air to make fuel particles of U.sub.3 O.sub.8 or U.sub.3 O.sub.8 containing an oxide of plutonium, gadolinium or erbium. 17. The method of claim 1, wherein the oxidizing gas is selected from the group consisting of air, oxygen, a mixture of air and an inert gas, and a mixture of oxygen and an inert gas. 18. A method for manufacturing new fuel pellets by recycling defective fuel pellets of UO.sub.2, comprising the following steps 19. A method for manufacturing new fuel pellets by recycling defective fuel pellets of UO.sub.2 or UO.sub.2 containing an oxide of plutonium, gadolinium or erbium, consisting essentially of the following steps 20. The method of claim 19, wherein said sintering step is carried out for about 1-20 hours. 21. The method of claim 19, wherein said fuel particles are reduced to a lower oxidation state to produce an oxide B before step (b). 22. The method of claim 21, further consisting essentially of oxidizing and reducing said oxide B at least once before step (b). 23. The method of claim 19, further consisting essentially of screening said fuel particles to remove agglomerates before step (b). 24. The method of claim 19, wherein said fuel particles are milled before step (b). 25. The method of claim 24, wherein said screening step is conducted by passing said fuel particles through a 200 mesh sieve. 26. The method of claim 19, wherein said sintering powder consists of about 10% to about 100% by weight of said fuel particles with the remainder being said fresh fuel powder. 27. The method of claim 19, wherein said sintering powder consists of about 10% to about 100% by weight of said fuel particles with the remainder being said fresh fuel powder and a grinding sludge. 28. The method of claim 19, wherein said sintering powder is mixed with an amount of said element of about 0.02% to about 2% by weight, based on the weight of said sintering powder. 29. The method of claim 28, wherein said sintering powder is mixed with niobium oxide in an amount, calculated as niobium, of about 0.1% to about 1% by weight, based on the weight of of said sintering powder. 30. The method of claim 28, wherein said sintering powder is mixed with a titanium oxide in an amount, calculated as titanium, of about 0.05% to about 1% by weight, based on the weight of said sintering powder. 31. The method of claim 19, wherein said reducing atmosphere is selected from the group consisting of hydrogen, a mixture of hydrogen and inert gases, a mixture of hydrogen and carbon dioxide, a mixture of hydrogen and carbon monoxide, and mixtures thereof. 32. The method of claim 31, wherein said sintering aid comprises an oxide of aluminum or magnesium and said reducing atmosphere consists of about 5% to about 40% carbon dioxide by volume and the remainder being hydrogen. 33. The method of claim 19, wherein water vapor is added to said reducing atmosphere to control the oxidation potential of said reducing atmosphere. 34. The method of claim 33, wherein water vapor is added to said reducing atmosphere in an amount yielding a reducing atmosphere with a dew point of about 20.degree. C. 35. The method of claim 19, wherein said step (a) comprises heating said defective fuel pellets at a temperature in the range of about 350.degree. C. to about 700.degree. C. in flowing air to make fuel particles of U.sub.3 O.sub.8 or U.sub.3 O.sub.8 containing an oxide of plutonium, gadolinium or erbium. 36. The method of claim 19, wherein the oxidizing gas is selected from the group consisting of air, oxygen, a mixture of air and an inert gas, and a mixture of oxygen and an inert gas. |
048790877 | claims | 1. A nuclear power plant comprising: a reactor container incorporating a core; a jet pump disposed in said reactor container and adapted to supply a coolant to said core; a feed water sparger provided in said reactor container; and feed water supplying means for introducing a portion of feed water into said jet pump as driving water and introducing the remaining portion of said feed water into said feed water sparger at a temperature lower than that of said portion of said feed water introduced into said jet pump. a reactor container incorporating a core; a jet pump disposed in said reactor container and adapted to supply a coolant to said core; a feed water sparger provided in said reactor container; a first pipeline for introducing a portion of feed water into said jet pump as driving water; a second pipeline for introducing the remaining portion of said feed water into said feed water sparger; and heating means disposed in said first pipeline and adapted to increase the temperature of said feed water introduced into said jet pump to a level higher than that of said feed water introduced into said feed water sparger. a reactor container incorporating a core; a jet pump disposed in said reactor container and adapted to supply a coolant to said core; a feed water sparger provided in said reactor container; a first pipeline for introducing a portion of feed water into said jet pump as driving water; a second pipeline connected to said first pipeline and adapted to introduce said feed water into said feed water sparger; and a feed water heater disposed in said first pipeline and adapted to increase the temperature of said feed water introduced into said jet pump to a level higher than that of said feed water introduced into said feed water sparger, said second pipeline being installed in said first pipeline upstream of said feed water heater. a first pipeline for introducing as driving water portion of feed water into a jet pump disposed in a reactor container and adapted to supply a coolant to a core; a second pipeline for introducing the remaining portion of said feed water into said feed water sparger; heating means disposed in said first pipeline and adapted to increase the temperature of said feed water introduced into said jet pump to a level higher than that of said feed water introduced into said feed water sparger; a first flow meter for measuring a flow rate of steam discharged from said reactor container; a second flow meter for measuring a flow rate of said feed water introduced through said first pipeline; a third flow meter for measuring a flow rate of said feed water introduced through said second pipeline; a level gauge for measuring the level of said coolant in said reactor container; water level controlling means for outputting a control signal so as to maintain a water level in said reactor container at a predetermined level on the basis of said flow rate of steam measured by said first flow meter, each of said flow rates of feed water measured by said second and third flow meters, and said water level measured by said level meter; and flow-rate adjusting means disposed in said second pipeline and adapted to adjust a flow rate of said feed water flowing through said second pipeline on the basis of said control signal. a first pipeline for introducing as driving water a portion of feed water into a jet pump disposed in a reactor container and adapted to supply a coolant to a core; a second pipeline for introducing the remaining portion of said feed water into said feed water sparger; heating means disposed in said first pipeline and adapted to increase the temperature of said feed water introduced into said jet pump to a level higher than that of said feed water introduced into said feed water sparger; reactor power controlling means for outputting a control signal for controlling a reactor power; and flow rate adjusting means disposed in said first pipeline and adapted to adjust a flow rate of said feed water in said first pipeline on the basis of said control signal. a first pipeline for introducing as driving water a portion of feed water into a jet pump disposed in a reactor container and adapted to supply a coolant to a core; a second pipeline for introducing the remaining portion of said feed water into said feed water sparger; heating means disposed in said first pipeline and adapted to increase the temperature of said feed water introduced into said jet pump to a level higher than that of said feed water introduced into said feed water sparger; reactor power controlling means for outputting a first control signal to control a reactor power; water level controlling means for outputting a second control signal to maintain the water level in said reactor container at a predetermined level; first flow-rate adjusting means provided in said first pipeline and adapted to adjust a flow rate of said feed water in said first pipeline on the basis of said first control signal; second flow-rate adjusting means provided in said second pipeline adapted to adjust a flow rate of said feed water in said second pipeline on the basis of said second control signal; and means for correcting at least one of said first and second control signals when a trip signal is input. a first pipeline for introducing as driving water a portion of feed water into a jet pump disposed in a reactor container and adapted to supply a coolant to a core; a second pipeline for introducing the remaining portion of said feed water into said feed water sparger; heating means disposed in said first pipeline and adapted to increase the temperature of said feed water introduced into said jet pump to a level higher than that of said feed water introduced into said feed water sparger; a first thermometer disposed in said first pipeline; a second thermometer disposed in said second pipeline; a reactor power detector provided in said core; and means for determining on the basis of each of said feed water temperatures measured by said first and second thermometers and a reactor power measured by said reactor power detector whether or not an operating status of said reactor has reached an allowable limit, and for correcting said control signal in such a manner that said operating status does not exceed said allowable limit when said operating status has reached said allowable limit. a reactor container incorporating a core; a jet pump disposed in said reactor container and adapted to supply a coolant to said core; a feed water sparger ]provided in said reactor container; a first pipeline for introducing feed water into said jet pump as driving water; a second pipeline connected to said first pipeline and adapted to introduce said feed water into said feed water sparger; a first feed water heater disposed at a portion of said first pipeline upstream of a connecting point between said first pipeline and said second pipeline; a second feed water heater disposed at a portion of said first pipeline downstream of said connecting point; a turbine driven by steam discharged from said reactor container; a bleeding pipeline provided with a flow-rate adjusting valve and adapted to introduce said steam into said first feed water heater; means for detecting a rotational speed of said turbine; means for extracting from an output of said rotational speed detecting means a component of variation falling within a predetermined period and predetermined amplitude; and means for controlling an amount of opening of said flow-rate adjusting valve on the basis of said component of variation extracted. 2. A nuclear power plant according to claim 1, further comprising controlling means for controlling a temperature difference between said feed water introduced into said jet pump as said driving water and said feed water introduced into said feed water sparger. 3. A nuclear power plant according to claim 1, further comprising a baffle cylinder which is disposed inside said feed water sparger, extends to the side of said jet pump, and is adapted to restrain the mixing of a high-temperature coolant delivered from said core and said feed water delivered from said feed water sparger. 4. A nuclear power plant according to claim 3, wherein a lower end of said baffle cylinder is located in the vicinity of an upper end of said jet pump. 5. A nuclear power plant comprising: 6. A nuclear power plant according to claim 5, further comprising means for controlling an amount of heating by said heating means. 7. A nuclear power plant according to claim 5, further comprising means for introducing steam generated in said reactor into said heating means as a heating medium. 8. A nuclear power plant comprising: 9. A nuclear power plant according to claim 8, further comprising a feed water heater communicated with a connecting portion between said first pipeline and said second pipeline and located upstream of said connecting portion. 10. A nuclear power plant according to claim 8, further comprising means for controlling a temperature difference between said feed water delivered from said feed water heater and said feed water flowing through said second pipeline. 11. A nuclear power plant according to claim 10, wherein said control means is means for controlling an amount of a heating medium supplied to said feed water heater. 12. A nuclear power plant comprising: 13. A nuclear power plant comprising: 14. A nuclear power plant comprising: 15. A nuclear power plant comprising: 16. A nuclear power plant comprising: 17. A nuclear power plant according to claim 16, characterised by further comprising another bleeding pipeline provided with another flow-rate adjusting valve and adapted to introduce said steam into said second feed water heater, first temperature detecting means disposed in said first pipeline, second temperature detecting means disposed in said second pipeline, and means for controlling an amount of opening of said other flow-rate adjusting valve on the basis of a difference between the feed water temperature measured by said first temperature detecting means and the feed water temperature measured by said second temperature detecting means. |
description | This application is a continuation of: (i) international App. No. PCT/US2010/032133 filed Apr. 22, 2010 in the names of Michael D. Slinkard, John M. Maupin, and Scott J. Eastman and entitled “Methods and apparel for attenuating electromagnetic fields emanating from a person,” and (ii) US non-provisional application Ser. No. 12/701,169 filed Feb. 5, 2010 in the names of Michael D. Slinkard, John M. Maupin, and Scott J. Eastman and entitled “Methods and apparel for simultaneously attenuating electromagnetic fields and odors emanating from a person”; each of said applications is hereby incorporated by reference as if fully set forth herein. The field of the present invention relates to methods and apparel for attenuating electromagnetic fields emanating from a person while that person is in a human adversarial situation. In addition to those applications listed above, the subject matter disclosed or claimed herein may be related to subject matter disclosed or claimed in: (i) U.S. non-provisional application Ser. No. 12/549,698 filed Aug. 28, 2009 in the names of Michael D. Slinkard and John M. Maupin and entitled “Methods and apparel for attenuating electromagnetic fields emanating from a person in or on a body of water,” (ii) U.S. non-provisional application Ser. No. 12/428,763 filed Apr. 23, 2009 in the names of Michael D. Slinkard and John M. Maupin and entitled “Methods and apparel for attenuating electromagnetic fields emanating from an animal handler,” (iii) U.S. non-provisional application Ser. No. 12/347,971 filed Dec. 31, 2008 in the names of Michael D. Slinkard and John M. Maupin and entitled “Methods and hunting blind for attenuating electromagnetic fields emanating from a hunter,” and (iv) U.S. non-provisional application Ser. No. 12/347,967 filed Dec. 31, 2008 in the names of Michael D. Slinkard and John M. Maupin and entitled “Methods and apparel for attenuating electromagnetic fields emanating from a hunter”; each of said applications is incorporated by reference as if fully set forth herein. It is known that the human body generates electromagnetic fields during normal body functions, and that those fields can increase in strength with increased activity, excitement, emotion, or attention. For example, brain activity, nerve activity, and muscle activity all result in electric fields that emanate from the body. Detection and characterization of such fields form the basis for the conventional clinical techniques of electrocardiography (i.e., ECG or EKG), electroencephalography (i.e., EEG), and electromyelography (i.e., EMG). For the purposes of the present disclosure or claims, “electromagnetic” is intended to denote those fields that have temporal variations well below so-called optical frequencies (i.e., having frequency components no greater than about 1 gigahertz (GHz), typically no greater than about 1 megahertz (MHz), and often no greater than about 1 kilohertz (kHz). It is also known that at least some animals can detect or respond to electromagnetic fields. For example, sharks detect electric fields emanating from prey by means of special sensing organs called the ampullae of Lorenzini (http://en.wikipedia.org/wiki/Ampullae_of_Lorenzini). A shark-repelling system is disclosed in U.S. Pat. No. 4,211,980 that generates an electric field to drive away the sharks. Other animals are believed to navigate their natural migratory routes using the earth's magnetic field (http://www.pbs.org/wgbh/nova/magnetic/animals.html). Fabrics exist that are adapted to attenuate or block electromagnetic fields. They typically include electrically conductive fibers (e.g., metal, carbon nanotubes, or other conductive fibers) incorporated into the fabric along with more typical textile fibers. Garments constructed from such fabrics are conventionally used to shield a human wearer from surrounding electromagnetic fields. Such shielding can be usefully employed into safety equipment or apparel, can be worn by or applied to a patient to provide various health or therapeutic benefits, or for other purposes. Examples of such fabrics and their uses can be found in the following references, each of which is incorporated by reference as if fully set forth herein: U.S. Pat. No. 7,354,877 entitled “Carbon nanotube fabrics” issued Apr. 8, 2008 to Rosenberger et al; U.S. Pat. No. 6,868,854 entitled “Method and article for treatment of fibromyalgia” issued Mar. 22, 2005 to Kempe; Pat. Pub. No. 2004/0053780 entitled “Method for fabricating nanotube yarn” published Mar. 18, 2004 in the names of Jiang et al; U.S. Pat. No. 6,265,466 entitled “Electromagnetic shielding composite comprising nanotubes” issued Jul. 24, 2001 to Glatkowski et al; U.S. Pat. No. 6,146,351 entitled “Method of reducing delayed onset muscle soreness” issued Nov. 14, 2000 to Kempe; U.S. Pat. No. 5,621,188 entitled “Air permeable electromagnetic shielding medium” issued Apr. 15, 1997 to Lee et al; U.S. Pat. No. 4,825,877 entitled “Method of pain reduction using radiation-shielding textiles” issued May 2, 1989 to Kempe; and U.S. Pat. No. 4,653,473 entitled “Method and article for pain reduction using radiation-shielding textile” issued Mar. 31, 1987 to Kempe. There is no teaching or suggestion in the prior art to attenuate or block electromagnetic fields emanating from a human body while involved in a human adversarial situation, or that such attenuation or blocking would be desirable. A method comprises attenuating, while involved in a human adversarial situation, one's own emanated electromagnetic field at frequencies less than about 1 gigahertz by wearing one or more articles of apparel that include an electromagnetically shielding fabric. Another method comprises (i) providing to a user the one or more articles of apparel that include an electromagnetically shielding fabric, and (ii) instructing the user to wear at least one of the articles of apparel while involved in the human adversarial situation. The shielding fabric comprises a substantially continuous system of conductive fibers combined with a non-conductive fabric and attenuates the emanated electromagnetic field at frequencies less than about 1 gigahertz. Attenuating of the emanated electromagnetic field at frequencies less than about 1 gigahertz decreases the likelihood of that emanated field affecting progress or an outcome of the human adversarial situation. Objects and advantages pertaining to apparel incorporating electromagnetic shielding fabric may become apparent upon referring to the exemplary embodiments illustrated in the drawings and disclosed in the following written description or appended claims. This summary is provided to introduce a selection of concepts in a simplified form that are further described below in the Detailed Description. This Summary is not intended to identify key features or essential features of the claimed subject matter, nor is it intended to be used as an aid in determining the scope of the claimed subject matter. The embodiments shown in the Figures are exemplary, and should not be construed as limiting the scope of the present disclosure or appended claims. Attenuating or blocking electromagnetic fields emanating from a person can be advantageous while involved in an adversarial situation, particularly human adversarial situations in which the human adversary is aware of the person's presence. A human adversary can be affected by emotional responses or the emotional state of a person nearby, e.g., a person's anxiety can alert or cue a human adversary, or a person's fear can trigger an aggressive response from the human adversary. Sensing by a human adversary of a person's emotional state or response might occur in a variety of ways, e.g., by detecting by smell sweat or pheromones released as a result of the person's emotional state or response, or by sensing emotion-related electromagnetic fields resulting from the person's emotional state or responses. Attenuating or blocking electromagnetic fields emanating from the person can advantageously reduce any alerting or cueing of a human adversary arising from an emotional state or an emotional response of the person, and thereby reduce any advantage that might have been gained from that alerting or cueing. An “adversarial situation” shall include any situation in which a person involved vies with a human adversary. The nature of such situations can vary widely, and can include, inter alia: (i) a team or individual athletic contest of any sort (particularly those requiring a high degree of mental concentration); (ii) a mental or verbal contest of any sort (e.g., a debate); (iii) board or card games of any sort; (iv) an interview, debriefing, or interrogation (either participant); (v) law enforcement situations; (vi) military, combat, or tactical situations; (vii) covert operations; or (viii) other adversarial situations involving interaction between a person and a human adversary, particularly those situations in which the human adversary is aware of the person's presence. An exemplary method comprises attenuating, while a person is in an adversarial situation, the electromagnetic field emanated by the person at frequencies less than about gigahertz. The electromagnetic field are attenuated by one or more articles of electromagnetically shielding apparel worn by the person while involved in the adversarial situation. The article of electromagnetically shielding apparel comprises an electromagnetically shielding fabric. The fabric comprises a substantially continuous system of conductive fibers combined with a non-conductive fabric and attenuates electromagnetic fields at frequencies less than about 1 gigahertz. Another exemplary method can include providing one or more articles of electromagnetically shielding apparel to a person and instructing that person to wear at least one of the articles of electromagnetically shielding apparel while involved in an adversarial situation. That method can also include constructing at least one of the articles of electromagnetically shielding apparel prior to providing it to the person. There is no teaching or suggestion in the prior art to attenuate or block electromagnetic fields at frequencies less than about 1 gigahertz emanating from a person while involved in an adversarial situation, or that such attenuation or blocking would be desirable. A person wears the article of electromagnetically shielding apparel while involved in an adversarial situation (e.g., a poker player at the gaming table; a golfer on the course; or a police detective in the interrogation room). Instead or in addition, other people likely to be near the adversarial situation (i.e., spectators or bystanders) can wear articles of electromagnetically shielding apparel; the following description applied to both a person involved in the adversarial situation as well as spectators, bystanders, or other persons nearby (e.g., near enough to affect the persons or adversaries involved in the adversarial situation via emanated electromagnetic fields). By blocking or attenuating electromagnetic fields emanating from a person near a human adversary, the adversary is less likely to sense such fields that arise from an emotional response or state of the person, and is therefore also less likely to react to that emotional state or reaction. In particular, emotional responses or states that might alert or cue the adversary are less likely to be sensed by the adversary. Such emotional states or responses can arise for a variety of reasons, e.g., a person's or bystander's fear of the human adversary, or a person's or bystander's frustration with the adversary's behavior or response (or lack thereof). As illustrated by the examples of FIG. 2, an article of apparel incorporating electromagnetically shielding fabric can comprise an article of clothing (e.g., pants 18, shorts, shirt 16, undergarments, leggings, sleeves, gloves 20, mittens, jacket, coat, vest, overalls, waders, or snowsuit), footwear (e.g., shoes, boots 24, socks 22, or boot liners), headwear (e.g., hood 12, facemask 14, or hat), or eyewear (e.g., glasses or goggles 26). Electromagnetically shielding apparel can be advantageously employed during other activities or in other situations. Attenuating or blocking electromagnetic fields at frequencies less than about 1 gigahertz emanating from a person can also be advantageous while handling an animal. It has been observed frequently that animals can be affected by emotional responses or the emotional state of a person nearby, e.g., a person's anxiety can cause nervous or uneasy behavior of the animal, or a person's fear can trigger an aggressive or attack response from the animal. Sensing by an animal of a person's emotional state or response might occur in a variety of ways, e.g., by detecting by smell pheromones released as a result of the person's emotional state or response, or by sensing emotion-related electromagnetic fields resulting from the person's emotional state or responses. Attenuating or blocking fields emanating from the person can advantageously reduce the effect on the animal of the emotional state or an emotional response of the person. There is no teaching or suggestion in the prior art to attenuate or block electromagnetic fields emanating from an animal handler while handling an animal, or that such attenuation or blocking would be desirable. An exemplary method comprises attenuating, while handling an animal, the electromagnetic field emanated by a handler of the animal. The electromagnetic field is attenuated by one or more articles of apparel worn by the handler while handling the animal. The articles of apparel comprise an electromagnetically shielding fabric, which fabric comprises a substantially continuous system of conductive fibers combined with a non-conductive fabric. Another exemplary method can include providing one or more such articles of electromagnetically shielding apparel to a handler and instructing that handler to wear the articles while handling the animal. That method can also include constructing at least one of the articles of apparel prior to providing it to the handler. “Handling” an animal shall encompass, inter alia: (i) literal handling of the animal by holding or touching the animal; (ii) handling the animal using a rope, chain, leash, muzzle, harness, saddle, reins, yoke, prod, whip, or other equipment; (iii) feeding the animal; (iv) guiding, directing, herding, capturing, or restraining the animal; (v) riding the animal; (vi) using the animal to pull or push a vehicle, object, or equipment of any sort; (vii) using the animal in a performance, display, or demonstration; (viii) training the animal for any purpose, including but not limited to those listed here; (ix) conducting veterinary examination or treatment of the animal; (x) using an animal to train another handler to perform any animal-handling task, including but not limited to those listed here; (xi) using an animal to learn from another handler to perform any animal-handling task, including but not limited to those listed here; and (xii) other activities that involve interaction between a person and an animal. Examples of animals that might be “handled” include but are not limited to: dogs, e.g., owned by the handler or by another, stray, domesticated, show, police or other law enforcement, feral, or wild; cats, e.g., domestic, feral, wild, large predators in the wild or in captivity; zoo, circus, or other exhibited animals; horses, oxen, mules, donkeys, burros, llamas, or other pack or utility animals; cows, pigs, goats, sheep, poultry, or other livestock or herd animals. An animal handler wears the article of electromagnetically shielding apparel while handling the animal. Instead or in addition, other people likely to be near the animal (i.e., bystanders) can wear articles of electromagnetically shielding apparel; for purposes of the present disclosure or appended claims, the terms “handler” and “handling” shall be construed as including both those persons interacting directly with the animal as well as bystanders that might interact with the animal indirectly (e.g., by being near enough to affect the animal via pheromones or emanated electromagnetic fields). By blocking or attenuating electromagnetic fields emanating from a person near the animal, the animal is less likely to sense such fields that arise from an emotional response or state of the person, and is therefore also less likely to react to that emotional state or reaction. In particular, emotional responses or states that might cause undesirable behavior of the animal (e.g., flight or aggression) are less likely to be sensed by the animal. Such emotional states or responses can arise for a variety of reasons, e.g., a handler's or bystander's fear of the animal, a handler's frustration with the animal's behavior or response (or lack thereof) to its training, a handler's frustration or discomfort while being taught how to handle an animal, or an instructor's frustration at a handler trainee's response (or lack thereof) to his/her instruction. In another example, electromagnetic shielding can be incorporated into any suitable apparel worn while the wearer 50 is in or on a body of water 500 (e.g., river, lake, sea, ocean), as in FIGS. 5A-5B. Blocking or attenuating the electromagnetic field 52 emanated at frequencies less than about 1 gigahertz by the person 50 can reduce the likelihood of detection of the wearer 50 by an aquatic or marine water-borne predator 55, e.g., a shark. Without electromagnetically shielding apparel (as in FIG. 5B), the predator 55 might detect the person in the water from a larger distance D2. With electromagnetically shielding apparel (as in FIG. 5A), the predator 55 might only detect the person 50 in the water after approaching more closely (distance D1 that is smaller than distance D2). Shielding of a person's emanated electromagnetic field while in a body of water can be particularly advantageous under conditions of poor underwater visibility, wherein a water-borne predator might rely more heavily on electromagnetic prey detection, and wherein a person would have more difficulty seeing or avoiding a water-borne predator. Electromagnetically shielding apparel can be provided to or worn by, e.g., bathers, waders, swimmers, surfers, boaters, sailors, personal water craft users, wind surfers, para-sailors, para-surfers, snorkelers, or divers (free, scuba, or other) in a river, lake, sea, ocean, or other body of water. Examples of suitable articles of apparel can include, but are not limited to, trunks, shirts, bathing suits, wet suits, dry suits, deck apparel, and so on. Some examples are shown in FIG. 2. Electromagnetically shielding apparel can be included with other water survival gear on a vessel or aircraft, or electromagnetically shielding fabric can be incorporated into conventional survival gear, e.g., a life vest, life raft, or exposure suit. There is no teaching or suggestion in the prior art to attenuate or block electromagnetic fields emanating from a person in or on a body of water, or that such attenuation or blocking would be desirable. The electromagnetically shielding fabric can block or attenuate electric fields, magnetic fields, or both, and any of those alternatives shall fall within the scope of the present disclosure or appended claims. It may be preferable under particular circumstances to preferentially block either electric fields or magnetic fields, and such uses are encompassed by the present disclosure or appended claims. Any suitable fabric can be employed that incorporates conductive fibers of any suitable type to form a substantially continuous electrical conduction network in the fabric. The conduction network 42 can be arranged irregularly (as in the example of FIG. 4A), in a grid-like pattern (as in the example of FIG. 4B), or in any other suitable, desirable, or practicable arrangement. The conductive fibers can be intermingled with non-conductive fibers 44 to form the shielding fabric 40 (in a regular, interwoven arrangement or in an irregular arrangement). Examples of suitable fibers include typical textile fibers, e.g., wool, silk, or other natural polyamide fibers; cotton, rayon, or other cellulosic fibers; or nylon, polyester, Kevlar, or other synthetic fibers. Alternatively, the conductive fibers 42 (regularly or irregularly arranged) can be applied to a surface of a non-conducting fabric 46 to form the shielding fabric 40. In that latter case, the non-conducting fabric can comprise a woven, textile fabric, or can comprise a substantially continuous sheet fabric such as a plastic sheet or polymer film. The conductive fibers can be combined with the non-conducting fabric in any suitable, desirable, or practicable way, including those described above or others not explicitly disclosed herein, and all such combinations shall fall within the scope of the present disclosure or appended claims. Any suitable conductive fibers can be employed that provide sufficient conductivity for providing electromagnetic shielding and that can form fibers suitable for incorporation into a fabric. In various examples disclosed in the incorporated references, the conductive fibers comprise stainless steel, copper, silver, carbon fibers or nanotubes, conductive ceramic, conductive polymer, or conductive nanotubes. Any suitable composition of the electromagnetic shielding fabric can be employed. One suitable example is Farabloc® fabric described in incorporated U.S. Pat. Nos. 4,653,473, 4,825,877, 6,146,351, and 6,868,854. In various examples of such fabrics disclosed in the incorporated references, the fabric includes between about 2% and about 35% by weight of the conductive fibers. Other exemplary fabrics can include greater than about 5%, greater than about 10%, greater than about 15%, greater than about 20%, greater than about 25%, or greater than about 30% by weight of the conductive fibers, while still other exemplary fabrics can include less than about 30%, less than about 25%, less than about 20%, less than about 15%, less than about 10%, or less than about 5% by weight of the conductive fibers. Fabrics having greater than 35% by weight of conductive fibers can be employed if suitable, desirable, or practicable. Higher compositions of conductive fiber typically can provide greater electromagnetic shielding, but might also come at a higher cost or weight, or might yield a fabric with other undesirable properties. Any suitably optimized composition can be used in a given situation. In addition to providing electromagnetic shielding, the article of apparel can also be adapted or arranged to decrease visual or olfactory perception of the wearer by an animal or another person. For example, camouflage clothing, hoods or other headwear, glasses or other eyewear, or hunting blinds are conventionally used to conceal a hunter or wildlife observer from hunted or observed animals. Such visual camouflage causes the hunter to blend in with the surroundings, making him or her less visible to a prey animal. In addition to visual camouflage, hunting apparel or a hunting blind can also include an odor or scent absorber, suppressant, attenuator, or blocker, for attenuating scent or odor arising from the wearer (e.g., sweat, pheromones, or body odor) or from microbial growth in the apparel. Examples of various hunting apparel and hunting blinds incorporating camouflage or odor suppression can be found in the following references, each of which is incorporated by reference as if fully set forth herein: Pat. Pub No. 2007/0226868 entitled “Low-cost disposable odor-reducing hunting clothing” published Oct. 4, 2007 in the name of Hunt; U.S. Pat. No. 7,182,091 entitled “Hunting blind and method of use thereof” issued Feb. 27, 2007 to Maddox; Pat. Pub No. 2006/0147698 entitled “Garments preventing transmission of human body odor” published Jul. 6, 2006 in the names of Carroll et al; and Pat. Pub. No. 2004/0209051 entitled “Camouflage U.S. Marine Corps utility uniform: pattern, fabric, and design” published Oct. 21, 2004 in the names of Santos et al; Pat. Pub No. 2004/0107474 entitled “Odor absorbing article of clothing” published Jun. 10, 2004 in the name of Sesselmann. U.S. Pat. No. 6,694,995 entitled “Rapidly-opening hunting blind” issued Feb. 24, 2004 to Ransom; U.S. Pat. No. 6,632,499 entitled “Hunter camouflage system” issued Oct. 14, 2003 to Marks et al; U.S. Pat. No. 6,539,966 entitled “Removable cover for a hunting blind” issued Apr. 1, 2003 to Raines et al; Pat. Pub No. 2002/0069449 entitled “Hood including three-dimensional covering” published Jun. 13, 2002 in the names of Blutstein et al; U.S. Pat. No. 6,061,828 entitled “Camouflage items and camouflage material thereon” issued May 16, 2000 to Josephs; U.S. Pat. No. 5,767,933 entitled “Camouflage eyewear” issued Jun. 16, 1998 to Hagan; U.S. Pat. No. 5,675,838 entitled “Camouflage clothing” issued Oct. 14, 1997 to Hollinger; U.S. Pat. No. 5,521,655 entitled “Camouflage eyewear” issued May 28, 1996 to Rhoad; U.S. Pat. No. Des. 350,399 entitled “Hunting blind” issued Sep. 6, 1994 to Bodrie; U.S. Pat. No. Des. 337,366 entitled “Hunting blind” issued Jul. 13, 1993 to Baker; and U.S. Pat. No. 5,203,033 entitled “Camouflaged garment” issued Apr. 20, 1993 to Sheppard et al. Visual camouflage and/or scent/odor reduction can serve to reduce the ability of an animal (predator or prey) or another person to perceive the presence of the wearer, by sight or scent, respectively, and can be incorporated into electromagnetically shielding apparel. Scent/odor reduction, in combination with electromagnetic shielding, can also advantageously (i) reduce the effect on an animal of the emotional state or emotional response of the wearer, (ii) reduce the likelihood of detection of the wearer by an aquatic or marine water-borne predator, or (iii) reduce any alerting or cueing of a human adversary arising from an emotional state or an emotional response of the wearer. Exemplary articles of electromagnetically shielding apparel 30 can include a visual camouflage pattern on at least a portion of its outer surface (as in FIGS. 3A and 3B). Many examples of such visual camouflage are known, and some examples are disclosed in various of the incorporated references. Any suitable visual camouflage pattern, including both two- and three-dimensional patterns, shall fall within the scope of the present disclosure or claims. In another example, the article of electromagnetically shielding apparel can include an odor or scent absorber, suppressant, attenuator, or blocker. Some examples of these are disclosed in various of the incorporated references. Any suitable scent/odor absorber, suppressant, attenuator, or blocker shall fall within the scope of the present disclosure or claims, including those that act by absorbing or masking the scent/odor or by inhibiting microbial growth. By combining electromagnetic shielding with visual camouflage and/or scent/odor control, the overall likelihood that the user's emanated electromagnetic field or emanated scent/odor will affecting progress or an outcome of a given situation (including those disclosed herein) can be reduced. Conductive fibers can be employed that also attenuate a scent or odor emanating from the wearer of the apparel. Conductive fibers can be employed that attenuate the scent or odor by at least partly absorbing or masking it or by inhibiting microbial growth in the apparel. Attenuating scent or odor emanating from the wearer decreases the likelihood of such emanated scent or odor affecting progress or the outcome of the given situation in which the wearer is involved (including those variously described herein). Apparel disclosed herein that attenuates both electromagnetic fields and scent or odor emanating from a wearer can be generally referred to as “attenuating apparel,” and that phrase shall be understood to encompass apparel constructed from fabric that incorporates conductive fibers that also attenuate scent or odor. To provide apparel that attenuates a wearer's emanated scent or odor in addition to attenuating the wearer's emanated electromagnetic field, conducting fibers can be employed that provide both of those functions. In one example, copper or silver conductive fibers can be employed to attenuate emanated electromagnetic fields (due to their conductivity) and to attenuate emanated scent or odor (due to their observed antimicrobial properties). Silver or copper conductive fibers can be incorporated in to the apparel in any suitable fabric type at any suitable composition. In another example, conductive carbon fibers can be employed to attenuate both emanated electromagnetic fields and emanated scent or odor. It has been observed that conductive carbon fibers incorporated into a shielding fabric appear to absorb at least a portion of emanated scent or odor. Multifilament carbon fiber yarn has been observed to attenuate scent or odor more effectively than monofilament yarn. An exemplary fabric for constructing apparel can comprise a 20 denier, three filament carbon fiber yarn twisted with 50 denier polyester yarn and knitted or woven into a textile fabric. Any suitable yarn type or density can be employed. In addition to the situations already described (animal handling, in or on a body of water, or an adversarial situation), attenuating apparel can be advantageously employed to attenuate, while hunting, the electromagnetic field emanated at frequencies less than about 1 gigahertz by a hunter and a scent or odor emanated by the hunter. Hereafter the term “hunter” shall include a hunter or an observer of wildlife (unless the particular context makes it clear that that equivalence would not apply), and “hunting” shall include hunting or observing (such as by photographing, filming, recording, or merely viewing or listening to the animal). The electromagnetic field and scent/odor can be attenuated by one or more articles of apparel worn by the hunter while hunting. Another exemplary method comprises attenuating, while hunting, the electromagnetic field and scent/odor emanated by a hunter, using attenuating apparel incorporating conductive fibers that also attenuate scent/odor. Another exemplary method can include providing at least one such article of attenuating apparel to a hunter and instructing that hunter to wear the article while hunting. That method can also include constructing at least one said article of apparel prior to providing it to the hunter. The hunter wears the article of attenuating apparel while hunting. The attenuating fabric blocks or attenuates an electromagnetic field and a scent/odor emanating from the hunter, thereby decreasing the likelihood that he or she will be detected by an animal sensitive to such electromagnetic fields or scents/odors. An electromagnetic field 12 emanated by a hunter 10 and thus attenuated can be detected by an animal 14 at a maximum distance D1 (FIG. 1A) that is smaller than the maximum detection distance D2 at which an unattenuated field 12 (FIG. 1B) can be detected by that same animal 14. Detection of the scent/odor by the animal can be similarly described. The hunter 10 can therefore approach the animal 14 more closely without detection, facilitating the kill or observation. In measurements of electromagnetic fields emanating from a human body, reductions of field strength ranging from about 38% to about 65% have been observed, as illustrated in the case studies and the Appendix attached to several of the applications incorporated above. Any suitable, desirable, or practicable reduction of emanated electromagnetic field strength shall fall within the scope of the present disclosure or appended claims. The article of attenuating apparel comprises an attenuating fabric incorporating conductive fibers that also attenuate of block the hunter's scent or odor. Such attenuation of emanated electromagnetic field and scent/odor can enable the hunter to approach more closely (without detection) an animal in its habitat, thereby increasing the likelihood of a successful kill or observation. Fabric incorporating fibers that attenuate both electromagnetic fields and scent/odor can also (or instead) be employed in a hunting blind, in which a hunter or observer can remain stationary and wait for an animal to approach his/her position. It is possible in some instances of hunting that a human hunter might become the prey of a predatory animal, either the animal he is hunting or another animal in the same habitat. In those circumstances, the attenuating apparel can reduce the likelihood that the predatory animal will locate the human hunter by detecting the electromagnetic field or scent/odor emanated by the hunter. There is no teaching or suggestion in the prior art to attenuate or block (using such fabrics) electromagnetic fields and scent/odor emanating from a hunter while hunting or an observer while observing wildlife, or that attenuation or blocking using such fabrics would be desirable. There is no teaching or suggestion in the prior art to incorporate attenuating fabric (incorporating conductive fibers that also attenuate scent/odor) into hunting apparel or a hunting blind, or that the incorporation of such fabrics would be desirable. Any other use of electromagnetically shielding clothing (with or without odor/scent attenuation), in a situation wherein blocking or attenuating the wearer's emanated electromagnetic field may be advantageous, shall fall within the scope of the present disclosure, whether that situation involves an animal or not. It is intended that equivalents of the disclosed exemplary embodiments and methods shall fall within the scope of the present disclosure or appended claims. It is intended that the disclosed exemplary embodiments and methods, and equivalents thereof, may be modified while remaining within the scope of the present disclosure or appended claims. In the foregoing Detailed Description, various features may be grouped together in several exemplary embodiments for the purpose of streamlining the disclosure. This method of disclosure is not to be interpreted as reflecting an intention that any claimed embodiment requires more features than are expressly recited in the corresponding claim. Rather, as the appended claims reflect, inventive subject matter may lie in less than all features of a single disclosed exemplary embodiment. Thus, the appended claims are hereby incorporated into the Detailed Description, with each claim standing on its own as a separate disclosed embodiment. However, the present disclosure shall also be construed as implicitly disclosing any embodiment having any suitable set of one or more disclosed or claimed features (i.e., sets of features that are not incompatible or mutually exclusive) that appear in the present disclosure (including incorporated applications) or the appended claims, including those sets that may not be explicitly disclosed herein. It should be further noted that the scope of the appended claims do not necessarily encompass the whole of the subject matter disclosed herein. For purposes of the present disclosure and appended claims, the conjunction “or” is to be construed inclusively (e.g., “a dog or a cat” would be interpreted as “a dog, or a cat, or both”; e.g., “a dog, a cat, or a mouse” would be interpreted as “a dog, or a cat, or a mouse, or any two, or all three”), unless: (i) it is explicitly stated otherwise, e.g., by use of “either . . . or,” “only one of,” or similar language; or (ii) two or more of the listed alternatives are mutually exclusive within the particular context, in which case “or” would encompass only those combinations involving non-mutually-exclusive alternatives. For purposes of the present disclosure or appended claims, the words “comprising,” “including,” “having,” and variants thereof, wherever they appear, shall be construed as open ended terminology, with the same meaning as if the phrase “at least” were appended after each instance thereof. In the appended claims, if the provisions of 35 USC §112 ¶6 are desired to be invoked in an apparatus claim, then the word “means” will appear in that apparatus claim. If those provisions are desired to be invoked in a method claim, the words “a step for” will appear in that method claim. Conversely, if the words “means” or “a step for” do not appear in a claim, then the provisions of 35 USC §112 ¶6 are not intended to be invoked for that claim. The Abstract is provided as required as an aid to those searching for specific subject matter within the patent literature. However, the Abstract is not intended to imply that any elements, features, or limitations recited therein are necessarily encompassed by any particular claim. The scope of subject matter encompassed by each claim shall be determined by the recitation of only that claim. |
|
description | This application claims the benefit of U.S. Provisional Applications Ser. Nos. 61/545,253 and 61/545,262, both filed Oct. 10, 2011, which provisional applications are incorporated herein by reference. This disclosure relates to scintillator materials used for detecting ionizing radiation, such as X-rays, gamma rays and thermal neutron radiation, in security, medical imaging, particle physics and other applications. This disclosure relates particularly to metal halide scintillator materials. Certain arrangements also relate to specific compositions of such scintillator material, method of making the same and devices with such scintillator materials as components. Scintillator materials, which emit light pulses in response to impinging radiation, such as X-rays, gamma rays and thermal neutron radiation, are used in detectors that have a wide range of applications in medical imaging, particle physics, geological exploration, security and other related areas. Considerations in selecting scintillator materials typically include, but are not limited to, luminosity, decay time, emission wavelengths, and stability of the scintillation material in the intended environment. While a variety of scintillator materials have been made, there is a continuous need for superior scintillator materials. The present disclosure relates generally to metal halide scintillator materials and method of making such scintillator materials. In one arrangement, a scintillator material comprises a metal halide with one or more additional group-13 elements. An example of such a compound is Ce:LaBr3 with thallium (Tl) added, either as a codopant or in a stoichiometric admixture and/or solid solution between LaBr3 and TlBr. A further aspect of the present disclosure relates to a method of making chloride scintillator materials of the above-mentioned compositions. In one example, high-purity starting halides (such as LaBr3, TlBr and CeBr3) are mixed and melted to synthesize a compound of the desired composition of the scintillator material. A single crystal of the scintillator material is then grown from the synthesized compound by the Bridgman method (or Vertical Gradient Freeze (VGF) method), in which a sealed ampoule containing the synthesized compound is transported from a hot zone to a cold zone through a controlled temperature gradient at a controlled speed to form a single-crystalline scintillator from molten synthesized compound. Another aspect of the present disclosure relates to a method of using a detector comprising one of the scintillation materials described above for imaging. Metal halides are scintillation compositions commonly known from their good energy resolution and relatively high light output. One significant disadvantage of these materials, however, is their high solubility in water. This high solubility, or hygroscopicity is one of the main reasons that slow down the process of commercialization of these compounds. Crystal growth processes, following a multistage purification, zone refining and drying all require very well controlled atmosphere with depleted content of water and oxygen. Moreover, handling and post-growth processing of these materials typically must be performed in an ultra-dry environment to avoid degradation of the materials. Additionally, these materials typically can be used only in hermetically sealed packaging that prevents materials from degradation due to the hydration effects. Such stringent conditions for making and using metal halide scintillation materials present a significant barrier to commercial application of these materials. Therefore, it is highly desirable to improve or develop new scintillator materials with significantly lower hygroscopicity. This disclosure relates to new compositions of metal halide scintillator substance, in particular rare earth metal halides scintillator materials, for gamma and neutron detection with reduced hygroscopicity. The disclosure includes, but is not being limited to, the following families of metal halides compositions described by general chemical formulas:A′(1-x)B′xCa(1-y)EuyC′3 (1),A′3(1-x)B′3xM′Br6(1-y)Cl6y (2),A′(1-x)B′xM′2Br7(1-y)Cl7y (3),A′(1-x)B′xM″1-yEuyI3 (4),A′3(1-x)B′3xM″1-yEuyI5 (5),A′(1-x)B′xM″2(1-y)Eu2yI5 (6),A′3(1-x)B′3xM′Cl6 (7),A′(1-x)B′xM′2Cl7 (8), andM′(1-x)B′xC′3 (9),where: A′=Li, Na, K, Rb, Cs or any combination thereof, B′=B, Al, Ga, In, Tl or any combination thereof, C′=Cl, Br, I or any combination thereof, M′ consist of Ce, Sc, V, La, Lu, Gd, Pr, Tb, Yb, Nd or any combination of them, M″ consists of Sr, Ca, Ba or any combination of thereof, x is included within the range: 0≤x≤1, and y is included within the range: 0≤y≤1. The physical forms of the scintillator substance include, but are not limited to, crystal, polycrystalline, ceramic, powder or any of composite forms of the material. A reduction in the hygroscopicity is achieved by co-doping and/or changes in the stoichiometry of a scintillator substance. These changes may be achieved by stoichiometric admixture and/or solid solution of compounds containing elements from group-13 periodic table. These elements are: B, Al, Ga, In, Tl and any combinations of them. One way of the implementation of this innovation is a codoping with group-13 of elements in concentrations that does not alternate significantly the symmetry of the crystal lattice of the scintillator of choice. Another way includes a complete modification of the crystal structure of the scintillator composition by stoichiometric change or solid solution of scintillator compounds and other compounds containing at least one of group-13 elements. In these cases, new scintillator materials are created with significantly reduced hygroscopicity. In a particular, non-limiting, example, thallium (Tl) is introduced into the crystallographic lattice of LaBr3 compound (formula 9). In this specific example, a strong Tl—Br covalent bond (as opposed to ionic bond in LaBr3) is created that significantly reduces the reactivity of the compound with water. In the higher concentration of Tl it is possible to create scintillator materials with altered crystallographic lattice. That includes also a stoichiometry change in the crystal itself. The strength of Tl—Br bond is demonstrated in TlBr compound that is known from significantly lower hygroscopicity in comparison to the other metal halides. The expected changes in solubility can be explained based on the HSAB concept, explained in more detail below. Moreover, introduction of the elements from group-13 into the crystal structure of metal halides often improves scintillation characteristics of these materials. Addition of Tl as a codopant or stoichiometric admixture to certain compositions of metal halides creates very efficient scintillation centers. These centers contribute to the scintillation light output. In addition, using compounds of group-13 elements can favorably increase the density of the material. Improvement in the density is particularly important in radiation detection applications. The new scintillator materials have applications in Positron Emission Tomography (PET), Single Photon Emission Computed Tomography (SPECT), Computerized Tomography (CT), and other applications used in homeland security and well logging industry. This disclosure also relates to the method of growing scintillator that includes crystallization of the melted or dissolved scintillator compounds under controlled environment. The changes in solubility of new metal halides scintillators disclosed herein may be understood based on HSAB concept. The HSAB is an acronym for “Hard and Soft Acids and Bases” known also, as the Pearson acid-base concept. This concept attempts to unify inorganic and organic reaction chemistry and can be used to explain in qualitative rather than quantitative way the stability of compounds, reaction mechanisms and pathways. The concept assigns the terms ‘hard’ or ‘soft’, and ‘acid’ or ‘base’ to variety of chemical species. ‘Hard’ applies to species which are small based on their Ionic radii, have high charge states (the charge criterion applies mainly to acids, to a lesser extent to bases), and are weakly polarizable. ‘Soft’ applies to species which are big, have low charge states and are strongly polarizable. Polarizable species can form covalent bonds, whereas non-polarizable form ionic bonds. See, for example, (1) Jolly, W. L., Modern Inorganic Chemistry, New York: McGraw-Hill (1984); and (2) E.-C. Koch, Acid-Base Interactions in Energetic Materials: I. The Hard and Soft Acids and Bases (HSAB) Principle-Insights to Reactivity and Sensitivity of Energetic Materials, Prop., Expl., Pyrotech. 30 2005, 5. Both of the references are incorporated herein by reference. In the context of this disclosure the HSAB theory helps in understanding the predominant factors which drive chemical properties and reactions. In this case, the qualitative factor is solubility in water. On the one hand, water is a hard acid and hard base combination, so it is compatible with hard acid and bases. Thallium bromide is, on another hand, a soft acid and soft base combination, so it is not soluble in water. According to the HSAB theory, soft acids react faster and form stronger bonds with soft bases, whereas hard acids react faster and form stronger bonds with hard bases, all other factors being equal. Hard acids and hard bases tend to have the following characteristics: small atomic/ionic radius high oxidation state low polarlzabllity high electronegativity (bases) Examples of hard acids include: H+, light alkali ions (for example, Li through K all have small ionic radius), Ti4+, Cr3+, Cr6+, BF3. Examples of hard bases are: OH−, F−, Cl−, NH3, CH3COO− and CO32−. The affinity of hard acids and hard bases for each other is mainly ionic in nature. Soft acids and soft bases tend to have the following characteristics: large atomic/ionic radius low or zero oxidation state high polarizability low electronegativity Examples of soft acids are: CH3Hg+, Pt2+, Pd2+, Ag+, Au+, Hg2+, Hg22+, Cd2+, BH3 and group-13 in +1 oxidation state. Examples of soft bases include: H−, R3P, SCN− and I−. The affinity of soft acids and bases for each other is mainly covalent in nature. There are also borderline cases identified as borderline acids for example: trimethylborane, sulfur dioxide and ferrous Fe2+, cobalt Co2+, cesium Cs+ and lead Pb2+ cations, and borderline bases such as bromine, nitrate and sulfate anions. Generally speaking, acids and bases interact and the most stable interactions are hard-hard (ionogenic character) and soft-soft (covalent character). In the specific case presented as an example compounds such as LaBr3 and TlBr have the following elements to consider following reaction with water: La+3, Br−, Tl+, H+, OH−. La+3: This is a strong acid. High positive charge (+3) small ionic radius. Br−: This is a soft base. Large ionic radius small charge (−1). Tl+: This is a soft acid. Low charge and large ionic radius. H+: This is a hard acid. Low ionic radius and high charge density. OH−: This is a hard base. Low charge, small ionic radius. Thus the reaction of LaBr3 and water takes place in according to the following scheme:[La+3, Br−]+[H+, OH−]→[La+3, OH−]+[H+, Br]. The left hand side of the equation has two components that are being mixed. The right hand side represents products after mixing. One can see that the strong acid La+3 with the strong base OH−, are joined together because it makes a strong acid and base combination. The Br− is driven from the La+3 and thus it is complexed with H+, forming hydrobromic acid. The reaction of TlBr with water following the scheme:[Tl+, Br−]+[H+, OH−]→[Tl+, Br−]+[H+, OH−]. In this case, Tl+ and Br− are favored because they are a combination of soft-soft acid and base. While the H+ and OH− are hard acid and base combination. The TlBr is a covalent compound and will dissolve in covalent solvents. Therefore, in the case of LaBr3, the hard acid La+3 “seeks” out OH−, resulting in a high reactivity in water. In contrast, TlBr (soft-soft) does not “seek” water (and vice versa). The result is a low degree of interaction, including solubility with water. In the examples given above in this disclosure, the addition of TlBr as a co-dopant or in stoichiometric amounts reduces the hygroscopicity of the LaBr3. A further aspect of the present disclosure relates to a method of making scintillator materials of the above-mentioned compositions. In one example, high-purity starting compounds (such as LaBr3 and TlBr) are mixed and melted to synthesize a compound of the desired composition of the scintillator material. A single crystal of the scintillator material is then grown from the synthesized compound by the Bridgman method (or Vertical Gradient Freeze (VGF) method), in which a sealed ampoule containing the synthesized compound is transported from a hot zone to a cold zone through a controlled temperature gradient at a controlled speed to form a single-crystalline scintillator from molten synthesized compound. Thus, metal halide scintillation materials with improved moisture resistance, density and/or light output can be made with the addition of group-13 elements such as Tl. Because many embodiments of the invention can be made without departing from the spirit and scope of the invention, the invention resides in the claims hereinafter appended. |
|
description | This application claims priority to U.S. provisional application entitled, “Container and Method for Storing Spent Nuclear Fuel”, having Ser. No. 60/535,884, filed Jan. 12, 2004, and U.S. provisional application entitled “Storage Container for Storing Spent Nuclear Fuel,” having Ser. No. 60/510,385, filed Oct. 10, 2003, which is entirely incorporated herein by reference. The present invention relates to containers for storing or transporting spent nuclear fuel. Typically, spent nuclear fuel discharged from fission reactors is stored in deep pools filled with water to dissipate heat and to attenuate the gamma and neutron radiation generated by the fuel. This is called a wet storage system. An alternative method to storing the spent nuclear fuel is a dry storage system that uses a horizontal or vertical configuration having either a heavy wall protected vessel referred to as a cask or over-pack, or a thin walled vessel called a canister. Dry storage systems can also be used to transport spent fuel between storage locations. For dry storage system, the canister can be separately placed into the cask or over-pack. The structure that provides support for the spent nuclear fuel for dry storage and transportation systems is referred to as a fuel basket. The fuel baskets are designed to meet the compressive loads criteria contained within regulations, codes, and standards, particularly conditions for storing and transporting nuclear spent fuel. Dry storage and transportation basket designs include a tube and disk flux trap configuration, an egg crate interlocking plate configuration, a developed cell configuration and a stacked tube configuration. Disclosed are apparatus and methods for making a container that stores spent nuclear fuel. In one embodiment, among others, a container for storing spent nuclear fuel comprises a plurality of elongated tubes that receives spent nuclear fuel rods. Each tube has four sidewalls and four corners defining a rectangular cross section. The plurality of tubes is arranged in an alternating pattern. An attachment means attaches together the plurality of tubes at the corners so that two adjacent sidewalls of adjacent tubes are substantially aligned. In another embodiment, a container for storing spent nuclear fuel comprises a plurality of tubes, a plurality of first rods, and one or more pins. The plurality of tubes receives spent nuclear fuel assemblies. The plurality of first rods has openings and is mounted on the plurality of tubes. The first rods are aligned so that one or more pins extend through the openings of the first rods linking the tubes together. In another embodiment, a container for storing or transporting spent nuclear fuel comprises a plurality of tubes and a plurality of first rods. The plurality of tubes receives nuclear spent fuel assemblies and the tubes are adjacent to each other. The plurality of tubes has a plurality of recesses that receives the plurality of first rods. Some of the plurality of first rods mounted in the recesses of the adjacent tubes are attached to others of the plurality of first rods linking the tubes together. In another embodiment, a container for storing spent nuclear fuel comprises a plurality of elongated tubes and a plurality of first rods. The plurality of elongated tubes receives spent nuclear fuel rods. Each tube has four sidewalls and four corners defining a rectangular cross section. The plurality of tubes is arranged in an alternating pattern. The tubes have a plurality of recesses and a plurality of flat bearing surfaces along at least one corner of the tubes. The plurality of first rods is mounted in the plurality of recesses at the corners of the plurality of the tubes. Some of the plurality of first rods mounted on the adjacent tubes are attached to others of the plurality of first rods linking the tubes together. The plurality of the tubes is linked at the corners such that the plurality of flat bearing surfaces of the adjacent tubes engages each other and the two adjacent sidewalls of the adjacent tubes are aligned. The invention can also be construed as providing an attachment mechanism for a tube assembly that stores or transport spent nuclear fuel. The attachment mechanism includes one or more pins and a plurality of first rods being mounted on a plurality of tubes. The first rods have openings and are aligned so that the one or more pins extend through the openings of the plurality of first rods. Further, the invention can be construed as providing a method of making a container for storing or transporting spent nuclear fuel. Such a method can be broadly summarized by the following steps: forming one or more recesses on a plurality of tubes, mounting a plurality of first rods in the recesses of the plurality of tubes, placing the plurality of tubes adjacent to each other, aligning the plurality of first rods, and inserting one or more pins through the aligned openings of the plurality of first rods to link the plurality of tubes. Other apparatus, methods, features, and advantages of the present disclosure will be apparent to one skilled in the art upon examination of the following drawings and detailed description. It is intended that all such additional apparatus, methods, features, and advantages be included within this description and be protected by the accompanying claims. Disclosed are apparatus and methods for a container that stores or transport spent nuclear fuel. The apparatus and methods can be used in both the wet and dry storage or transportation systems. In particular, the spent nuclear fuel is stored in a container that includes a plurality of tubes being adjacent to each other. Exemplary containers are discussed with reference to the figures. Although the exemplary containers are described in detail, they are provided for purposes of illustration only and various modifications are feasible. After the exemplary containers have been described, examples of operation are provided to explain the manner in which the containers are made. Referring now in more detail to the figures in which like reference numerals identify corresponding parts, FIG. 1 is a perspective view of an embodiment of a container 10 with a tube assembly inside the container 10 that stores spent nuclear fuel. As indicated in this figure, the container 10 includes a plurality of elongated tubes 2, 4, 6, 7 that links together to form a tube assembly. The elongated tubes 2, 4, 6, 7 include four sidewalls and four corners that can be arranged to form a square-like or rectangular-like cross section. In alternative embodiments, the tubes can be arranged in other geometric shapes, e.g., circle, triangle, heptagon, hexagon and octagon. The tubes are mounted with first rods (not shown) or second rods (not shown) or both. Preferably, the first rods are cylindrical and have openings that are located about the center diameter and along the length of the first rods. The second rods are cylindrical and have no openings. The first rods enable the tubes 2, 4, 6, 7 to be linked together. The first rods and the second rods facilitate horizontal design load transfer through the tube assembly and provide structural stability during the tube assembling and handling activities. In an alternative embodiment, the first rods and second rods can be in other geometric shapes, e.g., triangle, hexagon, and octagon. The first rods and second rods are further discussed with reference to FIGS. 2-7. Referring to FIG. 1, the tubes are referenced differently because the tubes 2 are mounted with the first rods and second rods at the four corners of the tubes 2. The tubes 4 are mounted with the first rods at the corners of the tubes 4 but are not mounted with the second rods. The tubes 6 are mounted with the first rods and second rods at the three corners that are adjacent to the tubes 4, 7. The fourth corners of the tubes 6 that are adjacent to the wall of the container 10 are not mounted with the first rods and second rods. The tubes 7 are mounted with the first rods and second rods at the two corners that are adjacent to tubes 2, 6. The other two corners of the tubes 7 are not mounted with the first rods and second rods. The first and second rods are preferred to be mounted in recesses at the corners of one tube and engage the recesses of the adjacent tube. The recesses and rods are further discussed with reference to FIGS. 5-7. Referring to FIG. 1, the tubes 2, 4, 6, 7 are linked at the corners of the tubes in alternating patterns to form a developed cell 5. Alternatively, the tubes 2, 4, 6, 7 can be linked in series along a row or column wherein the sidewalls of the tubes 2, 4, 6, 7 are adjacent to each other. Alternatively, if the tubes are in another geometric shape, e.g., a hexagon, the tubes can be linked to form a honeycomb-shaped tube assembly wherein the sidewalls of the tubes are adjacent to each other. FIG. 2 is a side view of the tube 2 shown in FIG. 1. The tube 2 includes first rods 8, 12, 16, 18. It should be noted that the tube 2 has four more first rods on the other side of the tube 2 that are not shown. Thus, the tube 2 includes four first rods adjacent to the top corners of the tube 2 and four first rods adjacent to the bottom corners of the tube 2. The tube 2 further includes at least one second rod 14 between the top and bottom corners of the tube 2. For example, sixteen second rods 14 are mounted along the two corners of the tube 2 shown in FIG. 2. The two corners of the tube 2 not shown in FIG. 2 can be mounted with second rods 14, sixteen in this example. The first and second rods are preferred to be mounted in the recesses at the corners of the tube 2 and engage the recesses at the corners of the adjacent tubes 4, 7. FIG. 3 is a side view of the tube 4 shown in FIG. 1. The tube 4 includes first rods 20, 22, 24, 26. It should be noted that the tube 4 has four more first rods on the other side of the tube 4 that are not shown. Thus, the tube 4 includes four first rods adjacent to the top corners of the tube 4 and four first rods adjacent to the bottom corners of the tube 4. The tube 4 does not include any second rods between the top and bottom corners of the tube 4. The first rods are preferably mounted in the recesses adjacent to the top and bottom corners of the tube 4. The recesses along the corners of the tube 4 engage the first and second rods mounted on the adjacent tubes 2, 6. The tubes 6, 7 are not shown in the FIGS. 2 and 3. However, it should be noted that the tube 6 includes first rods at the three corners adjacent to the tubes 4, 7. The tube 6 includes three first rods adjacent to the top corners of the tube 6 and three first rods adjacent to the bottom corners of the tube 6. The tube 6 further includes second rods 28 (shown in FIG. 4) along the three corners of the tube 6. The fourth corner of the tube 6 that is adjacent to the wall of the container 10 is not mounted with any first and second rods. The first and second rods are preferred to be mounted in the recesses at corners of the tube 6 and engage the recesses at the corners of the adjacent tubes 4, 7. The tube 7 includes first rods at the two corners adjacent to the tubes 2, 6. The tube 7 includes two first rods adjacent to the top corners of the tube 7 and two first rods adjacent to the bottom corners of the tube 7. No first rods are mounted on the two corners of the tube 7 that are not adjacent to the tubes 2, 6. Further, no second rods are mounted on the tube 7. The first rods are preferred to be mounted in the recesses adjacent to the top and bottom corners of the tube 7. The recesses along the corners of the tube 7 engage the first and second rods mounted on the adjacent tubes 2, 6. In an alternative embodiment, the first rods can be mounted not only at the corners adjacent to the top and bottom of the tubes 2, 4, 6, 7 but anywhere along the corners of the tubes. The first rods can further be mounted on the sidewalls of the tubes. In addition, the second rods can be mounted on any tubes 2, 4, 6, 7, and anywhere along the corners of the tubes and/or to the sidewalls of the tubes. FIG. 4 is an exploded cross section view along line AA of the container 10 shown in FIG. 1 having a plurality of tubes 2, 4, 6, 7. The tubes are not adjacent to each other to illustrate the tubes mounted with and without second rods. The tubes 2 include one or more second rod 14 at the corners of the tube 2. The tubes 4, 7 do not include any second rods at the corners of the tubes 4. The tubes 6 include one or more second rod 28 at three corners of the tubes 6. The fourth corners of tubes 6 adjacent to the wall of the container 10 do not include any second rods. In short, one set of tubes 4, 7 are not mounted with second rods and the other set of tubes 2, 6 are mounted with second rods. When the tubes are linked together to form a tube assembly, the second rods mounted on the tubes 2, 6 engage the corners of the tubes 4, 7. Preferably, the second rods are mounted in the recesses of the tubes 2, 6 and engage the recesses of the tubes 4, 7 when the tubes are linked together. In an alternative embodiment, the second rods can be mounted on any of the tubes 2, 4, 6, 7 anywhere along the corners of the tubes. For example, the second rod is mounted on a first tube at a first location along the corner of the first tube. Another second rod is mounted on a second tube at a second location along the corner of the second tube. When the first tube and the second tube are linked together, the second rod mounted at the first location engages the corner of the second tube and the other second rod mounted at the second location engages the corner of the first tube. Preferably, the tubes 2, 4, 6, 7 are arranged in alternating patterns to be linked at the corners of the tubes 2, 4, 6, 7 creating a developed cell 5. For example, the tube 4 in column C, row C is adjacent at two corners to a corner of the tube 6 in column B, row B and to a corner of the tube 2 in column D, row B. The tube 7 in column C, row A is adjacent at two corners to another corner of the tube 6 and to another corner of the tube 2. This arrangement of the tubes can be linked together at the corners of the tubes 2, 4, 6, 7 to create the developed cell 5 in column C, row B. The linking of the tubes is further discussed with reference to FIGS. 5-7. In an alternative embodiment, the tubes 2, 4, 6, 7 can be arranged such that the sidewalls of the tubes 2, 4, 6, 7 are adjacent to each other. For example, the tubes can be arranged in series along a row or a column in the container 10. Referring to FIG. 4, the tube 7 in column C, row A can be adjacent to another tube (not shown) in column D, row A, which in turn is adjacent to the tube 7 in column E, row A. In another example, the tubes can be in a hexagonal shape in which the tubes can be linked to form a honeycomb-shaped tube assembly wherein the sidewalls of the tubes are adjacent to each other. In both examples, the first rods linking the tubes 2, 4, 6, 7 can be mounted on the corners or the sidewalls of the tubes. FIG. 5 is a partial top view of the tubes 2, 4. The tubes 2, 4 include one or more poison materials 30 that are attached to the inner sidewalls of the tubes 2, 4. A recess 32 is formed at the corner adjacent to the top of the tube 2 and a recess 34 is formed at the corner adjacent to the top of the tube 4. The recesses 32, 34 are mounted with first rods 8, 12, 20, 22. The first rod 8, 12 is mounted in the recess 32 of the tube 2 slightly above the first rod 20, 22 mounted in the recess 34 of the tube 4 such that the openings 36, 38 of the first rods 8, 12, 20, 22 are axially aligned. A pin (not shown) is inserted through the openings 36, 38 of the first rods 8, 12, 20, 22 of the adjacent tubes 2, 4 to link the tubes together. The first rod 8, 12 of the tube 2 engages the recess 34 of the tube 4 and the first rod 20, 22 of the tube 4 engages the recess 32 of the tube 2. The first-rod-and-pin arrangement is further discussed with reference to FIGS. 6 and 7. It should be noted that the bottom corners of the tubes 2, 4 include the features that were mentioned above with reference to FIG. 5. For example, first rods 16, 18, 24, 26 are mounted in the recesses formed adjacent to the bottom corners of the tubes 2, 4. The first rod 16, 18 is mounted in the recess 46 of the tube 2 slightly above the first rod 24, 26 mounted in the recess 48 of the tube 4 such that the openings 52, 54 of the first rods 16, 18, 24, 26 are axially-aligned. A pin is inserted through the openings 52, 54 of the first rods 16, 18, 24, 26 of the adjacent tubes 2, 4 to link the tubes together. The first rod 16, 18 of the tube 2 engages the recess 48 of the tube 4 and the first rod 24, 26 of the tube 4 engages the recess 46 of the tube 2. It should be noted that tubes 2 are further linked to the adjacent tubes 7 and tubes 4 are further linked to adjacent tubes 6 in the same manner as described above. In addition, the tubes 6, 7 (not shown in FIG. 5) include the features that were mentioned above with reference to FIG. 5. The tube 6 includes recesses at the three corners adjacent to the tubes 4, 7. Preferably, three first rods are mounted in the recesses at the three corners adjacent to the top of the tube 6 and three first rods are mounted in the recesses at the three corners adjacent to the bottom of the tube 6. The fourth corner of the tube 6 that is adjacent to the wall of the container 10 does not include any recesses and first rods. The first rods of the tubes 6, 4, 7 include openings that can be axially aligned so that a pin extends through the openings of the first rods linking the tube 6 to the adjacent tubes 4, 7 together. The tube 7 includes recesses at two corners adjacent to the tubes 2, 6, preferably two first rods are mounted in the recesses at the two corners adjacent to the top of the tube 7 and two first rods are mounted in the recesses at the two corners adjacent to the bottom of the tube 7. The other two corners not adjacent to the tubes 2, 6 do not include any recesses and first rods. The first rods of the tubes 2, 6, 7 include openings that can be axially aligned so that a pin extends through the openings of the first rods linking the tubes 7 to the adjacent tubes 2, 6 together. The recesses can be formed anywhere along the corners of the tubes 2, 4, 6, 7 and can be mounted with first rods and/or second rods. Preferably, the recesses adjacent to the top and bottom corners of the tubes 2, 4, 6, 7 are mounted with the first rods as mentioned above. The recesses between the top and bottom corners of the tubes 2, 6 receives the second rods 14, 28. When the tubes 2, 4, 6, 7 are linked together, the second rods 14, 28 mounted on the tubes 2, 6 engage the recesses of the tubes 4, 7. By coupling the first rods and second rods in the recesses, the sidewalls of the tubes can be aligned in a substantially straight line to the sidewalls of the tubes. This enables the tube assembly to distribute the horizontal bearing load transfer through the sidewalls of the tubes to the container walls. An advantage, among others, is that the distribution of load to the sidewalls decreases the use of welding and tube wall materials needed to bear the large compressive loads set forth in the regulations and codes for spent nuclear fuel containers. The distribution of the load to the sidewalls eliminates the stress and high moments directly on the welds that could be used to join the adjacent tubes because the sidewalls, rods, and recesses transmit the compressive loads. Preferably, the recesses are semi-circular to receive the cylindrical shaped first and second rods. In an alternative embodiment, the recesses can be formed to receive the different geometric shapes of the first rods and the second rods. For example, if the rods are in a hexagonal shape, the recess can be formed to receive three of the six sidewalls of the hexagonal rods. In another alternative embodiment, the recesses can be formed on the sidewalls of the tubes 2, 4, 6, 7 and are mounted with first rods and/or second rods. FIG. 6 is a partial side view that shows an attachment mechanism of the tube assembly at the top corners of the tubes 2, 4. The attachment mechanism includes first rods 8, 12, 20, 22 and a pin 40. The first rods 8, 12, 20, 22 can be mounted in the recesses 32, 34 that are formed at the corners adjacent to the top of the tubes 2, 4. The first rods 8, 12, 20, 22 are positioned along the recesses 32, 34 of the tubes 2, 4 so that the first rods can be aligned to extend the pin 40 through the openings 36, 38 of the aligned first rods to link the adjacent tubes 2, 4. The pin 40 can include a head portion 42 and a body portion 44. The body portion 44 of the pin 40 extends through openings 36, 28 of the first rods 8, 12, 20, 22. The head portion 42 is placed adjacent to the first rod 8, 12 and the recess 32 of the tube 2, and can be welded to or otherwise captured by the first rod 8, 12 and the recess 32 of the tube 2. Thus, the pin 40 may be captured by one tube, which in this example, is tube 2. FIG. 7 is a partial side view that shows an attachment mechanism at the bottom corners of the tubes 2, 4. The attachment mechanism includes first rods 16, 18, 24, 26 and a pin 50. The first rods can be mounted in the recesses 46, 48 that are formed at the corners adjacent to the bottom of the tubes 2, 6. The first rods 16, 18, 24, 26 are positioned along the recesses 46, 48 of tubes 2, 4 so that the first rods can be aligned to extend the pin 50 through the openings 52, 54 of the aligned first rods to link the adjacent tubes 2, 4. The pin 50 can include a head portion 56 and a body portion 58. The body portion 58 of the pin 50 extends through openings 52, 54 of the first rods 16, 18, 24, 26. The head portion 56 is placed adjacent to the first rod 24, 26 and the recess 48 of the tube 4, and can be welded to or otherwise captured by the first rod 24, 26 and the recess 48 of the tube 4. Thus, the pin 50 may be captured by one tube, which in this example, is tube 4. The attachment mechanism discussed with reference to FIGS. 6 and 7 can be placed anywhere along the corners of the tubes 2, 4 and can link the tubes to each other to form a tube assembly. The attachment mechanism facilitates horizontal bearing load transfer through the tube assembly to the container walls and provides the flexibility for linking the tubes 2, 4, 6, 7 in a continuous array across the tube assembly. Further, the tube assembly can be handled external to the container 10 as a unit rather than as individual pieces. FIG. 8 is a partial cross section view of the tubes 2, 4 that includes flat bearing surfaces 60, 62 formed along the corners of the tubes 2, 4 where the recesses 32, 34, 46, 48 are not formed. The tubes are not adjacent to each other to better illustrate the flat bearing surfaces 60, 62. FIG. 9 is a partial cross section view that shows the flat bearing surface 60 of the tubes 2 engaging the flat bearing surface 62 of the tubes 4 when the tubes 2, 4 are linked. When the tubes 2, 4 are linked together, the flat bearing surfaces 60, 62 can facilitate aligning the sidewalls of the tube 2 in a substantially straight line to the sidewalls of the tube 4. For example, sidewall 64 of the tube 4 is aligned in a substantially straight line with sidewall 66 of the tube 2. Sidewall 70 of the tube 4 is aligned in a substantially straight line with sidewall 68 of the tube 2. This facilitates horizontal bearing load transfer through the tube assembly to the container walls. It should be noted that the tubes 2, 4, 6, 7 include flat bearing surfaces at the corners of the respective tubes. FIG. 10 is a flow diagram that illustrates an embodiment of the process 72 of making the container for storing spent nuclear fuel. Beginning with block 74, one or more recesses are formed on the plurality of tubes, preferably at the corners of the tubes. In an alternative embodiment, the recesses can be formed on the sidewalls of the tubes. In block 76, the recesses can be mounted with the first rods or second rods or both. Preferably, the second rods are mounted on one set of tubes and no second rods are mounted on another set of tubes. In block 78, one or more flat bearing surfaces are formed along the corners of the tubes, preferably where the recesses are not formed. The flat bearing surfaces of the tubes are adjacent and can engage each other when the tubes are linked. In block 80, a plurality of tubes is placed adjacent to each other, preferably along the corners of the tubes such that the sidewalls of the tubes are aligned in a substantially straight line. Further, it is also preferable to place four tubes in alternating patterns to create a developed cell. In an alternative embodiment, the tubes can be arranged such that the sidewalls of the tubes are adjacent to each other. For example, if the tubes are shaped in a rectangular cross section, the rectangular tubes can be arranged in series. If the tubes are shaped in a hexagonal cross section, the hexagonal tubes can be arranged in a honeycomb formation. In block 82, the first rods of the adjacent tubes are aligned. In block 84, one or more pins are inserted through the openings of the aligned first rods. In block 86, the pin can be welded to or otherwise captured by the first rods of one of the adjacent tubes. It should be emphasized that the above-described embodiments of the present disclosure, particularly any “preferred” embodiments, are merely possible examples of implementations, set forth only for a clear understanding of the principles of the disclosure. Many variations and modifications may be made to the above-described embodiment(s) of the disclosure without departing substantially from the principles of the disclosure. All such modifications and variations are intended to be included herein within the scope of this disclosure protected by the following claims. |
|
054616484 | summary | FIELD OF THE INVENTION The present invention relates generally to a corrosion-resistant reactor and more particularly to a supercritical water oxidation reactor with a corrosion-resistant lining. BACKGROUND OF THE INVENTION The Department of Energy has chosen supercritical water oxidation as a promising technique for destroying hazardous and radioactive mixed waste. Supercritical water oxidation (SCWO) occurs by mixing water with hazardous waste and then heating and pressurizing this mixed water to supercritical values in an extraction vessel or reactor. The benefits of SCWO processes include short residence times, nearly complete efficiencies for destruction, and effectiveness for a large number of organic compounds. One of the other advantages of SCWO includes its use of water. Water is inexpensive, non-toxic, and easily separated from many products. Water is also a convenient solvent because the material to be processed may already be in an aqueous solution, thereby eliminating the need to remove water from the final product. Further, the polarity of water can be controlled by temperature and pressure. Water is thus a good solvent for polar materials. Unfortunately, the critical parameters of temperature and pressure for water are much higher than for other solvents. These high temperatures and pressures, plus the presence of oxygen, corrode the surfaces of any metallic pans located in a SCWO reactor. Severe metal corrosion occurs when the hazardous wastes include halogens and nitrates. Current solutions to preventing severe corrosion to the metallic pans located in SCWO reactors include lining or coating these parts with exotic metals. Examples of such exotic metals include nickel, palladium, and alloys consisting mainly of nickel and copper or of nickel and chromium. These metals are expensive, difficult to machine, and cannot be coiled easily to provide a compact reaction chamber. Further, these exotic metal liners last for only a few hours of operation before their corrosion requires replacement. Accordingly, SCWO reactors need a smooth, inert lining for their metallic pans to resist corrosion. Other shortcomings of the prior art include maintenance of the integrity of the seals after repeated use. Design of the sealing arrangement for a high pressure vessel such as an SCWO reactor is important not only to extend the life of the seals but also to prevent loss of hazardous materials, which would result in violations of safety regulations. Related art commonly uses a lid or head with a series of bolts which apply pressure on a seal such as an O-ring or a gasket made of gold or silver. These seals are exposed to the same corrosive environment which destroys the metallic parts within these reactors. Additionally, the bolted-down lids of these reactors are labor intensive to open and close, do not lend themselves to automation, and create considerable down time which all combine to increase overall operating costs. Examples of other related art include U.S. Pat. No. 5,147,597 by Roofthooft et al. Roofthooft et al. disclose a prestablized chromium protective film which retards the build-up of radioactive contaminants on the inside surface of light water reactor systems. The film has a thickness of at least 500 Angstroms. Roofthooft et al. concentrate on reducing the formation of a radioactive isotope, Cobalt 59, and the corrosive products which are created by Cobalt 59 and are retained by steel piping during normal use. Other related art includes U.S. Pat. No. 5,135,709 by Andresen et al. Andresen et al. disclose a method for reducing corrosion exposed to high-temperature water such as steam or water at about 150.degree. C. or greater. The method concentrates on components formed from carbon steel, alloy steel, stainless steel, nickel-based alloys, and cobalt-based alloys. The method includes providing a reducing species, which can combine with the oxidizing species of the water, and forming a catalytic layer of a platinum group metal on the treated component. Andresen et al. do not specifically discuss high-temperature water containing hazardous wastes. SUMMARY OF THE INVENTION Accordingly, an object of the present invention is to destroy hazardous and radioactive mixed waste. Another object is to reduce corrosion and degradation of metallic parts and seals caused by high concentrations of halogens, acids, alkali metals, ammonia, heavy metals, and other hazardous wastes in a reactor used for supercritical water oxidation. Yet another object of the invention is to resist thermal shock and interaction with radionuclides present in a reactor used for supercritical water oxidation. A further object is to enable quick, automated opening and closing of a supercritical water oxidation reactor. The present invention attains the foregoing and additional objects by providing a supercritical water oxidation reactor with a corrosion-resistant lining. The supercritical water oxidation (SCWO) reactor comprises a vessel with an interior surface, two cooling sections, a heat exchanger, an oxygenating section, and a trap. The interior surface of the vessel has a corrosion-resistant, artificial ceramic, diamond, or diamond-like coating. The artificial diamond coating is thin and crystal-like in structure. The cooling sections of the vessel are located on the outer ends of the vessel, and each section includes an inlet for cold water or other cooling fluid and an outlet. The heat exchanger, located between the two cooling sections, may also have inlets and outlets for entry and exit of hot fluids. The oxygenating section comprises a porous cylindrical baffle positioned within the vessel. The porous baffle transfers either oxygen or hydrogen peroxide which travels through the cylindrical baffle. The trap has valves for removal of the waste product. A seal is located at each end of the vessel. In accordance with another aspect of the invention, the oxygenating section includes a shaft having a helical extension. The shaft has a corrosion-resistant, artificial diamond or diamond-like coating. The shaft is porous to permit the addition of oxygen or hydrogen peroxide to the hazardous waste. The helical extension increases the removal of solids from the waste. A seal is located at each end of the vessel. In accordance with another aspect of the invention, the SCWO reactor comprises a vessel with an interior surface, a heat exchanger, an oxygenating section, and a trap. The interior surface of the vessel has a corrosion-resistant lining which may be a ceramic or artificial diamond coating. The oxygenating section comprises a porous cylindrical baffle or a shaft positioned within the vessel. A seal is located at each end of the vessel. Additional objects, advantages and novel features of the invention will be set forth in part in the description which follows, and in part will become apparent to those skilled in the art upon examination of the following or may be learned by practice of the invention. The objects and advantages of the invention may be realized and attained by means of the instrumentalities and combinations particularly pointed out in the appended claims. |
053609743 | summary | FIELD OF THE INVENTION The present invention relates to scanning devices delivering extremely stable, nanometer precise, two dimensional displacement of a scanning probe carriage across a target surface. The invention provides an apparatus for delivering the precise displacement for maintaining the constant tip to target surface gap while further providing flatness and thermal and drift compensation. BACKGROUND OF THE INVENTION Scanning probe microscopes (SPMs) are instruments that provide high resolution information about surface contours. Vertical movement of a sensing probe, in response to a raster scanning procedure of the sensing probe across a target surface, is used for determining the target surface contour. Implementations of SPM devices include implementations based on the interaction of attractive forces including atomic, electrical potential, magnetic, capacitive, or chemical potential to maintain a constant probe to target surface gap, or distance. One common use of these devices is imaging. Some types of SPMs have the capability of imaging individual atoms. In addition to imaging surface contours, SPMs can be used to measure a variety of physical or chemical properties with detail over the range from a few Angstroms to hundreds of microns. For these applications, SPMs can provide lateral and vertical resolution that is not obtainable from any other type of device. Examples of applications include imaging or measuring the contour properties of transistors, silicon chips, disk surface, crystals, cells, or the like. In order to provide for high resolution information about surface contours, variables for the SPM include the effective size of the scanning probe, the positioning of the scanning probe above the target surface, and the precision of the scanning device itself. The positioning of the scanning probe above the target surface is to be at a distance of one or two atoms, or an order of magnitude of tens of Angstroms. Further, a non-contact method of positioning is desirable and is the subject of the copending application Ser. No. 07/897,646. Traditionally, scanning probe microscopes have a carriage which can be displaced in x and y directions by means of a piezoelectric actuator, with facilities for fine adjustment. While the arrangement theoretically permits minute displacements of the carriage, it is more difficult to operate the smaller the desired displacement is. This is due to a certain unavoidable backlash in the mechanism and because of the natural friction of the resting stage, which is only overcome with a sudden and mostly exaggerated movement. In addition, some piezoelectric elements have some undesirable properties such as hysteresis, creep, and nonlinear motion. Further, during the scanning procedure, it is desirable to move the carriage independently in a single plane. More specifically, in measuring surface microtopography, in order to survey a surface area accurately, the carriage used to move the scanning tip across the target surface must offer flat motion (i.e. move in a single plane). Flatness is key to large area angstrom level vertical measurements, inasmuch as any vertical deviation of the carriage cannot be separated from either the measurement of the surface contour and therefore contributing to the vertical measurement, or from a component contributing to a noise level. In the first instance, an "out-of flat" carriage motion is one that leads to an anomaly in the apparent surface contour thereby degrading the accuracy of the scanning procedure and integrity of the scan result. In the second instance, the "out-of flat" carriage motion offers a significant component to the noise level of the resulting scan. Flexure devices or hinges permit motion or displacement in a member made of normally non-flexible material. Cut-outs or recesses within a flexure assembly may be separated by web-like sections that are sufficiently thin to provide a desired flexure capability. Such an embodiment is shown, for example, in U.S. Pat. No. 4,559,717. However, the embodiment is one that offers an in plane flexure that offers motion in one direction only, thereby making the device not suitable for scanning. Further, thermal creep becomes critical when making measurements at the tip to target surface gaps of attractive force measurements. Thermal creep refers to the relative motion of the sample in relation to the probe tip caused by a change in temperature. It is a time dependent function that need not be linear or monotonic, and therefore cannot be fully corrected by use of postprocessing schemes. Thermal creep is a function of many parameters, including: thermal expansion coefficients, magnitude and application of thermal gradient, shape of materials, and thermal mass of materials. Any one, or a combination, of the above parameters can effect the integrity of the scanning procedure as the tip to target surface gap varies due to thermal creep, thereby degrading the accuracy of the resulting scan. In view of the fact that the resolution of the new microscope developments and the requirements in electronic circuit manufacturing have increased over several orders of magnitude, it has become necessary to design new positioning devices which avoid the disadvantages of the prior art. OBJECTS OF THE INVENTION It is therefore an object of the present invention to provide a scanning probe microscope scanner providing extremely flat, and highly linear orthogonal motion. It is another object of the present invention to provide a scanning probe microscope scanner having a scanning probe carriage assembly providing natural thermal stability. A further object of the invention is to provide a two axis instrument carriage which permits selective, controlled displacement along either axis independently while providing thermal and flatness compensation along a third axis. It is another object of the present invention to provide a scanning probe carriage assembly providing three-dimensional controlled flexure movement. SUMMARY OF THE INVENTION The present invention provides an apparatus for scanning a sensing probe above a target surface. The sensing probe comprises a microminiature tip integrally formed or mounted at one end which is positioned and maintained above a target surface at a desired gap. In one embodiment of the present invention, a scanning probe carriage consists of a unitary dual quad flexure carriage which comprises a base, and intermediate carriage, and an inner carriage. A set of first quad flexures are interposed between the base and the intermediate carriage so that the intermediate carriage is supported by the first quad flexures, and above the base. A set of second quad flexures are interposed between the intermediate carriage and the inner carriage so that the inner carriage is supported by the second quad flexures and suspended below the intermediate carriage. The scanning probe carriage further provides a surface upon which a scanning probe is received. Further, an embodiment of the invention includes the scanning probe carriage disposed on frame and positioned between a piezo actuators and spring assemblies in a compressed state. Each piezo actuator is interposed between one side of the scanning probe carriage and a support block. In addition, each spring assembly is disposed on a side of the scanning probe carriage opposite that of a piezo actuator at its first end, and further providing a support means to the frame at its second end. Linearity, thermal drift compensation, and flatness are critical in microscopy, since each improves the accuracy of measurements to be made while maintaining the scanning tip above the target surface. |
058870458 | summary | The present invention concerns zirconium-based alloy tubes for use in nuclear reactor fuel assemblies. Tubes of that type are usable in particular for constituting fuel rod cladding, for forming the external portion of such cladding, or for forming guide tubes which receive the rods of control clusters. Cladding of that type is frequently constituted by tubes made from an alloy known as "Zircaloy 4" which contains, in addition to zirconium, 1.2% to 1.7% by weight of tin, 0.18% to 0.24% by weight of iron, 0.07% to 0.13% by weight of chromium and 0.10% to 0.16% by weight of oxygen. A number of alloys which are derived from those previous alloys have also been proposed, in particular alloys in which the chromium is either completely or partially replaced by vanadium and/or in which the oxygen content exceeds that given above, with a corresponding reduction in the contents of some of the other addition elements. Particular qualities which are required in a tube for use as cladding are good resistance to corrosion by water at high pressure and at high temperature, limited long term creep, long term retention of mechanical properties, limited expansion on irradiation and reduced sensitivity to lithium; in addition, these properties must be reproducibly obtainable, and the alloy must have metallurgical properties at the various production stages (in particular rollability) which keeps the rejection rate down to an acceptable value. The behavior of Zircaloys on irradiation constitutes a factor which is inhibiting advances in operating conditions for nuclear reactors as regards increasing cycle time. This is mainly due to uniform corrosion. A particular aim of the invention is to provide a tube with improved characteristics which can be in the recrystallized state when good creep behavior is required above all, or which can be in a metallurgically stress-relieved state, which is more easily manufactured econom-cally to within strict dimensional tolerances (in particular as regards circularity errors) , and which is better as regards generalized corrosion. For that purpose, there is provided a zirconium-based alloy tube containing, by weight, 1% to 1.7% of tin, 0.55% to 0.8% of iron, 0.20% to 0.60% in total of at least one element selected from chromium and vanadium, and 0.10% to 0.18% of oxygen, the carbon and silicon contents being controlled and being respectively in the range 50 ppm to 200 ppm and in the range of 50 ppm to 120 ppm, the alloy further containing only zirconium and unavoidable impurities. The tube, in its final state, is either stress-relieved or recrystallized depending on the required properties. Vanadium is essentially present in fine precipitates in the form Zr(Fe,V).sub.2 ; this is also the case for chromium, which is present in precipitates in the form Zr(Fe,Cr).sub.2. A high Fe/(V+Cr) ratio, which may exceed 3/1, can further improve resistance to corrosion in a lithium-containing medium. As a general rule, this ratio will be close to 2/1. It is generally preferable to use either chromium alone, or vanadium alone rather than a combination of the two. The precise composition selected from the above range will depend on the properties which are to be prioritized. Usually, an alloy containing 1.3% Sn, 0.60% Fe, 0.25% V or Cr, 0.14% O.sub.2, 140 ppm C and 90 ppm Si will be a good compromise. The presence of vanadium reduces the fraction of absorbed hydrogen and improves resistance to corrosion in an aqueous medium at high temperature and high pressure, even in the event of localized boiling. If one requirement is to reduce creep as much as possible during the initial stage of reactor use, it may be advantageous to have a high tin, carbon, and/or oxygen content. A carbon content of more than 100 ppm is favorable as regards creep; but above 200 ppm, expansion on irradiation becomes large. The silicon content is "controlled" to take advantage of its regulatory effect on structures and its favorable influence on corrosion resistance. A high value for the sum of the beta-producing elements (Fe+V+Cr) contributes to reducing the grain size of the metallurgical structure, which is a factor for good resistance to stress corrosion, ductility after irradiation, mechanical properties, and shaping. This sum is frequently at least 0.70%. The invention also provides a process for the production of an alloy tube of the type defined above, comprising successively: casting an ingot and forging to a solid bar; water quenching the bar after heating, generally by induction, in the .beta. phase; optional annealing in the range 640.degree. C. to 760.degree. C. (advantageously about 730.degree. C.) to form the .alpha. phase; drawing a pierced billet to a tubular blank; optional annealing in .alpha. phase in the range 600.degree. C. to 750.degree. C. (advantageously about 650.degree. C.); successive cold rolling steps to form tubes of decreasing thicknesses, with intermediate annealing steps in an inert atmosphere or in a vacuum at a temperature in the range 640.degree. C. to 760.degree. C., advantageously about 730.degree. C. for the first two steps and 700.degree. C. for subsequent steps; and a final annealing step in an inert atmosphere or in a vacuum at a temperature in the range 450.degree. C. to 500.degree. C. (advantageously about 485.degree. C.) if a stress-relieved structure is required, or in the range 565.degree. C. to 630.degree. C. (advantageously about 580.degree. C.) if a recrystallized structure is required. The set of heat treatments is advantageously such that the heat treatment parameter .SIGMA.A is in the range 10.sup.-18 to 10.sup.-16, .SIGMA.A g equal to the product of time t in hours multiplied by exp (-40000/T), T being expressed in Kelvins. The first annealing step, after quenching, is advantageously carried out at 730.degree. C.; the second, after extruding, is advantageously carried out at about 650.degree. C. The tube produced does not undergo any further heat treatment which would modify its metallurgical structure until the time it is used as a cladding tube or a guide tube. However, it does undergo more surface treatment and is then examined. The surface treatment may in particular comprise blast cleaning and film removal followed by rinsing. The surface treatment can be completed by polishing using a wheel. It is checked conventionally, either visually, and/or using ultrasound and/or using eddy currents. |
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.