content
stringlengths
4
1.04M
lang
stringclasses
358 values
score
int64
0
5
repo_name
stringlengths
5
114
repo_path
stringlengths
4
229
repo_licenses
listlengths
1
8
--- "@chakra-ui/styled-system": minor --- ### Add support peer pseudo style props You can now style an element based on the state of its general sibling (marked with `.peer` or `data-peer`) attribute. ```jsx live=false <> <input type="checkbox" data-peer /> <Box bg="white" _peerFocus={{ bg: "green.400" }} /> </> ``` The peer properties you can apply are `_peerHover`, `_peerFocus`, `_peerFocusVisible`, `_peerActive`, `_peerInvalid`, `_peerChecked`,`_peerFocusWithin`, `_peerPlaceholderShown`, `_peerDisabled` ### New style props Added `_placeholderShown` pseudo props for styling elements when sibling inputs have placeholder shown. Added `_ltr` pseudo props for styling elements in LTR writing mode. This is useful for products with RTL first approach. Added `_mediaReduceMotion` pseudo props to apply reduce motion styles to elements. This is useful when you need to remove CSS animations/transitions.
Markdown
4
timleg002/chakra-ui
.changeset/chilly-phones-flash.md
[ "MIT" ]
""" Problem 78 Url: https://projecteuler.net/problem=78 Statement: Let p(n) represent the number of different ways in which n coins can be separated into piles. For example, five coins can be separated into piles in exactly seven different ways, so p(5)=7. OOOOO OOOO O OOO OO OOO O O OO OO O OO O O O O O O O O Find the least value of n for which p(n) is divisible by one million. """ import itertools def solution(number: int = 1000000) -> int: """ >>> solution(1) 1 >>> solution(9) 14 >>> solution() 55374 """ partitions = [1] for i in itertools.count(len(partitions)): item = 0 for j in itertools.count(1): sign = -1 if j % 2 == 0 else +1 index = (j * j * 3 - j) // 2 if index > i: break item += partitions[i - index] * sign item %= number index += j if index > i: break item += partitions[i - index] * sign item %= number if item == 0: return i partitions.append(item) return 0 if __name__ == "__main__": import doctest doctest.testmod() print(f"{solution() = }")
Python
5
mudaseranwer/Python
project_euler/problem_078/sol1.py
[ "MIT" ]
@media (width >= 500px) and (width <= 1200px) { .rule {color:red;} } @custom-media --only-medium-screen ( width >=500px ) and (width<= 1200px ) ; @media ( --only-medium-screen ){ .rule{color:blue;}}
CSS
3
fuelingtheweb/prettier
tests/stylefmt/media-queries-ranges/media-queries-ranges.css
[ "MIT" ]
// Given an array S of n integers, are there elements a, b, c in S such that a + b + c = 0? Find all unique triplets in the array which gives the sum of zero. // Note: The solution set must not contain duplicate triplets. // For example, given array S = [-1, 0, 1, 2, -1, -4], // A solution set is: // [ // [-1, 0, 1], // [-1, -1, 2] // ] public class 3Sum { public List<List<Integer>> threeSum(int[] nums) { List<List<Integer>> result = new ArrayList<>(); Arrays.sort(nums); for(int i = 0; i < nums.length - 2; i++) { if(i > 0 && nums[i] == nums[i - 1]) { continue; } int j = i + 1; int k = nums.length - 1; int target = -nums[i]; while(j < k) { if(nums[j] + nums[k] == target) { ArrayList<Integer> temp = new ArrayList<Integer>(); temp.add(nums[i]); temp.add(nums[j]); temp.add(nums[k]); result.add(temp); j++; k--; while(j < k && nums[j] == nums[j - 1]) { j++; } while(j < k && nums[k] == nums[k + 1]) { k--; } } else if(nums[j] + nums[k] > target) { k--; } else { j++; } } } return result; } }
Java
4
ChickenMomos/interviews
leetcode/two-pointers/3Sum.java
[ "MIT" ]
Red [ Title: "Red database comparison matrix" Author: "Peter W A Wood" Tabs: 4 Rights: {Copyright (C) 2011-2015 Nenad Rakocevic, Andreas Bolka, Xie Qing Tian, Peter W A Wood. All rights reserved.} License: { Distributed under the Boost Software License, Version 1.0. See https://github.com/red/red/blob/master/BSL-License.txt } ] comparison-matrix: [ integer! [ accessor! [ equal? false strict-equal? false same? false comment "This is a comment" ] action! [ equal? false strict-equal? false same? false ] actor! [ equal? false strict-equal? false same? false ] bigint! [ equal? "the integer is converted to bigint!" strict-equal? "the integer is converted to big int" same? false ] bignum! [ equal? "the integer is converted to bignum!" strict-equal? false same? false ] bitset! [ equal? false strict-equal? false same? false ] binary! [ equal? false strict-equal? false same? false ] block! [ equal? false strict-equal? false same? false ] char! [ equal? "the char is automatically cast to integer" strict-equal? false same? false ] closure! [ equal? false strict-equal? false same? false ] context! [ equal? false strict-equal? false same? false ] datatype! [ equal? false strict-equal? false same? false ] date! [ equal? false strict-equal? false same? false ] decimal! [ equal? "the integer is converted to decimal!" strict-equal? false same? false ] email! [ equal? false strict-equal? false same? false ] error! [ equal? false strict-equal? false same? false ] file! [ equal? false strict-equal? false same? false ] float! [ equal? "the integer is converted to float!" strict-equal? false same? false ] float32! [ equal? "the integer is converted to float32!" strict-equal? false same? false ] function! [ equal? false strict-equal? false same? false ] get-path! [ equal? false strict-equal? false same? false ] get-word! [ equal? false strict-equal? false same? false ] image! [ equal? false strict-equal? false same? false ] integer! [ equal? "direct comparison" strict-equal? "direct comparison" same? "equal? values and same memory address" ] ipv6! [ equal? false strict-equal? false same? false ] issue! [ equal? false strict-equal? false same? false ] lit-path! [ equal? false strict-equal? false same? false ] lit-word! [ equal? false strict-equal? false same? false ] map! [ equal? false strict-equal? false same? false ] module! [ equal? false strict-equal? false same? false ] logic! [ equal? false strict-equal? false same? false ] native! [ equal? false strict-equal? false same? false ] none! [ equal? false strict-equal? false same? false ] object! [ equal? false strict-equal? false same? false ] op! [ equal? false strict-equal? false same? false ] pair! [ equal? false strict-equal? false same? false ] paren! [ equal? false strict-equal? false same? false ] path! [ equal? false strict-equal? false same? false ] percent! [ equal? false strict-equal? false same? false ] point! [ equal? false strict-equal? false same? false ] port! [ equal? false strict-equal? false same? false ] refinement! [ equal? false strict-equal? false same? false ] routine! [ equal? false strict-equal? false same? false ] set! [ equal? false strict-equal? false same? false ] set-path! [ equal? false strict-equal? false same? false ] set-word! [ equal? false strict-equal? false same? false ] string! [ equal? false strict-equal? false same? false ] symbol! [ equal? false strict-equal? false same? false ] tag! [ equal? false strict-equal? false same? false ] time! [ equal? false strict-equal? false same? false ] tuple! [ equal? false strict-equal? false same? false ] typeset! [ equal? false strict-equal? false same? false ] unset! [ equal? false strict-equal? false same? false ] url! [ equal? false strict-equal? false same? false ] utype! [ equal? {user supplied direct comparison if of same utype!, otherwise false} strict-equal? "as equal" same? "same memory address" ] vector! [ equal? false strict-equal? false same? false ] word! [ equal? false strict-equal? false same? false ] ] ]
Red
4
0xflotus/red
docs/comparison-matrix.red
[ "BSL-1.0", "BSD-3-Clause" ]
= Documentation for Password Pepper Feature The password pepper feature appends a specified secret string to passwords before they are hashed. This way, if the password hashes get compromised, an attacker cannot use them to crack the passwords without also knowing the pepper. In the configuration block set the +password_pepper+ with your secret string. It's recommended for the password pepper to be at last 32 characters long and randomly generated. password_pepper "<long secret key>" If your database already contains password hashes that were created without a password pepper, these will get automatically updated with a password pepper next time the user successfully enters their password. You can rotate the password pepper as well, just make sure to add the previous pepper to the +previous_password_peppers+ array. Password hashes using the old pepper will get automatically updated on the next successful password match. password_pepper "new pepper" previous_password_peppers ["old pepper", ""] The empty string above ensures password hashes without pepper are handled as well. Note that each entry in +previous_password_peppers+ will multiply the amount of possible password checks during login, at least for incorrect passwords. Additionally, when using this feature with the disallow_password_reuse feature, the number of passwords checked when changing or resetting a password will be (previous_password_peppers.length + 1) * previous_passwords_to_check So if you have 2 entries in +previous_password_peppers+, using the default value of 6 for +previous_passwords_to_check+, every time a password is changed, there will be 18 password checks done, which will be quite slow. == Auth Value Methods password_pepper :: The secret string appended to passwords before they are hashed. previous_password_peppers :: An array of password peppers that will be tried on an unsuccessful password match. Defaults to <tt>[""]</tt>, which allows introducing this feature with existing passwords. password_pepper_update? :: Whether to update password hashes that use a pepper from +previous_password_peppers+ with a new pepper. Defaults to +true+.
RDoc
4
dmitryzuev/rodauth
doc/password_pepper.rdoc
[ "MIT" ]
PREFIX xsd: <http://www.w3.org/2001/XMLSchema#> ASK { BIND(NOW() AS ?n) FILTER(DATATYPE(?n) = xsd:dateTime) }
SPARQL
3
alpano-unibz/ontop
test/sparql-compliance/src/test/resources/testcases-dawg-sparql-1.1/functions/now01.rq
[ "Apache-2.0" ]
import "m3" as x { search: "./" }; import "m3" as $x { search: "./" }; def g: ., x::g, $x[];
JSONiq
1
Abhibob/gojq
cli/testdata/m2/m2.jq
[ "MIT" ]
Prefix: obo: <http://purl.obolibrary.org/obo/> Ontology: <http://purl.obolibrary.org/obo/doid/doid-plus-omim.owl> Import: <http://purl.obolibrary.org/obo/doid/bridge/omim_bridge.owl>
Web Ontology Language
0
cthoyt/HumanDiseaseOntology
src/deprecated/DO_NON_Production_Files/doid-plus-omim.owl
[ "CC0-1.0" ]
init python: from datetime import date class Calendar(): def __init__(self, day=1, month=8, year=2021): self.is_daytime = True self.day = day self.month = month self.year = year self.month_names = ['', _('January'), _('February'), _('March'), _('April'), _('May'), _('June'), _('July'), _('August'), _('September'), _('October'), _('November'), _('December')] self.days_count = [0, 31, 28, 31, 30, 31, 30, 31, 31, 30, 31, 30, 31] def next(self): self.day += 1 if self.day > self.days_count[self.month]: self.day = 1 # first day of new month self.month += 1 # new month if self.month > 12: self.month = 1 # back to January self.year += 1 # increment year renpy.call_screen('text_over_black_bg_screen', _('The next day...')) def next_week(self): self.day += 7 if self.day > self.days_count[self.month]: self.day = self.day - self.days_count[self.month] self.month += 1 # new month if self.month > 12: self.month = 1 # back to January self.year += 1 # increment year renpy.call_screen('text_over_black_bg_screen', _('Fast-forwarding a week...')) def next_month(self): self.month += 1 if self.month > 12: self.month = 1 # back to January self.year += 1 # increment year renpy.call_screen('text_over_black_bg_screen', _('Fast-forwarding a month...')) def get_month_string(self): return self.month_names[self.month] def get_day_string(self): return str(self.day) default calendar_enabled = True # similar to quick_menu boolean # this screen should always show screen calendar_screen(): ## Ensure this appears on top of other screens like quick_menu and player_stats zorder 101 if calendar_enabled: frame: xpos 20 ypos 20 xpadding 30 ypadding 30 background white80 hbox: spacing 15 text '{icon=icon-calendar}' text calendar.get_month_string(): color gui.accent_color font gui.interface_text_font size gui.name_text_size bold True underline True text calendar.get_day_string(): font gui.interface_text_font size gui.name_text_size bold True # this can be used as calendar transition, chapter transition, etc. screen text_over_black_bg_screen(title_text): ## Ensure this appears on top of other screens like quick_menu and player_stats zorder 102 modal True add black text title_text at text_dissolve: xalign 0.5 yalign 0.5 size gui.chapter_title_text_size color white timer 3.0 action [ Hide('text_over_black_bg_screen', dissolve), Return() ] transform text_dissolve: on show: alpha 0.0 linear 1.0 alpha 1.0 on hide: linear 0.2 alpha 0.0
Ren'Py
4
googlebleh/LearnToCodeRPG
game/scripts/calendar.rpy
[ "BSD-3-Clause" ]
package com.baeldung.jhipster5.security.dto; /** * Simple DTO representing a login request to a remote service. */ public class LoginRequest { private String username; private String password; public LoginRequest() { } public String getUsername() { return username; } public void setUsername(String username) { this.username = username; } public String getPassword() { return password; } public void setPassword(String password) { this.password = password; } }
Java
4
DBatOWL/tutorials
jhipster-5/bookstore-monolith/src/main/java/com/baeldung/jhipster5/security/dto/LoginRequest.java
[ "MIT" ]
module openconfig-network-instance-types-test { prefix "rt"; namespace "urn:openconfig-network-instance-types-test"; import pattern-test { prefix "pt"; } import openconfig-network-instance-types { prefix "oc-ni-types"; } leaf route-distinguisher { type oc-ni-types:route-distinguisher; // type 0: <2-byte administrator>:<4-byte assigned number> // <0-65535>:<0-4294967295> pt:pattern-test-pass "0:4294967295"; pt:pattern-test-pass "99:4294967295"; pt:pattern-test-pass "999:999999999"; pt:pattern-test-pass "9999:99999999"; pt:pattern-test-pass "65535:0"; pt:pattern-test-pass "59999:65536"; pt:pattern-test-pass "64999:4294967289"; pt:pattern-test-pass "65499:4294967199"; pt:pattern-test-pass "65529:4294966999"; pt:pattern-test-pass "65529:4294959999"; pt:pattern-test-pass "65535:4294899999"; pt:pattern-test-pass "65535:4293999999"; pt:pattern-test-pass "65535:4289999999"; pt:pattern-test-pass "65535:4199999999"; pt:pattern-test-pass "65535:3999999999"; pt:pattern-test-fail "0:4294967296"; pt:pattern-test-fail "65536:777777"; pt:pattern-test-fail "65540:777777"; pt:pattern-test-fail "65600:777777"; pt:pattern-test-fail "66000:777777"; pt:pattern-test-fail "70000:777777"; pt:pattern-test-fail "42:4294967395"; pt:pattern-test-fail "42:4294968295"; pt:pattern-test-fail "42:4294977295"; pt:pattern-test-fail "42:4295067295"; pt:pattern-test-fail "42:4304967295"; pt:pattern-test-fail "42:5000000000"; pt:pattern-test-fail "42:02"; pt:pattern-test-fail "042:2"; // type 1: <ip-address>:<2-byte assigned number> // <ipv4>:<0-65535> pt:pattern-test-pass "255.255.255.255:0"; pt:pattern-test-pass "255.255.255.255:99"; pt:pattern-test-pass "1.1.1.1:59999"; pt:pattern-test-pass "1.1.1.1:64999"; pt:pattern-test-pass "1.1.1.1:65499"; pt:pattern-test-pass "1.1.1.1:65529"; pt:pattern-test-pass "0.0.0.0:65535"; pt:pattern-test-fail "1.1.1.1:65536"; pt:pattern-test-fail "1.1.1.1:65540"; pt:pattern-test-fail "1.1.1.1:65600"; pt:pattern-test-fail "1.1.1.1:66000"; pt:pattern-test-fail "1.1.1.1:70000"; pt:pattern-test-fail "256.255.255.255:99"; pt:pattern-test-fail "1.1.1.256:99"; pt:pattern-test-fail "256.1.1.1%eth0:99"; // type 2: <4-byte as-number>:<2-byte assigned number> // <0-4294967295>:<0-65535> pt:pattern-test-pass "4294967295:0"; pt:pattern-test-pass "4294967295:99"; pt:pattern-test-pass "999999999:999"; pt:pattern-test-pass "99999999:9999"; pt:pattern-test-pass "0:65535"; pt:pattern-test-pass "65536:59999"; pt:pattern-test-pass "4294967289:64999"; pt:pattern-test-pass "4294967199:65499"; pt:pattern-test-pass "4294966999:65529"; pt:pattern-test-pass "4294959999:65529"; pt:pattern-test-pass "4294899999:65535"; pt:pattern-test-pass "4293999999:65535"; pt:pattern-test-pass "4289999999:65535"; pt:pattern-test-pass "4199999999:65535"; pt:pattern-test-pass "3999999999:65535"; pt:pattern-test-fail "4294967296:0"; pt:pattern-test-fail "777777:65536"; pt:pattern-test-fail "777777:65540"; pt:pattern-test-fail "777777:65600"; pt:pattern-test-fail "777777:66000"; pt:pattern-test-fail "777777:70000"; pt:pattern-test-fail "4294967395:42"; pt:pattern-test-fail "4294968295:42"; pt:pattern-test-fail "4294977295:42"; pt:pattern-test-fail "4295067295:42"; pt:pattern-test-fail "4304967295:42"; pt:pattern-test-fail "5000000000:42"; pt:pattern-test-fail "42:02"; pt:pattern-test-fail "042:2"; } }
YANG
5
wenovus/public
regexp-tests/openconfig-network-instance-types-test.yang
[ "Apache-2.0" ]
Console.WriteLine "Hello, world!"
Boo
1
PushpneetSingh/Hello-world
Boo/HelloWorld.boo
[ "MIT" ]
RwSimpleNestedProjectLoadComponentV2 { #name : 'common/tests/v2/Specifications', #condition : 'v2', #projectNames : [ ], #componentNames : [ ], #packageNames : [ 'Rowan-Tests-SpecificationsV2' ], #comment : 'Organize all specification tests under one component' }
STON
2
GemTalk/Rowan
rowan/components/common/tests/v2/Specifications.ston
[ "MIT" ]
import {something} from "./c1"; something();
JavaScript
0
1shenxi/webpack
test/configCases/errors/self-reexport/cc.js
[ "MIT" ]
\section{Adding reflection} \label{sec:reflection} To complete the definition of our |auto| function, we still need to convert between Agda's built-in |AgTerm| data type and the data type required by our unification and resolution algorithms, |PsTerm|. Similarly, we will need to transform the |Proof| produced by our |solve| function to an |AgTerm| that can be unquoted. These are essential pieces of plumbing, necessary to provide the desired proof automation. While not conceptually difficult, this does expose some of the limitations and design choices of the |auto| function. If you are unfamiliar with the precise workings of the Agda reflection mechanism, you may want to skim this section. The first thing we will need are concrete definitions for the |TermName| and |RuleName| data types, which were parameters to the development presented in the previous section. It would be desirable to identify both types with Agda's |Name| type, but unfortunately Agda does not assign a name to the function space type operator, |_β†’_|; nor does Agda assign names to locally bound variables. To address this, we define two new data types |TermName| and |RuleName|. \noindent First, we define the |TermName| data type. \begin{code} data TermName : Set where name : Name β†’ TermName pvar : β„• β†’ TermName impl : TermName \end{code} The |TermName| data type has three constructors. The |name| constructor embeds Agda's built-in |Name| in the |TermName| type. The |pvar| constructor describes locally bound variables, represented by their De Bruijn index. Note that the |pvar| constructor has nothing to do with |PsTerm|'s |var| constructor: it is not used to construct a Prolog variable, but rather to be able to refer to a local variable as a Prolog constant. Finally, |impl| explicitly represents the Agda function space. We define the |RuleName| type in a similar fashion. \begin{code} data RuleName : Set where name : Name β†’ RuleName rvar : β„• β†’ RuleName \end{code} The |rvar| constructor is used to refer to Agda variables as rules. Its argument |i| corresponds to the variable's De Bruijn index -- the value of |i| can be used directly as an argument to the |var| constructor of Agda's |Term| data type. As we have seen in Section~\ref{sec:motivation}, the |auto| function may fail to find the desired proof. Furthermore, the conversion from |AgTerm| to |PsTerm| may also fail for various reasons. To handle such errors, we will work in the |Error| monad defined below: \begin{code} Error : (A : Set a) β†’ Set a Error A = Message ⊎ A \end{code} Upon failure, the |auto| function will produce an error message. The corresponding |Message| type simply enumerates the possible sources of failure: \begin{code} data Message : Set where searchSpaceExhausted : Message unsupportedSyntax : Message \end{code} The meaning of each of these error messages will be explained as we encounter them in our implementation below. Finally, we will need one more auxiliary function to manipulate bound variables. The |match| function takes two bound variables of types |Fin m| and |Fin n| and computes the corresponding variables in |Fin (m βŠ” n)| -- where |m βŠ” n| denotes the maximum of |m| and |n|: \begin{code} match : Fin m β†’ Fin n β†’ Fin (m βŠ” n) Γ— Fin (m βŠ” n) \end{code} The implementation is reasonably straightforward. We compare the numbers |n| and |m|, and use the |inject| function to weaken the appropriate bound variable. It is straightforward to use this |match| function to define similar operations on two terms or a term and a list of terms. \subsection*{Constructing terms} We now turn our attention to the conversion of an |AgTerm| to a |PsTerm|. There are two problems that we must address. First of all, the |AgTerm| type represents all (possibly higher-order) terms, whereas the |PsTerm| type is necessarily first-order. We mitigate this problem by allowing the conversion to `fail', by producing a term of the type |Exception|, as we saw in the introduction. Secondly, the |AgTerm| data type uses natural numbers to represent variables. The |PsTerm| data type, on the other hand, represents variables using a finite type |Fin n|, for some |n|. To convert between these representations, the function keeps track of the current depth, i.e.\ the number of |Ξ |-types it has encountered, and uses this information to ensure a correct conversion. We sketch the definition of the main function below: \begin{code} convert : (binders : β„•) β†’ AgTerm β†’ Error (βˆƒ PsTerm) convert b (var i []) = injβ‚‚ (convertVar b i) convert b (con n args) = convertName n ∘ convert b ⟨$⟩ args convert b (def n args) = convertName n ∘ convert b ⟨$⟩ args convert b (pi (arg (arg-info visible _) (el _ t₁)) (el _ tβ‚‚)) with convert b t₁ | convert (suc b) tβ‚‚ ... | inj₁ msg | _ = inj₁ msg ... | _ | inj₁ msg = inj₁ msg ... | injβ‚‚ (n₁ , p₁) | injβ‚‚ (nβ‚‚ , pβ‚‚) with match p₁ pβ‚‚ ... | (p₁′ , pβ‚‚β€²) = injβ‚‚ (n₁ βŠ” nβ‚‚ , con impl (p₁′ ∷ pβ‚‚β€² ∷ [])) convert b (pi (arg _ _) (el _ tβ‚‚)) = convert (suc b) tβ‚‚ convert b _ = inj₁ unsupportedSyntax \end{code} We define special functions, |convertVar| and |name2term|, to convert variables and constructors or defined terms respectively. The arguments to constructors or defined terms are processed using the |convertChildren| function defined below. The conversion of a |pi| node binding an explicit argument proceeds by converting the domain and then codomain. If both conversions succeed, the resulting terms are |match|ed and a |PsTerm| is constructed using |impl|. Implicit arguments and instance arguments are ignored by this conversion function. Sorts, levels, or any other Agda feature mapped to the constructor |unknown| of type |Term| triggers a failure with the message |unsupportedSyntax|. The |convertChildren| function converts a list of |Term| arguments to a list of Prolog terms, by stripping the |arg| constructor and recursively applying the |convert| function. We only give its type signature here, as the definition is straightforward: \begin{code} convertChildren : β„• β†’ List (Arg Term) β†’ Error (βˆƒ (List ∘ PsTerm)) \end{code} % Next, the |convertName| function constructs a first-order constant from an % Agda |Name| and list of terms. % \begin{code} % convertName : Name β†’ βˆƒ (Ξ» n β†’ List (PsTerm n)) β†’ βˆƒ PsTerm % convertName f (n , ts) = n , con (name f) ts % \end{code} % Lastly, the |convertVar| function converts a natural number, % corresponding to a variable name in the |AgTerm| type, to the % corresponding |PsTerm|: % %{ % %format (dot (a)) = "\lfloor " a "\rfloor" % \begin{code} % convertVar : β„• β†’ β„• β†’ βˆƒ PsTerm % convertVar n i with compare n i % convertVar (dot( _)) _ | greater (dot(_)) k = (suc k , var (# k)) % convertVar (dot( _)) _ | equal (dot(_)) = (suc 0 , var (# 0)) % convertVar _ (dot( _)) | less (dot(_)) k = (0 , con (pvar k) []) % \end{code} % %} % The |convertVar| function compares the number of binders that have been % encountered with its argument De Bruijn index. If the variable is % bound within the goal type, it computes a corresponding |PsTerm| % variable; % if the variable is bound \emph{outside} of the goal type, however, we % compute a skolem constant. To convert between an |AgTerm| and |PsTerm| we simply call the |convert| function, initializing the number of binders encountered to |0|. \begin{code} agda2term : AgTerm β†’ Error (βˆƒ PsTerm) agda2term t = convert 0 t \end{code} \subsection*{Constructing rules} Our next goal is to construct rules. More specifically, we need to convert a list of quoted |Name|s to a hint database of Prolog rules. To return to our example in Section~\ref{sec:motivation}, the definition of |even+| had the following type: \begin{code} even+ : Even n β†’ Even m β†’ Even (n + m) \end{code} We would like to construct a value of type |Rule| that expresses how |even+| can be used. In Prolog, we might formulate the lemma above as the rule: \begin{verbatim} even(add(M,N)) :- even(M), even(N). \end{verbatim} In our Agda implementation, we can define such a rule manually: \begin{code} Even+ : Rule 2 Even+ = record { name = name even+ conclusion = con (name (quote Even)) ( con (name (quote _+_)) (var (# 0) ∷ var (# 1) ∷ []) ∷ [] ) premises = con (name (quote Even)) (var (# 0) ∷ []) ∷ con (name (quote Even)) (var (# 1) ∷ []) ∷ [] } \end{code} In the coming subsection, we will show how to generate the above definition from the |Name| representing |even+|. This generation of rules is done in two steps. First, we will convert a |Name| to its corresponding |PsTerm|: \begin{code} name2term : Name β†’ Error (βˆƒ PsTerm) name2term = agda2term ∘ unel ∘ type \end{code} The |type| construct maps a |Name| to the |AgTerm| representing its type; the |unel| function discards any information about sorts; the |agda2term| was defined previously. In the next step, we process this |PsTerm|. The |split| function, defined below, splits a |PsTerm| at every top-most occurrence of the function symbol |impl|. Note that it would be possible to define this function directly on the |AgTerm| data type, but defining it on the |PsTerm| data type is much cleaner as we may assume that any unsupported syntax has already been removed. \begin{code} split : PsTerm n β†’ βˆƒ (Ξ» k β†’ Vec (PsTerm n) (suc k)) split (con impl (t₁ ∷ tβ‚‚ ∷ [])) = Product.map suc (_∷_ t₁) (split tβ‚‚) split t = (0 , t ∷ []) \end{code} Using all these auxiliary functions, we now define the |name2rule| function below that constructs a |Rule| from an Agda |Name|. \begin{code} name2rule : Name β†’ Error (βˆƒ Rule) name2rule nm with name2term nm ... | inj₁ msg = inj₁ msg ... | injβ‚‚ (n , t) with split t ... | (k , ts) with initLast ts ... | (prems , concl , _) = injβ‚‚ (n , rule (name nm) concl (toList prems)) \end{code} We convert a name to its corresponding |PsTerm|, which is converted to a vector of terms using |split|. The last element of this vector is the conclusion of the rule; the prefix constitutes the premises. We use the |initLast| function from the Agda standard library, to decompose this vector accordingly. \subsection*{Constructing goals} Next, we turn our attention to converting a goal |AgTerm| to a |PsTerm|. While we could use the |agda2term| function to do so, there are good reasons to explore other alternatives. Consider the example given in Section~\ref{sec:motivation}. The goal |AgTerm| we wish to prove is |Even n β†’ Even (n + 2)|. Calling |agda2term| would convert this to a |PsTerm|, where the function space has been replaced by the constructor |impl|. Instead, however, we would like to \emph{introduce} arguments, such as |Even n|, as assumptions to our hint database. In addition, we cannot directly reuse the implementation of |convert| that was used in the construction of terms. The |convert| function maps every |AgTerm| variable is mapped to a Prolog variable \emph{that may still be instantiated}. When considering the goal type, however, we want to generate \emph{skolem constants} for our variables. To account for this difference we have two flavours of the |convert| function: |convert| and |convert4Goal|. Both differ only in their implementation of |convertVar|. \begin{code} agda2goalΓ—premises : AgTerm β†’ Error (βˆƒ PsTerm Γ— HintDB) agda2goalΓ—premises t with convert4Goal 0 t ... | inj₁ msg = inj₁ msg ... | injβ‚‚ (n , p) with split p ... | (k , ts) with initLast ts ... | (prems , goal , _) = injβ‚‚ ((n , goal) , toPremises k prems) \end{code} Fortunately, we can reuse many of the other functions we have defined above, and, using the |split| and |initLast| functions, we can get our hands on the list of premises |prems| and the desired return type |goal|. The only missing piece of the puzzle is a function, |toPremises|, which converts a list of |PsTerm|s to a hint database containing rules for the arguments of our goal. \begin{code} toPremises : βˆ€ {k} β†’ β„• β†’ Vec (PsTerm n) k β†’ HintDB toPremises i [] = [] toPremises i (t ∷ ts) = (n , rule (rvar i) t []) ∷ toPremises (suc i) ts \end{code} The |toPremises| converts every |PsTerm| in its argument list to a rule, using the argument's De Bruijn index as its rule name. \subsection*{Reification of proof terms} Now that we can compute Prolog terms, goals and rules from an Agda |Term|, we are ready to call the resolution mechanism described in Section~\ref{sec:prolog}. The only remaining problem is to convert the witness computed by our proof search back to an |AgTerm|, which can be unquoted to produce the desired proof. This is done by the |reify| function that traverses its argument |Proof|; the only interesting question is how it handles the variables and names it encounters. The |Proof| may contain two kinds of variables: locally bound variables, |rvar i|, or variables storing an Agda |Name|, |name n|. Each of these variables is treated differently in the |reify| function. \begin{code} reify : Proof β†’ AgTerm reify (con (rvar i) ps) = var i [] reify (con (name n) ps) with definition n ... | function x = def n (toArg ∘ reify ⟨$⟩ ps) ... | constructorβ€² = con n (toArg ∘ reify ⟨$⟩ ps) ... | _ = unknown where toArg : AgTerm β†’ Arg AgTerm toArg = arg (arg-info visible relevant) \end{code} Any references to locally bound variables are mapped to the |var| constructor of the |AgTerm| data type. These variables correspond to usage of arguments to the function being defined. As we know by construction that these arguments are mapped to rules without premises, the corresponding Agda variables do not need any further arguments. If, on the other hand, the rule being applied is constructed using a |name|, we do disambiguate whether the rule name refers to a function or a constructor. The |definition| function, defined in Agda's reflection library, tells you how a name was defined (i.e. as a function name, constructor, etc). For the sake of brevity, we restrict the definition here to only handle defined functions and data constructors. It is easy enough to extend with further branches for postulates, primitives, and so forth. We will also need to wrap additional lambdas around the resulting term, due to the premises that were introduced by the |agda2goalΓ—premises| function. To do so, we define the |intros| function that repeatedly wraps its argument term in a lambda. \begin{code} intros : AgTerm β†’ AgTerm intros = introsAcc (length args) where introsAcc : β„• β†’ AgTerm β†’ AgTerm introsAcc zero t = t introsAcc (suc k) t = lam visible (introsAcc k t) \end{code} \subsection*{Hint databases} \label{sec:hintdbs} Users to provide hints, i.e., rules that may be used during resolution, in the form of a \emph{hint database}. These hint databases consist of an (existentially quantified) a list of rules. We can add new hints to an existing database using the insertion operator, |<<|, defined as follows: \begin{code} _<<_ : HintDB β†’ Name β†’ HintDB db << n with name2rule n db << n | inj₁ msg = db db << n | injβ‚‚ r = db ++ [ r ] \end{code} If the generation of a rule fails for whatever reason, no error is raised, and the rule is simply ignored. Our actual implementation requires an implicit proof argument that all the names in the argument list can be quoted successfully. If you define such proofs to compute the trivial unit record as evidence, Agda will fill them in automatically in every call to the |_<<_| function on constant arguments. This simple form of proof automation is pervasive in Agda programs~\citep{oury,swierstra-more}. This is the simplest possible form of hint database. In principle, there is no reason not to define alternative versions that assign priorities to certain rules or limit the number of times a rule may be applied. We will investigate some possibilities for extensible proof search in section~\ref{sec:extensible}. It is worth repeating that hint databases are first-class objects. We can combine hints databases, filter certain rules from a hint database, or manipulate them in any way we wish. \subsection*{Error messages} Lastly, we need to decide how to report error messages. Since we are going to return an |AgTerm|, we need to transform the |Message| type we saw previously into an |AgTerm|. When unquoted, this term will cause a type error, reporting the reason for failure. To accomplish this, we introduce a dependent type, indexed by a |Message|: \begin{code} data Exception : Message β†’ Set where throw : (msg : Message) β†’ Exception msg \end{code} The message passed as an argument to the |throw| constructor, will be recorded in the |Exception|'s type, as we intended. Next, we define a function to produce an |AgTerm| from a |Message|. We could construct such terms by hand, but it is easier to just use Agda's |quoteTerm| construct: \begin{code} quoteError : Message β†’ Term quoteError searchSpaceExhausted = quoteTerm (throw searchSpaceExhausted) quoteError unsupportedSyntax = quoteTerm (throw unsupportedSyntax) \end{code} \subsection*{Putting it all together} Finally, we can present the definition of the |auto| function used in the examples in Section~\ref{sec:motivation}: \begin{code} auto : β„• β†’ HintDB β†’ AgTerm β†’ AgTerm auto depth rules goalType with agda2goalΓ—premises goalType ... | inj₁ msg = quoteError msg ... | injβ‚‚ ((n , g) , args) with dfs depth (solve g (args ++ rules)) ... | [] = quoteError searchSpaceExhausted ... | (p ∷ _) = intros (reify p) \end{code} The |auto| function takes an |AgTerm| representing the goal type, splits it into |PsTerm|s representing the goal |g| and a list of arguments, |args|. These arguments are added to the initial hint database. Calling the |solve| function with this hint database and the goal |g|, constructs a proof tree, that we traverse up to the given |depth| in search of a solution. If this proof search succeeds, the |Proof| is converted to an |AgTerm|, a witness that the original goal is inhabited. There are two places where this function may fail: the conversion to a |PsTerm| may fail because of unsupported syntax; or the proof search may not find a result. %%% Local Variables: %%% mode: latex %%% TeX-master: t %%% TeX-command-default: "rake" %%% End:
Literate Agda
5
wenkokke/AutoInAgda
doc/reflection.lagda
[ "MIT" ]
/* * Licensed to the Apache Software Foundation (ASF) under one * or more contributor license agreements. See the NOTICE file * distributed with this work for additional information * regarding copyright ownership. The ASF licenses this file * to you under the Apache License, Version 2.0 (the * "License"); you may not use this file except in compliance * with the License. You may obtain a copy of the License at * * http://www.apache.org/licenses/LICENSE-2.0 * * Unless required by applicable law or agreed to in writing, * software distributed under the License is distributed on an * "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY * KIND, either express or implied. See the License for the * specific language governing permissions and limitations * under the License. */ // This is to make sure you don't mess something up when you change typedef code. // Generate it with the old and new thrift and make sure they are the same. /* rm -rf gen-* orig-* mkdir old new thrift --gen cpp --gen java --gen php --gen phpi --gen py --gen rb --gen xsd --gen perl --gen ocaml --gen erl --gen hs --strict ManyTypedefs.thrift mv gen-* old ../compiler/cpp/thrift --gen cpp --gen java --gen php --gen phpi --gen py --gen rb --gen xsd --gen perl --gen ocaml --gen erl --gen hs --strict ManyTypedefs.thrift mv gen-* new diff -ur old new rm -rf old new # There should be no output. */ typedef i32 int32 typedef list<map<int32, string>> biglist struct struct1 { 1: int32 myint; 2: biglist mylist; } exception exception1 { 1: biglist alist; 2: struct1 mystruct; } service AService { struct1 method1(1: int32 myint) throws (1: exception1 exn); biglist method2(); }
Thrift
4
Jimexist/thrift
test/ManyTypedefs.thrift
[ "Apache-2.0" ]
/* * WLED Arduino IDE compatibility file. * * Where has everything gone? * * In April 2020, the project's structure underwent a major change. * Global variables are now found in file "wled.h" * Global function declarations are found in "fcn_declare.h" * * Usermod compatibility: Existing wled06_usermod.ino mods should continue to work. Delete usermod.cpp. * New usermods should use usermod.cpp instead. */ #include "wled.h" void setup() { WLED::instance().setup(); } void loop() { WLED::instance().loop(); }
Arduino
4
mlaurijsse/WLED
wled00/wled00.ino
[ "MIT" ]
'reach 0.1'; const f = (x, o) => { with (o) { void x; } } export const main = Reach.App( {}, [], () => { return f(1, 2); } );
RenderScript
1
chikeabuah/reach-lang
hs/t/n/Err_Block_IllegalJS.rsh
[ "Apache-2.0" ]
module Interface interface Show a => Pretty (0 a : Type) where constructor MkPretty 0 Doc : Type toDoc : String -> Doc pretty : a -> Doc pretty n = toDoc (show n) prettys : List a -> List Doc prettys [] = [] prettys (a :: as) = pretty a :: prettys as
Idris
4
ska80/idris-jvm
tests/ideMode/ideMode005/Interface.idr
[ "BSD-3-Clause" ]
(test (bool 42)) (test (not (vec))) (test (= (and T 42) 42)) (test (= (and F 42) F)) (test (= (or 42 F) 42)) (test (= (or F 0) 0)) (test (= (switch (F 'foo) (T 'bar) (T 'baz)) 'bar))
Grammatical Framework
3
daota2/fffff
v1/test/cond.gf
[ "MIT" ]
package gw.specContrib.classes.enhancements.package_visibility.subpackage uses gw.specContrib.classes.enhancements.package_visibility.EnhancedClass2 enhancement SubpackageEnhancement : EnhancedClass2 { protected function protectedFunction() : String { return "I am declared in a different package than the class I enhance" } }
Gosu
3
dmcreyno/gosu-lang
gosu-test/src/test/gosu/gw/specContrib/classes/enhancements/package_visibility/subpackage/SubpackageEnhancement.gsx
[ "Apache-2.0" ]
(ns server (:require [coast]) (:gen-class)) (defn root [_] (coast/render :text "")) (defn user [request] (coast/render :text (-> request :params :id))) (defn post-user [_] (coast/render :text "")) (def routes [[:get "/" root] [:get "/user/:id" user] [:post "/user" post-user]]) (def app (-> (coast/app routes) (coast/body-parser))) (defn -main [& [port]] (coast/server app {:port port}))
Clojure
3
mattiapenati/web-frameworks
clojure/coast/src/main/clojure/server.clj
[ "MIT" ]
package com.stackify.guest.springsecurity.config; import org.springframework.context.annotation.Bean; import org.springframework.security.config.annotation.web.builders.HttpSecurity; import org.springframework.security.config.annotation.web.configuration.EnableWebSecurity; import org.springframework.security.config.annotation.web.configuration.WebSecurityConfigurerAdapter; import org.springframework.security.core.userdetails.UserDetailsService; import org.springframework.security.crypto.bcrypt.BCryptPasswordEncoder; import org.springframework.security.crypto.password.PasswordEncoder; import org.springframework.security.provisioning.JdbcUserDetailsManager; import javax.sql.DataSource; @EnableWebSecurity public class WebSecurityConfig extends WebSecurityConfigurerAdapter { @Bean public UserDetailsService jdbcUserDetailsService(DataSource dataSource) { JdbcUserDetailsManager manager = new JdbcUserDetailsManager(); manager.setDataSource(dataSource); return manager; } @Bean public PasswordEncoder passwordEncoder() { return new BCryptPasswordEncoder(); } @Override protected void configure(HttpSecurity http) throws Exception { http.authorizeRequests() .antMatchers("/css/**").permitAll() .anyRequest().authenticated() .and().formLogin() .loginPage("/customLogin") .defaultSuccessUrl("/loginSuccess", true) .permitAll(); } }
Java
4
zeesh49/tutorials
guest/spring-security/src/main/java/com/stackify/guest/springsecurity/config/WebSecurityConfig.java
[ "MIT" ]
%{-- Copyright 2014 SimplifyOps Inc, <http://simplifyops.com> Licensed under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License. You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. See the License for the specific language governing permissions and limitations under the License. --}% <strong><g:message code="select.nodes.by.name" default="Select nodes by name"/>:</strong> <p> <code><g:message code="mynode1.mynode2" default="mynode1 mynode2"/></code> </p> <p> <g:message code="this.will.select.both.nodes" default="This will select both nodes."/> </p> <strong><g:message code="filter.nodes.by.attribute.value" default="Filter nodes by attribute value"/>:</strong> <ul> <li><g:message code="include" default="Include"/>: <code><g:message code="attribute" default="attribute"/>: <g:message code="value" default="value"/></code></li> <li><g:message code="exclude" default="Exclude"/>: <code>!<g:message code="attribute" default="attribute"/>: <g:message code="value" default="value"/></code></li> </ul> <strong><g:message code="use.regular.expressions" default="Use Regular Expressions:"/></strong> <p> <code><g:message code="node.metadata.hostname" default="Hostname"/>: dev(\d+).test.com</code>. </p> <strong><g:message code="regex.syntax.checking" default="Regex syntax checking"/>:</strong> <p> <code><g:message code="attribute" default="attribute"/>: /regex/</code> </p>
Groovy Server Pages
4
kbens/rundeck
rundeckapp/grails-app/views/common/_nodefilterStringHelp.gsp
[ "Apache-2.0" ]
#!/usr/bin/env io Account := Object clone Account balance := 0.0 Account deposit := method(v, self balance := self balance + v) Account show := method(write("Account balance: $", balance, "\n")) "Inital: " print Account show "Depositing $10\n" print Account deposit(10.0) "Final: " print Account show
Io
4
akluth/io
samples/misc/Account.io
[ "BSD-3-Clause" ]
#!/bin/bash set -e set -x virtualenv -p python3 --system-site-packages tmpenv source tmpenv/bin/activate pip3 install -r requirements.txt mkdir /tmp/ieg CUDA_VISIBLE_DEVICES=0 python -m ieg.main --dataset=cifar100_uniform_0.4 \ --network_name=resnet29 --checkpoint_path=/tmp/ieg
Shell
3
deepneuralmachine/google-research
ieg/run.sh
[ "Apache-2.0" ]
'0.1.0' ' Copyright 1992-2014 AUTHORS. See the legal/LICENSE file for license information and legal/AUTHORS for authors. ' [ modules webserver version >= (modules init moduleVersion copyOn: '0.2.0') ifFalse: [error: 'Need at least version 0.2.0 of modules webserver']] value '-- Module body' bootstrap addSlotsTo: bootstrap stub -> 'globals' -> 'modules' -> () From: ( | { 'ModuleInfo: Module: lobbyBrowserServlet InitialContents: FollowSlot' lobbyBrowserServlet = bootstrap define: bootstrap stub -> 'globals' -> 'modules' -> 'lobbyBrowserServlet' -> () ToBe: bootstrap addSlotsTo: ( bootstrap remove: 'directory' From: bootstrap remove: 'fileInTimeString' From: bootstrap remove: 'myComment' From: bootstrap remove: 'postFileIn' From: bootstrap remove: 'preFileIn' From: bootstrap remove: 'revision' From: bootstrap remove: 'subpartNames' From: bootstrap remove: 'tree' From: globals modules init copy ) From: bootstrap setObjectAnnotationOf: bootstrap stub -> 'globals' -> 'modules' -> 'lobbyBrowserServlet' -> () From: ( | {} = 'ModuleInfo: Creator: globals modules lobbyBrowserServlet. CopyDowns: globals modules init. copy SlotsToOmit: directory fileInTimeString myComment postFileIn preFileIn revision subpartNames tree. \x7fIsComplete: '. | ) . } | ) bootstrap addSlotsTo: bootstrap stub -> 'globals' -> 'modules' -> 'lobbyBrowserServlet' -> () From: ( | { 'ModuleInfo: Module: lobbyBrowserServlet InitialContents: FollowSlot\x7fVisibility: public' directory <- ''. } | ) bootstrap addSlotsTo: bootstrap stub -> 'globals' -> 'modules' -> 'lobbyBrowserServlet' -> () From: ( | { 'ModuleInfo: Module: lobbyBrowserServlet InitialContents: InitializeToExpression: (_CurrentTimeString)\x7fVisibility: public' fileInTimeString <- _CurrentTimeString. } | ) bootstrap addSlotsTo: bootstrap stub -> 'globals' -> 'modules' -> 'lobbyBrowserServlet' -> () From: ( | { 'ModuleInfo: Module: lobbyBrowserServlet InitialContents: FollowSlot' myComment <- ''. } | ) bootstrap addSlotsTo: bootstrap stub -> 'globals' -> 'modules' -> 'lobbyBrowserServlet' -> () From: ( | { 'ModuleInfo: Module: lobbyBrowserServlet InitialContents: FollowSlot' postFileIn = ( | | resend.postFileIn). } | ) bootstrap addSlotsTo: bootstrap stub -> 'globals' -> 'modules' -> 'lobbyBrowserServlet' -> () From: ( | { 'ModuleInfo: Module: lobbyBrowserServlet InitialContents: FollowSlot' preFileIn = ( | | modules webserver version >= (modules init moduleVersion copyOn: '0.2.0') ifFalse: [error: 'Need at least version 0.2.0 of modules webserver']). } | ) bootstrap addSlotsTo: bootstrap stub -> 'globals' -> 'modules' -> 'lobbyBrowserServlet' -> () From: ( | { 'ModuleInfo: Module: lobbyBrowserServlet InitialContents: FollowSlot\x7fVisibility: public' revision <- '0.1.0'. } | ) bootstrap addSlotsTo: bootstrap stub -> 'globals' -> 'modules' -> 'lobbyBrowserServlet' -> () From: ( | { 'ModuleInfo: Module: lobbyBrowserServlet InitialContents: FollowSlot\x7fVisibility: private' subpartNames <- ''. } | ) bootstrap addSlotsTo: bootstrap stub -> 'globals' -> 'modules' -> 'lobbyBrowserServlet' -> () From: ( | { 'ModuleInfo: Module: lobbyBrowserServlet InitialContents: InitializeToExpression: (\'org_selflanguage_webserver\')' tree <- 'org_selflanguage_webserver'. } | ) bootstrap addSlotsTo: bootstrap stub -> 'globals' -> 'webserver' -> 'exampleServlets' -> () From: ( | { 'ModuleInfo: Module: lobbyBrowserServlet InitialContents: FollowSlot' lobbyBrowserServlet = bootstrap setObjectAnnotationOf: bootstrap stub -> 'globals' -> 'webserver' -> 'exampleServlets' -> 'lobbyBrowserServlet' -> () From: ( | {} = 'ModuleInfo: Creator: globals webserver exampleServlets lobbyBrowserServlet. '. | ) . } | ) bootstrap addSlotsTo: bootstrap stub -> 'globals' -> 'webserver' -> 'exampleServlets' -> 'lobbyBrowserServlet' -> () From: ( | { 'ModuleInfo: Module: lobbyBrowserServlet InitialContents: FollowSlot' parent* = bootstrap setObjectAnnotationOf: bootstrap stub -> 'globals' -> 'webserver' -> 'exampleServlets' -> 'lobbyBrowserServlet' -> 'parent' -> () From: ( | {} = 'Comment: An in-browser view of the current Self world\x7fModuleInfo: Creator: globals webserver exampleServlets lobbyBrowserServlet parent. '. | ) . } | ) bootstrap addSlotsTo: bootstrap stub -> 'globals' -> 'webserver' -> 'exampleServlets' -> 'lobbyBrowserServlet' -> 'parent' -> () From: ( | { 'ModuleInfo: Module: lobbyBrowserServlet InitialContents: FollowSlot' add: s ToCategory: aSequence In: categories = ( | | aSequence size > 1 ifTrue: [ 1 to: aSequence size Do: [|:i. p| p: aSequence copyFrom: 0 UpTo: i. categories findFirst: [|:v. :k| p = k] IfPresent: true IfAbsent: [categories at: p Put: list copyRemoveAll]]]. categories findFirst: [|:v. :k| aSequence = k] IfPresent: [(categories at: aSequence) add: s] IfAbsent: [categories at: aSequence Put: (list copyRemoveAll add: s)]. self). } | ) bootstrap addSlotsTo: bootstrap stub -> 'globals' -> 'webserver' -> 'exampleServlets' -> 'lobbyBrowserServlet' -> 'parent' -> () From: ( | { 'Category: html for slot\x7fModuleInfo: Module: lobbyBrowserServlet InitialContents: FollowSlot' appropriateSigilFor: slot = ( | | slot value isReflecteeAssignment ifTrue: [^ ' &larr; ']. ' = '). } | ) bootstrap addSlotsTo: bootstrap stub -> 'globals' -> 'webserver' -> 'exampleServlets' -> 'lobbyBrowserServlet' -> 'parent' -> () From: ( | { 'ModuleInfo: Module: lobbyBrowserServlet InitialContents: FollowSlot' banner = ( | | '<h1><small>- live browsing of Self object memory -</small></h1>'). } | ) bootstrap addSlotsTo: bootstrap stub -> 'globals' -> 'webserver' -> 'exampleServlets' -> 'lobbyBrowserServlet' -> 'parent' -> () From: ( | { 'ModuleInfo: Module: lobbyBrowserServlet InitialContents: FollowSlot' breadcrumbsFor: m = ( | | m creatorPathIfPresent: [|:p. i <- 0. t. s <- '<a href="/">lobby</a> ' | [i < p size] whileTrue: [ t: p clone contents: p contents slice: 0 @ i. s: s, '<a href="/', (transform: t fullName), '/">', (t shortName splitOn: ' ') last, '</a> &rarr; '. i: i + 1 ]. s] IfAbsent: ''). } | ) bootstrap addSlotsTo: bootstrap stub -> 'globals' -> 'webserver' -> 'exampleServlets' -> 'lobbyBrowserServlet' -> 'parent' -> () From: ( | { 'ModuleInfo: Module: lobbyBrowserServlet InitialContents: FollowSlot' buildHtmlForSlotsIn: categories StartingWith: prefix = ( | | '<div class="row">', (renderPrefix: prefix), ' <div class="col-md-12"> <p> <table class="table table-condensed table-hover">', [| slots. html <- '' | slots: categories at: prefix IfAbsent: [sequence copyRemoveAll]. slots: (slots copy filterBy: [|:s| s isParent]) copySort, (slots copy filterBy: [|:s| s isParent not]) copySort. slots do: [|:s| s isAssignment ifFalse: [html: html, htmlForSlot: s]]. html ] value, ' </table> </p>', [| cat. html <- '' | cat: (categories keys copy filterBy: [|:e| (e size = (prefix size + 1)) && [prefix = (e slice: 0 @ prefix size)]]). cat copySort do: [|:n| html: html, '<div class="col-md-12">', (buildHtmlForSlotsIn: categories StartingWith: n), '</div>']. html ] value, ' </div> </div>'). } | ) bootstrap addSlotsTo: bootstrap stub -> 'globals' -> 'webserver' -> 'exampleServlets' -> 'lobbyBrowserServlet' -> 'parent' -> () From: ( | { 'ModuleInfo: Module: lobbyBrowserServlet InitialContents: FollowSlot' buildSlots: o = ( | categories. | categories: dictionary copyRemoveAll. o do: [|:s. c| c: ((s categoryIfFail: '') asVector splitOn: '\x7f') asSequence. (c lastIfAbsent: '') = '\x7f' ifTrue: [c: c copyWithoutLast]. add: s ToCategory: c In: categories]. buildHtmlForSlotsIn: categories StartingWith: sequence copy). } | ) bootstrap addSlotsTo: bootstrap stub -> 'globals' -> 'webserver' -> 'exampleServlets' -> 'lobbyBrowserServlet' -> 'parent' -> () From: ( | { 'Category: contents for object\x7fModuleInfo: Module: lobbyBrowserServlet InitialContents: FollowSlot' contentsForMethod: m = ( | | wrapCode: rawContentsForMethod: m). } | ) bootstrap addSlotsTo: bootstrap stub -> 'globals' -> 'webserver' -> 'exampleServlets' -> 'lobbyBrowserServlet' -> 'parent' -> () From: ( | { 'Category: contents for object\x7fModuleInfo: Module: lobbyBrowserServlet InitialContents: FollowSlot' contentsForObject: o = ( | | o isReflecteeMethod ifTrue: [contentsForMethod: o] False: [linkForObject: o]). } | ) bootstrap addSlotsTo: bootstrap stub -> 'globals' -> 'webserver' -> 'exampleServlets' -> 'lobbyBrowserServlet' -> 'parent' -> () From: ( | { 'Category: support\x7fModuleInfo: Module: lobbyBrowserServlet InitialContents: FollowSlot' escape: s = ( | codes. escapees. r <- ''. | escapees: '<>&'. codes: ('&lt;' & '&gt;' & '&amp;') asVector. s do: [| :c | (escapees includes: c) ifTrue: [ r: r, (codes at: (escapees keyAt: c)). ] False: [ r: r, c. ]. ]. r). } | ) bootstrap addSlotsTo: bootstrap stub -> 'globals' -> 'webserver' -> 'exampleServlets' -> 'lobbyBrowserServlet' -> 'parent' -> () From: ( | { 'ModuleInfo: Module: lobbyBrowserServlet InitialContents: FollowSlot' footer = '</div></body></html>'. } | ) bootstrap addSlotsTo: bootstrap stub -> 'globals' -> 'webserver' -> 'exampleServlets' -> 'lobbyBrowserServlet' -> 'parent' -> () From: ( | { 'ModuleInfo: Module: lobbyBrowserServlet InitialContents: FollowSlot' getMirrorAtPath: p = ( | | getMirrorAtPath: p StartingAt: reflect: lobby). } | ) bootstrap addSlotsTo: bootstrap stub -> 'globals' -> 'webserver' -> 'exampleServlets' -> 'lobbyBrowserServlet' -> 'parent' -> () From: ( | { 'ModuleInfo: Module: lobbyBrowserServlet InitialContents: FollowSlot' getMirrorAtPath: p StartingAt: m = ( | o. | o: m. p do: [|:n| o:(o at: n IfAbsent: [^ o]) contents]. o). } | ) bootstrap addSlotsTo: bootstrap stub -> 'globals' -> 'webserver' -> 'exampleServlets' -> 'lobbyBrowserServlet' -> 'parent' -> () From: ( | { 'ModuleInfo: Module: lobbyBrowserServlet InitialContents: FollowSlot' handle: u = ( | o. r. t. | u req url = '/' ifTrue: [o: reflect: lobby] False: [ o: getMirrorAtPath: u req url asTokensSeparatedByCharactersSatisfying: [|:c | c = '/']]. u res write: htmlFor: o. u). } | ) bootstrap addSlotsTo: bootstrap stub -> 'globals' -> 'webserver' -> 'exampleServlets' -> 'lobbyBrowserServlet' -> 'parent' -> () From: ( | { 'ModuleInfo: Module: lobbyBrowserServlet InitialContents: FollowSlot' header = ' <!DOCTYPE HTML> <html lang=\"en\"> <head> <meta charset=\"utf-8\"> <title>Self Online | {{{title}}}</title> <meta name=\"viewport\" content=\"width=device-width, initial-scale=1.0\"> <!-- Bootstrap styles --> <link rel=\"stylesheet\" href=\"//netdna.bootstrapcdn.com/bootstrap/3.2.0/css/bootstrap.min.css\"> <script src=\"//ajax.googleapis.com/ajax/libs/jquery/2.1.1/jquery.min.js\"></script> <!-- Generic page styles --> <link rel=\"stylesheet\" href=\"/css/style.css\"> </head> <body> <div class=\"container\"> '. } | ) bootstrap addSlotsTo: bootstrap stub -> 'globals' -> 'webserver' -> 'exampleServlets' -> 'lobbyBrowserServlet' -> 'parent' -> () From: ( | { 'ModuleInfo: Module: lobbyBrowserServlet InitialContents: FollowSlot' htmlFor: o = ( | r. | r: header copy replace: '{{{title}}}' With: o evalName. r: r, banner. r: r, '<h1><small>'. r: r, breadcrumbsFor: o. r: r, '</small>', (o evalName splitOn: ' ') last, '</h1>'. r: r, '<div class="row"><div class="col-md-12">'. o comment != '' ifTrue: [ r: r, '<p><pre>', (o comment), '</pre></p>']. r: r, '<p>CopyDowns: ', (o copyDowns printString), '</p>'. r: r, '<p>CreatorPath: ', (o creatorPath asVector joinUsing: ' '), '</p>'. r: r, '<p>', (o moduleSummaryStringForSlotsFilteredBy: true), '</p>'. r: r, '</div></div>'. r: r, buildSlots: o. r: r, footer. r). } | ) bootstrap addSlotsTo: bootstrap stub -> 'globals' -> 'webserver' -> 'exampleServlets' -> 'lobbyBrowserServlet' -> 'parent' -> () From: ( | { 'Category: html for slot\x7fModuleInfo: Module: lobbyBrowserServlet InitialContents: FollowSlot' htmlForSlot: s = ( | | '<tr><td width=60%>', s key, (s isParent ifTrue: ['*'] False: ''), (appropriateSigilFor: s), (contentsForObject: s value), '</td><td width=40%>', (s comment = '' ifTrue: '' False: ['<em>', s comment, '</em>']), '</td></tr>'). } | ) bootstrap addSlotsTo: bootstrap stub -> 'globals' -> 'webserver' -> 'exampleServlets' -> 'lobbyBrowserServlet' -> 'parent' -> () From: ( | { 'Category: link for object\x7fModuleInfo: Module: lobbyBrowserServlet InitialContents: FollowSlot' linkForObject: s = ( | | s creatorPathIfPresent: [|:p| '<a href="/', (transform: p fullName), '/">', s safeName, '</a>'] IfAbsent: [s safeName]). } | ) bootstrap addSlotsTo: bootstrap stub -> 'globals' -> 'webserver' -> 'exampleServlets' -> 'lobbyBrowserServlet' -> 'parent' -> () From: ( | { 'Category: contents for object\x7fModuleInfo: Module: lobbyBrowserServlet InitialContents: FollowSlot' parent* = bootstrap stub -> 'traits' -> 'clonable' -> (). } | ) bootstrap addSlotsTo: bootstrap stub -> 'globals' -> 'webserver' -> 'exampleServlets' -> 'lobbyBrowserServlet' -> 'parent' -> () From: ( | { 'Category: contents for object\x7fModuleInfo: Module: lobbyBrowserServlet InitialContents: FollowSlot' rawContentsForMethod: m = ( | | escape: (selfMethodText copyForMethod: m value) asSlotContents asString shrinkwrapped). } | ) bootstrap addSlotsTo: bootstrap stub -> 'globals' -> 'webserver' -> 'exampleServlets' -> 'lobbyBrowserServlet' -> 'parent' -> () From: ( | { 'ModuleInfo: Module: lobbyBrowserServlet InitialContents: FollowSlot' renderPrefix: p = ( | | p size = 0 ifTrue: [^ '']. p last size = 0 ifTrue: [^ '']. ' <div class="col-md-12"> <h3> <small> <span class="glyphicon glyphicon-chevron-down"></span> </small>', p last, ' </h3> </div>'). } | ) bootstrap addSlotsTo: bootstrap stub -> 'globals' -> 'webserver' -> 'exampleServlets' -> 'lobbyBrowserServlet' -> 'parent' -> () From: ( | { 'Category: link for object\x7fModuleInfo: Module: lobbyBrowserServlet InitialContents: FollowSlot' transform: s = ( | | s replace: ' ' With: '/'). } | ) bootstrap addSlotsTo: bootstrap stub -> 'globals' -> 'webserver' -> 'exampleServlets' -> 'lobbyBrowserServlet' -> 'parent' -> () From: ( | { 'Category: contents for object\x7fModuleInfo: Module: lobbyBrowserServlet InitialContents: FollowSlot' wrapCode: c = ( | | [|:t | '<', t, '>', c, '</', t, '>'] value: ((c includes: '\n') ifTrue: 'pre' False: 'code')). } | ) '-- Side effects' globals modules lobbyBrowserServlet postFileIn
Self
4
russellallen/self-webserver
objects/lobbyBrowserServlet.self
[ "MIT" ]
% Author: Lucio Mauro Duarte %%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%% % WHILE TRUE STATEMENTS % INPUT: % while (true) { <statements> } % % OUTPUT: % while (true) % { % System.err.println ("REP_ENTER:(true)#" + true + "#" + <class name> + "=" + <object ID> + "#" + "{" + <Attributes> + "}" + "#" + <PC> + ";"); % { % <statements> % } System.err.println ("REP_END:(true)" + "#" + <class name> + "=" + <object ID> + "#" + <PC> + ";"); % } rule traced_true_while replace [statement] RS [original_statement] deconstruct RS 'while '( E [expression] ') S [statement] deconstruct E 'true % Current attribute list import attrib_list [printable_list] % Current PC number import counter [number] % Class ID import NAME [id] % Object ID import OID [printable_list] import SEP [stringlit] import END [stringlit] import LCB [stringlit] import RCB [stringlit] import LPAR [stringlit] import RPAR [stringlit] import COLON [stringlit] import REP_ENTER [stringlit] import REP_END [stringlit] % Statement label construct EXP [stringlit] _ [quote E] % Includes information about values of attributes construct ATTR_MSG [printable_list] LCB '+ attrib_list '+ RCB % Includes ID information construct ID [stringlit] _ [quote counter] construct COMP_MSG [printable_list] REP_ENTER '+ COLON '+ LPAR '+ EXP '+ RPAR '+ SEP '+ 'true '+ SEP '+ NAME '+ "=" '+ OID '+ SEP '+ ATTR_MSG '+ SEP '+ ID '+ END construct MSG_CMD [print_statement] System.err.println(COMP_MSG); % Updates ID information export counter counter [+ 1] construct END_MSG [printable_list] REP_END '+ COLON '+ LPAR '+ EXP '+ RPAR '+ SEP '+ NAME '+ "=" '+ OID '+ SEP '+ ID '+ END construct END_MSG_CMD [print_statement] System.err.println(END_MSG); % Marks statement as processed and includes annotations construct NB [processed_statement] '{ MSG_CMD S END_MSG_CMD '} % Generates new annotated statement construct NW [processed_statement] 'while '( E ') NB by NW end rule %%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%% % WHILE STATEMENTS % INPUT: % while (<cond>) { <statements> } % % OUTPUT: % { % while (<cond>) % { % System.err.println ("REP_ENTER:(<cond>)#" + true + "#" + <class name> + "=" + <object ID> + "#" + "{" + <Attributes> + "}" + "#" + <PC> + ";"); % { % <statements> % } System.err.println ("REP_END:(<cond>)" + "#" + <class name> + "=" + <object ID> + "#" + <PC> + ";"); % } % System.err.println ("REP_ENTER:(<cond>)#" + false + "#" + <class name> + "=" + <object ID> + "#" + "{" + <Attributes> + "}" + "#" + <PC> + ";"); % System.err.println ("REP_END:(<cond>)" + "#" + <class name> + "=" + <object ID> + "#" + <PC> + ";"); % } rule traced_while replace [statement] RS [original_statement] deconstruct RS 'while '( E [expression] ') S [statement] % Current attribute list import attrib_list [printable_list] % Current PC number import counter [number] % Class name import NAME [id] % Object ID import OID [printable_list] import SEP [stringlit] import END [stringlit] import LCB [stringlit] import RCB [stringlit] import LPAR [stringlit] import RPAR [stringlit] import COLON [stringlit] import REP_ENTER [stringlit] import REP_END [stringlit] % Creates annotations construct EXP [stringlit] _ [quote E] % Includes information about values of attributes construct ATTR_MSG [printable_list] LCB '+ attrib_list '+ RCB % Includes ID information construct ID [stringlit] _ [quote counter] % Updates ID information export counter counter [+ 1] construct COMP_MSG [printable_list] REP_ENTER '+ COLON '+ LPAR '+ EXP '+ RPAR '+ SEP '+ 'true '+ SEP '+ NAME '+ "=" '+ OID '+ SEP '+ ATTR_MSG '+ SEP '+ ID '+ END construct MSG_CMD [print_statement] System.err.println(COMP_MSG); construct COMP_NOT_MSG [printable_list] REP_ENTER '+ COLON '+ LPAR '+ EXP '+ RPAR '+ SEP '+ 'false '+ SEP '+ NAME '+ "=" '+ OID '+ SEP '+ ATTR_MSG '+ SEP '+ ID '+ END construct NOT_MSG_CMD [print_statement] System.err.println(COMP_NOT_MSG); construct END_MSG [printable_list] REP_END '+ COLON '+ LPAR '+ EXP '+ RPAR '+ SEP '+ NAME '+ "=" '+ OID '+ SEP '+ ID '+ END construct END_MSG_CMD [print_statement] System.err.println(END_MSG); % Marks statement as processed and includes annotations construct NB [processed_statement] '{ MSG_CMD S END_MSG_CMD '} % Creates new while statement construct NW [processed_statement] 'while '( E ') NB % Constructs new annotated while statement construct NS [processed_statement] '{ NW NOT_MSG_CMD END_MSG_CMD '} by NS end rule %%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%% % DO-WHILE TRUE STATEMENTS (converted to a WHILE TRUE) % INPUT: % do { <statements> } while (true); % % OUTPUT: % { % { % <statements> % } while (true) % { % System.err.println ("REP_ENTER:(true)#" + true + "#" + WhileTest.class.getName () + "=" + hashCode () + "#" + "{" + "" + "}" + "#" + "0" + ";"); % { % <statements> % } System.err.println ("REP_END:(true)" + "#" + WhileTest.class.getName () + "=" + hashCode () + "#" + "0" + ";"); % } % % System.err.println ("REP_ENTER:(true)#" + false + "#" + WhileTest.class.getName () + "=" + hashCode () + "#" + "{" + "" + "}" + "#" + "0" + ";"); % System.err.println ("REP_END:(true)" + "#" + WhileTest.class.getName () + "=" + hashCode () + "#" + "0" + ";"); % } rule traced_true_do_while replace [statement] RS [original_statement] deconstruct RS 'do S [statement] 'while '( E [expression] ') '; deconstruct E 'true % Current attribute list import attrib_list [printable_list] % Current PC number import counter [number] % Class name import NAME [id] % Object ID import OID [printable_list] import SEP [stringlit] import END [stringlit] import LCB [stringlit] import RCB [stringlit] import LPAR [stringlit] import RPAR [stringlit] import COLON [stringlit] import REP_ENTER [stringlit] import REP_END [stringlit] % Statement label construct EXP [stringlit] _ [quote E] % Includes information about values of attributes construct ATTR_MSG [printable_list] LCB '+ attrib_list '+ RCB % Includes ID information construct ID [stringlit] _ [quote counter] % Updates ID information export counter counter [+ 1] construct COMP_MSG [printable_list] REP_ENTER '+ COLON '+ LPAR '+ EXP '+ RPAR '+ SEP '+ 'true '+ SEP '+ NAME '+ "=" '+ OID '+ SEP '+ ATTR_MSG '+ SEP '+ ID '+ END construct MSG_CMD [print_statement] System.err.println(COMP_MSG); construct END_MSG [printable_list] REP_END '+ COLON '+ LPAR '+ EXP '+ RPAR '+ SEP '+ NAME '+ "=" '+ OID '+ SEP '+ ID '+ END construct END_MSG_CMD [print_statement] System.err.println(END_MSG); % Marks statement as processed and includes annotations construct NB [processed_statement] '{ MSG_CMD S END_MSG_CMD '} % Creates new while statement construct NW [processed_statement] 'while '( E ') NB % Constructs new annotated while statement construct NS [processed_statement] '{ S NW '} by NS end rule %%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%% % DO-WHILE STATEMENTS (converted to WHILE STATEMENTS) % INPUT: % do { <statements> } while (<cond>); % % OUTPUT: % { % { % <statements> % } while (<cond>) % { % System.err.println ("REP_ENTER:(<cond>)#" + true + "#" + <class name> + "=" + <object ID> + "#" + "{" + <attributes> + "}" + "#" + <PC> + ";"); % { % <statements> % } System.err.println ("REP_END:(<cond>)" + "#" + <class name> + "=" + <object ID> + "#" + <PC> + ";"); % } % % System.err.println ("REP_ENTER:(<cond>)#" + false + "#" + <class name> + "=" + <object ID> + "#" + "{" + <attributes> + "}" + "#" + <PC> + ";"); % System.err.println ("REP_END:(<cond>)" + "#" + <class name> + "=" + <object ID> + "#" + <PC> + ";"); % } % Includes trace information in do-statements rule traced_do_while replace [statement] RS [original_statement] deconstruct RS 'do S [statement] 'while '( E [expression] ') '; % Current attribute list import attrib_list [printable_list] % Current PC number import counter [number] % Class name import NAME [id] % Object ID import OID [printable_list] import SEP [stringlit] import END [stringlit] import LCB [stringlit] import RCB [stringlit] import LPAR [stringlit] import RPAR [stringlit] import COLON [stringlit] import REP_ENTER [stringlit] import REP_END [stringlit] % Creates annotations construct EXP [stringlit] _ [quote E] % Includes information about values of attributes construct ATTR_MSG [printable_list] LCB '+ attrib_list '+ RCB construct ID [stringlit] _ [quote counter] construct COMP_MSG [printable_list] REP_ENTER '+ COLON '+ LPAR '+ EXP '+ RPAR '+ SEP '+ 'true '+ SEP '+ NAME '+ "=" '+ OID '+ SEP '+ ATTR_MSG '+ SEP '+ ID '+ END construct MSG_CMD [print_statement] System.err.println(COMP_MSG); construct COMP_NOT_MSG [printable_list] REP_ENTER '+ COLON '+ LPAR '+ EXP '+ RPAR '+ SEP '+ 'false '+ SEP '+ NAME '+ "=" '+ OID '+ SEP '+ ATTR_MSG '+ SEP '+ ID '+ END construct NOT_MSG_CMD [print_statement] System.err.println(COMP_NOT_MSG); % Updates ID information export counter counter [+ 1] construct END_MSG [printable_list] REP_END '+ COLON '+ LPAR '+ EXP '+ RPAR '+ SEP '+ NAME '+ "=" '+ OID '+ SEP '+ ID '+ END construct END_MSG_CMD [print_statement] System.err.println(END_MSG); % Marks statement as processed and includes annotations construct NB [processed_statement] '{ MSG_CMD S END_MSG_CMD '} construct NW [processed_statement] 'while '( E ') NB construct NS [processed_statement] '{ S NW NOT_MSG_CMD END_MSG_CMD '} by NS end rule %%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%% % FOR-TRUE STATEMENTS % INPUT: % for ([<ini>];;[<upd>]) { <statements> } % % OUTPUT: % for ([<ini>];;[<upd>]) % { % System.err.println ("REP_ENTER:(true)#" + true + "#" + <class name> + "=" + <object ID> + "#" + "{" + <attributes> + "}" + "#" + <PC>); % { % <statements> % } System.err.println ("REP_END:(true)" + "#" + <class name> + "=" + <object ID> + "#" + <PC>); % } rule traced_true_for replace [statement] RS [original_statement] deconstruct RS 'for '( FI [for_init] FE [for_expression] FU [for_update] ') S [statement] deconstruct FE 'true '; % Current attribute list import attrib_list [printable_list] % Current ID number import counter [number] % Class name import NAME [id] % Object ID import OID [printable_list] import SEP [stringlit] import END [stringlit] import LCB [stringlit] import RCB [stringlit] import LPAR [stringlit] import RPAR [stringlit] import COLON [stringlit] import REP_ENTER [stringlit] import REP_END [stringlit] % Creates annotations construct EXP [stringlit] _ [quote FE] % Includes information about values of attributes construct ATTR_MSG [printable_list] LCB '+ attrib_list '+ RCB % Includes ID information construct ID [stringlit] _ [quote counter] construct COMP_MSG [printable_list] REP_ENTER '+ COLON '+ LPAR '+ EXP '+ RPAR '+ SEP '+ 'true '+ SEP '+ NAME '+ "=" '+ OID '+ SEP '+ ATTR_MSG '+ SEP '+ ID '+ END construct MSG_CMD [print_statement] System.err.println(COMP_MSG); % Updates ID information export counter counter [+ 1] construct END_MSG [printable_list] REP_END '+ COLON '+ LPAR '+ EXP '+ RPAR '+ SEP '+ NAME '+ "=" '+ OID '+ SEP '+ ID '+ END construct END_MSG_CMD [print_statement] System.err.println(END_MSG); % Marks statement as processed and includes annotations construct NB [processed_statement] '{ MSG_CMD S END_MSG_CMD '} construct NF [processed_statement] 'for '( FI FE FU ') NB by NF end rule %%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%% % FOR STATEMENTS % INPUT: % for ([<ini>];<cond>;[<upd>]) { <statements> } % % OUTPUT: % { % for ([<ini>];<cond>;[<upd>]) % { % System.err.println ("REP_ENTER:(<cond>)#" + true + "#" + <class name> + "=" + <object ID> + "#" + "{" + <attributes> + "}" + "#" + <PC>); % { % <statements> % } System.err.println ("REP_END:(<cond>)" + "#" + <class name> + "=" + <object ID> + "#" + <PC>); % } % System.err.println ("REP_ENTER:(<cond>)#" + false + "#" + <class name> + "=" + <object ID> + "#" + "{" + <attributes> + "}" + "#" + <PC>); % System.err.println ("REP_END:(<cond>)" + "#" + <class name> + "=" + <object ID> + "#" + <PC>); % } rule traced_for replace [statement] RS [original_statement] deconstruct RS 'for '( FI [for_init] FE [for_expression] FU [for_update] ') S [statement] deconstruct FE E [expression] '; % Current attribute list import attrib_list [printable_list] % Current ID number import counter [number] % Class name import NAME [id] % Object ID import OID [printable_list] import SEP [stringlit] import END [stringlit] import LCB [stringlit] import RCB [stringlit] import LPAR [stringlit] import RPAR [stringlit] import COLON [stringlit] import REP_ENTER [stringlit] import REP_END [stringlit] % Creates annotations construct EXP [stringlit] _ [quote E] % Includes information about values of attributes construct ATTR_MSG [printable_list] LCB '+ attrib_list '+ RCB % Includes ID information construct ID [stringlit] _ [quote counter] construct COMP_MSG [printable_list] REP_ENTER '+ COLON '+LPAR '+ EXP '+ RPAR '+ SEP '+ 'true '+ SEP '+ NAME '+ "=" '+ OID '+ SEP '+ ATTR_MSG '+ SEP '+ ID '+ END construct MSG_CMD [print_statement] System.err.println(COMP_MSG); construct COMP_MSG_NOT [printable_list] REP_ENTER '+ COLON '+ LPAR '+ EXP '+ RPAR '+ SEP '+ 'false '+ SEP '+ NAME '+ "=" '+ OID '+ SEP '+ ATTR_MSG '+ SEP '+ ID '+ END construct NOT_MSG_CMD [print_statement] System.err.println(COMP_MSG_NOT); % Updates ID information export counter counter [+ 1] construct END_MSG [printable_list] REP_END '+ COLON '+ LPAR '+ EXP '+ RPAR '+ SEP '+ NAME '+ "=" '+ OID '+ SEP '+ ID '+ END construct END_MSG_CMD [print_statement] System.err.println(END_MSG); % Marks statement as processed and includes annotations construct NB [processed_statement] '{ MSG_CMD S END_MSG_CMD '} construct NF [processed_statement] 'for '( FI FE FU ') NB construct NS [processed_statement] '{ NF NOT_MSG_CMD END_MSG_CMD '} by NS end rule
TXL
5
levindoneto/LTSExtractor-GUI
ltse-tool/rules/RepetitionRules.txl
[ "Apache-2.0" ]
USING: emojify tools.test ; { "I ❀️ Factor πŸ‘" } [ "I :heart: Factor :+1:" emojify ] unit-test
Factor
4
alex-ilin/factor
extra/emojify/emojify-tests.factor
[ "BSD-2-Clause" ]
#!/bin/tcsh set nl=10 set epsilon=0.05 set n=400 echo nl=$nl echo n=$n echo epsilon=$epsilon #rm stencil9 if -e stencil9 then else chpl --fast stencil9.chpl -o stencil9 endif echo 'Block (B)' ./stencil9 -nl $nl --dist=B --n=$n --epsilon=$epsilon --messages ./stencil9 -nl $nl --dist=B --n=$n --epsilon=$epsilon --timeit echo 'Cyclic (C)' ./stencil9 -nl $nl --dist=C --n=$n --epsilon=$epsilon --messages ./stencil9 -nl $nl --dist=C --n=$n --epsilon=$epsilon --timeit echo 'Cyclic with modulo unrolling (CM)' ./stencil9 -nl $nl --dist=CM --n=$n --epsilon=$epsilon --correct ./stencil9 -nl $nl --dist=CM --n=$n --epsilon=$epsilon --messages ./stencil9 -nl $nl --dist=CM --n=$n --epsilon=$epsilon --timeit echo 'No distribution (NONE)' ./stencil9 -nl $nl --dist=NONE --n=$n --timeit
Tcsh
3
jhh67/chapel
test/users/aroonsharma/Stencil/dostencil9bench.tcsh
[ "ECL-2.0", "Apache-2.0" ]
mutation DeleteRequest($requestID: ID!) { deleteRequest(requestID: $requestID) }
GraphQL
3
miily8310s/hoppscotch
packages/hoppscotch-app/helpers/backend/gql/mutations/DeleteRequest.graphql
[ "MIT" ]
DELIMITER $$ CREATE DEFINER=`root`@`localhost` PROCEDURE `FIND_CAR_BY_YEAR`(in p_year int) begin SELECT ID, MODEL, YEAR FROM CAR WHERE YEAR = p_year; end$$ DELIMITER ;
SQL
4
zeesh49/tutorials
jpa-storedprocedure/src/main/resources/config/database/FindCarByYearProcedureMySQL.sql
[ "MIT" ]
source "https://rubygems.org" gem "rails", "~> 5.1.4" gem 'rspec-rails', '3.6.0' gem 'rspec', '3.6.0' gem "sqlite3", '~> 1.3.4' gem "mime-types", "~> 2.99" gem 'rails-controller-testing' gemspec :path=>"../"
Logos
3
shanipribadi/phonelib
gemfiles/Gemfile.rails-5.1.x
[ "MIT" ]
/* Copyright 2020 The TensorFlow Authors. All Rights Reserved. Licensed under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License. You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. See the License for the specific language governing permissions and limitations under the License. ==============================================================================*/ #ifndef TF_CORE_PLATFORM_TF2_UTILS_H_ #define TF_CORE_PLATFORM_TF2_UTILS_H_ namespace tensorflow { // Sets the tf2 execution state. This can be used to indicate whether the user // has explicitly asked for tf2 execution. void set_tf2_execution(bool enabled); // Returns true or false depending on whether the user flag for tf2 execution // has been set. The default is false. bool tf2_execution_enabled(); } // namespace tensorflow #endif // TF_CORE_PLATFORM_TF2_UTILS_H_
C
3
EricRemmerswaal/tensorflow
tensorflow/core/platform/enable_tf2_utils.h
[ "Apache-2.0" ]
tab_mode $usepop/pop/ved/src/vdkeys.p tabify $popautolib/tabify.p tabifyline $popautolib/tabify.p table_action $popliblib/reformat.p tabs $popautolib/tabs.p tabs_to_spaces $usepop/pop/packages/vedmail/auto/ved_send.p tabs_to_spaces $usepop/pop/packages/vedmail/auto/ved_sendmr.p take $usepop/pop/packages/newkit/sim/demo/sim_sheepdog-old.p take $usepop/pop/packages/newkit/sim/demo/sim_sheepdog.p take $usepop/pop/packages/newkit/sim/lib/sim_sheepdog.p take $usepop/pop/packages/newkit/sim/teach/sim_sheepdog.p takeout $usepop/pop/packages/teaching/lib/river.p tallpr $usepop/pop/packages/neural/src/pop/nui_utils.p tan $popsrc/trigc.p tanh $popsrc/trigh.p target $usepop/pop/packages/newkit/sim/demo/sim_sheepdog-old.p target $usepop/pop/packages/newkit/sim/demo/sim_sheepdog.p target $usepop/pop/packages/newkit/sim/lib/sim_sheepdog.p target $usepop/pop/packages/newkit/sim/teach/sim_sheepdog.p tc1 $usepop/pop/ved/src/vdtermcap.p tc2 $usepop/pop/ved/src/vdtermcap.p tc3 $usepop/pop/ved/src/vdtermcap.p tdraw $usepop/pop/lib/turtle/tdraw.p teach $popautolib/teach.p teach $usepop/pop/packages/rcmenu/menus/menu_teach.p teach_all $usepop/pop/packages/neural/src/pop/nui_main.p teach_select $usepop/pop/packages/neural/src/pop/nui_main.p teecompat $usepop/pop/lib/turtle/lamlabel.p telephone_book $usepop/pop/lib/objectclass/lib/objectclass_example.p telephone_number $usepop/pop/lib/objectclass/lib/objectclass_example.p telephone_number updaterof $usepop/pop/lib/objectclass/lib/objectclass_example.p tell $usepop/pop/plog/src/io.p template_filetype $usepop/pop/packages/neural/src/pop/nn_examplesets.p termcap_compile $popsrc/termcap.p termcap_getentry $popsrc/termcap.p termcap_getflag $popsrc/termcap.p termcap_getnum $popsrc/termcap.p termcap_getstring $popsrc/termcap.p termcap_name $popsrc/termcap.p termcap_name updaterof $popsrc/termcap.p terminpdr $usepop/pop/lisp/src/tmacs.p test $usepop/pop/packages/newkit/prb/test/testnewpoprulebase.p test $usepop/pop/packages/popvision/lib/apppolygon.p test $usepop/pop/packages/teaching/lib/gramdemo.p test1 $usepop/pop/packages/newkit/prb/test/testpoprulebase.p test1 $usepop/pop/packages/newkit/prb/test/testpoprulebase.p test1 $usepop/pop/packages/newkit/prb/test/testpoprulebase.p test2 $usepop/pop/packages/newkit/prb/test/testpoprulebase.p test2 $usepop/pop/packages/newkit/prb/test/testpoprulebase.p test2 $usepop/pop/packages/newkit/prb/test/testpoprulebase.p test_acceptor $usepop/pop/packages/rclib/auto/rc_display_file.p test_acceptor $usepop/pop/packages/rclib/auto/rc_display_strings.p test_all $usepop/pop/packages/neural/src/pop/nui_main.p test_arg $usepop/pop/packages/vedutils/auto/ved_tli.p test_blob $usepop/pop/packages/rclib/auto/rc_draw_blob.p test_blob $usepop/pop/packages/rclib/auto/rc_draw_scaled_blob.p test_cat $usepop/pop/packages/teaching/lib/facets.p test_compile $usepop/pop/packages/teaching/auto/ved_vcalc.p test_fac $usepop/pop/packages/newkit/prb/test/testpoprulebase.p test_interactive $usepop/pop/packages/neural/src/pop/nui_main.p test_length $usepop/pop/packages/rclib/auto/rc_draw_blob.p test_length $usepop/pop/packages/rclib/auto/rc_draw_scaled_blob.p test_move $usepop/pop/packages/rclib/auto/rc_coloured_circles.p test_move $usepop/pop/packages/rclib/auto/rc_draw_blob.p test_moves $usepop/pop/packages/rclib/teach/rc_linepic.demo.p test_name $usepop/pop/packages/teaching/teach/induce_rules.p test_popen $popautolib/sys_popen.p test_pp $usepop/pop/packages/newkit/prb/test/testpoprulebase.p test_select $usepop/pop/packages/neural/src/pop/nui_main.p test_unify $usepop/pop/pml/src/types.p test_values $usepop/pop/packages/teaching/teach/induce_rules.p test_word $usepop/pop/packages/teaching/lib/facets.p testall $usepop/pop/packages/teaching/auto/ttt.p testbit $popsrc/testbit.p testbit updaterof $popsrc/testbit.p testdef $popsrc/pop11_syntax.p testeq $usepop/pop/packages/teaching/lib/logic.p testflag $popautolib/popdefineprocedure.p testflag updaterof $popautolib/popdefineprocedure.p testfn $usepop/pop/packages/newkit/prb/test/testnewpoprulebase.p testglobal1 $usepop/pop/packages/newkit/prb/test/syntaxtests.p testglobal2 $usepop/pop/packages/newkit/prb/test/syntaxtests.p testit $usepop/pop/packages/rclib/auto/print_to_strings.p testitem $usepop/pop/pml/src/parse.p testline $usepop/pop/packages/teaching/auto/ttt.p testmember $usepop/pop/packages/teaching/lib/gramdemo.p testpic $usepop/pop/packages/rclib/lib/rc_linked_pic.p testpopneural $usepop/pop/packages/neural/demos/testfile.p testproc $usepop/pop/packages/newkit/sim/auto/veddiscout.p testproc $usepop/pop/packages/rclib/auto/veddiscout.p testproc $usepop/pop/packages/vedutils/auto/veddiscout.p testproc $usepop/pop/x/pop/lib/rc_drawgraph.p testrs $usepop/pop/packages/newkit/prb/auto/define_rulesystem.p testrs $usepop/pop/packages/newkit/prb/test/syntaxtests.p testrules $usepop/pop/packages/newkit/prb/test/testnewpoprulebase.p text_accepter $usepop/pop/x/ui/lib/pop_ui_text_input.p text_box_busy $usepop/pop/x/ui/lib/pop_ui_text_input.p text_box_busy updaterof $usepop/pop/x/ui/lib/pop_ui_text_input.p text_field_instance $usepop/pop/packages/rclib/auto/rc_control_panel.p text_input_cb $usepop/pop/x/ui/lib/pop_ui_text_input.p textfield_string_x $usepop/pop/packages/neural/src/pop/nui_xpanels.p textfield_string_x updaterof $usepop/pop/packages/neural/src/pop/nui_xpanels.p textin_field_instance $usepop/pop/packages/rclib/auto/rc_control_panel.p textrightlim $usepop/pop/ved/src/vdscroll.p the_box $usepop/pop/packages/newkit/sim/demo/rib/ijcai95.p the_box $usepop/pop/packages/newkit/sim/demo/rib/orig/ijcai95.p the_date $usepop/pop/packages/master/lib/newmaster/utils.p the_hand $usepop/pop/packages/rclib/lib/rc_hand.p the_proc $usepop/pop/packages/teaching/lib/blocks/utils.p the_user $usepop/pop/packages/master/lib/newmaster/utils.p the_year $usepop/pop/packages/master/lib/newmaster/utils.p thick2 $usepop/pop/packages/rclib/teach/rc_linepic.demo.p thing_data $usepop/pop/packages/newkit/prb/lib/prbriver.p thing_data $usepop/pop/packages/newkit/prb/teach/prbrunriver.p thing_data $usepop/pop/packages/newkit/prb/test/prbriver.p thing_data $usepop/pop/packages/newkit/prb/test/prbriver2.p thing_data $usepop/pop/packages/newkit/prb/test/prbrunriver.p think $usepop/pop/lib/database/think.p think $usepop/pop/packages/teaching/lib/elizaprog-2005.p think $usepop/pop/packages/teaching/lib/elizaprog.p thislinestart $popliblib/reformat.p thisname $usepop/pop/packages/vedutils/auto/ved_browse.p thisname $usepop/pop/packages/vedutils/auto/ved_vman.p threshold $usepop/pop/lib/turtle/convolve.p threshold_drop_func $usepop/pop/packages/brait/lib/activation_functions.p threshold_rise_func $usepop/pop/packages/brait/lib/activation_functions.p throw $popautolib/catch.p throw $popsrc/lispcore.p tidy $usepop/pop/packages/teaching/lib/stroppy.p tidy_addresses_in_line $usepop/pop/packages/vedmail/auto/ved_tah.p tidy_addresses_in_line $usepop/pop/packages/vedmail/auto/ved_tmh.p tilde $usepop/pop/pml/src/lex.p time $popliblib/time.p time_rotate $usepop/pop/packages/rclib/teach/rclib_demo.p timediff $popautolib/timediff.p times_n $usepop/pop/lisp/src/numbers.p title $usepop/pop/lib/lr_parser/lib/lr_trace.p title $usepop/pop/packages/master/auto/newmaster_utils.p title_to_widget $usepop/pop/x/ui/lib/pop_ui_propertytool.p tjump $usepop/pop/lib/turtle/tjump.p tl $popsrc/list_hdtl.p tl updaterof $popsrc/list_hdtl.p tlclip $usepop/pop/packages/popvision/lib/rc_array.p tlookup $usepop/pop/packages/popvision/lib/arraylookup.p tlookup1a $usepop/pop/packages/popvision/lib/arraylookup.p tlookup1b $usepop/pop/packages/popvision/lib/arraylookup.p tlookup1c $usepop/pop/packages/popvision/lib/arraylookup.p tmac $usepop/pop/lisp/src/itemise.p tmpclr_cache_prop $popliblib/odbc.p tmpval_cache_prop $popliblib/odbc.p to_be $usepop/pop/packages/teaching/lib/elizaprog-2005.p to_be $usepop/pop/packages/teaching/lib/elizaprog.p to_bigendian $usepop/pop/packages/popvision/lib/sunrasterfile.p to_proc $usepop/pop/packages/teaching/lib/blocks/utils.p to_string $popliblib/showtree.p tobytevec $usepop/pop/packages/popvision/lib/arraylookup.p tofloat $usepop/pop/packages/popvision/include/mlp.ph tofloat $usepop/pop/packages/popvision/lib/float_arrayprocs.p tofloatvec $usepop/pop/packages/popvision/lib/arraylookup.p toggle $usepop/pop/pml/src/lexitems.p toggle updaterof $usepop/pop/pml/src/lexitems.p toggle_clock $usepop/pop/packages/rcmenu/menus/menu_user.p toggle_delete $popvedlib/ved_draw.p toggle_field $usepop/pop/packages/neural/src/pop/nn_dtconverters.p toggle_field updaterof $usepop/pop/packages/neural/src/pop/nn_dtconverters.p toggle_to_real $usepop/pop/packages/neural/src/pop/nn_dtconverters.p token_valof $usepop/pop/lisp/src/tokens.p tokens_part $usepop/pop/lib/lr_parser/auto/define_parser.p tool_demos $usepop/pop/x/ui/lib/pop_ui_popcontroltool.p tool_help $usepop/pop/x/ui/lib/pop_ui_popcontroltool.p tool_libraries $usepop/pop/x/ui/lib/pop_ui_popcontroltool.p toolong $usepop/pop/packages/teaching/lib/elizaprog-2005.p toolong $usepop/pop/packages/teaching/lib/elizaprog.p top_level_after_hook $usepop/pop/lisp/src/compile.p top_level_before_hook $usepop/pop/lisp/src/compile.p top_level_eval $usepop/pop/lisp/src/compile.p top_level_listen $usepop/pop/lisp/src/compile.p top_level_loop $usepop/pop/lisp/src/compile.p top_level_print $usepop/pop/lisp/src/compile.p top_level_read $usepop/pop/lisp/src/readutils.p toplevel $usepop/pop/packages/rcmenu/menus/menu_toplevel.p topological_sort $usepop/pop/lib/objectclass/src/inheritance.p topology_mouse_at_cb $usepop/pop/packages/neural/src/pop/nn_gfxevents.p topology_mouse_out $usepop/pop/packages/neural/src/pop/nn_gfxevents.p topology_move_to $usepop/pop/packages/neural/src/pop/nn_gfxevents.p topology_press_at $usepop/pop/packages/neural/src/pop/nn_gfxevents.p topology_quit $usepop/pop/packages/neural/src/pop/nn_gfxevents.p topology_quit_cb $usepop/pop/packages/neural/src/pop/nn_gfxevents.p topology_release_at $usepop/pop/packages/neural/src/pop/nn_gfxevents.p topology_resized $usepop/pop/packages/neural/src/pop/nn_gfxevents.p topology_resized_cb $usepop/pop/packages/neural/src/pop/nn_gfxevents.p trace $popsrc/trace.p trace_add $usepop/pop/pml/src/trace.p trace_apply $usepop/pop/lib/lr_parser/lib/lr_trace.p trace_check $usepop/pop/pml/src/trace.p trace_command $usepop/pop/pml/src/trace.p trace_every_method $usepop/pop/lib/objectclass/auto/trace_method.p trace_match $usepop/pop/packages/lib/poprulebase.p trace_match $usepop/pop/packages/newkit/prb/lib/poprulebase.p trace_matches $usepop/pop/lib/objectclass/src/preferences.p trace_me $usepop/pop/lib/objectclass/auto/trace_method.p trace_message $usepop/pop/pml/src/trace.p trace_method $usepop/pop/lib/objectclass/auto/trace_method.p trace_print $usepop/pop/pml/src/trace.p trailer $usepop/pop/packages/master/lib/newmaster/utils.p train $usepop/pop/packages/rclib/demo/rc_neural.p train $usepop/pop/packages/rclib/teach/rc_neural.p train_all $usepop/pop/packages/rclib/demo/rc_neural.p train_all $usepop/pop/packages/rclib/teach/rc_neural.p train_net $usepop/pop/packages/rclib/demo/rc_neural.p train_net $usepop/pop/packages/rclib/teach/rc_neural.p trans $popvedlib/vedinkeys.p transform_body $usepop/pop/plog/src/transform.p transform_param $popautolib/format_print.p transform_pic $usepop/pop/packages/rclib/auto/rc_transform_pictures.p transform_places $usepop/pop/packages/rclib/lib/rc_text_input.p transformtree $popliblib/showtree.p translate $usepop/pop/packages/teaching/lib/oldlisp.p translate_argument $usepop/pop/packages/master/lib/newmaster/history.p translate_flags $popvedlib/ved_print.p translate_logical_pathname $usepop/pop/lisp/src/pathnames.p translate_pathname $usepop/pop/lisp/src/pathnames.p translations_string $usepop/pop/x/ved/src/xvedresources.p transpatt $popautolib/sys_file_match.p transportable $usepop/pop/packages/teaching/lib/river.p tree1 $usepop/pop/packages/newkit/sim/demo/pcw.sheep.p tree1 $usepop/pop/packages/newkit/sim/demo/sim_sheepdog-old.p tree1 $usepop/pop/packages/newkit/sim/demo/sim_sheepdog.p tree1 $usepop/pop/packages/newkit/sim/lib/sim_sheepdog.p tree1 $usepop/pop/packages/newkit/sim/teach/sim_sheep.p tree1 $usepop/pop/packages/newkit/sim/teach/sim_sheepdog.p tree2 $usepop/pop/packages/newkit/sim/demo/pcw.sheep.p tree2 $usepop/pop/packages/newkit/sim/demo/sim_sheepdog-old.p tree2 $usepop/pop/packages/newkit/sim/demo/sim_sheepdog.p tree2 $usepop/pop/packages/newkit/sim/lib/sim_sheepdog.p tree2 $usepop/pop/packages/newkit/sim/teach/sim_sheep.p tree2 $usepop/pop/packages/newkit/sim/teach/sim_sheepdog.p tree3 $usepop/pop/packages/newkit/sim/demo/sim_sheepdog-old.p tree3 $usepop/pop/packages/newkit/sim/demo/sim_sheepdog.p tree3 $usepop/pop/packages/newkit/sim/lib/sim_sheepdog.p tree3 $usepop/pop/packages/newkit/sim/teach/sim_sheepdog.p tree4 $usepop/pop/packages/newkit/sim/demo/sim_sheepdog-old.p tree4 $usepop/pop/packages/newkit/sim/demo/sim_sheepdog.p tree4 $usepop/pop/packages/newkit/sim/lib/sim_sheepdog.p tree4 $usepop/pop/packages/newkit/sim/teach/sim_sheepdog.p tree_detect $usepop/pop/packages/newkit/sim/demo/sim_sheepdog-old.p tree_detect $usepop/pop/packages/newkit/sim/demo/sim_sheepdog.p tree_detect $usepop/pop/packages/newkit/sim/lib/sim_sheepdog.p tree_detect $usepop/pop/packages/newkit/sim/teach/sim_sheepdog.p tree_range $usepop/pop/packages/newkit/sim/demo/sim_sheepdog-old.p tree_range $usepop/pop/packages/newkit/sim/demo/sim_sheepdog.p tree_range $usepop/pop/packages/newkit/sim/lib/sim_sheepdog.p tree_range $usepop/pop/packages/newkit/sim/teach/sim_sheepdog.p tree_to_pd $usepop/pop/lib/objectclass/src/tree_to_pd.p treedetection $usepop/pop/packages/newkit/sim/demo/sim_sheepdog-old.p treedetection $usepop/pop/packages/newkit/sim/demo/sim_sheepdog.p treedetection $usepop/pop/packages/newkit/sim/lib/sim_sheepdog.p treedetection $usepop/pop/packages/newkit/sim/teach/sim_sheepdog.p trial_action_rules $usepop/pop/packages/newkit/sim/demo/pcw.sheep.p trial_action_rules $usepop/pop/packages/newkit/sim/demo/sim_sheepdog-old.p trial_action_rules $usepop/pop/packages/newkit/sim/teach/sim_sheep.p trial_agent $usepop/pop/packages/newkit/sim/demo/pcw.sheep.p trial_agent $usepop/pop/packages/newkit/sim/demo/sim_sheepdog-old.p trial_agent $usepop/pop/packages/newkit/sim/demo/sim_sheepdog.p trial_agent $usepop/pop/packages/newkit/sim/lib/hybrid_sheepdog.p trial_agent $usepop/pop/packages/newkit/sim/lib/sim_sheepdog.p trial_agent $usepop/pop/packages/newkit/sim/teach/sim_sheep.p trial_agent $usepop/pop/packages/newkit/sim/teach/sim_sheepdog.p trial_coords $usepop/pop/packages/newkit/sim/demo/pcw.sheep.p trial_coords $usepop/pop/packages/newkit/sim/demo/sim_sheepdog-old.p trial_coords $usepop/pop/packages/newkit/sim/demo/sim_sheepdog.p trial_coords $usepop/pop/packages/newkit/sim/lib/sim_sheepdog.p trial_coords $usepop/pop/packages/newkit/sim/teach/sim_sheep.p trial_coords $usepop/pop/packages/newkit/sim/teach/sim_sheepdog.p trial_dog $usepop/pop/packages/newkit/sim/demo/pcw.sheep.p trial_dog $usepop/pop/packages/newkit/sim/demo/sim_sheepdog-old.p trial_dog $usepop/pop/packages/newkit/sim/demo/sim_sheepdog.p trial_dog $usepop/pop/packages/newkit/sim/lib/sim_sheepdog.p trial_dog $usepop/pop/packages/newkit/sim/teach/sim_sheep.p trial_dog $usepop/pop/packages/newkit/sim/teach/sim_sheepdog.p trial_dog_rulesystem $usepop/pop/packages/newkit/sim/demo/pcw.sheep.p trial_dog_rulesystem $usepop/pop/packages/newkit/sim/demo/sim_sheepdog-old.p trial_dog_rulesystem $usepop/pop/packages/newkit/sim/demo/sim_sheepdog.p trial_dog_rulesystem $usepop/pop/packages/newkit/sim/lib/sim_sheepdog.p trial_dog_rulesystem $usepop/pop/packages/newkit/sim/teach/sim_sheep.p trial_dog_rulesystem $usepop/pop/packages/newkit/sim/teach/sim_sheepdog.p trial_instinct_rules $usepop/pop/packages/newkit/sim/demo/pcw.sheep.p trial_instinct_rules $usepop/pop/packages/newkit/sim/demo/sim_sheepdog-old.p trial_instinct_rules $usepop/pop/packages/newkit/sim/teach/sim_sheep.p trial_obstacle $usepop/pop/packages/newkit/sim/demo/pcw.sheep.p trial_obstacle $usepop/pop/packages/newkit/sim/demo/sim_sheepdog-old.p trial_obstacle $usepop/pop/packages/newkit/sim/demo/sim_sheepdog.p trial_obstacle $usepop/pop/packages/newkit/sim/lib/sim_sheepdog.p trial_obstacle $usepop/pop/packages/newkit/sim/teach/sim_sheep.p trial_obstacle $usepop/pop/packages/newkit/sim/teach/sim_sheepdog.p trial_obstacle_rules $usepop/pop/packages/newkit/sim/demo/pcw.sheep.p trial_obstacle_rules $usepop/pop/packages/newkit/sim/demo/sim_sheepdog-old.p trial_obstacle_rules $usepop/pop/packages/newkit/sim/teach/sim_sheep.p trial_pen $usepop/pop/packages/newkit/sim/demo/sim_sheepdog-old.p trial_pen $usepop/pop/packages/newkit/sim/demo/sim_sheepdog.p trial_pen $usepop/pop/packages/newkit/sim/lib/sim_sheepdog.p trial_pen $usepop/pop/packages/newkit/sim/teach/sim_sheepdog.p trial_perception_rules $usepop/pop/packages/newkit/sim/demo/pcw.sheep.p trial_perception_rules $usepop/pop/packages/newkit/sim/demo/sim_sheepdog-old.p trial_perception_rules $usepop/pop/packages/newkit/sim/teach/sim_sheep.p trial_post $usepop/pop/packages/newkit/sim/demo/pcw.sheep.p trial_post $usepop/pop/packages/newkit/sim/demo/sim_sheepdog-old.p trial_post $usepop/pop/packages/newkit/sim/demo/sim_sheepdog.p trial_post $usepop/pop/packages/newkit/sim/lib/sim_sheepdog.p trial_post $usepop/pop/packages/newkit/sim/teach/sim_sheep.p trial_post $usepop/pop/packages/newkit/sim/teach/sim_sheepdog.p trial_resolve_behaviour_rules $usepop/pop/packages/newkit/sim/demo/pcw.sheep.p trial_resolve_behaviour_rules $usepop/pop/packages/newkit/sim/demo/sim_sheepdog-old.p trial_resolve_behaviour_rules $usepop/pop/packages/newkit/sim/teach/sim_sheep.p trial_sheep $usepop/pop/packages/newkit/sim/demo/pcw.sheep.p trial_sheep $usepop/pop/packages/newkit/sim/demo/sim_sheepdog-old.p trial_sheep $usepop/pop/packages/newkit/sim/demo/sim_sheepdog.p trial_sheep $usepop/pop/packages/newkit/sim/lib/hybrid_sheepdog.p trial_sheep $usepop/pop/packages/newkit/sim/lib/sim_sheepdog.p trial_sheep $usepop/pop/packages/newkit/sim/teach/sim_sheep.p trial_sheep $usepop/pop/packages/newkit/sim/teach/sim_sheepdog.p trial_sheep_rulesystem $usepop/pop/packages/newkit/sim/demo/pcw.sheep.p trial_sheep_rulesystem $usepop/pop/packages/newkit/sim/demo/sim_sheepdog-old.p trial_sheep_rulesystem $usepop/pop/packages/newkit/sim/demo/sim_sheepdog.p trial_sheep_rulesystem $usepop/pop/packages/newkit/sim/lib/hybrid_sheepdog.p trial_sheep_rulesystem $usepop/pop/packages/newkit/sim/lib/sim_sheepdog.p trial_sheep_rulesystem $usepop/pop/packages/newkit/sim/teach/sim_sheep.p trial_sheep_rulesystem $usepop/pop/packages/newkit/sim/teach/sim_sheepdog.p trial_social_rules $usepop/pop/packages/newkit/sim/demo/pcw.sheep.p trial_social_rules $usepop/pop/packages/newkit/sim/demo/sim_sheepdog-old.p trial_social_rules $usepop/pop/packages/newkit/sim/teach/sim_sheep.p trial_target $usepop/pop/packages/newkit/sim/demo/sim_sheepdog-old.p trial_target $usepop/pop/packages/newkit/sim/demo/sim_sheepdog.p trial_target $usepop/pop/packages/newkit/sim/lib/sim_sheepdog.p trial_target $usepop/pop/packages/newkit/sim/teach/sim_sheepdog.p trial_tree $usepop/pop/packages/newkit/sim/demo/pcw.sheep.p trial_tree $usepop/pop/packages/newkit/sim/demo/sim_sheepdog-old.p trial_tree $usepop/pop/packages/newkit/sim/demo/sim_sheepdog.p trial_tree $usepop/pop/packages/newkit/sim/lib/sim_sheepdog.p trial_tree $usepop/pop/packages/newkit/sim/teach/sim_sheep.p trial_tree $usepop/pop/packages/newkit/sim/teach/sim_sheepdog.p triangle $usepop/pop/packages/teaching/lib/evpics.p tried $usepop/pop/packages/teaching/lib/psys.p trim_flags $popvedlib/ved_grep.p trim_n $usepop/pop/packages/popvision/lib/fft.p trim_n2_ref $usepop/pop/packages/popvision/lib/fft.p trip $usepop/pop/packages/rclib/auto/create_modified_instance.p tripcoords updaterof $usepop/pop/packages/rclib/auto/create_modified_instance.p trnAgentList $usepop/pop/packages/newkit/sim/lib/hybrid_sheepdog.p true $usepop/pop/plog/src/controlpreds.p true_in_view $popliblib/current_view.p true_vars $usepop/pop/packages/newkit/sim/lib/sim_harness.p truename $usepop/pop/lisp/src/files.p truncate $usepop/pop/lisp/src/numbers.p truncate_path $usepop/pop/lib/objectclass/src/method_table.p truthtable $usepop/pop/packages/teaching/lib/logic.p try $usepop/pop/packages/teaching/lib/elizaprog-2005.p try $usepop/pop/packages/teaching/lib/elizaprog.p try $usepop/pop/packages/teaching/lib/logic.p try $usepop/pop/packages/teaching/lib/psys.p try_action $usepop/pop/packages/teaching/lib/finger.p try_active_data $popvedlib/ved_do_text_action.p try_file_delete $popliblib/newpop.p try_file_move $popliblib/newpop.p try_hide $usepop/pop/packages/rcmenu/auto/define_menu.p try_instantiate $usepop/pop/packages/teaching/lib/elizaprog-2005.p try_instantiate $usepop/pop/packages/teaching/lib/elizaprog.p try_non_decimal $usepop/pop/lisp/src/itemise.p try_parse_aexp $usepop/pop/pml/src/parse.p try_parse_apat $usepop/pop/pml/src/parse.p try_parse_dec $usepop/pop/pml/src/parse.p try_parse_spec $usepop/pop/pml/src/parse.p try_set_default $usepop/pop/packages/lib/poprulebase.p try_set_default $usepop/pop/packages/newkit/prb/lib/poprulebase.p try_set_default $usepop/pop/packages/rclib/auto/rc_defaults.p try_static_eval $usepop/pop/pml/src/pregen.p try_status_line $popvedlib/vedfilecomplete.p tryadd $usepop/pop/packages/rclib/demo/aze.connect4.p tryaddall $usepop/pop/packages/rclib/demo/aze.connect4.p tryaliases $usepop/pop/packages/vedmail/auto/ved_alias.p tryall $usepop/pop/lib/database/forevery.p tryanswerquestion $usepop/pop/packages/teaching/lib/elizaprog.p trycompile $popsrc/pop11_compile.p trygenrules $usepop/pop/packages/teaching/lib/grammar.p trylisp_compile $usepop/pop/lisp/src/compile.p trylockfile $usepop/pop/packages/lockfile/lib/lockfiles.p trynext $popliblib/super.p trynext $usepop/pop/lib/database/foreach.p trynospy $usepop/pop/plog/src/spy.p trynumber $usepop/pop/packages/rclib/auto/rc_popup_query.p tryreadmailrc $usepop/pop/packages/vedmail/auto/ved_send.p tryreadmailrc $usepop/pop/packages/vedmail/auto/ved_sendmr.p tryreserved $usepop/pop/pml/src/lexitems.p tryrule $popliblib/tparse.p tryspy $usepop/pop/plog/src/spy.p trystep $usepop/pop/packages/teaching/lib/rhino.p tryunlockfile $usepop/pop/packages/lockfile/lib/lockfiles.p tryvedterminalselect $usepop/pop/ved/src/vdinitseq.p trywriteline $usepop/pop/packages/rclib/lib/rc_scrolltext.p ttt $usepop/pop/packages/teaching/auto/ttt.p tupled_wrapper $usepop/pop/pml/src/vmml.p tupletype $usepop/pop/pml/src/types.p turn $usepop/pop/lib/obsolete/graphic.p turn $usepop/pop/lib/turtle/lturtle.p turn $usepop/pop/lib/turtle/turn.p turnang $usepop/pop/lib/turtle/regions.p turnto $usepop/pop/lib/turtle/turnto.p turtle $usepop/pop/lib/obsolete/graphic.p turtle $usepop/pop/lib/turtle/lturtle.p turtle $usepop/pop/lib/turtle/turtle.p turtle $usepop/pop/lib/turtle/vturtle.p turtle_pr $usepop/pop/lib/turtle/turtle_pr.p tvi $popvedlib/tvi.p tvi925 $popvedlib/tvi925.p tw_substringout $usepop/pop/x/ved/src/xvedscreen.p txt_pause $usepop/pop/packages/neural/src/pop/nui_utils.p txtedit_menuloop $usepop/pop/packages/neural/src/pop/nui_txtedit.p txtneural_shutdown $usepop/pop/packages/neural/src/pop/nui_main.p txtneural_startup $usepop/pop/packages/neural/src/pop/nui_main.p tycon_arity $usepop/pop/pml/src/types.p type $popliblib/popprint.p type $usepop/pop/packages/teaching/lib/gramdemo.p type_cerror $usepop/pop/lisp/src/util.p type_definition $popautolib/fortran_dec.p type_deref $usepop/pop/pml/src/types.p type_error $popsrc/lispcore.p type_error $usepop/pop/lisp/src/util.p type_expand $usepop/pop/lisp/src/types.p type_expand $usepop/pop/pml/src/types.p type_expand1 $usepop/pop/lisp/src/types.p type_expander $usepop/pop/lisp/src/types.p type_expander updaterof $usepop/pop/lisp/src/types.p type_generalise $usepop/pop/pml/src/types.p type_idname $popliblib/typespec_utils.p type_instance $usepop/pop/pml/src/types.p type_mishap $usepop/pop/packages/popvision/lib/excall.p typecheck $usepop/pop/pml/src/typecheck.p typecheck_abstypedec $usepop/pop/pml/src/typecheck.p typecheck_clause $usepop/pop/pml/src/typecheck.p typecheck_conbinds $usepop/pop/pml/src/typecheck.p typecheck_databind $usepop/pop/pml/src/typecheck.p typecheck_datatypedec $usepop/pop/pml/src/typecheck.p typecheck_datatypespec $usepop/pop/pml/src/typecheck.p typecheck_dec $usepop/pop/pml/src/typecheck.p typecheck_exceptiondec $usepop/pop/pml/src/typecheck.p typecheck_exceptionspec $usepop/pop/pml/src/typecheck.p typecheck_exnbind $usepop/pop/pml/src/typecheck.p typecheck_exp $usepop/pop/pml/src/typecheck.p typecheck_external_exception $usepop/pop/pml/src/typecheck.p typecheck_external_structure $usepop/pop/pml/src/typecheck.p typecheck_external_val $usepop/pop/pml/src/typecheck.p typecheck_fbs $usepop/pop/pml/src/typecheck.p typecheck_fncbind $usepop/pop/pml/src/typecheck.p typecheck_functordec $usepop/pop/pml/src/typecheck.p typecheck_fundec $usepop/pop/pml/src/typecheck.p typecheck_includespec $usepop/pop/pml/src/typecheck.p typecheck_localdec $usepop/pop/pml/src/typecheck.p typecheck_localspec $usepop/pop/pml/src/typecheck.p typecheck_localstrdec $usepop/pop/pml/src/typecheck.p typecheck_match $usepop/pop/pml/src/typecheck.p typecheck_pat $usepop/pop/pml/src/typecheck.p typecheck_recvalbinds $usepop/pop/pml/src/typecheck.p typecheck_rule $usepop/pop/pml/src/typecheck.p typecheck_sharingspec $usepop/pop/pml/src/typecheck.p typecheck_sigbind $usepop/pop/pml/src/typecheck.p typecheck_sigexp $usepop/pop/pml/src/typecheck.p typecheck_signaturedec $usepop/pop/pml/src/typecheck.p typecheck_spec $usepop/pop/pml/src/typecheck.p typecheck_strbind $usepop/pop/pml/src/typecheck.p typecheck_strdec $usepop/pop/pml/src/typecheck.p typecheck_strdesc $usepop/pop/pml/src/typecheck.p typecheck_strexp $usepop/pop/pml/src/typecheck.p typecheck_structuredec $usepop/pop/pml/src/typecheck.p typecheck_structurespec $usepop/pop/pml/src/typecheck.p typecheck_topdec $usepop/pop/pml/src/typecheck.p typecheck_tyexp $usepop/pop/pml/src/typecheck.p typecheck_typebind $usepop/pop/pml/src/typecheck.p typecheck_typedec $usepop/pop/pml/src/typecheck.p typecheck_typespec $usepop/pop/pml/src/typecheck.p typecheck_valbind $usepop/pop/pml/src/typecheck.p typecheck_valdec $usepop/pop/pml/src/typecheck.p typecheck_valspec $usepop/pop/pml/src/typecheck.p typedef_alias $popautolib/kr_newc_dec.p typedef_alias $popautolib/newc_dec.p typedef_alias $usepop/pop/packages/newc_dec/auto/newc_dec.p typedef_alias updaterof $popautolib/kr_newc_dec.p typedef_alias updaterof $popautolib/newc_dec.p typedef_alias updaterof $usepop/pop/packages/newc_dec/auto/newc_dec.p typedef_ident $popautolib/c_dec.p typename_deref $usepop/pop/pml/src/types.p typeof $usepop/pop/packages/teaching/lib/picdem.p typeof $usepop/pop/pml/src/typecheck.p typeof updaterof $usepop/pop/pml/src/typecheck.p typep $usepop/pop/lisp/src/typep.p typespec_from_name $usepop/pop/packages/popvision/lib/excall.p typespecread $popautolib/typespecread.p tyvar_chars $usepop/pop/pml/src/print_types.p u_interpret $usepop/pop/lib/objectclass/src/interpreter.p u_invoke_call_next_method $usepop/pop/lib/objectclass/src/globals.p ui_variable_display $usepop/pop/packages/neural/src/pop/nn_activevars.p ui_variable_display $usepop/pop/packages/neural/src/pop/nui_panels.p ui_variable_display updaterof $usepop/pop/packages/neural/src/pop/nn_activevars.p ui_variable_display updaterof $usepop/pop/packages/neural/src/pop/nui_panels.p uid_of_mess $popliblib/imap.p unary_+: $popsrc/complex_ops.p unary_-: $popsrc/complex_ops.p unbind_cols $popliblib/odbc.p unbind_params $popliblib/odbc.p unbind_tyvar $usepop/pop/pml/src/typecheck.p unbound_error $usepop/pop/pml/src/env.p undefined $usepop/pop/plog/src/predicate_valof.p undefword $popsrc/undef.p undefword updaterof $popsrc/undef.p underjoin $popliblib/showtree.p underline_off $usepop/pop/packages/neural/src/pop/nui_utils.p underline_on $usepop/pop/packages/neural/src/pop/nui_utils.p undo $usepop/pop/packages/newkit/prb/test/prbriver.p undo $usepop/pop/packages/newkit/prb/test/prbriver2.p undraw $usepop/pop/packages/teaching/lib/analogy.p undraw_links_from $usepop/pop/packages/neural/src/pop/nn_gfxdraw.p undraw_links_to $usepop/pop/packages/neural/src/pop/nn_gfxdraw.p unexport $popsrc/lispcore.p unforcedmatch $usepop/pop/packages/teaching/lib/analogy.p unftrace $usepop/pop/packages/teaching/lib/facets.p unftraceall $usepop/pop/packages/teaching/lib/facets.p unichar_lextype $popsrc/item.p unify $usepop/pop/plog/src/obsolete.p unify_array_spec $popautolib/fortran_dec.p unify_error $usepop/pop/pml/src/type_errors.p unify_types $usepop/pop/pml/src/types.p unifyii $popliblib/super.p unifyxi $popliblib/super.p unimportant $popliblib/crossref.p unindent_this_line $usepop/pop/ved/src/vdtidy.p unintern $popsrc/lispcore.p union $popliblib/sets.p union $usepop/pop/lib/lr_parser/lib/lr_gen.p union $usepop/pop/packages/teaching/lib/newobj.p unique $usepop/pop/packages/rclib/lib/rc_blocks.p unique $usepop/pop/packages/teaching/lib/msblocks.p unique $usepop/pop/packages/teaching/lib/msdemo.p unique $usepop/pop/packages/teaching/lib/solver.p uniquelist $popliblib/showarray.p unix $usepop/pop/packages/rcmenu/menus/menu_unix.p unless $popsrc/pop11_syntax.p unlink_all_isas $usepop/pop/lib/objectclass/src/class_isa.p unlink_all_methods $usepop/pop/lib/objectclass/src/methods.p unlink_all_methods_of_supers $usepop/pop/lib/objectclass/src/methods.p unlink_interpreter $usepop/pop/lib/objectclass/src/interpreter.p unlink_interpreter $usepop/pop/lib/objectclass/src/interpreter.p unlink_method $usepop/pop/lib/objectclass/src/methods.p unlockMethodTable $usepop/pop/lib/objectclass/src/method_table.p unlockfile $usepop/pop/packages/master/auto/newmaster_utils.p unlockfile $usepop/pop/packages/master/lib/newmaster/utils.p unlocklog $usepop/pop/packages/master/auto/newmaster_utils.p unlocklog $usepop/pop/packages/master/lib/newmaster/utils.p unlocktmpvec $usepop/pop/lib/objectclass/src/tmpvecs.p unmap_cb $usepop/pop/x/ui/lib/S-poplog_uiS-filetool_xm.p unpack_manpath $usepop/pop/packages/vedutils/auto/ved_vman.p unpackitem $popautolib/unpackitem.p unparse_continuous_files $usepop/pop/packages/neural/src/pop/nn_apply.p unparse_continuous_lists $usepop/pop/packages/neural/src/pop/nn_apply.p unparse_discrete_files $usepop/pop/packages/neural/src/pop/nn_apply.p unparse_discrete_lists $usepop/pop/packages/neural/src/pop/nn_apply.p unparse_discrete_singlefiles $usepop/pop/packages/neural/src/pop/nn_apply.p unparse_egs_to_egs $usepop/pop/packages/neural/src/pop/nn_apply.p unparse_egs_to_file $usepop/pop/packages/neural/src/pop/nn_apply.p unparse_egs_to_file $usepop/pop/packages/neural/src/pop/nn_apply.p unparse_egs_to_proc $usepop/pop/packages/neural/src/pop/nn_apply.p unparse_egs_to_proc $usepop/pop/packages/neural/src/pop/nn_apply.p unparse_egs_to_struct $usepop/pop/packages/neural/src/pop/nn_apply.p unparse_egs_to_struct $usepop/pop/packages/neural/src/pop/nn_apply.p unread_char $usepop/pop/lisp/src/read.p unscalex $popliblib/aed.p unscaley $popliblib/aed.p unset_current_picture_object $usepop/pop/packages/rclib/lib/rc_linepic.p unsure $usepop/pop/packages/teaching/lib/elizaprog-2005.p unsure $usepop/pop/packages/teaching/lib/elizaprog.p until $popsrc/pop11_loops.p untrace $popsrc/trace.p untrace_every_method $usepop/pop/lib/objectclass/auto/trace_method.p untrace_method $usepop/pop/lib/objectclass/auto/trace_method.p untraceall $popautolib/untraceall.p unuse_package $popsrc/lispcore.p unwrapped $usepop/pop/plog/src/procedures.p unwrapped updaterof $usepop/pop/plog/src/procedures.p up $usepop/pop/lib/obsolete/hand.p upd_erase $popsrc/pop11_syntax.p updateMethodTable $usepop/pop/lib/objectclass/src/method_table.p update_action_label $usepop/pop/x/ui/lib/S-poplog_uiS-helptool_xol.p update_copyright $usepop/pop/packages/master/auto/newmaster_header.p update_copyright $usepop/pop/packages/master/lib/newmaster/header.p update_dir_items $usepop/pop/packages/neural/src/pop/nui_xpanels.p update_equations $usepop/pop/packages/teaching/auto/ved_vcalc.p update_file_list $usepop/pop/x/ui/lib/S-poplog_uiS-filetool_xol.p update_font_specs $usepop/pop/packages/rclib/lib/rc_text_input.p update_index $popliblib/make_indexes.p update_instance $usepop/pop/lisp/src/clos.p update_label $usepop/pop/x/pop/lib/XawTutorial.p update_list $usepop/pop/lib/objectclass/auto/trace_method.p update_list_widget $usepop/pop/packages/neural/src/pop/nn_gfxdialogs.p update_method $usepop/pop/lib/objectclass/src/methods.p update_mkimagetool_window $usepop/pop/x/ui/lib/pop_ui_projecttool.p update_multisheettool_window $usepop/pop/x/ui/lib/pop_ui_projecttool.p update_prefstool_window $usepop/pop/x/ui/lib/pop_ui_projecttool.p update_project_file_sheet $usepop/pop/x/ui/lib/pop_ui_projecttool.p update_project_subwindows $usepop/pop/x/ui/lib/pop_ui_projecttool.p update_pwmitem $usepop/pop/packages/neural/src/pop/nui_pwmpanels.p update_scale $usepop/pop/x/pop/lib/XmTutorial.p update_select_cells $usepop/pop/packages/neural/src/pop/nn_gfxutils.p update_slider $usepop/pop/x/pop/lib/XolTutorial.p update_slot $usepop/pop/packages/rclib/auto/create_modified_instance.p update_thumb $usepop/pop/x/pop/lib/XawTutorial.p update_var $usepop/pop/x/pop/lib/XawTutorial.p update_win $usepop/pop/packages/neural/src/pop/nn_netdisplay.p updater $popsrc/pdr_util.p updater updaterof $popsrc/pdr_util.p updater_coerce updaterof $usepop/pop/packages/neural/lib/backprop.p updater_coerce updaterof $usepop/pop/packages/neural/lib/complearn.p upgrade_method $usepop/pop/lib/objectclass/src/upgrade_method.p upgrade_methods $usepop/pop/lib/objectclass/src/upgrade_method.p upgraded_array_element_type $usepop/pop/lisp/src/specs.p upgraded_complex_part_type $usepop/pop/lisp/src/specs.p upnode $usepop/pop/packages/teaching/lib/seetree.p uppertolower $popsrc/uppertolower.p uppr $usepop/pop/packages/teaching/lib/solver.p upto $usepop/pop/packages/vedutils/auto/ved_keymap.p usage $popliblib/crossref.p use_basewindow $usepop/pop/packages/neural/src/pop/nui_utils.p use_fail_lab $usepop/pop/pml/src/gencode.p use_package $popsrc/lispcore.p user $usepop/pop/packages/rcmenu/menus/menu_user.p user_char_mac $usepop/pop/lisp/src/umacs.p user_homedir_pathname $usepop/pop/lisp/src/pathnames.p user_rc_interpret_key $usepop/pop/packages/rclib/auto/rc_interpret_key.p user_value $usepop/pop/pml/src/data.p uses $popautolib/uses.p uses_lib_idents $popautolib/uses_lib_idents.p useslib $popautolib/useslib.p utilities $usepop/pop/packages/rcmenu/menus/menu_utilities.p
Turing
1
GetPoplog/Seed
base/pop/ref/popindex.tu
[ "MIT" ]
# Copyright 1999-2015 Gentoo Foundation # Distributed under the terms of the GNU General Public License v2 # @ECLASS: multilib.eclass # @MAINTAINER: # [email protected] # [email protected] # @BLURB: This eclass is for all functions pertaining to handling multilib configurations. # @DESCRIPTION: # This eclass is for all functions pertaining to handling multilib configurations. if [[ -z ${_MULTILIB_ECLASS} ]]; then _MULTILIB_ECLASS=1 inherit toolchain-funcs # Defaults: export MULTILIB_ABIS=${MULTILIB_ABIS:-"default"} export DEFAULT_ABI=${DEFAULT_ABI:-"default"} export CFLAGS_default export LDFLAGS_default export CHOST_default=${CHOST_default:-${CHOST}} export CTARGET_default=${CTARGET_default:-${CTARGET:-${CHOST_default}}} export LIBDIR_default=${CONF_LIBDIR:-"lib"} export KERNEL_ABI=${KERNEL_ABI:-${DEFAULT_ABI}} # @FUNCTION: has_multilib_profile # @DESCRIPTION: # Return true if the current profile is a multilib profile and lists more than # one abi in ${MULTILIB_ABIS}. When has_multilib_profile returns true, that # profile should enable the 'multilib' use flag. This is so you can DEPEND on # a package only for multilib or not multilib. has_multilib_profile() { [ -n "${MULTILIB_ABIS}" -a "${MULTILIB_ABIS}" != "${MULTILIB_ABIS/ /}" ] } # @FUNCTION: get_libdir # @RETURN: the libdir for the selected ABI # @DESCRIPTION: # This function simply returns the desired lib directory. With portage # 2.0.51, we now have support for installing libraries to lib32/lib64 # to accomidate the needs of multilib systems. It's no longer a good idea # to assume all libraries will end up in lib. Replace any (sane) instances # where lib is named directly with $(get_libdir) if possible. # # Jeremy Huddleston <[email protected]> (23 Dec 2004): # Added support for ${ABI} and ${DEFAULT_ABI}. If they're both not set, # fall back on old behavior. Any profile that has these set should also # depend on a newer version of portage (not yet released) which uses these # over CONF_LIBDIR in econf, dolib, etc... if has "${EAPI:-0}" 0 1 2 3 4 5; then get_libdir() { local CONF_LIBDIR if [ -n "${CONF_LIBDIR_OVERRIDE}" ] ; then # if there is an override, we want to use that... always. echo ${CONF_LIBDIR_OVERRIDE} else get_abi_LIBDIR fi } fi # @FUNCTION: get_abi_var # @USAGE: <VAR> [ABI] # @RETURN: returns the value of ${<VAR>_<ABI>} which should be set in make.defaults # @INTERNAL # @DESCRIPTION: # ex: # CFLAGS=$(get_abi_var CFLAGS sparc32) # CFLAGS=-m32 # # Note that the prefered method is to set CC="$(tc-getCC) $(get_abi_CFLAGS)" # This will hopefully be added to portage soon... # # If <ABI> is not specified, ${ABI} is used. # If <ABI> is not specified and ${ABI} is not defined, ${DEFAULT_ABI} is used. # If <ABI> is not specified and ${ABI} and ${DEFAULT_ABI} are not defined, we return an empty string. get_abi_var() { local flag=$1 local abi=${2:-${ABI:-${DEFAULT_ABI:-default}}} local var="${flag}_${abi}" echo ${!var} } # @FUNCTION: get_abi_CFLAGS # @USAGE: [ABI] # @DESCRIPTION: # Alias for 'get_abi_var CFLAGS' get_abi_CFLAGS() { get_abi_var CFLAGS "$@"; } # @FUNCTION: get_abi_LDFLAGS # @USAGE: [ABI] # @DESCRIPTION: # Alias for 'get_abi_var LDFLAGS' get_abi_LDFLAGS() { get_abi_var LDFLAGS "$@"; } # @FUNCTION: get_abi_CHOST # @USAGE: [ABI] # @DESCRIPTION: # Alias for 'get_abi_var CHOST' get_abi_CHOST() { get_abi_var CHOST "$@"; } # @FUNCTION: get_abi_CTARGET # @USAGE: [ABI] # @DESCRIPTION: # Alias for 'get_abi_var CTARGET' get_abi_CTARGET() { get_abi_var CTARGET "$@"; } # @FUNCTION: get_abi_FAKE_TARGETS # @USAGE: [ABI] # @DESCRIPTION: # Alias for 'get_abi_var FAKE_TARGETS' get_abi_FAKE_TARGETS() { get_abi_var FAKE_TARGETS "$@"; } # @FUNCTION: get_abi_LIBDIR # @USAGE: [ABI] # @DESCRIPTION: # Alias for 'get_abi_var LIBDIR' get_abi_LIBDIR() { get_abi_var LIBDIR "$@"; } # @FUNCTION: get_install_abis # @DESCRIPTION: # Return a list of the ABIs we want to install for with # the last one in the list being the default. get_install_abis() { local x order="" if [[ -z ${MULTILIB_ABIS} ]] ; then echo "default" return 0 fi if [[ ${EMULTILIB_PKG} == "true" ]] ; then for x in ${MULTILIB_ABIS} ; do if [[ ${x} != "${DEFAULT_ABI}" ]] ; then has ${x} ${ABI_DENY} || order="${order} ${x}" fi done has ${DEFAULT_ABI} ${ABI_DENY} || order="${order} ${DEFAULT_ABI}" if [[ -n ${ABI_ALLOW} ]] ; then local ordera="" for x in ${order} ; do if has ${x} ${ABI_ALLOW} ; then ordera="${ordera} ${x}" fi done order=${ordera} fi else order=${DEFAULT_ABI} fi if [[ -z ${order} ]] ; then die "The ABI list is empty. Are you using a proper multilib profile? Perhaps your USE flags or MULTILIB_ABIS are too restrictive for this package." fi echo ${order} return 0 } # @FUNCTION: get_all_abis # @DESCRIPTION: # Return a list of the ABIs supported by this profile. # the last one in the list being the default. get_all_abis() { local x order="" mvar dvar mvar="MULTILIB_ABIS" dvar="DEFAULT_ABI" if [[ -n $1 ]] ; then mvar="$1_${mvar}" dvar="$1_${dvar}" fi if [[ -z ${!mvar} ]] ; then echo "default" return 0 fi for x in ${!mvar}; do if [[ ${x} != ${!dvar} ]] ; then order="${order:+${order} }${x}" fi done order="${order:+${order} }${!dvar}" echo ${order} return 0 } # @FUNCTION: get_all_libdirs # @DESCRIPTION: # Returns a list of all the libdirs used by this profile. This includes # those that might not be touched by the current ebuild and always includes # "lib". get_all_libdirs() { local libdirs abi for abi in ${MULTILIB_ABIS}; do libdirs+=" $(get_abi_LIBDIR ${abi})" done [[ " ${libdirs} " != *" lib "* ]] && libdirs+=" lib" echo "${libdirs}" } # @FUNCTION: is_final_abi # @DESCRIPTION: # Return true if ${ABI} is the last ABI on our list (or if we're not # using the new multilib configuration. This can be used to determine # if we're in the last (or only) run through src_{unpack,compile,install} is_final_abi() { has_multilib_profile || return 0 set -- $(get_install_abis) local LAST_ABI=$# [[ ${!LAST_ABI} == ${ABI} ]] } # @FUNCTION: number_abis # @DESCRIPTION: # echo the number of ABIs we will be installing for number_abis() { set -- `get_install_abis` echo $# } # @FUNCTION: get_exeext # @DESCRIPTION: # Returns standard executable program suffix (null, .exe, etc.) # for the current platform identified by CHOST. # # Example: # get_exeext # Returns: null string (almost everywhere) || .exe (mingw*) || ... get_exeext() { case ${CHOST} in *-cygwin*|mingw*|*-mingw*) echo ".exe";; esac } # @FUNCTION: get_libname # @USAGE: [version] # @DESCRIPTION: # Returns libname with proper suffix {.so,.dylib,.dll,etc} and optionally # supplied version for the current platform identified by CHOST. # # Example: # get_libname ${PV} # Returns: .so.${PV} (ELF) || .${PV}.dylib (MACH) || ... get_libname() { local libname local ver=$1 case ${CHOST} in *-cygwin*) libname="dll.a";; # import lib mingw*|*-mingw*) libname="dll";; *-darwin*) libname="dylib";; *-mint*) libname="irrelevant";; hppa*-hpux*) libname="sl";; *) libname="so";; esac if [[ -z $* ]] ; then echo ".${libname}" else for ver in "$@" ; do case ${CHOST} in *-cygwin*) echo ".${ver}.${libname}";; *-darwin*) echo ".${ver}.${libname}";; *-mint*) echo ".${libname}";; *) echo ".${libname}.${ver}";; esac done fi } # @FUNCTION: get_modname # @USAGE: # @DESCRIPTION: # Returns modulename with proper suffix {.so,.bundle,etc} for the current # platform identified by CHOST. # # Example: # libfoo$(get_modname) # Returns: libfoo.so (ELF) || libfoo.bundle (MACH) || ... get_modname() { local modname local ver=$1 case ${CHOST} in *-darwin*) modname="bundle";; *) modname="so";; esac echo ".${modname}" } # This is for the toolchain to setup profile variables when pulling in # a crosscompiler (and thus they aren't set in the profile) multilib_env() { local CTARGET=${1:-${CTARGET}} local cpu=${CTARGET%%*-} case ${cpu} in aarch64*) # Not possible to do multilib with aarch64 and a single toolchain. export CFLAGS_arm=${CFLAGS_arm-} case ${cpu} in aarch64*be) export CHOST_arm="armv8b-${CTARGET#*-}";; *) export CHOST_arm="armv8l-${CTARGET#*-}";; esac CHOST_arm=${CHOST_arm/%-gnu/-gnueabi} export CTARGET_arm=${CHOST_arm} export LIBDIR_arm="lib" export CFLAGS_arm64=${CFLAGS_arm64-} export CHOST_arm64=${CTARGET} export CTARGET_arm64=${CHOST_arm64} export LIBDIR_arm64="lib64" : ${MULTILIB_ABIS=arm64} : ${DEFAULT_ABI=arm64} ;; x86_64*) export CFLAGS_x86=${CFLAGS_x86--m32} export CHOST_x86=${CTARGET/x86_64/i686} CHOST_x86=${CHOST_x86/%-gnux32/-gnu} export CTARGET_x86=${CHOST_x86} if [[ ${SYMLINK_LIB} == "yes" ]] ; then export LIBDIR_x86="lib32" else export LIBDIR_x86="lib" fi export CFLAGS_amd64=${CFLAGS_amd64--m64} export CHOST_amd64=${CTARGET/%-gnux32/-gnu} export CTARGET_amd64=${CHOST_amd64} export LIBDIR_amd64="lib64" export CFLAGS_x32=${CFLAGS_x32--mx32} export CHOST_x32=${CTARGET/%-gnu/-gnux32} export CTARGET_x32=${CHOST_x32} export LIBDIR_x32="libx32" case ${CTARGET} in *-gnux32) : ${MULTILIB_ABIS=x32 amd64 x86} : ${DEFAULT_ABI=x32} ;; *) : ${MULTILIB_ABIS=amd64 x86} : ${DEFAULT_ABI=amd64} ;; esac ;; mips64*) export CFLAGS_o32=${CFLAGS_o32--mabi=32} export CHOST_o32=${CTARGET/mips64/mips} export CTARGET_o32=${CHOST_o32} export LIBDIR_o32="lib" export CFLAGS_n32=${CFLAGS_n32--mabi=n32} export CHOST_n32=${CTARGET} export CTARGET_n32=${CHOST_n32} export LIBDIR_n32="lib32" export CFLAGS_n64=${CFLAGS_n64--mabi=64} export CHOST_n64=${CTARGET} export CTARGET_n64=${CHOST_n64} export LIBDIR_n64="lib64" : ${MULTILIB_ABIS=n64 n32 o32} : ${DEFAULT_ABI=n32} ;; powerpc64*) export CFLAGS_ppc=${CFLAGS_ppc--m32} export CHOST_ppc=${CTARGET/powerpc64/powerpc} export CTARGET_ppc=${CHOST_ppc} export LIBDIR_ppc="lib" export CFLAGS_ppc64=${CFLAGS_ppc64--m64} export CHOST_ppc64=${CTARGET} export CTARGET_ppc64=${CHOST_ppc64} export LIBDIR_ppc64="lib64" : ${MULTILIB_ABIS=ppc64 ppc} : ${DEFAULT_ABI=ppc64} ;; s390x*) export CFLAGS_s390=${CFLAGS_s390--m31} # the 31 is not a typo export CHOST_s390=${CTARGET/s390x/s390} export CTARGET_s390=${CHOST_s390} export LIBDIR_s390="lib" export CFLAGS_s390x=${CFLAGS_s390x--m64} export CHOST_s390x=${CTARGET} export CTARGET_s390x=${CHOST_s390x} export LIBDIR_s390x="lib64" : ${MULTILIB_ABIS=s390x s390} : ${DEFAULT_ABI=s390x} ;; sparc64*) export CFLAGS_sparc32=${CFLAGS_sparc32--m32} export CHOST_sparc32=${CTARGET/sparc64/sparc} export CTARGET_sparc32=${CHOST_sparc32} export LIBDIR_sparc32="lib" export CFLAGS_sparc64=${CFLAGS_sparc64--m64} export CHOST_sparc64=${CTARGET} export CTARGET_sparc64=${CHOST_sparc64} export LIBDIR_sparc64="lib64" : ${MULTILIB_ABIS=sparc64 sparc32} : ${DEFAULT_ABI=sparc64} ;; *) : ${MULTILIB_ABIS=default} : ${DEFAULT_ABI=default} ;; esac export MULTILIB_ABIS DEFAULT_ABI } # @FUNCTION: multilib_toolchain_setup # @DESCRIPTION: # Hide multilib details here for packages which are forced to be compiled for a # specific ABI when run on another ABI (like x86-specific packages on amd64) multilib_toolchain_setup() { local v vv export ABI=$1 # First restore any saved state we have laying around. if [[ ${_DEFAULT_ABI_SAVED} == "true" ]] ; then for v in CHOST CBUILD AS CC CXX F77 FC LD PKG_CONFIG_{LIBDIR,PATH} ; do vv="_abi_saved_${v}" [[ ${!vv+set} == "set" ]] && export ${v}="${!vv}" || unset ${v} unset ${vv} done unset _DEFAULT_ABI_SAVED fi # We want to avoid the behind-the-back magic of gcc-config as it # screws up ccache and distcc. See #196243 for more info. if [[ ${ABI} != ${DEFAULT_ABI} ]] ; then # Back that multilib-ass up so we can restore it later for v in CHOST CBUILD AS CC CXX F77 FC LD PKG_CONFIG_{LIBDIR,PATH} ; do vv="_abi_saved_${v}" [[ ${!v+set} == "set" ]] && export ${vv}="${!v}" || unset ${vv} done export _DEFAULT_ABI_SAVED="true" # Set CBUILD only if not cross-compiling. if [[ ${CBUILD} == "${CHOST}" ]]; then export CBUILD=$(get_abi_CHOST $1) fi # Set the CHOST native first so that we pick up the native # toolchain and not a cross-compiler by accident #202811. export CHOST=$(get_abi_CHOST ${DEFAULT_ABI}) export CC="$(tc-getCC) $(get_abi_CFLAGS)" export CXX="$(tc-getCXX) $(get_abi_CFLAGS)" export F77="$(tc-getF77) $(get_abi_CFLAGS)" export FC="$(tc-getFC) $(get_abi_CFLAGS)" export LD="$(tc-getLD) $(get_abi_LDFLAGS)" export CHOST=$(get_abi_CHOST $1) export PKG_CONFIG_LIBDIR=${EPREFIX}/usr/$(get_libdir)/pkgconfig export PKG_CONFIG_PATH=${EPREFIX}/usr/share/pkgconfig fi } fi
Gentoo Eclass
5
NighttimeDriver50000/Sabayon-Packages
local_overlay/eclass/multilib.eclass
[ "MIT" ]
export function getNumber() { return 40; }
JavaScript
1
1shenxi/webpack
test/watchCases/wasm/caching/2/module.js
[ "MIT" ]
\\ Copyright (c) 2012-2019 Bruno Deferrari. All rights reserved. \\ BSD 3-Clause License: http://opensource.org/licenses/BSD-3-Clause (package shen-cl [progn quote null car cdr t nil numberp stringp consp funcall list eq eql equal let* lisp.defun lisp.lambda lisp.block lisp. %%return return %%goto-label go %%let-label block tagbody] (define initialise-compiler -> (do (set *compiling-shen-sources* false) (set *factorise-patterns* true) done)) (define kl Sym -> Sym) \\ Overriden in overwrite.lsp for performance (define cl Sym -> (intern (upcase Sym))) (define unbound-symbol? Sym Scope -> (not (element? Sym Scope)) where (or (symbol? Sym) (= Sym ,)) _ _ -> false) (define merge-nested-repeats Op [Op Exp1 [Op | Exps]] -> [Op Exp1 | Exps] _ X -> X) (define merge-nested-lets [Let [Binding] [Let* Bindings Body]] -> [Let* [Binding | Bindings] Body] where (and (= (cl let) Let) (= (cl let*) Let*)) [Let [Binding1] [Let [Binding2] Body]] -> [(cl let*) [Binding1 Binding2] Body] where (= (cl let) Let) X -> X) (define compile-expression [] _ -> [(cl quote) []] true Scope -> [(cl quote) true] false Scope -> [(cl quote) false] Sym Scope -> (emit-symbol Sym) where (unbound-symbol? Sym Scope) [value Sym] Scope -> Sym where (unbound-symbol? Sym Scope) [let Var Value Body] Scope -> (merge-nested-lets (emit-let Var Value Body Scope)) [cond | Clauses] Scope -> (emit-cond Clauses Scope) [if Test Then Else] Scope -> (emit-if Test Then Else Scope) [lambda Var Body] Scope -> (emit-lambda Var Body Scope) [and E1 E2] Scope -> [(kl and) (compile-expression E1 Scope) (compile-expression E2 Scope)] [or E1 E2] Scope -> [(kl or) (compile-expression E1 Scope) (compile-expression E2 Scope)] [trap-error Exp Handler] Scope -> (emit-trap-error Exp Handler Scope) [do E1 E2] Scope -> (merge-nested-repeats (cl progn) [(cl progn) (compile-expression E1 Scope) (compile-expression E2 Scope)]) [= A B] Scope -> (emit-equality-check A B Scope) [intern S] _ -> [(cl quote) (intern S)] where (string? S) [type Exp _] Scope -> (compile-expression Exp Scope) [lisp.lambda Vars Body] Scope -> [(cl lambda) Vars (compile-expression Body (append Vars Scope))] [lisp.defun Name Vars Body] _ -> [(cl defun) Name Vars (compile-expression Body Vars)] [lisp.block Name Body] Scope -> [(cl block) Name (compile-expression Body Scope)] \\ NOTE: temporary fix for self-bootstrapping [shen-cl.lisp.block Name Body] Scope -> [(cl block) Name (compile-expression Body Scope)] [lisp. Code] _ -> (if (string? Code) ((protect READ-FROM-STRING) Code) (error "lisp. excepts a string, not ~A" Code)) [%%return Exp] Scope -> [(cl return) (compile-expression Exp Scope)] [%%goto-label Label | _] Scope -> [(cl go) Label] [%%let-label [Label | _] LabelBody Body] Scope -> [(cl tagbody) (compile-expression Body Scope) Label (compile-expression LabelBody Scope)] [Op | Args] Scope -> (emit-application Op Args Scope) X _ -> X \* literal *\ ) (define optimise-boolean-check \\ TODO: let, do, etc [cons? X] -> [(cl consp) X] [string? X] -> [(cl stringp) X] [number? X] -> [(cl numberp) X] [empty? X] -> [(cl null) X] [and P Q] -> [(cl and) (optimise-boolean-check P) (optimise-boolean-check Q)] [or P Q] -> [(cl or) (optimise-boolean-check P) (optimise-boolean-check Q)] [not P] -> [(cl not) (optimise-boolean-check P)] [equal? X [Quote []]] -> [(cl null) X] where (= Quote (cl quote)) [equal? [Quote []] X] -> [(cl null) X] where (= Quote (cl quote)) [equal? [fail] X] -> [(cl eq) [fail] X] [equal? X [fail]] -> [(cl eq) X [fail]] [equal? S X] -> [(cl equal) S X] where (string? S) [equal? X S] -> [(cl equal) X S] where (string? S) [equal? X N] -> [(cl eql) X N] where (number? N) [equal? N X] -> [(cl eql) X N] where (number? N) [equal? X [Quote S]] -> [(cl eq) X [Quote S]] where (= (cl quote) Quote) [equal? [Quote S] X] -> [(cl eq) X [Quote S]] where (= (cl quote) Quote) [equal? X Y] -> [(kl absequal) X Y] [greater? X Y] -> [(cl > )X Y] [greater-than-or-equal-to? X Y] -> [(cl >=) X Y] [less? X Y] -> [(cl < )X Y] [less-than-or-equal-to? X Y] -> [(cl <=) X Y] [Quote true] -> (cl t) where (= Quote (cl quote)) [Quote false] -> (cl nil) where (= Quote (cl quote)) Exp -> [true? Exp]) (define emit-symbol S -> [(cl quote) S]) (define subst* X X Body -> Body X Y Body -> (subst X Y Body)) (define ch-T/NIL X -> (cl safe-t) where (= (protect T) X) X -> (cl safe-nil) where (= (protect NIL) X) X -> X) (define emit-let Var Value Body Scope -> (let ChVar (ch-T/NIL Var) ChBody (subst* ChVar Var Body) [(cl let) [[ChVar (compile-expression Value Scope)]] (compile-expression ChBody [ChVar | Scope])])) (define emit-lambda Var Body Scope -> (let ChVar (ch-T/NIL Var) ChBody (subst* ChVar Var Body) [(kl lambda) ChVar (compile-expression ChBody [ChVar | Scope])])) (define emit-if Test Then Else Scope -> [(cl if) (optimise-boolean-check (compile-expression Test Scope)) (compile-expression Then Scope) (compile-expression Else Scope)]) (define emit-cond Clauses Scope -> [(cl cond) | (emit-cond-clauses Clauses Scope)]) (define emit-cond-clauses [] _ -> [] [[Test Body] | Rest] Scope -> (let CompiledTest (optimise-boolean-check (compile-expression Test Scope)) CompiledBody (compile-expression Body Scope) CompiledRest (emit-cond-clauses Rest Scope) [[CompiledTest CompiledBody] | CompiledRest])) (define emit-trap-error [F | Rest] Handler Scope <- (emit-trap-error-optimise [F | Rest] Handler Scope) where (and (value *compiling-shen-sources*) (element? F [value <-vector <-address get])) Exp Handler Scope -> [trap-error (compile-expression Exp Scope) (compile-expression Handler Scope)]) \* NOTE: This transformation assumes that: - the operand expressions will not raise their own exception, - the operands are of the right type, - the Handler doesn't make use of the error otherwise the result is not semantically equivalent to the original code. For this reason it is only enabled when compiling the Shen Kernel sources but not otherwise. *\ (define emit-trap-error-optimise [value X] [lambda E Handler] Scope -> (compile-expression [shen-cl.value/or X [freeze Handler]] Scope) [<-vector X N] [lambda E Handler] Scope -> (compile-expression [shen-cl.<-vector/or X N [freeze Handler]] Scope) [<-address X N] [lambda E Handler] Scope -> (compile-expression [shen-cl.<-address/or X N [freeze Handler]] Scope) [get X P D] [lambda E Handler] Scope -> (compile-expression [shen-cl.get/or X P D [freeze Handler]] Scope) _ _ _ -> (fail)) (define emit-equality-check V1 V2 Scope -> [(kl equal?) (compile-expression V1 Scope) (compile-expression V2 Scope)]) (define emit-application Op Params Scope -> (emit-application* Op (arity Op) Params Scope)) (define is-partial-application? Op Arity Params -> (not (or (= Arity -1) (= Arity (length Params))))) (define take _ 0 -> [] [X | Xs] N -> [X | (take Xs (- N 1))]) (define drop Xs 0 -> Xs [X | Xs] N -> (drop Xs (- N 1))) \* TODO: optimise cases where the args are static values *\ (define emit-partial-application Op Arity Params Scope -> (let Args (map (/. P (compile-expression P Scope)) Params) (nest-call (nest-lambda Op Arity Scope) Args)) where (> Arity (length Params)) Op Arity Params Scope -> (let App (compile-expression [Op | (take Params Arity)] Scope) Rest (map (/. X (compile-expression X Scope)) (drop Params Arity)) (nest-call App Rest)) where (< Arity (length Params)) _ _ _ _ -> (error "emit-partial-application called with non-partial application")) (define dynamic-application? Op Scope -> (or (cons? Op) (element? Op Scope))) (define emit-dynamic-application Op [] Scope -> [(cl funcall) (compile-expression Op Scope)] \* empty case *\ Op Params Scope -> (let Args (map (/. P (compile-expression P Scope)) Params) (nest-call (compile-expression Op Scope) Args))) (define lisp-prefixed-h? [($ lisp.) | _] -> true _ -> false) \\ Overriden in overwrite.lsp for performance (define lisp-prefixed? Sym -> (lisp-prefixed-h? (explode Sym)) where (symbol? Sym) _ -> false) \\ Overriden in overwrite.lsp for performance (define remove-lisp-prefix Sym -> (remove-lisp-prefix (str Sym)) where (symbol? Sym) (@s "lisp." Rest) -> (intern Rest)) (define upcase Str -> (upcase-h (explode Str))) (define upcase-h [] -> "" [Char | Rest] -> (cn (upcase-char Char) (upcase-h Rest))) (define upcase-char Char -> (n->string (upcase-charcode (string->n Char)))) (define upcase-charcode N -> (- N 32) where (and (>= N 97) (<= N 122)) N -> N) (define qualify-op Sym -> (cl (remove-lisp-prefix Sym)) where (lisp-prefixed? Sym) Sym -> (kl Sym) where (symbol? Sym) NotSym -> NotSym) (define not-fail Obj F -> (F Obj) where (not (= Obj (fail))) Obj _ -> Obj) (define binary-op-mapping + -> (kl add) - -> (kl subtract) * -> (kl multiply) / -> (kl divide) > -> (kl greater?) < -> (kl less?) >= -> (kl greater-than-or-equal-to?) <= -> (kl less-than-or-equal-to?) cons -> (cl cons) reverse -> (cl reverse) append -> (cl append) _ -> (fail)) (define unary-op-mapping hd -> (cl car) tl -> (cl cdr) _ -> (fail)) (define optimise-static-application [add 1 X] -> [(cl (intern "1+")) X] [add X 1] -> [(cl (intern "1+")) X] [subtract X 1] -> [(cl (intern "1-")) X] [Cons Exp [Quote []]] -> [(cl list) Exp] where (and (= (cl cons) Cons) (= (cl quote) Quote)) [Cons Exp [List | Elts]] -> [List Exp | Elts] where (and (= (cl cons) Cons) (= (cl list) List)) Exp -> Exp) (define emit-static-application Op 2 Params Scope <- (not-fail (binary-op-mapping Op) (/. MappedOp (let Args (map (/. P (compile-expression P Scope)) Params) [MappedOp | Args]))) Op 1 Params Scope <- (not-fail (unary-op-mapping Op) (/. MappedOp (let Args (map (/. P (compile-expression P Scope)) Params) [MappedOp | Args]))) Op _ Params Scope -> (let Args (map (/. P (compile-expression P Scope)) Params) [(qualify-op Op) | Args])) (define emit-application* Op Arity Params Scope -> (cases \* Known function without all arguments *\ (is-partial-application? Op Arity Params) (emit-partial-application Op Arity Params Scope) \* Variables or results of expressions *\ (dynamic-application? Op Scope) (emit-dynamic-application Op Params Scope) \* Known function with all arguments *\ true (optimise-static-application (emit-static-application Op Arity Params Scope)))) (define nest-call Op [] -> Op Op [Arg | Args] -> (nest-call [(cl funcall) Op Arg] Args)) (define nest-lambda Callable Arity Scope -> (compile-expression Callable Scope) where (<= Arity 0) Callable Arity Scope -> (let ArgName (gensym (protect Y)) [(kl lambda) ArgName (nest-lambda (merge-args Callable ArgName) (- Arity 1) [ArgName | Scope])])) (define merge-args Op Arg -> (append Op [Arg]) where (cons? Op) Op Arg -> [Op Arg]) (define factorise-defun [defun Name Args [cond | Cases]] -> (add-block (shen.x.factorise-defun.factorise-defun [defun Name Args [cond | Cases]]))) (define add-block [defun Name Args Body] -> [defun Name Args [lisp.block [] Body]]) (define kl->lisp [defun Name Args [cond | Cases]] -> (kl->lisp (factorise-defun [defun Name Args [cond | Cases]])) where (value *factorise-patterns*) [defun Name Args Body] -> [(cl defun) (qualify-op Name) Args (compile-expression Body Args)] Exp -> (compile-expression Exp [])) )
Shen
5
Shen-Language/shen-cl
src/compiler.shen
[ "BSD-3-Clause" ]
model wb.mod; data wb.dat; option auxfiles rc; write gwb;
AMPL
1
conda-forge-linter/ampl-mp-feedstock
recipe/miniampl/examples/wb.ampl
[ "BSD-3-Clause" ]
/* hide-range{2-3} */ html { height: 100%; width: 100%; padding: 50px; /* hide-next-line */ background: red; }
CSS
3
JQuinnie/gatsby
packages/gatsby-remark-prismjs/src/__tests__/fixtures/hide-line-css.css
[ "MIT" ]
<!DOCTYPE html> <html> <head> <title>My Webpage</title> </head> <body> <ul id="navigation"> {% for item in navigation %} <li><a href="{{ item.href|escape }}">{{ item.caption }}</a></li> {% endfor %} </ul> {% if 1 not in [1, 2, 3] %} {# is equivalent to #} {% if not (1 in [1, 2, 3]) %} {% autoescape true %} {{ var }} {{ var|raw }} {# var won't be escaped #} {{ var|escape }} {# var won't be doubled-escaped #} {% endautoescape %} {{ include('twig.html', sandboxed = true) }} {{"string #{with} \" escapes" 'another#one' }} <h1>My Webpage</h1> {{ a_variable }} </body> </html>
Twig
3
websharks/ace-builds
demo/kitchen-sink/docs/twig.twig
[ "BSD-3-Clause" ]
/** * Template file for scenarios * it contains a minimal interface */ /// specify the savegame to load map.file = "savegame.sve" /// short description to be shown in finance window /// and in standard implementation of get_about_text scenario.short_description = "Template Scenario" scenario.author = "User" scenario.version = "0.0" scenario.translation = "The Unknown Translators" /// scenario relies on this version of the api scenario.api = "120.0" /** * These functions should return text strings (or ttext instances) * the text is shown in the corresponding tab in the scenario info window * all text can be dynamic and change with progress in scenario * * These information can be customized per player. * Players are identified by their numbers: pl == 0 is the first player slot, * pl == 1 is the 'Public player' */ function get_info_text(pl) { // General information } function get_rule_text(pl) { // Rules to be obeyed } function get_result_text(pl) { // Display current standing } function get_goal_text(pl) { // Describe the goals to be achieved to win } // Commented out: Will use implementation of scenario_base.nut, // which needs scenario.short_description, scenario.author, scenario.version // // function get_about_text(pl) // { // // Information about author, version, etc // } // Commented out: Will use implementation of scenario_base.nut, // which needs scenario.short_description // // function get_short_description(pl) // { // // Short string will be displayed in finance window // } /** * Called upon start of scenario, initialize global variables here */ function start() { } /** * Main function: returns percentage of completion * If returned value >= 100 then scenario is won * If less than zero scenario is lost */ function is_scenario_completed(pl) { return 100 // complete } /** * Called after loading a savegame of a played scenario */ function resume_game() { } /** * Called at the beginning of a new month */ function new_month() { } /** * Called at the beginning of a new year */ function new_year() { } /** * Table that contains data that will be saved in savegame * only plain data is saved: no classes / instances / functions, no cyclic references */ persistent = {} // Attention: do not call API functions here in global scope. // If you do so, they will be called before the savegame is loaded, // and result in undefined behavior. /** * Called when user clicks to build. * Error messages are sent back over network to clients. * Does not work with waybuilding, use the rules.forbid_* functions in this case. * * @param pos is a table with coordinate { x=, y=, z=} * @return null if allowed, an error message otherwise */ function is_work_allowed_here(pl, tool_id, pos) { return null }
Squirrel
4
An-dz/simutrans-test
simutrans/script/new_scenario_template.nut
[ "Artistic-1.0" ]
// script-parabolawave.click // A Script element is used to control a RatedSource element's rate // according to a parabolic wave. Watch the result by running // click -p9999 script-parabolawave.click && clicky -p9999 // See also the other script-*wave.click scripts. s :: RatedSource(RATE 1125) -> c :: Counter -> d :: Discard; Script(TYPE ACTIVE, init x 1125, init velocity -5, init acceleration -5, wait 0.01s, set x $(add $x $velocity), write s.rate $x, goto skip $(lt $(abs $velocity) 75), set acceleration $(neg $acceleration), label skip, set velocity $(add $velocity $acceleration), loop); ClickyInfo(STYLE #c { decorations: activity } activity { decay: 0; max-value: 1125 });
Click
4
MacWR/Click-changed-for-ParaGraph
conf/script-parabolawave.click
[ "Apache-2.0" ]
-- ============================================================== -- RTL generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and OpenCL -- Version: 2020.2 -- Copyright (C) 1986-2020 Xilinx, Inc. All Rights Reserved. -- -- =========================================================== library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity AWBhistogramkernel is port ( ap_clk : IN STD_LOGIC; ap_rst : IN STD_LOGIC; ap_start : IN STD_LOGIC; ap_done : OUT STD_LOGIC; ap_idle : OUT STD_LOGIC; ap_ready : OUT STD_LOGIC; src1_rows_read : IN STD_LOGIC_VECTOR (15 downto 0); src1_cols_read : IN STD_LOGIC_VECTOR (15 downto 0); src1_data_V_V_dout : IN STD_LOGIC_VECTOR (119 downto 0); src1_data_V_V_empty_n : IN STD_LOGIC; src1_data_V_V_read : OUT STD_LOGIC; src2_data_V_V_din : OUT STD_LOGIC_VECTOR (119 downto 0); src2_data_V_V_full_n : IN STD_LOGIC; src2_data_V_V_write : OUT STD_LOGIC; hist_0_address0 : OUT STD_LOGIC_VECTOR (9 downto 0); hist_0_ce0 : OUT STD_LOGIC; hist_0_we0 : OUT STD_LOGIC; hist_0_d0 : OUT STD_LOGIC_VECTOR (31 downto 0); hist_1_address0 : OUT STD_LOGIC_VECTOR (9 downto 0); hist_1_ce0 : OUT STD_LOGIC; hist_1_we0 : OUT STD_LOGIC; hist_1_d0 : OUT STD_LOGIC_VECTOR (31 downto 0); hist_2_address0 : OUT STD_LOGIC_VECTOR (9 downto 0); hist_2_ce0 : OUT STD_LOGIC; hist_2_we0 : OUT STD_LOGIC; hist_2_d0 : OUT STD_LOGIC_VECTOR (31 downto 0) ); end; architecture behav of AWBhistogramkernel is constant ap_const_logic_1 : STD_LOGIC := '1'; constant ap_const_logic_0 : STD_LOGIC := '0'; constant ap_ST_fsm_state1 : STD_LOGIC_VECTOR (10 downto 0) := "00000000001"; constant ap_ST_fsm_state2 : STD_LOGIC_VECTOR (10 downto 0) := "00000000010"; constant ap_ST_fsm_state3 : STD_LOGIC_VECTOR (10 downto 0) := "00000000100"; constant ap_ST_fsm_state4 : STD_LOGIC_VECTOR (10 downto 0) := "00000001000"; constant ap_ST_fsm_state5 : STD_LOGIC_VECTOR (10 downto 0) := "00000010000"; constant ap_ST_fsm_state6 : STD_LOGIC_VECTOR (10 downto 0) := "00000100000"; constant ap_ST_fsm_pp2_stage0 : STD_LOGIC_VECTOR (10 downto 0) := "00001000000"; constant ap_ST_fsm_pp2_stage1 : STD_LOGIC_VECTOR (10 downto 0) := "00010000000"; constant ap_ST_fsm_state11 : STD_LOGIC_VECTOR (10 downto 0) := "00100000000"; constant ap_ST_fsm_pp3_stage0 : STD_LOGIC_VECTOR (10 downto 0) := "01000000000"; constant ap_ST_fsm_state16 : STD_LOGIC_VECTOR (10 downto 0) := "10000000000"; constant ap_const_boolean_1 : BOOLEAN := true; constant ap_const_lv32_0 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000"; constant ap_const_lv32_7 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000111"; constant ap_const_boolean_0 : BOOLEAN := false; constant ap_const_lv1_0 : STD_LOGIC_VECTOR (0 downto 0) := "0"; constant ap_const_lv32_6 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000110"; constant ap_const_lv32_1 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000001"; constant ap_const_lv32_3 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000011"; constant ap_const_lv32_5 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000101"; constant ap_const_lv32_9 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001001"; constant ap_const_lv1_1 : STD_LOGIC_VECTOR (0 downto 0) := "1"; constant ap_const_lv11_0 : STD_LOGIC_VECTOR (10 downto 0) := "00000000000"; constant ap_const_lv32_2 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000010"; constant ap_const_lv32_8 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001000"; constant ap_const_lv16_0 : STD_LOGIC_VECTOR (15 downto 0) := "0000000000000000"; constant ap_const_lv32_4 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000100"; constant ap_const_lv32_A : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001010"; constant ap_const_lv32_13 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000010011"; constant ap_const_lv32_14 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000010100"; constant ap_const_lv32_1D : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000011101"; constant ap_const_lv32_1E : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000011110"; constant ap_const_lv32_27 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000100111"; constant ap_const_lv32_28 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000101000"; constant ap_const_lv32_31 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000110001"; constant ap_const_lv32_32 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000110010"; constant ap_const_lv32_3B : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000111011"; constant ap_const_lv32_3C : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000111100"; constant ap_const_lv32_45 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001000101"; constant ap_const_lv32_46 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001000110"; constant ap_const_lv32_4F : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001001111"; constant ap_const_lv32_50 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001010000"; constant ap_const_lv32_59 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001011001"; constant ap_const_lv32_5A : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001011010"; constant ap_const_lv32_63 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001100011"; constant ap_const_lv32_64 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001100100"; constant ap_const_lv32_6D : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001101101"; constant ap_const_lv32_6E : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001101110"; constant ap_const_lv32_77 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001110111"; constant ap_const_lv32_F : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001111"; constant ap_const_lv11_400 : STD_LOGIC_VECTOR (10 downto 0) := "10000000000"; constant ap_const_lv11_1 : STD_LOGIC_VECTOR (10 downto 0) := "00000000001"; constant ap_const_lv16_1 : STD_LOGIC_VECTOR (15 downto 0) := "0000000000000001"; constant ap_const_lv15_1 : STD_LOGIC_VECTOR (14 downto 0) := "000000000000001"; constant ap_const_lv32_E : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001110"; constant ap_const_lv6_0 : STD_LOGIC_VECTOR (5 downto 0) := "000000"; constant ap_const_lv16_20 : STD_LOGIC_VECTOR (15 downto 0) := "0000000000100000"; signal ap_CS_fsm : STD_LOGIC_VECTOR (10 downto 0) := "00000000001"; attribute fsm_encoding : string; attribute fsm_encoding of ap_CS_fsm : signal is "none"; signal ap_CS_fsm_state1 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state1 : signal is "none"; signal src1_data_V_V_blk_n : STD_LOGIC; signal ap_CS_fsm_pp2_stage1 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp2_stage1 : signal is "none"; signal ap_enable_reg_pp2_iter0 : STD_LOGIC := '0'; signal ap_block_pp2_stage1 : BOOLEAN; signal icmp_ln503_reg_2329 : STD_LOGIC_VECTOR (0 downto 0); signal ap_CS_fsm_pp2_stage0 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp2_stage0 : signal is "none"; signal ap_enable_reg_pp2_iter1 : STD_LOGIC := '0'; signal ap_block_pp2_stage0 : BOOLEAN; signal src2_data_V_V_blk_n : STD_LOGIC; signal col_0_reg_1023 : STD_LOGIC_VECTOR (31 downto 0); signal t_V_1_reg_1035 : STD_LOGIC_VECTOR (10 downto 0); signal zext_ln426_fu_1166_p1 : STD_LOGIC_VECTOR (14 downto 0); signal zext_ln426_reg_2294 : STD_LOGIC_VECTOR (14 downto 0); signal k_fu_1176_p2 : STD_LOGIC_VECTOR (10 downto 0); signal ap_CS_fsm_state2 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state2 : signal is "none"; signal i_V_fu_1195_p2 : STD_LOGIC_VECTOR (10 downto 0); signal ap_CS_fsm_state4 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state4 : signal is "none"; signal icmp_ln497_fu_1229_p2 : STD_LOGIC_VECTOR (0 downto 0); signal ap_CS_fsm_state6 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state6 : signal is "none"; signal row_fu_1234_p2 : STD_LOGIC_VECTOR (15 downto 0); signal row_reg_2319 : STD_LOGIC_VECTOR (15 downto 0); signal zext_ln503_fu_1263_p1 : STD_LOGIC_VECTOR (31 downto 0); signal zext_ln503_reg_2324 : STD_LOGIC_VECTOR (31 downto 0); signal icmp_ln503_fu_1267_p2 : STD_LOGIC_VECTOR (0 downto 0); signal ap_block_state7_pp2_stage0_iter0 : BOOLEAN; signal ap_block_state9_pp2_stage0_iter1 : BOOLEAN; signal ap_block_pp2_stage0_11001 : BOOLEAN; signal icmp_ln503_reg_2329_pp2_iter1_reg : STD_LOGIC_VECTOR (0 downto 0); signal tmp_hist_0_V_addr_2_reg_2333 : STD_LOGIC_VECTOR (9 downto 0); signal ap_block_state8_pp2_stage1_iter0 : BOOLEAN; signal ap_block_state10_pp2_stage1_iter1 : BOOLEAN; signal ap_block_pp2_stage1_11001 : BOOLEAN; signal tmp_hist_1_V_addr_2_reg_2338 : STD_LOGIC_VECTOR (9 downto 0); signal tmp_hist_2_V_addr_2_reg_2343 : STD_LOGIC_VECTOR (9 downto 0); signal tmp_hist_3_V_addr_2_reg_2348 : STD_LOGIC_VECTOR (9 downto 0); signal tmp_hist_4_V_addr_2_reg_2353 : STD_LOGIC_VECTOR (9 downto 0); signal tmp_hist_5_V_addr_2_reg_2358 : STD_LOGIC_VECTOR (9 downto 0); signal tmp_hist_6_V_addr_2_reg_2363 : STD_LOGIC_VECTOR (9 downto 0); signal tmp_hist_7_V_addr_2_reg_2368 : STD_LOGIC_VECTOR (9 downto 0); signal tmp_hist_8_V_addr_2_reg_2373 : STD_LOGIC_VECTOR (9 downto 0); signal tmp_hist_9_V_addr_2_reg_2378 : STD_LOGIC_VECTOR (9 downto 0); signal tmp_hist_10_V_addr_2_reg_2383 : STD_LOGIC_VECTOR (9 downto 0); signal tmp_hist_11_V_addr_2_reg_2388 : STD_LOGIC_VECTOR (9 downto 0); signal col_fu_1624_p2 : STD_LOGIC_VECTOR (31 downto 0); signal col_reg_2393 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_hist1_0_V_addr_2_reg_2398 : STD_LOGIC_VECTOR (9 downto 0); signal tmp_hist1_1_V_addr_2_reg_2403 : STD_LOGIC_VECTOR (9 downto 0); signal tmp_hist1_2_V_addr_2_reg_2408 : STD_LOGIC_VECTOR (9 downto 0); signal tmp_hist1_3_V_addr_2_reg_2413 : STD_LOGIC_VECTOR (9 downto 0); signal tmp_hist1_4_V_addr_2_reg_2418 : STD_LOGIC_VECTOR (9 downto 0); signal tmp_hist1_5_V_addr_2_reg_2423 : STD_LOGIC_VECTOR (9 downto 0); signal tmp_hist1_6_V_addr_2_reg_2428 : STD_LOGIC_VECTOR (9 downto 0); signal tmp_hist1_7_V_addr_2_reg_2433 : STD_LOGIC_VECTOR (9 downto 0); signal tmp_hist1_8_V_addr_2_reg_2438 : STD_LOGIC_VECTOR (9 downto 0); signal tmp_hist1_9_V_addr_2_reg_2443 : STD_LOGIC_VECTOR (9 downto 0); signal tmp_hist1_10_V_addr_2_reg_2448 : STD_LOGIC_VECTOR (9 downto 0); signal tmp_hist1_11_V_addr_2_reg_2453 : STD_LOGIC_VECTOR (9 downto 0); signal icmp_ln887_6_fu_2150_p2 : STD_LOGIC_VECTOR (0 downto 0); signal icmp_ln887_6_reg_2458 : STD_LOGIC_VECTOR (0 downto 0); signal ap_CS_fsm_pp3_stage0 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp3_stage0 : signal is "none"; signal ap_block_state12_pp3_stage0_iter0 : BOOLEAN; signal ap_block_state13_pp3_stage0_iter1 : BOOLEAN; signal ap_block_state14_pp3_stage0_iter2 : BOOLEAN; signal ap_block_state15_pp3_stage0_iter3 : BOOLEAN; signal ap_block_pp3_stage0_11001 : BOOLEAN; signal icmp_ln887_6_reg_2458_pp3_iter1_reg : STD_LOGIC_VECTOR (0 downto 0); signal icmp_ln887_6_reg_2458_pp3_iter2_reg : STD_LOGIC_VECTOR (0 downto 0); signal i_V_1_fu_2156_p2 : STD_LOGIC_VECTOR (10 downto 0); signal ap_enable_reg_pp3_iter0 : STD_LOGIC := '0'; signal zext_ln544_1_fu_2162_p1 : STD_LOGIC_VECTOR (63 downto 0); signal zext_ln544_1_reg_2467 : STD_LOGIC_VECTOR (63 downto 0); signal zext_ln544_1_reg_2467_pp3_iter1_reg : STD_LOGIC_VECTOR (63 downto 0); signal zext_ln544_1_reg_2467_pp3_iter2_reg : STD_LOGIC_VECTOR (63 downto 0); signal add_ln563_4_fu_2172_p2 : STD_LOGIC_VECTOR (31 downto 0); signal add_ln563_4_reg_2552 : STD_LOGIC_VECTOR (31 downto 0); signal add_ln563_11_fu_2178_p2 : STD_LOGIC_VECTOR (31 downto 0); signal add_ln563_11_reg_2587 : STD_LOGIC_VECTOR (31 downto 0); signal add_ln563_18_fu_2184_p2 : STD_LOGIC_VECTOR (31 downto 0); signal add_ln563_18_reg_2622 : STD_LOGIC_VECTOR (31 downto 0); signal add_ln563_fu_2190_p2 : STD_LOGIC_VECTOR (31 downto 0); signal add_ln563_reg_2627 : STD_LOGIC_VECTOR (31 downto 0); signal add_ln563_1_fu_2196_p2 : STD_LOGIC_VECTOR (31 downto 0); signal add_ln563_1_reg_2632 : STD_LOGIC_VECTOR (31 downto 0); signal add_ln563_5_fu_2208_p2 : STD_LOGIC_VECTOR (31 downto 0); signal add_ln563_5_reg_2637 : STD_LOGIC_VECTOR (31 downto 0); signal add_ln563_7_fu_2213_p2 : STD_LOGIC_VECTOR (31 downto 0); signal add_ln563_7_reg_2642 : STD_LOGIC_VECTOR (31 downto 0); signal add_ln563_8_fu_2219_p2 : STD_LOGIC_VECTOR (31 downto 0); signal add_ln563_8_reg_2647 : STD_LOGIC_VECTOR (31 downto 0); signal add_ln563_12_fu_2231_p2 : STD_LOGIC_VECTOR (31 downto 0); signal add_ln563_12_reg_2652 : STD_LOGIC_VECTOR (31 downto 0); signal add_ln563_14_fu_2236_p2 : STD_LOGIC_VECTOR (31 downto 0); signal add_ln563_14_reg_2657 : STD_LOGIC_VECTOR (31 downto 0); signal add_ln563_15_fu_2242_p2 : STD_LOGIC_VECTOR (31 downto 0); signal add_ln563_15_reg_2662 : STD_LOGIC_VECTOR (31 downto 0); signal add_ln563_19_fu_2254_p2 : STD_LOGIC_VECTOR (31 downto 0); signal add_ln563_19_reg_2667 : STD_LOGIC_VECTOR (31 downto 0); signal ap_block_pp2_stage0_subdone : BOOLEAN; signal ap_condition_pp2_exit_iter0_state7 : STD_LOGIC; signal ap_block_pp2_stage1_subdone : BOOLEAN; signal ap_block_pp3_stage0_subdone : BOOLEAN; signal ap_condition_pp3_exit_iter0_state12 : STD_LOGIC; signal ap_enable_reg_pp3_iter1 : STD_LOGIC := '0'; signal ap_enable_reg_pp3_iter2 : STD_LOGIC := '0'; signal ap_enable_reg_pp3_iter3 : STD_LOGIC := '0'; signal tmp_hist_0_V_address0 : STD_LOGIC_VECTOR (9 downto 0); signal tmp_hist_0_V_ce0 : STD_LOGIC; signal tmp_hist_0_V_we0 : STD_LOGIC; signal tmp_hist_0_V_d0 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_hist_0_V_q0 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_hist_1_V_address0 : STD_LOGIC_VECTOR (9 downto 0); signal tmp_hist_1_V_ce0 : STD_LOGIC; signal tmp_hist_1_V_we0 : STD_LOGIC; signal tmp_hist_1_V_d0 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_hist_1_V_q0 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_hist_2_V_address0 : STD_LOGIC_VECTOR (9 downto 0); signal tmp_hist_2_V_ce0 : STD_LOGIC; signal tmp_hist_2_V_we0 : STD_LOGIC; signal tmp_hist_2_V_d0 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_hist_2_V_q0 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_hist_3_V_address0 : STD_LOGIC_VECTOR (9 downto 0); signal tmp_hist_3_V_ce0 : STD_LOGIC; signal tmp_hist_3_V_we0 : STD_LOGIC; signal tmp_hist_3_V_d0 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_hist_3_V_q0 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_hist_4_V_address0 : STD_LOGIC_VECTOR (9 downto 0); signal tmp_hist_4_V_ce0 : STD_LOGIC; signal tmp_hist_4_V_we0 : STD_LOGIC; signal tmp_hist_4_V_d0 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_hist_4_V_q0 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_hist_5_V_address0 : STD_LOGIC_VECTOR (9 downto 0); signal tmp_hist_5_V_ce0 : STD_LOGIC; signal tmp_hist_5_V_we0 : STD_LOGIC; signal tmp_hist_5_V_d0 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_hist_5_V_q0 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_hist_6_V_address0 : STD_LOGIC_VECTOR (9 downto 0); signal tmp_hist_6_V_ce0 : STD_LOGIC; signal tmp_hist_6_V_we0 : STD_LOGIC; signal tmp_hist_6_V_d0 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_hist_6_V_q0 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_hist_7_V_address0 : STD_LOGIC_VECTOR (9 downto 0); signal tmp_hist_7_V_ce0 : STD_LOGIC; signal tmp_hist_7_V_we0 : STD_LOGIC; signal tmp_hist_7_V_d0 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_hist_7_V_q0 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_hist_8_V_address0 : STD_LOGIC_VECTOR (9 downto 0); signal tmp_hist_8_V_ce0 : STD_LOGIC; signal tmp_hist_8_V_we0 : STD_LOGIC; signal tmp_hist_8_V_d0 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_hist_8_V_q0 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_hist_9_V_address0 : STD_LOGIC_VECTOR (9 downto 0); signal tmp_hist_9_V_ce0 : STD_LOGIC; signal tmp_hist_9_V_we0 : STD_LOGIC; signal tmp_hist_9_V_d0 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_hist_9_V_q0 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_hist_10_V_address0 : STD_LOGIC_VECTOR (9 downto 0); signal tmp_hist_10_V_ce0 : STD_LOGIC; signal tmp_hist_10_V_we0 : STD_LOGIC; signal tmp_hist_10_V_d0 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_hist_10_V_q0 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_hist_11_V_address0 : STD_LOGIC_VECTOR (9 downto 0); signal tmp_hist_11_V_ce0 : STD_LOGIC; signal tmp_hist_11_V_we0 : STD_LOGIC; signal tmp_hist_11_V_d0 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_hist_11_V_q0 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_hist1_0_V_address0 : STD_LOGIC_VECTOR (9 downto 0); signal tmp_hist1_0_V_ce0 : STD_LOGIC; signal tmp_hist1_0_V_we0 : STD_LOGIC; signal tmp_hist1_0_V_d0 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_hist1_0_V_q0 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_hist1_1_V_address0 : STD_LOGIC_VECTOR (9 downto 0); signal tmp_hist1_1_V_ce0 : STD_LOGIC; signal tmp_hist1_1_V_we0 : STD_LOGIC; signal tmp_hist1_1_V_d0 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_hist1_1_V_q0 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_hist1_2_V_address0 : STD_LOGIC_VECTOR (9 downto 0); signal tmp_hist1_2_V_ce0 : STD_LOGIC; signal tmp_hist1_2_V_we0 : STD_LOGIC; signal tmp_hist1_2_V_d0 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_hist1_2_V_q0 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_hist1_3_V_address0 : STD_LOGIC_VECTOR (9 downto 0); signal tmp_hist1_3_V_ce0 : STD_LOGIC; signal tmp_hist1_3_V_we0 : STD_LOGIC; signal tmp_hist1_3_V_d0 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_hist1_3_V_q0 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_hist1_4_V_address0 : STD_LOGIC_VECTOR (9 downto 0); signal tmp_hist1_4_V_ce0 : STD_LOGIC; signal tmp_hist1_4_V_we0 : STD_LOGIC; signal tmp_hist1_4_V_d0 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_hist1_4_V_q0 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_hist1_5_V_address0 : STD_LOGIC_VECTOR (9 downto 0); signal tmp_hist1_5_V_ce0 : STD_LOGIC; signal tmp_hist1_5_V_we0 : STD_LOGIC; signal tmp_hist1_5_V_d0 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_hist1_5_V_q0 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_hist1_6_V_address0 : STD_LOGIC_VECTOR (9 downto 0); signal tmp_hist1_6_V_ce0 : STD_LOGIC; signal tmp_hist1_6_V_we0 : STD_LOGIC; signal tmp_hist1_6_V_d0 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_hist1_6_V_q0 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_hist1_7_V_address0 : STD_LOGIC_VECTOR (9 downto 0); signal tmp_hist1_7_V_ce0 : STD_LOGIC; signal tmp_hist1_7_V_we0 : STD_LOGIC; signal tmp_hist1_7_V_d0 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_hist1_7_V_q0 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_hist1_8_V_address0 : STD_LOGIC_VECTOR (9 downto 0); signal tmp_hist1_8_V_ce0 : STD_LOGIC; signal tmp_hist1_8_V_we0 : STD_LOGIC; signal tmp_hist1_8_V_d0 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_hist1_8_V_q0 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_hist1_9_V_address0 : STD_LOGIC_VECTOR (9 downto 0); signal tmp_hist1_9_V_ce0 : STD_LOGIC; signal tmp_hist1_9_V_we0 : STD_LOGIC; signal tmp_hist1_9_V_d0 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_hist1_9_V_q0 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_hist1_10_V_address0 : STD_LOGIC_VECTOR (9 downto 0); signal tmp_hist1_10_V_ce0 : STD_LOGIC; signal tmp_hist1_10_V_we0 : STD_LOGIC; signal tmp_hist1_10_V_d0 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_hist1_10_V_q0 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_hist1_11_V_address0 : STD_LOGIC_VECTOR (9 downto 0); signal tmp_hist1_11_V_ce0 : STD_LOGIC; signal tmp_hist1_11_V_we0 : STD_LOGIC; signal tmp_hist1_11_V_d0 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_hist1_11_V_q0 : STD_LOGIC_VECTOR (31 downto 0); signal k_0_reg_990 : STD_LOGIC_VECTOR (10 downto 0); signal icmp_ln445_fu_1170_p2 : STD_LOGIC_VECTOR (0 downto 0); signal t_V_reg_1001 : STD_LOGIC_VECTOR (10 downto 0); signal ap_CS_fsm_state3 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state3 : signal is "none"; signal icmp_ln887_fu_1189_p2 : STD_LOGIC_VECTOR (0 downto 0); signal row_0_reg_1012 : STD_LOGIC_VECTOR (15 downto 0); signal ap_CS_fsm_state11 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state11 : signal is "none"; signal ap_CS_fsm_state5 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state5 : signal is "none"; signal ap_phi_mux_col_0_phi_fu_1027_p4 : STD_LOGIC_VECTOR (31 downto 0); signal zext_ln455_fu_1182_p1 : STD_LOGIC_VECTOR (63 downto 0); signal zext_ln544_fu_1201_p1 : STD_LOGIC_VECTOR (63 downto 0); signal zext_ln535_fu_1300_p1 : STD_LOGIC_VECTOR (63 downto 0); signal zext_ln535_1_fu_1329_p1 : STD_LOGIC_VECTOR (63 downto 0); signal zext_ln535_2_fu_1358_p1 : STD_LOGIC_VECTOR (63 downto 0); signal zext_ln535_3_fu_1387_p1 : STD_LOGIC_VECTOR (63 downto 0); signal zext_ln535_4_fu_1416_p1 : STD_LOGIC_VECTOR (63 downto 0); signal zext_ln535_5_fu_1445_p1 : STD_LOGIC_VECTOR (63 downto 0); signal zext_ln535_6_fu_1474_p1 : STD_LOGIC_VECTOR (63 downto 0); signal zext_ln535_7_fu_1503_p1 : STD_LOGIC_VECTOR (63 downto 0); signal zext_ln535_8_fu_1532_p1 : STD_LOGIC_VECTOR (63 downto 0); signal zext_ln535_9_fu_1561_p1 : STD_LOGIC_VECTOR (63 downto 0); signal zext_ln535_10_fu_1590_p1 : STD_LOGIC_VECTOR (63 downto 0); signal zext_ln535_11_fu_1619_p1 : STD_LOGIC_VECTOR (63 downto 0); signal zext_ln536_fu_1665_p1 : STD_LOGIC_VECTOR (63 downto 0); signal zext_ln536_1_fu_1701_p1 : STD_LOGIC_VECTOR (63 downto 0); signal zext_ln536_2_fu_1737_p1 : STD_LOGIC_VECTOR (63 downto 0); signal zext_ln536_3_fu_1773_p1 : STD_LOGIC_VECTOR (63 downto 0); signal zext_ln536_4_fu_1809_p1 : STD_LOGIC_VECTOR (63 downto 0); signal zext_ln536_5_fu_1845_p1 : STD_LOGIC_VECTOR (63 downto 0); signal zext_ln536_6_fu_1881_p1 : STD_LOGIC_VECTOR (63 downto 0); signal zext_ln536_7_fu_1917_p1 : STD_LOGIC_VECTOR (63 downto 0); signal zext_ln536_8_fu_1953_p1 : STD_LOGIC_VECTOR (63 downto 0); signal zext_ln536_9_fu_1989_p1 : STD_LOGIC_VECTOR (63 downto 0); signal zext_ln536_10_fu_2025_p1 : STD_LOGIC_VECTOR (63 downto 0); signal zext_ln536_11_fu_2061_p1 : STD_LOGIC_VECTOR (63 downto 0); signal ap_block_pp3_stage0 : BOOLEAN; signal ap_block_pp2_stage1_01001 : BOOLEAN; signal ap_block_pp2_stage0_01001 : BOOLEAN; signal add_ln563_6_fu_2263_p2 : STD_LOGIC_VECTOR (31 downto 0); signal add_ln563_13_fu_2273_p2 : STD_LOGIC_VECTOR (31 downto 0); signal add_ln563_20_fu_2283_p2 : STD_LOGIC_VECTOR (31 downto 0); signal add_ln700_fu_1658_p2 : STD_LOGIC_VECTOR (31 downto 0); signal add_ln700_15_fu_2066_p2 : STD_LOGIC_VECTOR (31 downto 0); signal add_ln700_16_fu_1694_p2 : STD_LOGIC_VECTOR (31 downto 0); signal add_ln700_17_fu_2073_p2 : STD_LOGIC_VECTOR (31 downto 0); signal add_ln700_2_fu_1730_p2 : STD_LOGIC_VECTOR (31 downto 0); signal add_ln700_18_fu_2080_p2 : STD_LOGIC_VECTOR (31 downto 0); signal add_ln700_3_fu_1766_p2 : STD_LOGIC_VECTOR (31 downto 0); signal add_ln700_19_fu_2087_p2 : STD_LOGIC_VECTOR (31 downto 0); signal add_ln700_4_fu_1802_p2 : STD_LOGIC_VECTOR (31 downto 0); signal add_ln700_20_fu_2094_p2 : STD_LOGIC_VECTOR (31 downto 0); signal add_ln700_5_fu_1838_p2 : STD_LOGIC_VECTOR (31 downto 0); signal add_ln700_21_fu_2101_p2 : STD_LOGIC_VECTOR (31 downto 0); signal add_ln700_6_fu_1874_p2 : STD_LOGIC_VECTOR (31 downto 0); signal add_ln700_22_fu_2108_p2 : STD_LOGIC_VECTOR (31 downto 0); signal add_ln700_7_fu_1910_p2 : STD_LOGIC_VECTOR (31 downto 0); signal add_ln700_23_fu_2115_p2 : STD_LOGIC_VECTOR (31 downto 0); signal add_ln700_8_fu_1946_p2 : STD_LOGIC_VECTOR (31 downto 0); signal add_ln700_24_fu_2122_p2 : STD_LOGIC_VECTOR (31 downto 0); signal add_ln700_9_fu_1982_p2 : STD_LOGIC_VECTOR (31 downto 0); signal add_ln700_25_fu_2129_p2 : STD_LOGIC_VECTOR (31 downto 0); signal add_ln700_10_fu_2018_p2 : STD_LOGIC_VECTOR (31 downto 0); signal add_ln700_26_fu_2136_p2 : STD_LOGIC_VECTOR (31 downto 0); signal add_ln700_11_fu_2054_p2 : STD_LOGIC_VECTOR (31 downto 0); signal add_ln700_27_fu_2143_p2 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_164_fu_1156_p4 : STD_LOGIC_VECTOR (13 downto 0); signal add_ln503_fu_1240_p2 : STD_LOGIC_VECTOR (14 downto 0); signal tmp_165_fu_1245_p4 : STD_LOGIC_VECTOR (13 downto 0); signal tmp_166_fu_1255_p3 : STD_LOGIC_VECTOR (14 downto 0); signal trunc_ln728_fu_1272_p1 : STD_LOGIC_VECTOR (9 downto 0); signal shl_ln_fu_1276_p3 : STD_LOGIC_VECTOR (15 downto 0); signal or_ln1193_fu_1284_p2 : STD_LOGIC_VECTOR (15 downto 0); signal tmp_81_fu_1290_p4 : STD_LOGIC_VECTOR (9 downto 0); signal grp_fu_1046_p4 : STD_LOGIC_VECTOR (9 downto 0); signal shl_ln728_2_fu_1305_p3 : STD_LOGIC_VECTOR (15 downto 0); signal or_ln1193_2_fu_1313_p2 : STD_LOGIC_VECTOR (15 downto 0); signal tmp_83_fu_1319_p4 : STD_LOGIC_VECTOR (9 downto 0); signal grp_fu_1056_p4 : STD_LOGIC_VECTOR (9 downto 0); signal shl_ln728_4_fu_1334_p3 : STD_LOGIC_VECTOR (15 downto 0); signal or_ln1193_4_fu_1342_p2 : STD_LOGIC_VECTOR (15 downto 0); signal tmp_85_fu_1348_p4 : STD_LOGIC_VECTOR (9 downto 0); signal grp_fu_1066_p4 : STD_LOGIC_VECTOR (9 downto 0); signal shl_ln728_6_fu_1363_p3 : STD_LOGIC_VECTOR (15 downto 0); signal or_ln1193_6_fu_1371_p2 : STD_LOGIC_VECTOR (15 downto 0); signal tmp_87_fu_1377_p4 : STD_LOGIC_VECTOR (9 downto 0); signal grp_fu_1076_p4 : STD_LOGIC_VECTOR (9 downto 0); signal shl_ln728_8_fu_1392_p3 : STD_LOGIC_VECTOR (15 downto 0); signal or_ln1193_8_fu_1400_p2 : STD_LOGIC_VECTOR (15 downto 0); signal tmp_89_fu_1406_p4 : STD_LOGIC_VECTOR (9 downto 0); signal grp_fu_1086_p4 : STD_LOGIC_VECTOR (9 downto 0); signal shl_ln728_s_fu_1421_p3 : STD_LOGIC_VECTOR (15 downto 0); signal or_ln1193_10_fu_1429_p2 : STD_LOGIC_VECTOR (15 downto 0); signal tmp_91_fu_1435_p4 : STD_LOGIC_VECTOR (9 downto 0); signal grp_fu_1096_p4 : STD_LOGIC_VECTOR (9 downto 0); signal shl_ln728_11_fu_1450_p3 : STD_LOGIC_VECTOR (15 downto 0); signal or_ln1193_12_fu_1458_p2 : STD_LOGIC_VECTOR (15 downto 0); signal tmp_93_fu_1464_p4 : STD_LOGIC_VECTOR (9 downto 0); signal grp_fu_1106_p4 : STD_LOGIC_VECTOR (9 downto 0); signal shl_ln728_13_fu_1479_p3 : STD_LOGIC_VECTOR (15 downto 0); signal or_ln1193_14_fu_1487_p2 : STD_LOGIC_VECTOR (15 downto 0); signal tmp_95_fu_1493_p4 : STD_LOGIC_VECTOR (9 downto 0); signal grp_fu_1116_p4 : STD_LOGIC_VECTOR (9 downto 0); signal shl_ln728_15_fu_1508_p3 : STD_LOGIC_VECTOR (15 downto 0); signal or_ln1193_16_fu_1516_p2 : STD_LOGIC_VECTOR (15 downto 0); signal tmp_97_fu_1522_p4 : STD_LOGIC_VECTOR (9 downto 0); signal grp_fu_1126_p4 : STD_LOGIC_VECTOR (9 downto 0); signal shl_ln728_17_fu_1537_p3 : STD_LOGIC_VECTOR (15 downto 0); signal or_ln1193_18_fu_1545_p2 : STD_LOGIC_VECTOR (15 downto 0); signal tmp_99_fu_1551_p4 : STD_LOGIC_VECTOR (9 downto 0); signal grp_fu_1136_p4 : STD_LOGIC_VECTOR (9 downto 0); signal shl_ln728_19_fu_1566_p3 : STD_LOGIC_VECTOR (15 downto 0); signal or_ln1193_20_fu_1574_p2 : STD_LOGIC_VECTOR (15 downto 0); signal tmp_101_fu_1580_p4 : STD_LOGIC_VECTOR (9 downto 0); signal grp_fu_1146_p4 : STD_LOGIC_VECTOR (9 downto 0); signal shl_ln728_21_fu_1595_p3 : STD_LOGIC_VECTOR (15 downto 0); signal or_ln1193_22_fu_1603_p2 : STD_LOGIC_VECTOR (15 downto 0); signal tmp_103_fu_1609_p4 : STD_LOGIC_VECTOR (9 downto 0); signal trunc_ln728_1_fu_1630_p1 : STD_LOGIC_VECTOR (9 downto 0); signal shl_ln728_1_fu_1634_p3 : STD_LOGIC_VECTOR (15 downto 0); signal or_ln1193_1_fu_1642_p2 : STD_LOGIC_VECTOR (15 downto 0); signal tmp_82_fu_1648_p4 : STD_LOGIC_VECTOR (9 downto 0); signal shl_ln728_3_fu_1670_p3 : STD_LOGIC_VECTOR (15 downto 0); signal or_ln1193_3_fu_1678_p2 : STD_LOGIC_VECTOR (15 downto 0); signal tmp_84_fu_1684_p4 : STD_LOGIC_VECTOR (9 downto 0); signal shl_ln728_5_fu_1706_p3 : STD_LOGIC_VECTOR (15 downto 0); signal or_ln1193_5_fu_1714_p2 : STD_LOGIC_VECTOR (15 downto 0); signal tmp_86_fu_1720_p4 : STD_LOGIC_VECTOR (9 downto 0); signal shl_ln728_7_fu_1742_p3 : STD_LOGIC_VECTOR (15 downto 0); signal or_ln1193_7_fu_1750_p2 : STD_LOGIC_VECTOR (15 downto 0); signal tmp_88_fu_1756_p4 : STD_LOGIC_VECTOR (9 downto 0); signal shl_ln728_9_fu_1778_p3 : STD_LOGIC_VECTOR (15 downto 0); signal or_ln1193_9_fu_1786_p2 : STD_LOGIC_VECTOR (15 downto 0); signal tmp_90_fu_1792_p4 : STD_LOGIC_VECTOR (9 downto 0); signal shl_ln728_10_fu_1814_p3 : STD_LOGIC_VECTOR (15 downto 0); signal or_ln1193_11_fu_1822_p2 : STD_LOGIC_VECTOR (15 downto 0); signal tmp_92_fu_1828_p4 : STD_LOGIC_VECTOR (9 downto 0); signal shl_ln728_12_fu_1850_p3 : STD_LOGIC_VECTOR (15 downto 0); signal or_ln1193_13_fu_1858_p2 : STD_LOGIC_VECTOR (15 downto 0); signal tmp_94_fu_1864_p4 : STD_LOGIC_VECTOR (9 downto 0); signal shl_ln728_14_fu_1886_p3 : STD_LOGIC_VECTOR (15 downto 0); signal or_ln1193_15_fu_1894_p2 : STD_LOGIC_VECTOR (15 downto 0); signal tmp_96_fu_1900_p4 : STD_LOGIC_VECTOR (9 downto 0); signal shl_ln728_16_fu_1922_p3 : STD_LOGIC_VECTOR (15 downto 0); signal or_ln1193_17_fu_1930_p2 : STD_LOGIC_VECTOR (15 downto 0); signal tmp_98_fu_1936_p4 : STD_LOGIC_VECTOR (9 downto 0); signal shl_ln728_18_fu_1958_p3 : STD_LOGIC_VECTOR (15 downto 0); signal or_ln1193_19_fu_1966_p2 : STD_LOGIC_VECTOR (15 downto 0); signal tmp_100_fu_1972_p4 : STD_LOGIC_VECTOR (9 downto 0); signal shl_ln728_20_fu_1994_p3 : STD_LOGIC_VECTOR (15 downto 0); signal or_ln1193_21_fu_2002_p2 : STD_LOGIC_VECTOR (15 downto 0); signal tmp_102_fu_2008_p4 : STD_LOGIC_VECTOR (9 downto 0); signal shl_ln728_22_fu_2030_p3 : STD_LOGIC_VECTOR (15 downto 0); signal or_ln1193_23_fu_2038_p2 : STD_LOGIC_VECTOR (15 downto 0); signal tmp_104_fu_2044_p4 : STD_LOGIC_VECTOR (9 downto 0); signal add_ln563_3_fu_2202_p2 : STD_LOGIC_VECTOR (31 downto 0); signal add_ln563_10_fu_2225_p2 : STD_LOGIC_VECTOR (31 downto 0); signal add_ln563_17_fu_2248_p2 : STD_LOGIC_VECTOR (31 downto 0); signal add_ln563_2_fu_2259_p2 : STD_LOGIC_VECTOR (31 downto 0); signal add_ln563_9_fu_2269_p2 : STD_LOGIC_VECTOR (31 downto 0); signal add_ln563_16_fu_2279_p2 : STD_LOGIC_VECTOR (31 downto 0); signal ap_CS_fsm_state16 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state16 : signal is "none"; signal ap_NS_fsm : STD_LOGIC_VECTOR (10 downto 0); signal ap_block_pp2 : BOOLEAN; signal ap_block_pp3 : BOOLEAN; signal ap_enable_operation_152 : BOOLEAN; signal ap_enable_state8_pp2_iter0_stage1 : BOOLEAN; signal ap_enable_operation_237 : BOOLEAN; signal ap_enable_state9_pp2_iter1_stage0 : BOOLEAN; signal ap_enable_operation_239 : BOOLEAN; signal ap_enable_operation_159 : BOOLEAN; signal ap_enable_operation_247 : BOOLEAN; signal ap_enable_operation_249 : BOOLEAN; signal ap_enable_operation_166 : BOOLEAN; signal ap_enable_operation_257 : BOOLEAN; signal ap_enable_operation_259 : BOOLEAN; signal ap_enable_operation_173 : BOOLEAN; signal ap_enable_operation_267 : BOOLEAN; signal ap_enable_operation_269 : BOOLEAN; signal ap_enable_operation_180 : BOOLEAN; signal ap_enable_operation_277 : BOOLEAN; signal ap_enable_operation_279 : BOOLEAN; signal ap_enable_operation_187 : BOOLEAN; signal ap_enable_operation_287 : BOOLEAN; signal ap_enable_operation_289 : BOOLEAN; signal ap_enable_operation_194 : BOOLEAN; signal ap_enable_operation_297 : BOOLEAN; signal ap_enable_operation_299 : BOOLEAN; signal ap_enable_operation_201 : BOOLEAN; signal ap_enable_operation_307 : BOOLEAN; signal ap_enable_operation_309 : BOOLEAN; signal ap_enable_operation_208 : BOOLEAN; signal ap_enable_operation_317 : BOOLEAN; signal ap_enable_operation_319 : BOOLEAN; signal ap_enable_operation_215 : BOOLEAN; signal ap_enable_operation_327 : BOOLEAN; signal ap_enable_operation_329 : BOOLEAN; signal ap_enable_operation_222 : BOOLEAN; signal ap_enable_operation_337 : BOOLEAN; signal ap_enable_operation_339 : BOOLEAN; signal ap_enable_operation_229 : BOOLEAN; signal ap_enable_operation_347 : BOOLEAN; signal ap_enable_operation_349 : BOOLEAN; signal ap_enable_operation_242 : BOOLEAN; signal ap_enable_operation_358 : BOOLEAN; signal ap_enable_state10_pp2_iter1_stage1 : BOOLEAN; signal ap_enable_operation_360 : BOOLEAN; signal ap_enable_operation_252 : BOOLEAN; signal ap_enable_operation_363 : BOOLEAN; signal ap_enable_operation_365 : BOOLEAN; signal ap_enable_operation_262 : BOOLEAN; signal ap_enable_operation_368 : BOOLEAN; signal ap_enable_operation_370 : BOOLEAN; signal ap_enable_operation_272 : BOOLEAN; signal ap_enable_operation_373 : BOOLEAN; signal ap_enable_operation_375 : BOOLEAN; signal ap_enable_operation_282 : BOOLEAN; signal ap_enable_operation_378 : BOOLEAN; signal ap_enable_operation_380 : BOOLEAN; signal ap_enable_operation_292 : BOOLEAN; signal ap_enable_operation_383 : BOOLEAN; signal ap_enable_operation_385 : BOOLEAN; signal ap_enable_operation_302 : BOOLEAN; signal ap_enable_operation_388 : BOOLEAN; signal ap_enable_operation_390 : BOOLEAN; signal ap_enable_operation_312 : BOOLEAN; signal ap_enable_operation_393 : BOOLEAN; signal ap_enable_operation_395 : BOOLEAN; signal ap_enable_operation_322 : BOOLEAN; signal ap_enable_operation_398 : BOOLEAN; signal ap_enable_operation_400 : BOOLEAN; signal ap_enable_operation_332 : BOOLEAN; signal ap_enable_operation_403 : BOOLEAN; signal ap_enable_operation_405 : BOOLEAN; signal ap_enable_operation_342 : BOOLEAN; signal ap_enable_operation_408 : BOOLEAN; signal ap_enable_operation_410 : BOOLEAN; signal ap_enable_operation_352 : BOOLEAN; signal ap_enable_operation_413 : BOOLEAN; signal ap_enable_operation_415 : BOOLEAN; signal ap_idle_pp2 : STD_LOGIC; signal ap_enable_pp2 : STD_LOGIC; signal ap_idle_pp3 : STD_LOGIC; signal ap_enable_pp3 : STD_LOGIC; component AWBhistogramkernercU IS generic ( DataWidth : INTEGER; AddressRange : INTEGER; AddressWidth : INTEGER ); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; address0 : IN STD_LOGIC_VECTOR (9 downto 0); ce0 : IN STD_LOGIC; we0 : IN STD_LOGIC; d0 : IN STD_LOGIC_VECTOR (31 downto 0); q0 : OUT STD_LOGIC_VECTOR (31 downto 0) ); end component; begin tmp_hist_0_V_U : component AWBhistogramkernercU generic map ( DataWidth => 32, AddressRange => 1024, AddressWidth => 10) port map ( clk => ap_clk, reset => ap_rst, address0 => tmp_hist_0_V_address0, ce0 => tmp_hist_0_V_ce0, we0 => tmp_hist_0_V_we0, d0 => tmp_hist_0_V_d0, q0 => tmp_hist_0_V_q0); tmp_hist_1_V_U : component AWBhistogramkernercU generic map ( DataWidth => 32, AddressRange => 1024, AddressWidth => 10) port map ( clk => ap_clk, reset => ap_rst, address0 => tmp_hist_1_V_address0, ce0 => tmp_hist_1_V_ce0, we0 => tmp_hist_1_V_we0, d0 => tmp_hist_1_V_d0, q0 => tmp_hist_1_V_q0); tmp_hist_2_V_U : component AWBhistogramkernercU generic map ( DataWidth => 32, AddressRange => 1024, AddressWidth => 10) port map ( clk => ap_clk, reset => ap_rst, address0 => tmp_hist_2_V_address0, ce0 => tmp_hist_2_V_ce0, we0 => tmp_hist_2_V_we0, d0 => tmp_hist_2_V_d0, q0 => tmp_hist_2_V_q0); tmp_hist_3_V_U : component AWBhistogramkernercU generic map ( DataWidth => 32, AddressRange => 1024, AddressWidth => 10) port map ( clk => ap_clk, reset => ap_rst, address0 => tmp_hist_3_V_address0, ce0 => tmp_hist_3_V_ce0, we0 => tmp_hist_3_V_we0, d0 => tmp_hist_3_V_d0, q0 => tmp_hist_3_V_q0); tmp_hist_4_V_U : component AWBhistogramkernercU generic map ( DataWidth => 32, AddressRange => 1024, AddressWidth => 10) port map ( clk => ap_clk, reset => ap_rst, address0 => tmp_hist_4_V_address0, ce0 => tmp_hist_4_V_ce0, we0 => tmp_hist_4_V_we0, d0 => tmp_hist_4_V_d0, q0 => tmp_hist_4_V_q0); tmp_hist_5_V_U : component AWBhistogramkernercU generic map ( DataWidth => 32, AddressRange => 1024, AddressWidth => 10) port map ( clk => ap_clk, reset => ap_rst, address0 => tmp_hist_5_V_address0, ce0 => tmp_hist_5_V_ce0, we0 => tmp_hist_5_V_we0, d0 => tmp_hist_5_V_d0, q0 => tmp_hist_5_V_q0); tmp_hist_6_V_U : component AWBhistogramkernercU generic map ( DataWidth => 32, AddressRange => 1024, AddressWidth => 10) port map ( clk => ap_clk, reset => ap_rst, address0 => tmp_hist_6_V_address0, ce0 => tmp_hist_6_V_ce0, we0 => tmp_hist_6_V_we0, d0 => tmp_hist_6_V_d0, q0 => tmp_hist_6_V_q0); tmp_hist_7_V_U : component AWBhistogramkernercU generic map ( DataWidth => 32, AddressRange => 1024, AddressWidth => 10) port map ( clk => ap_clk, reset => ap_rst, address0 => tmp_hist_7_V_address0, ce0 => tmp_hist_7_V_ce0, we0 => tmp_hist_7_V_we0, d0 => tmp_hist_7_V_d0, q0 => tmp_hist_7_V_q0); tmp_hist_8_V_U : component AWBhistogramkernercU generic map ( DataWidth => 32, AddressRange => 1024, AddressWidth => 10) port map ( clk => ap_clk, reset => ap_rst, address0 => tmp_hist_8_V_address0, ce0 => tmp_hist_8_V_ce0, we0 => tmp_hist_8_V_we0, d0 => tmp_hist_8_V_d0, q0 => tmp_hist_8_V_q0); tmp_hist_9_V_U : component AWBhistogramkernercU generic map ( DataWidth => 32, AddressRange => 1024, AddressWidth => 10) port map ( clk => ap_clk, reset => ap_rst, address0 => tmp_hist_9_V_address0, ce0 => tmp_hist_9_V_ce0, we0 => tmp_hist_9_V_we0, d0 => tmp_hist_9_V_d0, q0 => tmp_hist_9_V_q0); tmp_hist_10_V_U : component AWBhistogramkernercU generic map ( DataWidth => 32, AddressRange => 1024, AddressWidth => 10) port map ( clk => ap_clk, reset => ap_rst, address0 => tmp_hist_10_V_address0, ce0 => tmp_hist_10_V_ce0, we0 => tmp_hist_10_V_we0, d0 => tmp_hist_10_V_d0, q0 => tmp_hist_10_V_q0); tmp_hist_11_V_U : component AWBhistogramkernercU generic map ( DataWidth => 32, AddressRange => 1024, AddressWidth => 10) port map ( clk => ap_clk, reset => ap_rst, address0 => tmp_hist_11_V_address0, ce0 => tmp_hist_11_V_ce0, we0 => tmp_hist_11_V_we0, d0 => tmp_hist_11_V_d0, q0 => tmp_hist_11_V_q0); tmp_hist1_0_V_U : component AWBhistogramkernercU generic map ( DataWidth => 32, AddressRange => 1024, AddressWidth => 10) port map ( clk => ap_clk, reset => ap_rst, address0 => tmp_hist1_0_V_address0, ce0 => tmp_hist1_0_V_ce0, we0 => tmp_hist1_0_V_we0, d0 => tmp_hist1_0_V_d0, q0 => tmp_hist1_0_V_q0); tmp_hist1_1_V_U : component AWBhistogramkernercU generic map ( DataWidth => 32, AddressRange => 1024, AddressWidth => 10) port map ( clk => ap_clk, reset => ap_rst, address0 => tmp_hist1_1_V_address0, ce0 => tmp_hist1_1_V_ce0, we0 => tmp_hist1_1_V_we0, d0 => tmp_hist1_1_V_d0, q0 => tmp_hist1_1_V_q0); tmp_hist1_2_V_U : component AWBhistogramkernercU generic map ( DataWidth => 32, AddressRange => 1024, AddressWidth => 10) port map ( clk => ap_clk, reset => ap_rst, address0 => tmp_hist1_2_V_address0, ce0 => tmp_hist1_2_V_ce0, we0 => tmp_hist1_2_V_we0, d0 => tmp_hist1_2_V_d0, q0 => tmp_hist1_2_V_q0); tmp_hist1_3_V_U : component AWBhistogramkernercU generic map ( DataWidth => 32, AddressRange => 1024, AddressWidth => 10) port map ( clk => ap_clk, reset => ap_rst, address0 => tmp_hist1_3_V_address0, ce0 => tmp_hist1_3_V_ce0, we0 => tmp_hist1_3_V_we0, d0 => tmp_hist1_3_V_d0, q0 => tmp_hist1_3_V_q0); tmp_hist1_4_V_U : component AWBhistogramkernercU generic map ( DataWidth => 32, AddressRange => 1024, AddressWidth => 10) port map ( clk => ap_clk, reset => ap_rst, address0 => tmp_hist1_4_V_address0, ce0 => tmp_hist1_4_V_ce0, we0 => tmp_hist1_4_V_we0, d0 => tmp_hist1_4_V_d0, q0 => tmp_hist1_4_V_q0); tmp_hist1_5_V_U : component AWBhistogramkernercU generic map ( DataWidth => 32, AddressRange => 1024, AddressWidth => 10) port map ( clk => ap_clk, reset => ap_rst, address0 => tmp_hist1_5_V_address0, ce0 => tmp_hist1_5_V_ce0, we0 => tmp_hist1_5_V_we0, d0 => tmp_hist1_5_V_d0, q0 => tmp_hist1_5_V_q0); tmp_hist1_6_V_U : component AWBhistogramkernercU generic map ( DataWidth => 32, AddressRange => 1024, AddressWidth => 10) port map ( clk => ap_clk, reset => ap_rst, address0 => tmp_hist1_6_V_address0, ce0 => tmp_hist1_6_V_ce0, we0 => tmp_hist1_6_V_we0, d0 => tmp_hist1_6_V_d0, q0 => tmp_hist1_6_V_q0); tmp_hist1_7_V_U : component AWBhistogramkernercU generic map ( DataWidth => 32, AddressRange => 1024, AddressWidth => 10) port map ( clk => ap_clk, reset => ap_rst, address0 => tmp_hist1_7_V_address0, ce0 => tmp_hist1_7_V_ce0, we0 => tmp_hist1_7_V_we0, d0 => tmp_hist1_7_V_d0, q0 => tmp_hist1_7_V_q0); tmp_hist1_8_V_U : component AWBhistogramkernercU generic map ( DataWidth => 32, AddressRange => 1024, AddressWidth => 10) port map ( clk => ap_clk, reset => ap_rst, address0 => tmp_hist1_8_V_address0, ce0 => tmp_hist1_8_V_ce0, we0 => tmp_hist1_8_V_we0, d0 => tmp_hist1_8_V_d0, q0 => tmp_hist1_8_V_q0); tmp_hist1_9_V_U : component AWBhistogramkernercU generic map ( DataWidth => 32, AddressRange => 1024, AddressWidth => 10) port map ( clk => ap_clk, reset => ap_rst, address0 => tmp_hist1_9_V_address0, ce0 => tmp_hist1_9_V_ce0, we0 => tmp_hist1_9_V_we0, d0 => tmp_hist1_9_V_d0, q0 => tmp_hist1_9_V_q0); tmp_hist1_10_V_U : component AWBhistogramkernercU generic map ( DataWidth => 32, AddressRange => 1024, AddressWidth => 10) port map ( clk => ap_clk, reset => ap_rst, address0 => tmp_hist1_10_V_address0, ce0 => tmp_hist1_10_V_ce0, we0 => tmp_hist1_10_V_we0, d0 => tmp_hist1_10_V_d0, q0 => tmp_hist1_10_V_q0); tmp_hist1_11_V_U : component AWBhistogramkernercU generic map ( DataWidth => 32, AddressRange => 1024, AddressWidth => 10) port map ( clk => ap_clk, reset => ap_rst, address0 => tmp_hist1_11_V_address0, ce0 => tmp_hist1_11_V_ce0, we0 => tmp_hist1_11_V_we0, d0 => tmp_hist1_11_V_d0, q0 => tmp_hist1_11_V_q0); ap_CS_fsm_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_CS_fsm <= ap_ST_fsm_state1; else ap_CS_fsm <= ap_NS_fsm; end if; end if; end process; ap_enable_reg_pp2_iter0_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_enable_reg_pp2_iter0 <= ap_const_logic_0; else if (((ap_const_boolean_0 = ap_block_pp2_stage0_subdone) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage0) and (ap_const_logic_1 = ap_condition_pp2_exit_iter0_state7))) then ap_enable_reg_pp2_iter0 <= ap_const_logic_0; elsif (((icmp_ln497_fu_1229_p2 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_state6))) then ap_enable_reg_pp2_iter0 <= ap_const_logic_1; end if; end if; end if; end process; ap_enable_reg_pp2_iter1_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_enable_reg_pp2_iter1 <= ap_const_logic_0; else if (((ap_const_boolean_0 = ap_block_pp2_stage1_subdone) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage1))) then ap_enable_reg_pp2_iter1 <= ap_enable_reg_pp2_iter0; elsif (((icmp_ln497_fu_1229_p2 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_state6))) then ap_enable_reg_pp2_iter1 <= ap_const_logic_0; end if; end if; end if; end process; ap_enable_reg_pp3_iter0_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_enable_reg_pp3_iter0 <= ap_const_logic_0; else if (((ap_const_boolean_0 = ap_block_pp3_stage0_subdone) and (ap_const_logic_1 = ap_condition_pp3_exit_iter0_state12) and (ap_const_logic_1 = ap_CS_fsm_pp3_stage0))) then ap_enable_reg_pp3_iter0 <= ap_const_logic_0; elsif (((ap_const_logic_1 = ap_CS_fsm_state6) and (icmp_ln497_fu_1229_p2 = ap_const_lv1_1))) then ap_enable_reg_pp3_iter0 <= ap_const_logic_1; end if; end if; end if; end process; ap_enable_reg_pp3_iter1_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_enable_reg_pp3_iter1 <= ap_const_logic_0; else if ((ap_const_boolean_0 = ap_block_pp3_stage0_subdone)) then if ((ap_const_logic_1 = ap_condition_pp3_exit_iter0_state12)) then ap_enable_reg_pp3_iter1 <= (ap_const_logic_1 xor ap_condition_pp3_exit_iter0_state12); elsif ((ap_const_boolean_1 = ap_const_boolean_1)) then ap_enable_reg_pp3_iter1 <= ap_enable_reg_pp3_iter0; end if; end if; end if; end if; end process; ap_enable_reg_pp3_iter2_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_enable_reg_pp3_iter2 <= ap_const_logic_0; else if ((ap_const_boolean_0 = ap_block_pp3_stage0_subdone)) then ap_enable_reg_pp3_iter2 <= ap_enable_reg_pp3_iter1; end if; end if; end if; end process; ap_enable_reg_pp3_iter3_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_enable_reg_pp3_iter3 <= ap_const_logic_0; else if ((ap_const_boolean_0 = ap_block_pp3_stage0_subdone)) then ap_enable_reg_pp3_iter3 <= ap_enable_reg_pp3_iter2; elsif (((ap_const_logic_1 = ap_CS_fsm_state6) and (icmp_ln497_fu_1229_p2 = ap_const_lv1_1))) then ap_enable_reg_pp3_iter3 <= ap_const_logic_0; end if; end if; end if; end process; col_0_reg_1023_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((icmp_ln503_reg_2329 = ap_const_lv1_0) and (ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage0) and (ap_const_boolean_0 = ap_block_pp2_stage0_11001))) then col_0_reg_1023 <= col_reg_2393; elsif (((icmp_ln497_fu_1229_p2 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_state6))) then col_0_reg_1023 <= ap_const_lv32_0; end if; end if; end process; k_0_reg_990_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((icmp_ln445_fu_1170_p2 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_state2))) then k_0_reg_990 <= k_fu_1176_p2; elsif (((ap_const_logic_1 = ap_CS_fsm_state1) and (ap_start = ap_const_logic_1))) then k_0_reg_990 <= ap_const_lv11_0; end if; end if; end process; row_0_reg_1012_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_logic_1 = ap_CS_fsm_state5)) then row_0_reg_1012 <= ap_const_lv16_0; elsif ((ap_const_logic_1 = ap_CS_fsm_state11)) then row_0_reg_1012 <= row_reg_2319; end if; end if; end process; t_V_1_reg_1035_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_CS_fsm_state6) and (icmp_ln497_fu_1229_p2 = ap_const_lv1_1))) then t_V_1_reg_1035 <= ap_const_lv11_0; elsif (((icmp_ln887_6_fu_2150_p2 = ap_const_lv1_0) and (ap_const_boolean_0 = ap_block_pp3_stage0_11001) and (ap_enable_reg_pp3_iter0 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp3_stage0))) then t_V_1_reg_1035 <= i_V_1_fu_2156_p2; end if; end if; end process; t_V_reg_1001_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((icmp_ln887_fu_1189_p2 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_state4))) then t_V_reg_1001 <= i_V_fu_1195_p2; elsif ((ap_const_logic_1 = ap_CS_fsm_state3)) then t_V_reg_1001 <= ap_const_lv11_0; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((icmp_ln887_6_reg_2458 = ap_const_lv1_0) and (ap_const_boolean_0 = ap_block_pp3_stage0_11001) and (ap_const_logic_1 = ap_CS_fsm_pp3_stage0))) then add_ln563_11_reg_2587 <= add_ln563_11_fu_2178_p2; add_ln563_18_reg_2622 <= add_ln563_18_fu_2184_p2; add_ln563_4_reg_2552 <= add_ln563_4_fu_2172_p2; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((icmp_ln887_6_reg_2458_pp3_iter1_reg = ap_const_lv1_0) and (ap_const_boolean_0 = ap_block_pp3_stage0_11001))) then add_ln563_12_reg_2652 <= add_ln563_12_fu_2231_p2; add_ln563_14_reg_2657 <= add_ln563_14_fu_2236_p2; add_ln563_15_reg_2662 <= add_ln563_15_fu_2242_p2; add_ln563_19_reg_2667 <= add_ln563_19_fu_2254_p2; add_ln563_1_reg_2632 <= add_ln563_1_fu_2196_p2; add_ln563_5_reg_2637 <= add_ln563_5_fu_2208_p2; add_ln563_7_reg_2642 <= add_ln563_7_fu_2213_p2; add_ln563_8_reg_2647 <= add_ln563_8_fu_2219_p2; add_ln563_reg_2627 <= add_ln563_fu_2190_p2; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((icmp_ln503_reg_2329 = ap_const_lv1_0) and (ap_enable_reg_pp2_iter0 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage1) and (ap_const_boolean_0 = ap_block_pp2_stage1_11001))) then col_reg_2393 <= col_fu_1624_p2; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_CS_fsm_pp2_stage0) and (ap_const_boolean_0 = ap_block_pp2_stage0_11001))) then icmp_ln503_reg_2329 <= icmp_ln503_fu_1267_p2; icmp_ln503_reg_2329_pp2_iter1_reg <= icmp_ln503_reg_2329; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_boolean_0 = ap_block_pp3_stage0_11001) and (ap_const_logic_1 = ap_CS_fsm_pp3_stage0))) then icmp_ln887_6_reg_2458 <= icmp_ln887_6_fu_2150_p2; icmp_ln887_6_reg_2458_pp3_iter1_reg <= icmp_ln887_6_reg_2458; zext_ln544_1_reg_2467_pp3_iter1_reg(10 downto 0) <= zext_ln544_1_reg_2467(10 downto 0); end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_boolean_0 = ap_block_pp3_stage0_11001)) then icmp_ln887_6_reg_2458_pp3_iter2_reg <= icmp_ln887_6_reg_2458_pp3_iter1_reg; zext_ln544_1_reg_2467_pp3_iter2_reg(10 downto 0) <= zext_ln544_1_reg_2467_pp3_iter1_reg(10 downto 0); end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_logic_1 = ap_CS_fsm_state6)) then row_reg_2319 <= row_fu_1234_p2; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((icmp_ln503_reg_2329 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage0) and (ap_const_boolean_0 = ap_block_pp2_stage0_11001))) then tmp_hist1_0_V_addr_2_reg_2398 <= zext_ln536_fu_1665_p1(10 - 1 downto 0); tmp_hist1_10_V_addr_2_reg_2448 <= zext_ln536_10_fu_2025_p1(10 - 1 downto 0); tmp_hist1_11_V_addr_2_reg_2453 <= zext_ln536_11_fu_2061_p1(10 - 1 downto 0); tmp_hist1_1_V_addr_2_reg_2403 <= zext_ln536_1_fu_1701_p1(10 - 1 downto 0); tmp_hist1_2_V_addr_2_reg_2408 <= zext_ln536_2_fu_1737_p1(10 - 1 downto 0); tmp_hist1_3_V_addr_2_reg_2413 <= zext_ln536_3_fu_1773_p1(10 - 1 downto 0); tmp_hist1_4_V_addr_2_reg_2418 <= zext_ln536_4_fu_1809_p1(10 - 1 downto 0); tmp_hist1_5_V_addr_2_reg_2423 <= zext_ln536_5_fu_1845_p1(10 - 1 downto 0); tmp_hist1_6_V_addr_2_reg_2428 <= zext_ln536_6_fu_1881_p1(10 - 1 downto 0); tmp_hist1_7_V_addr_2_reg_2433 <= zext_ln536_7_fu_1917_p1(10 - 1 downto 0); tmp_hist1_8_V_addr_2_reg_2438 <= zext_ln536_8_fu_1953_p1(10 - 1 downto 0); tmp_hist1_9_V_addr_2_reg_2443 <= zext_ln536_9_fu_1989_p1(10 - 1 downto 0); end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((icmp_ln503_reg_2329 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage1) and (ap_const_boolean_0 = ap_block_pp2_stage1_11001))) then tmp_hist_0_V_addr_2_reg_2333 <= zext_ln535_fu_1300_p1(10 - 1 downto 0); tmp_hist_10_V_addr_2_reg_2383 <= zext_ln535_10_fu_1590_p1(10 - 1 downto 0); tmp_hist_11_V_addr_2_reg_2388 <= zext_ln535_11_fu_1619_p1(10 - 1 downto 0); tmp_hist_1_V_addr_2_reg_2338 <= zext_ln535_1_fu_1329_p1(10 - 1 downto 0); tmp_hist_2_V_addr_2_reg_2343 <= zext_ln535_2_fu_1358_p1(10 - 1 downto 0); tmp_hist_3_V_addr_2_reg_2348 <= zext_ln535_3_fu_1387_p1(10 - 1 downto 0); tmp_hist_4_V_addr_2_reg_2353 <= zext_ln535_4_fu_1416_p1(10 - 1 downto 0); tmp_hist_5_V_addr_2_reg_2358 <= zext_ln535_5_fu_1445_p1(10 - 1 downto 0); tmp_hist_6_V_addr_2_reg_2363 <= zext_ln535_6_fu_1474_p1(10 - 1 downto 0); tmp_hist_7_V_addr_2_reg_2368 <= zext_ln535_7_fu_1503_p1(10 - 1 downto 0); tmp_hist_8_V_addr_2_reg_2373 <= zext_ln535_8_fu_1532_p1(10 - 1 downto 0); tmp_hist_9_V_addr_2_reg_2378 <= zext_ln535_9_fu_1561_p1(10 - 1 downto 0); end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_CS_fsm_state1) and (ap_start = ap_const_logic_1))) then zext_ln426_reg_2294(13 downto 0) <= zext_ln426_fu_1166_p1(13 downto 0); end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((icmp_ln497_fu_1229_p2 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_state6))) then zext_ln503_reg_2324(14 downto 1) <= zext_ln503_fu_1263_p1(14 downto 1); end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((icmp_ln887_6_fu_2150_p2 = ap_const_lv1_0) and (ap_const_boolean_0 = ap_block_pp3_stage0_11001) and (ap_const_logic_1 = ap_CS_fsm_pp3_stage0))) then zext_ln544_1_reg_2467(10 downto 0) <= zext_ln544_1_fu_2162_p1(10 downto 0); end if; end if; end process; zext_ln426_reg_2294(14) <= '0'; zext_ln503_reg_2324(0) <= '0'; zext_ln503_reg_2324(31 downto 15) <= "00000000000000000"; zext_ln544_1_reg_2467(63 downto 11) <= "00000000000000000000000000000000000000000000000000000"; zext_ln544_1_reg_2467_pp3_iter1_reg(63 downto 11) <= "00000000000000000000000000000000000000000000000000000"; zext_ln544_1_reg_2467_pp3_iter2_reg(63 downto 11) <= "00000000000000000000000000000000000000000000000000000"; ap_NS_fsm_assign_proc : process (ap_start, ap_CS_fsm, ap_CS_fsm_state1, ap_CS_fsm_pp2_stage1, ap_enable_reg_pp2_iter0, ap_enable_reg_pp2_iter1, ap_CS_fsm_state2, ap_CS_fsm_state4, icmp_ln497_fu_1229_p2, ap_CS_fsm_state6, icmp_ln503_fu_1267_p2, icmp_ln887_6_fu_2150_p2, ap_enable_reg_pp3_iter0, ap_block_pp2_stage0_subdone, ap_block_pp2_stage1_subdone, ap_block_pp3_stage0_subdone, ap_enable_reg_pp3_iter1, ap_enable_reg_pp3_iter2, ap_enable_reg_pp3_iter3, icmp_ln445_fu_1170_p2, icmp_ln887_fu_1189_p2) begin case ap_CS_fsm is when ap_ST_fsm_state1 => if (((ap_const_logic_1 = ap_CS_fsm_state1) and (ap_start = ap_const_logic_1))) then ap_NS_fsm <= ap_ST_fsm_state2; else ap_NS_fsm <= ap_ST_fsm_state1; end if; when ap_ST_fsm_state2 => if (((icmp_ln445_fu_1170_p2 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_state2))) then ap_NS_fsm <= ap_ST_fsm_state2; else ap_NS_fsm <= ap_ST_fsm_state3; end if; when ap_ST_fsm_state3 => ap_NS_fsm <= ap_ST_fsm_state4; when ap_ST_fsm_state4 => if (((icmp_ln887_fu_1189_p2 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_state4))) then ap_NS_fsm <= ap_ST_fsm_state4; else ap_NS_fsm <= ap_ST_fsm_state5; end if; when ap_ST_fsm_state5 => ap_NS_fsm <= ap_ST_fsm_state6; when ap_ST_fsm_state6 => if (((ap_const_logic_1 = ap_CS_fsm_state6) and (icmp_ln497_fu_1229_p2 = ap_const_lv1_1))) then ap_NS_fsm <= ap_ST_fsm_pp3_stage0; else ap_NS_fsm <= ap_ST_fsm_pp2_stage0; end if; when ap_ST_fsm_pp2_stage0 => if ((not(((ap_enable_reg_pp2_iter1 = ap_const_logic_0) and (ap_const_boolean_0 = ap_block_pp2_stage0_subdone) and (ap_enable_reg_pp2_iter0 = ap_const_logic_1) and (icmp_ln503_fu_1267_p2 = ap_const_lv1_1))) and (ap_const_boolean_0 = ap_block_pp2_stage0_subdone))) then ap_NS_fsm <= ap_ST_fsm_pp2_stage1; elsif (((ap_enable_reg_pp2_iter1 = ap_const_logic_0) and (ap_const_boolean_0 = ap_block_pp2_stage0_subdone) and (ap_enable_reg_pp2_iter0 = ap_const_logic_1) and (icmp_ln503_fu_1267_p2 = ap_const_lv1_1))) then ap_NS_fsm <= ap_ST_fsm_state11; else ap_NS_fsm <= ap_ST_fsm_pp2_stage0; end if; when ap_ST_fsm_pp2_stage1 => if ((not(((ap_enable_reg_pp2_iter0 = ap_const_logic_0) and (ap_const_boolean_0 = ap_block_pp2_stage1_subdone) and (ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage1))) and (ap_const_boolean_0 = ap_block_pp2_stage1_subdone))) then ap_NS_fsm <= ap_ST_fsm_pp2_stage0; elsif (((ap_enable_reg_pp2_iter0 = ap_const_logic_0) and (ap_const_boolean_0 = ap_block_pp2_stage1_subdone) and (ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage1))) then ap_NS_fsm <= ap_ST_fsm_state11; else ap_NS_fsm <= ap_ST_fsm_pp2_stage1; end if; when ap_ST_fsm_state11 => ap_NS_fsm <= ap_ST_fsm_state6; when ap_ST_fsm_pp3_stage0 => if ((not(((ap_enable_reg_pp3_iter1 = ap_const_logic_0) and (ap_const_boolean_0 = ap_block_pp3_stage0_subdone) and (icmp_ln887_6_fu_2150_p2 = ap_const_lv1_1) and (ap_enable_reg_pp3_iter0 = ap_const_logic_1))) and not(((ap_enable_reg_pp3_iter2 = ap_const_logic_0) and (ap_const_boolean_0 = ap_block_pp3_stage0_subdone) and (ap_enable_reg_pp3_iter3 = ap_const_logic_1))))) then ap_NS_fsm <= ap_ST_fsm_pp3_stage0; elsif ((((ap_enable_reg_pp3_iter2 = ap_const_logic_0) and (ap_const_boolean_0 = ap_block_pp3_stage0_subdone) and (ap_enable_reg_pp3_iter3 = ap_const_logic_1)) or ((ap_enable_reg_pp3_iter1 = ap_const_logic_0) and (ap_const_boolean_0 = ap_block_pp3_stage0_subdone) and (icmp_ln887_6_fu_2150_p2 = ap_const_lv1_1) and (ap_enable_reg_pp3_iter0 = ap_const_logic_1)))) then ap_NS_fsm <= ap_ST_fsm_state16; else ap_NS_fsm <= ap_ST_fsm_pp3_stage0; end if; when ap_ST_fsm_state16 => ap_NS_fsm <= ap_ST_fsm_state1; when others => ap_NS_fsm <= "XXXXXXXXXXX"; end case; end process; add_ln503_fu_1240_p2 <= std_logic_vector(unsigned(zext_ln426_reg_2294) + unsigned(ap_const_lv15_1)); add_ln563_10_fu_2225_p2 <= std_logic_vector(unsigned(tmp_hist_7_V_q0) + unsigned(tmp_hist1_7_V_q0)); add_ln563_11_fu_2178_p2 <= std_logic_vector(unsigned(tmp_hist_10_V_q0) + unsigned(tmp_hist1_10_V_q0)); add_ln563_12_fu_2231_p2 <= std_logic_vector(unsigned(add_ln563_11_reg_2587) + unsigned(add_ln563_10_fu_2225_p2)); add_ln563_13_fu_2273_p2 <= std_logic_vector(unsigned(add_ln563_12_reg_2652) + unsigned(add_ln563_9_fu_2269_p2)); add_ln563_14_fu_2236_p2 <= std_logic_vector(unsigned(tmp_hist1_2_V_q0) + unsigned(tmp_hist_2_V_q0)); add_ln563_15_fu_2242_p2 <= std_logic_vector(unsigned(tmp_hist_5_V_q0) + unsigned(tmp_hist1_5_V_q0)); add_ln563_16_fu_2279_p2 <= std_logic_vector(unsigned(add_ln563_15_reg_2662) + unsigned(add_ln563_14_reg_2657)); add_ln563_17_fu_2248_p2 <= std_logic_vector(unsigned(tmp_hist_8_V_q0) + unsigned(tmp_hist1_8_V_q0)); add_ln563_18_fu_2184_p2 <= std_logic_vector(unsigned(tmp_hist_11_V_q0) + unsigned(tmp_hist1_11_V_q0)); add_ln563_19_fu_2254_p2 <= std_logic_vector(unsigned(add_ln563_18_reg_2622) + unsigned(add_ln563_17_fu_2248_p2)); add_ln563_1_fu_2196_p2 <= std_logic_vector(unsigned(tmp_hist_3_V_q0) + unsigned(tmp_hist1_3_V_q0)); add_ln563_20_fu_2283_p2 <= std_logic_vector(unsigned(add_ln563_19_reg_2667) + unsigned(add_ln563_16_fu_2279_p2)); add_ln563_2_fu_2259_p2 <= std_logic_vector(unsigned(add_ln563_1_reg_2632) + unsigned(add_ln563_reg_2627)); add_ln563_3_fu_2202_p2 <= std_logic_vector(unsigned(tmp_hist_6_V_q0) + unsigned(tmp_hist1_6_V_q0)); add_ln563_4_fu_2172_p2 <= std_logic_vector(unsigned(tmp_hist_9_V_q0) + unsigned(tmp_hist1_9_V_q0)); add_ln563_5_fu_2208_p2 <= std_logic_vector(unsigned(add_ln563_4_reg_2552) + unsigned(add_ln563_3_fu_2202_p2)); add_ln563_6_fu_2263_p2 <= std_logic_vector(unsigned(add_ln563_5_reg_2637) + unsigned(add_ln563_2_fu_2259_p2)); add_ln563_7_fu_2213_p2 <= std_logic_vector(unsigned(tmp_hist1_1_V_q0) + unsigned(tmp_hist_1_V_q0)); add_ln563_8_fu_2219_p2 <= std_logic_vector(unsigned(tmp_hist_4_V_q0) + unsigned(tmp_hist1_4_V_q0)); add_ln563_9_fu_2269_p2 <= std_logic_vector(unsigned(add_ln563_8_reg_2647) + unsigned(add_ln563_7_reg_2642)); add_ln563_fu_2190_p2 <= std_logic_vector(unsigned(tmp_hist1_0_V_q0) + unsigned(tmp_hist_0_V_q0)); add_ln700_10_fu_2018_p2 <= std_logic_vector(unsigned(ap_const_lv32_1) + unsigned(tmp_hist_10_V_q0)); add_ln700_11_fu_2054_p2 <= std_logic_vector(unsigned(ap_const_lv32_1) + unsigned(tmp_hist_11_V_q0)); add_ln700_15_fu_2066_p2 <= std_logic_vector(unsigned(ap_const_lv32_1) + unsigned(tmp_hist1_0_V_q0)); add_ln700_16_fu_1694_p2 <= std_logic_vector(unsigned(ap_const_lv32_1) + unsigned(tmp_hist_1_V_q0)); add_ln700_17_fu_2073_p2 <= std_logic_vector(unsigned(ap_const_lv32_1) + unsigned(tmp_hist1_1_V_q0)); add_ln700_18_fu_2080_p2 <= std_logic_vector(unsigned(ap_const_lv32_1) + unsigned(tmp_hist1_2_V_q0)); add_ln700_19_fu_2087_p2 <= std_logic_vector(unsigned(ap_const_lv32_1) + unsigned(tmp_hist1_3_V_q0)); add_ln700_20_fu_2094_p2 <= std_logic_vector(unsigned(ap_const_lv32_1) + unsigned(tmp_hist1_4_V_q0)); add_ln700_21_fu_2101_p2 <= std_logic_vector(unsigned(ap_const_lv32_1) + unsigned(tmp_hist1_5_V_q0)); add_ln700_22_fu_2108_p2 <= std_logic_vector(unsigned(ap_const_lv32_1) + unsigned(tmp_hist1_6_V_q0)); add_ln700_23_fu_2115_p2 <= std_logic_vector(unsigned(ap_const_lv32_1) + unsigned(tmp_hist1_7_V_q0)); add_ln700_24_fu_2122_p2 <= std_logic_vector(unsigned(ap_const_lv32_1) + unsigned(tmp_hist1_8_V_q0)); add_ln700_25_fu_2129_p2 <= std_logic_vector(unsigned(ap_const_lv32_1) + unsigned(tmp_hist1_9_V_q0)); add_ln700_26_fu_2136_p2 <= std_logic_vector(unsigned(ap_const_lv32_1) + unsigned(tmp_hist1_10_V_q0)); add_ln700_27_fu_2143_p2 <= std_logic_vector(unsigned(ap_const_lv32_1) + unsigned(tmp_hist1_11_V_q0)); add_ln700_2_fu_1730_p2 <= std_logic_vector(unsigned(ap_const_lv32_1) + unsigned(tmp_hist_2_V_q0)); add_ln700_3_fu_1766_p2 <= std_logic_vector(unsigned(ap_const_lv32_1) + unsigned(tmp_hist_3_V_q0)); add_ln700_4_fu_1802_p2 <= std_logic_vector(unsigned(ap_const_lv32_1) + unsigned(tmp_hist_4_V_q0)); add_ln700_5_fu_1838_p2 <= std_logic_vector(unsigned(ap_const_lv32_1) + unsigned(tmp_hist_5_V_q0)); add_ln700_6_fu_1874_p2 <= std_logic_vector(unsigned(ap_const_lv32_1) + unsigned(tmp_hist_6_V_q0)); add_ln700_7_fu_1910_p2 <= std_logic_vector(unsigned(ap_const_lv32_1) + unsigned(tmp_hist_7_V_q0)); add_ln700_8_fu_1946_p2 <= std_logic_vector(unsigned(ap_const_lv32_1) + unsigned(tmp_hist_8_V_q0)); add_ln700_9_fu_1982_p2 <= std_logic_vector(unsigned(ap_const_lv32_1) + unsigned(tmp_hist_9_V_q0)); add_ln700_fu_1658_p2 <= std_logic_vector(unsigned(ap_const_lv32_1) + unsigned(tmp_hist_0_V_q0)); ap_CS_fsm_pp2_stage0 <= ap_CS_fsm(6); ap_CS_fsm_pp2_stage1 <= ap_CS_fsm(7); ap_CS_fsm_pp3_stage0 <= ap_CS_fsm(9); ap_CS_fsm_state1 <= ap_CS_fsm(0); ap_CS_fsm_state11 <= ap_CS_fsm(8); ap_CS_fsm_state16 <= ap_CS_fsm(10); ap_CS_fsm_state2 <= ap_CS_fsm(1); ap_CS_fsm_state3 <= ap_CS_fsm(2); ap_CS_fsm_state4 <= ap_CS_fsm(3); ap_CS_fsm_state5 <= ap_CS_fsm(4); ap_CS_fsm_state6 <= ap_CS_fsm(5); ap_block_pp2_assign_proc : process(ap_CS_fsm, ap_block_pp2_stage0_subdone, ap_block_pp2_stage1_subdone) begin ap_block_pp2 <= (((ap_ST_fsm_pp2_stage0 = ap_CS_fsm) and (ap_const_boolean_1 = ap_block_pp2_stage0_subdone)) or ((ap_const_boolean_1 = ap_block_pp2_stage1_subdone) and (ap_ST_fsm_pp2_stage1 = ap_CS_fsm))); end process; ap_block_pp2_stage0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp2_stage0_01001_assign_proc : process(src1_data_V_V_empty_n, src2_data_V_V_full_n, icmp_ln503_reg_2329, ap_enable_reg_pp2_iter1) begin ap_block_pp2_stage0_01001 <= ((ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (((icmp_ln503_reg_2329 = ap_const_lv1_0) and (src2_data_V_V_full_n = ap_const_logic_0)) or ((icmp_ln503_reg_2329 = ap_const_lv1_0) and (src1_data_V_V_empty_n = ap_const_logic_0)))); end process; ap_block_pp2_stage0_11001_assign_proc : process(src1_data_V_V_empty_n, src2_data_V_V_full_n, icmp_ln503_reg_2329, ap_enable_reg_pp2_iter1) begin ap_block_pp2_stage0_11001 <= ((ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (((icmp_ln503_reg_2329 = ap_const_lv1_0) and (src2_data_V_V_full_n = ap_const_logic_0)) or ((icmp_ln503_reg_2329 = ap_const_lv1_0) and (src1_data_V_V_empty_n = ap_const_logic_0)))); end process; ap_block_pp2_stage0_subdone_assign_proc : process(src1_data_V_V_empty_n, src2_data_V_V_full_n, icmp_ln503_reg_2329, ap_enable_reg_pp2_iter1) begin ap_block_pp2_stage0_subdone <= ((ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (((icmp_ln503_reg_2329 = ap_const_lv1_0) and (src2_data_V_V_full_n = ap_const_logic_0)) or ((icmp_ln503_reg_2329 = ap_const_lv1_0) and (src1_data_V_V_empty_n = ap_const_logic_0)))); end process; ap_block_pp2_stage1 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp2_stage1_01001_assign_proc : process(src1_data_V_V_empty_n, src2_data_V_V_full_n, ap_enable_reg_pp2_iter0, icmp_ln503_reg_2329) begin ap_block_pp2_stage1_01001 <= ((ap_enable_reg_pp2_iter0 = ap_const_logic_1) and (((icmp_ln503_reg_2329 = ap_const_lv1_0) and (src2_data_V_V_full_n = ap_const_logic_0)) or ((icmp_ln503_reg_2329 = ap_const_lv1_0) and (src1_data_V_V_empty_n = ap_const_logic_0)))); end process; ap_block_pp2_stage1_11001_assign_proc : process(src1_data_V_V_empty_n, src2_data_V_V_full_n, ap_enable_reg_pp2_iter0, icmp_ln503_reg_2329) begin ap_block_pp2_stage1_11001 <= ((ap_enable_reg_pp2_iter0 = ap_const_logic_1) and (((icmp_ln503_reg_2329 = ap_const_lv1_0) and (src2_data_V_V_full_n = ap_const_logic_0)) or ((icmp_ln503_reg_2329 = ap_const_lv1_0) and (src1_data_V_V_empty_n = ap_const_logic_0)))); end process; ap_block_pp2_stage1_subdone_assign_proc : process(src1_data_V_V_empty_n, src2_data_V_V_full_n, ap_enable_reg_pp2_iter0, icmp_ln503_reg_2329) begin ap_block_pp2_stage1_subdone <= ((ap_enable_reg_pp2_iter0 = ap_const_logic_1) and (((icmp_ln503_reg_2329 = ap_const_lv1_0) and (src2_data_V_V_full_n = ap_const_logic_0)) or ((icmp_ln503_reg_2329 = ap_const_lv1_0) and (src1_data_V_V_empty_n = ap_const_logic_0)))); end process; ap_block_pp3_assign_proc : process(ap_CS_fsm, ap_block_pp3_stage0_subdone) begin ap_block_pp3 <= ((ap_const_boolean_1 = ap_block_pp3_stage0_subdone) and (ap_ST_fsm_pp3_stage0 = ap_CS_fsm)); end process; ap_block_pp3_stage0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp3_stage0_11001 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp3_stage0_subdone <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state10_pp2_stage1_iter1 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state12_pp3_stage0_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state13_pp3_stage0_iter1 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state14_pp3_stage0_iter2 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state15_pp3_stage0_iter3 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state7_pp2_stage0_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state8_pp2_stage1_iter0_assign_proc : process(src1_data_V_V_empty_n, src2_data_V_V_full_n, icmp_ln503_reg_2329) begin ap_block_state8_pp2_stage1_iter0 <= (((icmp_ln503_reg_2329 = ap_const_lv1_0) and (src2_data_V_V_full_n = ap_const_logic_0)) or ((icmp_ln503_reg_2329 = ap_const_lv1_0) and (src1_data_V_V_empty_n = ap_const_logic_0))); end process; ap_block_state9_pp2_stage0_iter1_assign_proc : process(src1_data_V_V_empty_n, src2_data_V_V_full_n, icmp_ln503_reg_2329) begin ap_block_state9_pp2_stage0_iter1 <= (((icmp_ln503_reg_2329 = ap_const_lv1_0) and (src2_data_V_V_full_n = ap_const_logic_0)) or ((icmp_ln503_reg_2329 = ap_const_lv1_0) and (src1_data_V_V_empty_n = ap_const_logic_0))); end process; ap_condition_pp2_exit_iter0_state7_assign_proc : process(icmp_ln503_fu_1267_p2) begin if ((icmp_ln503_fu_1267_p2 = ap_const_lv1_1)) then ap_condition_pp2_exit_iter0_state7 <= ap_const_logic_1; else ap_condition_pp2_exit_iter0_state7 <= ap_const_logic_0; end if; end process; ap_condition_pp3_exit_iter0_state12_assign_proc : process(icmp_ln887_6_fu_2150_p2) begin if ((icmp_ln887_6_fu_2150_p2 = ap_const_lv1_1)) then ap_condition_pp3_exit_iter0_state12 <= ap_const_logic_1; else ap_condition_pp3_exit_iter0_state12 <= ap_const_logic_0; end if; end process; ap_done_assign_proc : process(ap_start, ap_CS_fsm_state1, ap_CS_fsm_state16) begin if (((ap_const_logic_1 = ap_CS_fsm_state16) or ((ap_start = ap_const_logic_0) and (ap_const_logic_1 = ap_CS_fsm_state1)))) then ap_done <= ap_const_logic_1; else ap_done <= ap_const_logic_0; end if; end process; ap_enable_operation_152_assign_proc : process(icmp_ln503_reg_2329) begin ap_enable_operation_152 <= (icmp_ln503_reg_2329 = ap_const_lv1_0); end process; ap_enable_operation_159_assign_proc : process(icmp_ln503_reg_2329) begin ap_enable_operation_159 <= (icmp_ln503_reg_2329 = ap_const_lv1_0); end process; ap_enable_operation_166_assign_proc : process(icmp_ln503_reg_2329) begin ap_enable_operation_166 <= (icmp_ln503_reg_2329 = ap_const_lv1_0); end process; ap_enable_operation_173_assign_proc : process(icmp_ln503_reg_2329) begin ap_enable_operation_173 <= (icmp_ln503_reg_2329 = ap_const_lv1_0); end process; ap_enable_operation_180_assign_proc : process(icmp_ln503_reg_2329) begin ap_enable_operation_180 <= (icmp_ln503_reg_2329 = ap_const_lv1_0); end process; ap_enable_operation_187_assign_proc : process(icmp_ln503_reg_2329) begin ap_enable_operation_187 <= (icmp_ln503_reg_2329 = ap_const_lv1_0); end process; ap_enable_operation_194_assign_proc : process(icmp_ln503_reg_2329) begin ap_enable_operation_194 <= (icmp_ln503_reg_2329 = ap_const_lv1_0); end process; ap_enable_operation_201_assign_proc : process(icmp_ln503_reg_2329) begin ap_enable_operation_201 <= (icmp_ln503_reg_2329 = ap_const_lv1_0); end process; ap_enable_operation_208_assign_proc : process(icmp_ln503_reg_2329) begin ap_enable_operation_208 <= (icmp_ln503_reg_2329 = ap_const_lv1_0); end process; ap_enable_operation_215_assign_proc : process(icmp_ln503_reg_2329) begin ap_enable_operation_215 <= (icmp_ln503_reg_2329 = ap_const_lv1_0); end process; ap_enable_operation_222_assign_proc : process(icmp_ln503_reg_2329) begin ap_enable_operation_222 <= (icmp_ln503_reg_2329 = ap_const_lv1_0); end process; ap_enable_operation_229_assign_proc : process(icmp_ln503_reg_2329) begin ap_enable_operation_229 <= (icmp_ln503_reg_2329 = ap_const_lv1_0); end process; ap_enable_operation_237_assign_proc : process(icmp_ln503_reg_2329) begin ap_enable_operation_237 <= (icmp_ln503_reg_2329 = ap_const_lv1_0); end process; ap_enable_operation_239_assign_proc : process(icmp_ln503_reg_2329) begin ap_enable_operation_239 <= (icmp_ln503_reg_2329 = ap_const_lv1_0); end process; ap_enable_operation_242_assign_proc : process(icmp_ln503_reg_2329) begin ap_enable_operation_242 <= (icmp_ln503_reg_2329 = ap_const_lv1_0); end process; ap_enable_operation_247_assign_proc : process(icmp_ln503_reg_2329) begin ap_enable_operation_247 <= (icmp_ln503_reg_2329 = ap_const_lv1_0); end process; ap_enable_operation_249_assign_proc : process(icmp_ln503_reg_2329) begin ap_enable_operation_249 <= (icmp_ln503_reg_2329 = ap_const_lv1_0); end process; ap_enable_operation_252_assign_proc : process(icmp_ln503_reg_2329) begin ap_enable_operation_252 <= (icmp_ln503_reg_2329 = ap_const_lv1_0); end process; ap_enable_operation_257_assign_proc : process(icmp_ln503_reg_2329) begin ap_enable_operation_257 <= (icmp_ln503_reg_2329 = ap_const_lv1_0); end process; ap_enable_operation_259_assign_proc : process(icmp_ln503_reg_2329) begin ap_enable_operation_259 <= (icmp_ln503_reg_2329 = ap_const_lv1_0); end process; ap_enable_operation_262_assign_proc : process(icmp_ln503_reg_2329) begin ap_enable_operation_262 <= (icmp_ln503_reg_2329 = ap_const_lv1_0); end process; ap_enable_operation_267_assign_proc : process(icmp_ln503_reg_2329) begin ap_enable_operation_267 <= (icmp_ln503_reg_2329 = ap_const_lv1_0); end process; ap_enable_operation_269_assign_proc : process(icmp_ln503_reg_2329) begin ap_enable_operation_269 <= (icmp_ln503_reg_2329 = ap_const_lv1_0); end process; ap_enable_operation_272_assign_proc : process(icmp_ln503_reg_2329) begin ap_enable_operation_272 <= (icmp_ln503_reg_2329 = ap_const_lv1_0); end process; ap_enable_operation_277_assign_proc : process(icmp_ln503_reg_2329) begin ap_enable_operation_277 <= (icmp_ln503_reg_2329 = ap_const_lv1_0); end process; ap_enable_operation_279_assign_proc : process(icmp_ln503_reg_2329) begin ap_enable_operation_279 <= (icmp_ln503_reg_2329 = ap_const_lv1_0); end process; ap_enable_operation_282_assign_proc : process(icmp_ln503_reg_2329) begin ap_enable_operation_282 <= (icmp_ln503_reg_2329 = ap_const_lv1_0); end process; ap_enable_operation_287_assign_proc : process(icmp_ln503_reg_2329) begin ap_enable_operation_287 <= (icmp_ln503_reg_2329 = ap_const_lv1_0); end process; ap_enable_operation_289_assign_proc : process(icmp_ln503_reg_2329) begin ap_enable_operation_289 <= (icmp_ln503_reg_2329 = ap_const_lv1_0); end process; ap_enable_operation_292_assign_proc : process(icmp_ln503_reg_2329) begin ap_enable_operation_292 <= (icmp_ln503_reg_2329 = ap_const_lv1_0); end process; ap_enable_operation_297_assign_proc : process(icmp_ln503_reg_2329) begin ap_enable_operation_297 <= (icmp_ln503_reg_2329 = ap_const_lv1_0); end process; ap_enable_operation_299_assign_proc : process(icmp_ln503_reg_2329) begin ap_enable_operation_299 <= (icmp_ln503_reg_2329 = ap_const_lv1_0); end process; ap_enable_operation_302_assign_proc : process(icmp_ln503_reg_2329) begin ap_enable_operation_302 <= (icmp_ln503_reg_2329 = ap_const_lv1_0); end process; ap_enable_operation_307_assign_proc : process(icmp_ln503_reg_2329) begin ap_enable_operation_307 <= (icmp_ln503_reg_2329 = ap_const_lv1_0); end process; ap_enable_operation_309_assign_proc : process(icmp_ln503_reg_2329) begin ap_enable_operation_309 <= (icmp_ln503_reg_2329 = ap_const_lv1_0); end process; ap_enable_operation_312_assign_proc : process(icmp_ln503_reg_2329) begin ap_enable_operation_312 <= (icmp_ln503_reg_2329 = ap_const_lv1_0); end process; ap_enable_operation_317_assign_proc : process(icmp_ln503_reg_2329) begin ap_enable_operation_317 <= (icmp_ln503_reg_2329 = ap_const_lv1_0); end process; ap_enable_operation_319_assign_proc : process(icmp_ln503_reg_2329) begin ap_enable_operation_319 <= (icmp_ln503_reg_2329 = ap_const_lv1_0); end process; ap_enable_operation_322_assign_proc : process(icmp_ln503_reg_2329) begin ap_enable_operation_322 <= (icmp_ln503_reg_2329 = ap_const_lv1_0); end process; ap_enable_operation_327_assign_proc : process(icmp_ln503_reg_2329) begin ap_enable_operation_327 <= (icmp_ln503_reg_2329 = ap_const_lv1_0); end process; ap_enable_operation_329_assign_proc : process(icmp_ln503_reg_2329) begin ap_enable_operation_329 <= (icmp_ln503_reg_2329 = ap_const_lv1_0); end process; ap_enable_operation_332_assign_proc : process(icmp_ln503_reg_2329) begin ap_enable_operation_332 <= (icmp_ln503_reg_2329 = ap_const_lv1_0); end process; ap_enable_operation_337_assign_proc : process(icmp_ln503_reg_2329) begin ap_enable_operation_337 <= (icmp_ln503_reg_2329 = ap_const_lv1_0); end process; ap_enable_operation_339_assign_proc : process(icmp_ln503_reg_2329) begin ap_enable_operation_339 <= (icmp_ln503_reg_2329 = ap_const_lv1_0); end process; ap_enable_operation_342_assign_proc : process(icmp_ln503_reg_2329) begin ap_enable_operation_342 <= (icmp_ln503_reg_2329 = ap_const_lv1_0); end process; ap_enable_operation_347_assign_proc : process(icmp_ln503_reg_2329) begin ap_enable_operation_347 <= (icmp_ln503_reg_2329 = ap_const_lv1_0); end process; ap_enable_operation_349_assign_proc : process(icmp_ln503_reg_2329) begin ap_enable_operation_349 <= (icmp_ln503_reg_2329 = ap_const_lv1_0); end process; ap_enable_operation_352_assign_proc : process(icmp_ln503_reg_2329) begin ap_enable_operation_352 <= (icmp_ln503_reg_2329 = ap_const_lv1_0); end process; ap_enable_operation_358_assign_proc : process(icmp_ln503_reg_2329_pp2_iter1_reg) begin ap_enable_operation_358 <= (icmp_ln503_reg_2329_pp2_iter1_reg = ap_const_lv1_0); end process; ap_enable_operation_360_assign_proc : process(icmp_ln503_reg_2329_pp2_iter1_reg) begin ap_enable_operation_360 <= (icmp_ln503_reg_2329_pp2_iter1_reg = ap_const_lv1_0); end process; ap_enable_operation_363_assign_proc : process(icmp_ln503_reg_2329_pp2_iter1_reg) begin ap_enable_operation_363 <= (icmp_ln503_reg_2329_pp2_iter1_reg = ap_const_lv1_0); end process; ap_enable_operation_365_assign_proc : process(icmp_ln503_reg_2329_pp2_iter1_reg) begin ap_enable_operation_365 <= (icmp_ln503_reg_2329_pp2_iter1_reg = ap_const_lv1_0); end process; ap_enable_operation_368_assign_proc : process(icmp_ln503_reg_2329_pp2_iter1_reg) begin ap_enable_operation_368 <= (icmp_ln503_reg_2329_pp2_iter1_reg = ap_const_lv1_0); end process; ap_enable_operation_370_assign_proc : process(icmp_ln503_reg_2329_pp2_iter1_reg) begin ap_enable_operation_370 <= (icmp_ln503_reg_2329_pp2_iter1_reg = ap_const_lv1_0); end process; ap_enable_operation_373_assign_proc : process(icmp_ln503_reg_2329_pp2_iter1_reg) begin ap_enable_operation_373 <= (icmp_ln503_reg_2329_pp2_iter1_reg = ap_const_lv1_0); end process; ap_enable_operation_375_assign_proc : process(icmp_ln503_reg_2329_pp2_iter1_reg) begin ap_enable_operation_375 <= (icmp_ln503_reg_2329_pp2_iter1_reg = ap_const_lv1_0); end process; ap_enable_operation_378_assign_proc : process(icmp_ln503_reg_2329_pp2_iter1_reg) begin ap_enable_operation_378 <= (icmp_ln503_reg_2329_pp2_iter1_reg = ap_const_lv1_0); end process; ap_enable_operation_380_assign_proc : process(icmp_ln503_reg_2329_pp2_iter1_reg) begin ap_enable_operation_380 <= (icmp_ln503_reg_2329_pp2_iter1_reg = ap_const_lv1_0); end process; ap_enable_operation_383_assign_proc : process(icmp_ln503_reg_2329_pp2_iter1_reg) begin ap_enable_operation_383 <= (icmp_ln503_reg_2329_pp2_iter1_reg = ap_const_lv1_0); end process; ap_enable_operation_385_assign_proc : process(icmp_ln503_reg_2329_pp2_iter1_reg) begin ap_enable_operation_385 <= (icmp_ln503_reg_2329_pp2_iter1_reg = ap_const_lv1_0); end process; ap_enable_operation_388_assign_proc : process(icmp_ln503_reg_2329_pp2_iter1_reg) begin ap_enable_operation_388 <= (icmp_ln503_reg_2329_pp2_iter1_reg = ap_const_lv1_0); end process; ap_enable_operation_390_assign_proc : process(icmp_ln503_reg_2329_pp2_iter1_reg) begin ap_enable_operation_390 <= (icmp_ln503_reg_2329_pp2_iter1_reg = ap_const_lv1_0); end process; ap_enable_operation_393_assign_proc : process(icmp_ln503_reg_2329_pp2_iter1_reg) begin ap_enable_operation_393 <= (icmp_ln503_reg_2329_pp2_iter1_reg = ap_const_lv1_0); end process; ap_enable_operation_395_assign_proc : process(icmp_ln503_reg_2329_pp2_iter1_reg) begin ap_enable_operation_395 <= (icmp_ln503_reg_2329_pp2_iter1_reg = ap_const_lv1_0); end process; ap_enable_operation_398_assign_proc : process(icmp_ln503_reg_2329_pp2_iter1_reg) begin ap_enable_operation_398 <= (icmp_ln503_reg_2329_pp2_iter1_reg = ap_const_lv1_0); end process; ap_enable_operation_400_assign_proc : process(icmp_ln503_reg_2329_pp2_iter1_reg) begin ap_enable_operation_400 <= (icmp_ln503_reg_2329_pp2_iter1_reg = ap_const_lv1_0); end process; ap_enable_operation_403_assign_proc : process(icmp_ln503_reg_2329_pp2_iter1_reg) begin ap_enable_operation_403 <= (icmp_ln503_reg_2329_pp2_iter1_reg = ap_const_lv1_0); end process; ap_enable_operation_405_assign_proc : process(icmp_ln503_reg_2329_pp2_iter1_reg) begin ap_enable_operation_405 <= (icmp_ln503_reg_2329_pp2_iter1_reg = ap_const_lv1_0); end process; ap_enable_operation_408_assign_proc : process(icmp_ln503_reg_2329_pp2_iter1_reg) begin ap_enable_operation_408 <= (icmp_ln503_reg_2329_pp2_iter1_reg = ap_const_lv1_0); end process; ap_enable_operation_410_assign_proc : process(icmp_ln503_reg_2329_pp2_iter1_reg) begin ap_enable_operation_410 <= (icmp_ln503_reg_2329_pp2_iter1_reg = ap_const_lv1_0); end process; ap_enable_operation_413_assign_proc : process(icmp_ln503_reg_2329_pp2_iter1_reg) begin ap_enable_operation_413 <= (icmp_ln503_reg_2329_pp2_iter1_reg = ap_const_lv1_0); end process; ap_enable_operation_415_assign_proc : process(icmp_ln503_reg_2329_pp2_iter1_reg) begin ap_enable_operation_415 <= (icmp_ln503_reg_2329_pp2_iter1_reg = ap_const_lv1_0); end process; ap_enable_pp2 <= (ap_idle_pp2 xor ap_const_logic_1); ap_enable_pp3 <= (ap_idle_pp3 xor ap_const_logic_1); ap_enable_state10_pp2_iter1_stage1_assign_proc : process(ap_CS_fsm_pp2_stage1, ap_enable_reg_pp2_iter1) begin ap_enable_state10_pp2_iter1_stage1 <= ((ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage1)); end process; ap_enable_state8_pp2_iter0_stage1_assign_proc : process(ap_CS_fsm_pp2_stage1, ap_enable_reg_pp2_iter0) begin ap_enable_state8_pp2_iter0_stage1 <= ((ap_enable_reg_pp2_iter0 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage1)); end process; ap_enable_state9_pp2_iter1_stage0_assign_proc : process(ap_CS_fsm_pp2_stage0, ap_enable_reg_pp2_iter1) begin ap_enable_state9_pp2_iter1_stage0 <= ((ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage0)); end process; ap_idle_assign_proc : process(ap_start, ap_CS_fsm_state1) begin if (((ap_start = ap_const_logic_0) and (ap_const_logic_1 = ap_CS_fsm_state1))) then ap_idle <= ap_const_logic_1; else ap_idle <= ap_const_logic_0; end if; end process; ap_idle_pp2_assign_proc : process(ap_enable_reg_pp2_iter0, ap_enable_reg_pp2_iter1) begin if (((ap_enable_reg_pp2_iter1 = ap_const_logic_0) and (ap_enable_reg_pp2_iter0 = ap_const_logic_0))) then ap_idle_pp2 <= ap_const_logic_1; else ap_idle_pp2 <= ap_const_logic_0; end if; end process; ap_idle_pp3_assign_proc : process(ap_enable_reg_pp3_iter0, ap_enable_reg_pp3_iter1, ap_enable_reg_pp3_iter2, ap_enable_reg_pp3_iter3) begin if (((ap_enable_reg_pp3_iter3 = ap_const_logic_0) and (ap_enable_reg_pp3_iter2 = ap_const_logic_0) and (ap_enable_reg_pp3_iter1 = ap_const_logic_0) and (ap_enable_reg_pp3_iter0 = ap_const_logic_0))) then ap_idle_pp3 <= ap_const_logic_1; else ap_idle_pp3 <= ap_const_logic_0; end if; end process; ap_phi_mux_col_0_phi_fu_1027_p4_assign_proc : process(icmp_ln503_reg_2329, ap_CS_fsm_pp2_stage0, ap_enable_reg_pp2_iter1, ap_block_pp2_stage0, col_0_reg_1023, col_reg_2393) begin if (((ap_const_boolean_0 = ap_block_pp2_stage0) and (icmp_ln503_reg_2329 = ap_const_lv1_0) and (ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage0))) then ap_phi_mux_col_0_phi_fu_1027_p4 <= col_reg_2393; else ap_phi_mux_col_0_phi_fu_1027_p4 <= col_0_reg_1023; end if; end process; ap_ready_assign_proc : process(ap_CS_fsm_state16) begin if ((ap_const_logic_1 = ap_CS_fsm_state16)) then ap_ready <= ap_const_logic_1; else ap_ready <= ap_const_logic_0; end if; end process; col_fu_1624_p2 <= std_logic_vector(unsigned(ap_const_lv32_2) + unsigned(col_0_reg_1023)); grp_fu_1046_p4 <= src1_data_V_V_dout(19 downto 10); grp_fu_1056_p4 <= src1_data_V_V_dout(29 downto 20); grp_fu_1066_p4 <= src1_data_V_V_dout(39 downto 30); grp_fu_1076_p4 <= src1_data_V_V_dout(49 downto 40); grp_fu_1086_p4 <= src1_data_V_V_dout(59 downto 50); grp_fu_1096_p4 <= src1_data_V_V_dout(69 downto 60); grp_fu_1106_p4 <= src1_data_V_V_dout(79 downto 70); grp_fu_1116_p4 <= src1_data_V_V_dout(89 downto 80); grp_fu_1126_p4 <= src1_data_V_V_dout(99 downto 90); grp_fu_1136_p4 <= src1_data_V_V_dout(109 downto 100); grp_fu_1146_p4 <= src1_data_V_V_dout(119 downto 110); hist_0_address0_assign_proc : process(ap_CS_fsm_state2, zext_ln544_1_reg_2467_pp3_iter2_reg, ap_enable_reg_pp3_iter3, zext_ln455_fu_1182_p1, ap_block_pp3_stage0) begin if (((ap_const_boolean_0 = ap_block_pp3_stage0) and (ap_enable_reg_pp3_iter3 = ap_const_logic_1))) then hist_0_address0 <= zext_ln544_1_reg_2467_pp3_iter2_reg(10 - 1 downto 0); elsif ((ap_const_logic_1 = ap_CS_fsm_state2)) then hist_0_address0 <= zext_ln455_fu_1182_p1(10 - 1 downto 0); else hist_0_address0 <= "XXXXXXXXXX"; end if; end process; hist_0_ce0_assign_proc : process(ap_CS_fsm_state2, ap_block_pp3_stage0_11001, ap_enable_reg_pp3_iter3) begin if (((ap_const_logic_1 = ap_CS_fsm_state2) or ((ap_const_boolean_0 = ap_block_pp3_stage0_11001) and (ap_enable_reg_pp3_iter3 = ap_const_logic_1)))) then hist_0_ce0 <= ap_const_logic_1; else hist_0_ce0 <= ap_const_logic_0; end if; end process; hist_0_d0_assign_proc : process(ap_CS_fsm_state2, ap_enable_reg_pp3_iter3, ap_block_pp3_stage0, add_ln563_6_fu_2263_p2) begin if (((ap_const_boolean_0 = ap_block_pp3_stage0) and (ap_enable_reg_pp3_iter3 = ap_const_logic_1))) then hist_0_d0 <= add_ln563_6_fu_2263_p2; elsif ((ap_const_logic_1 = ap_CS_fsm_state2)) then hist_0_d0 <= ap_const_lv32_0; else hist_0_d0 <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX"; end if; end process; hist_0_we0_assign_proc : process(ap_CS_fsm_state2, ap_block_pp3_stage0_11001, icmp_ln887_6_reg_2458_pp3_iter2_reg, ap_enable_reg_pp3_iter3, icmp_ln445_fu_1170_p2) begin if ((((icmp_ln887_6_reg_2458_pp3_iter2_reg = ap_const_lv1_0) and (ap_const_boolean_0 = ap_block_pp3_stage0_11001) and (ap_enable_reg_pp3_iter3 = ap_const_logic_1)) or ((icmp_ln445_fu_1170_p2 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_state2)))) then hist_0_we0 <= ap_const_logic_1; else hist_0_we0 <= ap_const_logic_0; end if; end process; hist_1_address0_assign_proc : process(ap_CS_fsm_state2, zext_ln544_1_reg_2467_pp3_iter2_reg, ap_enable_reg_pp3_iter3, zext_ln455_fu_1182_p1, ap_block_pp3_stage0) begin if (((ap_const_boolean_0 = ap_block_pp3_stage0) and (ap_enable_reg_pp3_iter3 = ap_const_logic_1))) then hist_1_address0 <= zext_ln544_1_reg_2467_pp3_iter2_reg(10 - 1 downto 0); elsif ((ap_const_logic_1 = ap_CS_fsm_state2)) then hist_1_address0 <= zext_ln455_fu_1182_p1(10 - 1 downto 0); else hist_1_address0 <= "XXXXXXXXXX"; end if; end process; hist_1_ce0_assign_proc : process(ap_CS_fsm_state2, ap_block_pp3_stage0_11001, ap_enable_reg_pp3_iter3) begin if (((ap_const_logic_1 = ap_CS_fsm_state2) or ((ap_const_boolean_0 = ap_block_pp3_stage0_11001) and (ap_enable_reg_pp3_iter3 = ap_const_logic_1)))) then hist_1_ce0 <= ap_const_logic_1; else hist_1_ce0 <= ap_const_logic_0; end if; end process; hist_1_d0_assign_proc : process(ap_CS_fsm_state2, ap_enable_reg_pp3_iter3, ap_block_pp3_stage0, add_ln563_13_fu_2273_p2) begin if (((ap_const_boolean_0 = ap_block_pp3_stage0) and (ap_enable_reg_pp3_iter3 = ap_const_logic_1))) then hist_1_d0 <= add_ln563_13_fu_2273_p2; elsif ((ap_const_logic_1 = ap_CS_fsm_state2)) then hist_1_d0 <= ap_const_lv32_0; else hist_1_d0 <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX"; end if; end process; hist_1_we0_assign_proc : process(ap_CS_fsm_state2, ap_block_pp3_stage0_11001, icmp_ln887_6_reg_2458_pp3_iter2_reg, ap_enable_reg_pp3_iter3, icmp_ln445_fu_1170_p2) begin if ((((icmp_ln887_6_reg_2458_pp3_iter2_reg = ap_const_lv1_0) and (ap_const_boolean_0 = ap_block_pp3_stage0_11001) and (ap_enable_reg_pp3_iter3 = ap_const_logic_1)) or ((icmp_ln445_fu_1170_p2 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_state2)))) then hist_1_we0 <= ap_const_logic_1; else hist_1_we0 <= ap_const_logic_0; end if; end process; hist_2_address0_assign_proc : process(ap_CS_fsm_state2, zext_ln544_1_reg_2467_pp3_iter2_reg, ap_enable_reg_pp3_iter3, zext_ln455_fu_1182_p1, ap_block_pp3_stage0) begin if (((ap_const_boolean_0 = ap_block_pp3_stage0) and (ap_enable_reg_pp3_iter3 = ap_const_logic_1))) then hist_2_address0 <= zext_ln544_1_reg_2467_pp3_iter2_reg(10 - 1 downto 0); elsif ((ap_const_logic_1 = ap_CS_fsm_state2)) then hist_2_address0 <= zext_ln455_fu_1182_p1(10 - 1 downto 0); else hist_2_address0 <= "XXXXXXXXXX"; end if; end process; hist_2_ce0_assign_proc : process(ap_CS_fsm_state2, ap_block_pp3_stage0_11001, ap_enable_reg_pp3_iter3) begin if (((ap_const_logic_1 = ap_CS_fsm_state2) or ((ap_const_boolean_0 = ap_block_pp3_stage0_11001) and (ap_enable_reg_pp3_iter3 = ap_const_logic_1)))) then hist_2_ce0 <= ap_const_logic_1; else hist_2_ce0 <= ap_const_logic_0; end if; end process; hist_2_d0_assign_proc : process(ap_CS_fsm_state2, ap_enable_reg_pp3_iter3, ap_block_pp3_stage0, add_ln563_20_fu_2283_p2) begin if (((ap_const_boolean_0 = ap_block_pp3_stage0) and (ap_enable_reg_pp3_iter3 = ap_const_logic_1))) then hist_2_d0 <= add_ln563_20_fu_2283_p2; elsif ((ap_const_logic_1 = ap_CS_fsm_state2)) then hist_2_d0 <= ap_const_lv32_0; else hist_2_d0 <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX"; end if; end process; hist_2_we0_assign_proc : process(ap_CS_fsm_state2, ap_block_pp3_stage0_11001, icmp_ln887_6_reg_2458_pp3_iter2_reg, ap_enable_reg_pp3_iter3, icmp_ln445_fu_1170_p2) begin if ((((icmp_ln887_6_reg_2458_pp3_iter2_reg = ap_const_lv1_0) and (ap_const_boolean_0 = ap_block_pp3_stage0_11001) and (ap_enable_reg_pp3_iter3 = ap_const_logic_1)) or ((icmp_ln445_fu_1170_p2 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_state2)))) then hist_2_we0 <= ap_const_logic_1; else hist_2_we0 <= ap_const_logic_0; end if; end process; i_V_1_fu_2156_p2 <= std_logic_vector(unsigned(t_V_1_reg_1035) + unsigned(ap_const_lv11_1)); i_V_fu_1195_p2 <= std_logic_vector(unsigned(t_V_reg_1001) + unsigned(ap_const_lv11_1)); icmp_ln445_fu_1170_p2 <= "1" when (k_0_reg_990 = ap_const_lv11_400) else "0"; icmp_ln497_fu_1229_p2 <= "1" when (row_0_reg_1012 = src1_rows_read) else "0"; icmp_ln503_fu_1267_p2 <= "1" when (ap_phi_mux_col_0_phi_fu_1027_p4 = zext_ln503_reg_2324) else "0"; icmp_ln887_6_fu_2150_p2 <= "1" when (t_V_1_reg_1035 = ap_const_lv11_400) else "0"; icmp_ln887_fu_1189_p2 <= "1" when (t_V_reg_1001 = ap_const_lv11_400) else "0"; k_fu_1176_p2 <= std_logic_vector(unsigned(k_0_reg_990) + unsigned(ap_const_lv11_1)); or_ln1193_10_fu_1429_p2 <= (shl_ln728_s_fu_1421_p3 or ap_const_lv16_20); or_ln1193_11_fu_1822_p2 <= (shl_ln728_10_fu_1814_p3 or ap_const_lv16_20); or_ln1193_12_fu_1458_p2 <= (shl_ln728_11_fu_1450_p3 or ap_const_lv16_20); or_ln1193_13_fu_1858_p2 <= (shl_ln728_12_fu_1850_p3 or ap_const_lv16_20); or_ln1193_14_fu_1487_p2 <= (shl_ln728_13_fu_1479_p3 or ap_const_lv16_20); or_ln1193_15_fu_1894_p2 <= (shl_ln728_14_fu_1886_p3 or ap_const_lv16_20); or_ln1193_16_fu_1516_p2 <= (shl_ln728_15_fu_1508_p3 or ap_const_lv16_20); or_ln1193_17_fu_1930_p2 <= (shl_ln728_16_fu_1922_p3 or ap_const_lv16_20); or_ln1193_18_fu_1545_p2 <= (shl_ln728_17_fu_1537_p3 or ap_const_lv16_20); or_ln1193_19_fu_1966_p2 <= (shl_ln728_18_fu_1958_p3 or ap_const_lv16_20); or_ln1193_1_fu_1642_p2 <= (shl_ln728_1_fu_1634_p3 or ap_const_lv16_20); or_ln1193_20_fu_1574_p2 <= (shl_ln728_19_fu_1566_p3 or ap_const_lv16_20); or_ln1193_21_fu_2002_p2 <= (shl_ln728_20_fu_1994_p3 or ap_const_lv16_20); or_ln1193_22_fu_1603_p2 <= (shl_ln728_21_fu_1595_p3 or ap_const_lv16_20); or_ln1193_23_fu_2038_p2 <= (shl_ln728_22_fu_2030_p3 or ap_const_lv16_20); or_ln1193_2_fu_1313_p2 <= (shl_ln728_2_fu_1305_p3 or ap_const_lv16_20); or_ln1193_3_fu_1678_p2 <= (shl_ln728_3_fu_1670_p3 or ap_const_lv16_20); or_ln1193_4_fu_1342_p2 <= (shl_ln728_4_fu_1334_p3 or ap_const_lv16_20); or_ln1193_5_fu_1714_p2 <= (shl_ln728_5_fu_1706_p3 or ap_const_lv16_20); or_ln1193_6_fu_1371_p2 <= (shl_ln728_6_fu_1363_p3 or ap_const_lv16_20); or_ln1193_7_fu_1750_p2 <= (shl_ln728_7_fu_1742_p3 or ap_const_lv16_20); or_ln1193_8_fu_1400_p2 <= (shl_ln728_8_fu_1392_p3 or ap_const_lv16_20); or_ln1193_9_fu_1786_p2 <= (shl_ln728_9_fu_1778_p3 or ap_const_lv16_20); or_ln1193_fu_1284_p2 <= (shl_ln_fu_1276_p3 or ap_const_lv16_20); row_fu_1234_p2 <= std_logic_vector(unsigned(row_0_reg_1012) + unsigned(ap_const_lv16_1)); shl_ln728_10_fu_1814_p3 <= (grp_fu_1086_p4 & ap_const_lv6_0); shl_ln728_11_fu_1450_p3 <= (grp_fu_1096_p4 & ap_const_lv6_0); shl_ln728_12_fu_1850_p3 <= (grp_fu_1096_p4 & ap_const_lv6_0); shl_ln728_13_fu_1479_p3 <= (grp_fu_1106_p4 & ap_const_lv6_0); shl_ln728_14_fu_1886_p3 <= (grp_fu_1106_p4 & ap_const_lv6_0); shl_ln728_15_fu_1508_p3 <= (grp_fu_1116_p4 & ap_const_lv6_0); shl_ln728_16_fu_1922_p3 <= (grp_fu_1116_p4 & ap_const_lv6_0); shl_ln728_17_fu_1537_p3 <= (grp_fu_1126_p4 & ap_const_lv6_0); shl_ln728_18_fu_1958_p3 <= (grp_fu_1126_p4 & ap_const_lv6_0); shl_ln728_19_fu_1566_p3 <= (grp_fu_1136_p4 & ap_const_lv6_0); shl_ln728_1_fu_1634_p3 <= (trunc_ln728_1_fu_1630_p1 & ap_const_lv6_0); shl_ln728_20_fu_1994_p3 <= (grp_fu_1136_p4 & ap_const_lv6_0); shl_ln728_21_fu_1595_p3 <= (grp_fu_1146_p4 & ap_const_lv6_0); shl_ln728_22_fu_2030_p3 <= (grp_fu_1146_p4 & ap_const_lv6_0); shl_ln728_2_fu_1305_p3 <= (grp_fu_1046_p4 & ap_const_lv6_0); shl_ln728_3_fu_1670_p3 <= (grp_fu_1046_p4 & ap_const_lv6_0); shl_ln728_4_fu_1334_p3 <= (grp_fu_1056_p4 & ap_const_lv6_0); shl_ln728_5_fu_1706_p3 <= (grp_fu_1056_p4 & ap_const_lv6_0); shl_ln728_6_fu_1363_p3 <= (grp_fu_1066_p4 & ap_const_lv6_0); shl_ln728_7_fu_1742_p3 <= (grp_fu_1066_p4 & ap_const_lv6_0); shl_ln728_8_fu_1392_p3 <= (grp_fu_1076_p4 & ap_const_lv6_0); shl_ln728_9_fu_1778_p3 <= (grp_fu_1076_p4 & ap_const_lv6_0); shl_ln728_s_fu_1421_p3 <= (grp_fu_1086_p4 & ap_const_lv6_0); shl_ln_fu_1276_p3 <= (trunc_ln728_fu_1272_p1 & ap_const_lv6_0); src1_data_V_V_blk_n_assign_proc : process(src1_data_V_V_empty_n, ap_CS_fsm_pp2_stage1, ap_enable_reg_pp2_iter0, ap_block_pp2_stage1, icmp_ln503_reg_2329, ap_CS_fsm_pp2_stage0, ap_enable_reg_pp2_iter1, ap_block_pp2_stage0) begin if ((((ap_const_boolean_0 = ap_block_pp2_stage0) and (icmp_ln503_reg_2329 = ap_const_lv1_0) and (ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage0)) or ((icmp_ln503_reg_2329 = ap_const_lv1_0) and (ap_const_boolean_0 = ap_block_pp2_stage1) and (ap_enable_reg_pp2_iter0 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage1)))) then src1_data_V_V_blk_n <= src1_data_V_V_empty_n; else src1_data_V_V_blk_n <= ap_const_logic_1; end if; end process; src1_data_V_V_read_assign_proc : process(ap_CS_fsm_pp2_stage1, ap_enable_reg_pp2_iter0, icmp_ln503_reg_2329, ap_CS_fsm_pp2_stage0, ap_enable_reg_pp2_iter1, ap_block_pp2_stage0_11001, ap_block_pp2_stage1_11001) begin if ((((icmp_ln503_reg_2329 = ap_const_lv1_0) and (ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage0) and (ap_const_boolean_0 = ap_block_pp2_stage0_11001)) or ((icmp_ln503_reg_2329 = ap_const_lv1_0) and (ap_enable_reg_pp2_iter0 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage1) and (ap_const_boolean_0 = ap_block_pp2_stage1_11001)))) then src1_data_V_V_read <= ap_const_logic_1; else src1_data_V_V_read <= ap_const_logic_0; end if; end process; src2_data_V_V_blk_n_assign_proc : process(src2_data_V_V_full_n, ap_CS_fsm_pp2_stage1, ap_enable_reg_pp2_iter0, ap_block_pp2_stage1, icmp_ln503_reg_2329, ap_CS_fsm_pp2_stage0, ap_enable_reg_pp2_iter1, ap_block_pp2_stage0) begin if ((((ap_const_boolean_0 = ap_block_pp2_stage0) and (icmp_ln503_reg_2329 = ap_const_lv1_0) and (ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage0)) or ((icmp_ln503_reg_2329 = ap_const_lv1_0) and (ap_const_boolean_0 = ap_block_pp2_stage1) and (ap_enable_reg_pp2_iter0 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage1)))) then src2_data_V_V_blk_n <= src2_data_V_V_full_n; else src2_data_V_V_blk_n <= ap_const_logic_1; end if; end process; src2_data_V_V_din <= src1_data_V_V_dout; src2_data_V_V_write_assign_proc : process(ap_CS_fsm_pp2_stage1, ap_enable_reg_pp2_iter0, icmp_ln503_reg_2329, ap_CS_fsm_pp2_stage0, ap_enable_reg_pp2_iter1, ap_block_pp2_stage0_11001, ap_block_pp2_stage1_11001) begin if ((((icmp_ln503_reg_2329 = ap_const_lv1_0) and (ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage0) and (ap_const_boolean_0 = ap_block_pp2_stage0_11001)) or ((icmp_ln503_reg_2329 = ap_const_lv1_0) and (ap_enable_reg_pp2_iter0 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage1) and (ap_const_boolean_0 = ap_block_pp2_stage1_11001)))) then src2_data_V_V_write <= ap_const_logic_1; else src2_data_V_V_write <= ap_const_logic_0; end if; end process; tmp_100_fu_1972_p4 <= or_ln1193_19_fu_1966_p2(15 downto 6); tmp_101_fu_1580_p4 <= or_ln1193_20_fu_1574_p2(15 downto 6); tmp_102_fu_2008_p4 <= or_ln1193_21_fu_2002_p2(15 downto 6); tmp_103_fu_1609_p4 <= or_ln1193_22_fu_1603_p2(15 downto 6); tmp_104_fu_2044_p4 <= or_ln1193_23_fu_2038_p2(15 downto 6); tmp_164_fu_1156_p4 <= src1_cols_read(15 downto 2); tmp_165_fu_1245_p4 <= add_ln503_fu_1240_p2(14 downto 1); tmp_166_fu_1255_p3 <= (tmp_165_fu_1245_p4 & ap_const_lv1_0); tmp_81_fu_1290_p4 <= or_ln1193_fu_1284_p2(15 downto 6); tmp_82_fu_1648_p4 <= or_ln1193_1_fu_1642_p2(15 downto 6); tmp_83_fu_1319_p4 <= or_ln1193_2_fu_1313_p2(15 downto 6); tmp_84_fu_1684_p4 <= or_ln1193_3_fu_1678_p2(15 downto 6); tmp_85_fu_1348_p4 <= or_ln1193_4_fu_1342_p2(15 downto 6); tmp_86_fu_1720_p4 <= or_ln1193_5_fu_1714_p2(15 downto 6); tmp_87_fu_1377_p4 <= or_ln1193_6_fu_1371_p2(15 downto 6); tmp_88_fu_1756_p4 <= or_ln1193_7_fu_1750_p2(15 downto 6); tmp_89_fu_1406_p4 <= or_ln1193_8_fu_1400_p2(15 downto 6); tmp_90_fu_1792_p4 <= or_ln1193_9_fu_1786_p2(15 downto 6); tmp_91_fu_1435_p4 <= or_ln1193_10_fu_1429_p2(15 downto 6); tmp_92_fu_1828_p4 <= or_ln1193_11_fu_1822_p2(15 downto 6); tmp_93_fu_1464_p4 <= or_ln1193_12_fu_1458_p2(15 downto 6); tmp_94_fu_1864_p4 <= or_ln1193_13_fu_1858_p2(15 downto 6); tmp_95_fu_1493_p4 <= or_ln1193_14_fu_1487_p2(15 downto 6); tmp_96_fu_1900_p4 <= or_ln1193_15_fu_1894_p2(15 downto 6); tmp_97_fu_1522_p4 <= or_ln1193_16_fu_1516_p2(15 downto 6); tmp_98_fu_1936_p4 <= or_ln1193_17_fu_1930_p2(15 downto 6); tmp_99_fu_1551_p4 <= or_ln1193_18_fu_1545_p2(15 downto 6); tmp_hist1_0_V_address0_assign_proc : process(ap_CS_fsm_pp2_stage1, ap_block_pp2_stage1, ap_CS_fsm_pp2_stage0, ap_enable_reg_pp2_iter1, ap_block_pp2_stage0, ap_CS_fsm_state4, tmp_hist1_0_V_addr_2_reg_2398, ap_CS_fsm_pp3_stage0, zext_ln544_1_reg_2467, ap_enable_reg_pp3_iter1, zext_ln544_fu_1201_p1, zext_ln536_fu_1665_p1, ap_block_pp3_stage0) begin if (((ap_const_boolean_0 = ap_block_pp3_stage0) and (ap_enable_reg_pp3_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp3_stage0))) then tmp_hist1_0_V_address0 <= zext_ln544_1_reg_2467(10 - 1 downto 0); elsif (((ap_const_boolean_0 = ap_block_pp2_stage1) and (ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage1))) then tmp_hist1_0_V_address0 <= tmp_hist1_0_V_addr_2_reg_2398; elsif (((ap_const_boolean_0 = ap_block_pp2_stage0) and (ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage0))) then tmp_hist1_0_V_address0 <= zext_ln536_fu_1665_p1(10 - 1 downto 0); elsif ((ap_const_logic_1 = ap_CS_fsm_state4)) then tmp_hist1_0_V_address0 <= zext_ln544_fu_1201_p1(10 - 1 downto 0); else tmp_hist1_0_V_address0 <= "XXXXXXXXXX"; end if; end process; tmp_hist1_0_V_ce0_assign_proc : process(ap_CS_fsm_pp2_stage1, ap_CS_fsm_pp2_stage0, ap_enable_reg_pp2_iter1, ap_CS_fsm_state4, ap_block_pp2_stage0_11001, ap_block_pp2_stage1_11001, ap_CS_fsm_pp3_stage0, ap_block_pp3_stage0_11001, ap_enable_reg_pp3_iter1) begin if (((ap_const_logic_1 = ap_CS_fsm_state4) or ((ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage0) and (ap_const_boolean_0 = ap_block_pp2_stage0_11001)) or ((ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage1) and (ap_const_boolean_0 = ap_block_pp2_stage1_11001)) or ((ap_const_boolean_0 = ap_block_pp3_stage0_11001) and (ap_enable_reg_pp3_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp3_stage0)))) then tmp_hist1_0_V_ce0 <= ap_const_logic_1; else tmp_hist1_0_V_ce0 <= ap_const_logic_0; end if; end process; tmp_hist1_0_V_d0_assign_proc : process(ap_CS_fsm_pp2_stage1, ap_block_pp2_stage1, ap_enable_reg_pp2_iter1, ap_CS_fsm_state4, add_ln700_15_fu_2066_p2) begin if (((ap_const_boolean_0 = ap_block_pp2_stage1) and (ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage1))) then tmp_hist1_0_V_d0 <= add_ln700_15_fu_2066_p2; elsif ((ap_const_logic_1 = ap_CS_fsm_state4)) then tmp_hist1_0_V_d0 <= ap_const_lv32_0; else tmp_hist1_0_V_d0 <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX"; end if; end process; tmp_hist1_0_V_we0_assign_proc : process(ap_CS_fsm_pp2_stage1, ap_enable_reg_pp2_iter1, ap_CS_fsm_state4, icmp_ln503_reg_2329_pp2_iter1_reg, ap_block_pp2_stage1_11001, icmp_ln887_fu_1189_p2) begin if ((((icmp_ln503_reg_2329_pp2_iter1_reg = ap_const_lv1_0) and (ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage1) and (ap_const_boolean_0 = ap_block_pp2_stage1_11001)) or ((icmp_ln887_fu_1189_p2 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_state4)))) then tmp_hist1_0_V_we0 <= ap_const_logic_1; else tmp_hist1_0_V_we0 <= ap_const_logic_0; end if; end process; tmp_hist1_10_V_address0_assign_proc : process(ap_CS_fsm_pp2_stage1, ap_block_pp2_stage1, ap_CS_fsm_pp2_stage0, ap_enable_reg_pp2_iter1, ap_block_pp2_stage0, ap_CS_fsm_state4, tmp_hist1_10_V_addr_2_reg_2448, ap_CS_fsm_pp3_stage0, ap_enable_reg_pp3_iter0, zext_ln544_1_fu_2162_p1, zext_ln544_fu_1201_p1, zext_ln536_10_fu_2025_p1, ap_block_pp3_stage0) begin if (((ap_const_boolean_0 = ap_block_pp3_stage0) and (ap_enable_reg_pp3_iter0 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp3_stage0))) then tmp_hist1_10_V_address0 <= zext_ln544_1_fu_2162_p1(10 - 1 downto 0); elsif (((ap_const_boolean_0 = ap_block_pp2_stage1) and (ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage1))) then tmp_hist1_10_V_address0 <= tmp_hist1_10_V_addr_2_reg_2448; elsif (((ap_const_boolean_0 = ap_block_pp2_stage0) and (ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage0))) then tmp_hist1_10_V_address0 <= zext_ln536_10_fu_2025_p1(10 - 1 downto 0); elsif ((ap_const_logic_1 = ap_CS_fsm_state4)) then tmp_hist1_10_V_address0 <= zext_ln544_fu_1201_p1(10 - 1 downto 0); else tmp_hist1_10_V_address0 <= "XXXXXXXXXX"; end if; end process; tmp_hist1_10_V_ce0_assign_proc : process(ap_CS_fsm_pp2_stage1, ap_CS_fsm_pp2_stage0, ap_enable_reg_pp2_iter1, ap_CS_fsm_state4, ap_block_pp2_stage0_11001, ap_block_pp2_stage1_11001, ap_CS_fsm_pp3_stage0, ap_block_pp3_stage0_11001, ap_enable_reg_pp3_iter0) begin if (((ap_const_logic_1 = ap_CS_fsm_state4) or ((ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage0) and (ap_const_boolean_0 = ap_block_pp2_stage0_11001)) or ((ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage1) and (ap_const_boolean_0 = ap_block_pp2_stage1_11001)) or ((ap_const_boolean_0 = ap_block_pp3_stage0_11001) and (ap_enable_reg_pp3_iter0 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp3_stage0)))) then tmp_hist1_10_V_ce0 <= ap_const_logic_1; else tmp_hist1_10_V_ce0 <= ap_const_logic_0; end if; end process; tmp_hist1_10_V_d0_assign_proc : process(ap_CS_fsm_pp2_stage1, ap_block_pp2_stage1, ap_enable_reg_pp2_iter1, ap_CS_fsm_state4, add_ln700_26_fu_2136_p2) begin if (((ap_const_boolean_0 = ap_block_pp2_stage1) and (ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage1))) then tmp_hist1_10_V_d0 <= add_ln700_26_fu_2136_p2; elsif ((ap_const_logic_1 = ap_CS_fsm_state4)) then tmp_hist1_10_V_d0 <= ap_const_lv32_0; else tmp_hist1_10_V_d0 <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX"; end if; end process; tmp_hist1_10_V_we0_assign_proc : process(ap_CS_fsm_pp2_stage1, ap_enable_reg_pp2_iter1, ap_CS_fsm_state4, icmp_ln503_reg_2329_pp2_iter1_reg, ap_block_pp2_stage1_11001, icmp_ln887_fu_1189_p2) begin if ((((icmp_ln503_reg_2329_pp2_iter1_reg = ap_const_lv1_0) and (ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage1) and (ap_const_boolean_0 = ap_block_pp2_stage1_11001)) or ((icmp_ln887_fu_1189_p2 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_state4)))) then tmp_hist1_10_V_we0 <= ap_const_logic_1; else tmp_hist1_10_V_we0 <= ap_const_logic_0; end if; end process; tmp_hist1_11_V_address0_assign_proc : process(ap_CS_fsm_pp2_stage1, ap_block_pp2_stage1, ap_CS_fsm_pp2_stage0, ap_enable_reg_pp2_iter1, ap_block_pp2_stage0, ap_CS_fsm_state4, tmp_hist1_11_V_addr_2_reg_2453, ap_CS_fsm_pp3_stage0, ap_enable_reg_pp3_iter0, zext_ln544_1_fu_2162_p1, zext_ln544_fu_1201_p1, zext_ln536_11_fu_2061_p1, ap_block_pp3_stage0) begin if (((ap_const_boolean_0 = ap_block_pp3_stage0) and (ap_enable_reg_pp3_iter0 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp3_stage0))) then tmp_hist1_11_V_address0 <= zext_ln544_1_fu_2162_p1(10 - 1 downto 0); elsif (((ap_const_boolean_0 = ap_block_pp2_stage1) and (ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage1))) then tmp_hist1_11_V_address0 <= tmp_hist1_11_V_addr_2_reg_2453; elsif (((ap_const_boolean_0 = ap_block_pp2_stage0) and (ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage0))) then tmp_hist1_11_V_address0 <= zext_ln536_11_fu_2061_p1(10 - 1 downto 0); elsif ((ap_const_logic_1 = ap_CS_fsm_state4)) then tmp_hist1_11_V_address0 <= zext_ln544_fu_1201_p1(10 - 1 downto 0); else tmp_hist1_11_V_address0 <= "XXXXXXXXXX"; end if; end process; tmp_hist1_11_V_ce0_assign_proc : process(ap_CS_fsm_pp2_stage1, ap_CS_fsm_pp2_stage0, ap_enable_reg_pp2_iter1, ap_CS_fsm_state4, ap_block_pp2_stage0_11001, ap_block_pp2_stage1_11001, ap_CS_fsm_pp3_stage0, ap_block_pp3_stage0_11001, ap_enable_reg_pp3_iter0) begin if (((ap_const_logic_1 = ap_CS_fsm_state4) or ((ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage0) and (ap_const_boolean_0 = ap_block_pp2_stage0_11001)) or ((ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage1) and (ap_const_boolean_0 = ap_block_pp2_stage1_11001)) or ((ap_const_boolean_0 = ap_block_pp3_stage0_11001) and (ap_enable_reg_pp3_iter0 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp3_stage0)))) then tmp_hist1_11_V_ce0 <= ap_const_logic_1; else tmp_hist1_11_V_ce0 <= ap_const_logic_0; end if; end process; tmp_hist1_11_V_d0_assign_proc : process(ap_CS_fsm_pp2_stage1, ap_block_pp2_stage1, ap_enable_reg_pp2_iter1, ap_CS_fsm_state4, add_ln700_27_fu_2143_p2) begin if (((ap_const_boolean_0 = ap_block_pp2_stage1) and (ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage1))) then tmp_hist1_11_V_d0 <= add_ln700_27_fu_2143_p2; elsif ((ap_const_logic_1 = ap_CS_fsm_state4)) then tmp_hist1_11_V_d0 <= ap_const_lv32_0; else tmp_hist1_11_V_d0 <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX"; end if; end process; tmp_hist1_11_V_we0_assign_proc : process(ap_CS_fsm_pp2_stage1, ap_enable_reg_pp2_iter1, ap_CS_fsm_state4, icmp_ln503_reg_2329_pp2_iter1_reg, ap_block_pp2_stage1_11001, icmp_ln887_fu_1189_p2) begin if ((((icmp_ln503_reg_2329_pp2_iter1_reg = ap_const_lv1_0) and (ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage1) and (ap_const_boolean_0 = ap_block_pp2_stage1_11001)) or ((icmp_ln887_fu_1189_p2 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_state4)))) then tmp_hist1_11_V_we0 <= ap_const_logic_1; else tmp_hist1_11_V_we0 <= ap_const_logic_0; end if; end process; tmp_hist1_1_V_address0_assign_proc : process(ap_CS_fsm_pp2_stage1, ap_block_pp2_stage1, ap_CS_fsm_pp2_stage0, ap_enable_reg_pp2_iter1, ap_block_pp2_stage0, ap_CS_fsm_state4, tmp_hist1_1_V_addr_2_reg_2403, ap_CS_fsm_pp3_stage0, zext_ln544_1_reg_2467, ap_enable_reg_pp3_iter1, zext_ln544_fu_1201_p1, zext_ln536_1_fu_1701_p1, ap_block_pp3_stage0) begin if (((ap_const_boolean_0 = ap_block_pp3_stage0) and (ap_enable_reg_pp3_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp3_stage0))) then tmp_hist1_1_V_address0 <= zext_ln544_1_reg_2467(10 - 1 downto 0); elsif (((ap_const_boolean_0 = ap_block_pp2_stage1) and (ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage1))) then tmp_hist1_1_V_address0 <= tmp_hist1_1_V_addr_2_reg_2403; elsif (((ap_const_boolean_0 = ap_block_pp2_stage0) and (ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage0))) then tmp_hist1_1_V_address0 <= zext_ln536_1_fu_1701_p1(10 - 1 downto 0); elsif ((ap_const_logic_1 = ap_CS_fsm_state4)) then tmp_hist1_1_V_address0 <= zext_ln544_fu_1201_p1(10 - 1 downto 0); else tmp_hist1_1_V_address0 <= "XXXXXXXXXX"; end if; end process; tmp_hist1_1_V_ce0_assign_proc : process(ap_CS_fsm_pp2_stage1, ap_CS_fsm_pp2_stage0, ap_enable_reg_pp2_iter1, ap_CS_fsm_state4, ap_block_pp2_stage0_11001, ap_block_pp2_stage1_11001, ap_CS_fsm_pp3_stage0, ap_block_pp3_stage0_11001, ap_enable_reg_pp3_iter1) begin if (((ap_const_logic_1 = ap_CS_fsm_state4) or ((ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage0) and (ap_const_boolean_0 = ap_block_pp2_stage0_11001)) or ((ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage1) and (ap_const_boolean_0 = ap_block_pp2_stage1_11001)) or ((ap_const_boolean_0 = ap_block_pp3_stage0_11001) and (ap_enable_reg_pp3_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp3_stage0)))) then tmp_hist1_1_V_ce0 <= ap_const_logic_1; else tmp_hist1_1_V_ce0 <= ap_const_logic_0; end if; end process; tmp_hist1_1_V_d0_assign_proc : process(ap_CS_fsm_pp2_stage1, ap_block_pp2_stage1, ap_enable_reg_pp2_iter1, ap_CS_fsm_state4, add_ln700_17_fu_2073_p2) begin if (((ap_const_boolean_0 = ap_block_pp2_stage1) and (ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage1))) then tmp_hist1_1_V_d0 <= add_ln700_17_fu_2073_p2; elsif ((ap_const_logic_1 = ap_CS_fsm_state4)) then tmp_hist1_1_V_d0 <= ap_const_lv32_0; else tmp_hist1_1_V_d0 <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX"; end if; end process; tmp_hist1_1_V_we0_assign_proc : process(ap_CS_fsm_pp2_stage1, ap_enable_reg_pp2_iter1, ap_CS_fsm_state4, icmp_ln503_reg_2329_pp2_iter1_reg, ap_block_pp2_stage1_11001, icmp_ln887_fu_1189_p2) begin if ((((icmp_ln503_reg_2329_pp2_iter1_reg = ap_const_lv1_0) and (ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage1) and (ap_const_boolean_0 = ap_block_pp2_stage1_11001)) or ((icmp_ln887_fu_1189_p2 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_state4)))) then tmp_hist1_1_V_we0 <= ap_const_logic_1; else tmp_hist1_1_V_we0 <= ap_const_logic_0; end if; end process; tmp_hist1_2_V_address0_assign_proc : process(ap_CS_fsm_pp2_stage1, ap_block_pp2_stage1, ap_CS_fsm_pp2_stage0, ap_enable_reg_pp2_iter1, ap_block_pp2_stage0, ap_CS_fsm_state4, tmp_hist1_2_V_addr_2_reg_2408, ap_CS_fsm_pp3_stage0, zext_ln544_1_reg_2467, ap_enable_reg_pp3_iter1, zext_ln544_fu_1201_p1, zext_ln536_2_fu_1737_p1, ap_block_pp3_stage0) begin if (((ap_const_boolean_0 = ap_block_pp3_stage0) and (ap_enable_reg_pp3_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp3_stage0))) then tmp_hist1_2_V_address0 <= zext_ln544_1_reg_2467(10 - 1 downto 0); elsif (((ap_const_boolean_0 = ap_block_pp2_stage1) and (ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage1))) then tmp_hist1_2_V_address0 <= tmp_hist1_2_V_addr_2_reg_2408; elsif (((ap_const_boolean_0 = ap_block_pp2_stage0) and (ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage0))) then tmp_hist1_2_V_address0 <= zext_ln536_2_fu_1737_p1(10 - 1 downto 0); elsif ((ap_const_logic_1 = ap_CS_fsm_state4)) then tmp_hist1_2_V_address0 <= zext_ln544_fu_1201_p1(10 - 1 downto 0); else tmp_hist1_2_V_address0 <= "XXXXXXXXXX"; end if; end process; tmp_hist1_2_V_ce0_assign_proc : process(ap_CS_fsm_pp2_stage1, ap_CS_fsm_pp2_stage0, ap_enable_reg_pp2_iter1, ap_CS_fsm_state4, ap_block_pp2_stage0_11001, ap_block_pp2_stage1_11001, ap_CS_fsm_pp3_stage0, ap_block_pp3_stage0_11001, ap_enable_reg_pp3_iter1) begin if (((ap_const_logic_1 = ap_CS_fsm_state4) or ((ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage0) and (ap_const_boolean_0 = ap_block_pp2_stage0_11001)) or ((ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage1) and (ap_const_boolean_0 = ap_block_pp2_stage1_11001)) or ((ap_const_boolean_0 = ap_block_pp3_stage0_11001) and (ap_enable_reg_pp3_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp3_stage0)))) then tmp_hist1_2_V_ce0 <= ap_const_logic_1; else tmp_hist1_2_V_ce0 <= ap_const_logic_0; end if; end process; tmp_hist1_2_V_d0_assign_proc : process(ap_CS_fsm_pp2_stage1, ap_block_pp2_stage1, ap_enable_reg_pp2_iter1, ap_CS_fsm_state4, add_ln700_18_fu_2080_p2) begin if (((ap_const_boolean_0 = ap_block_pp2_stage1) and (ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage1))) then tmp_hist1_2_V_d0 <= add_ln700_18_fu_2080_p2; elsif ((ap_const_logic_1 = ap_CS_fsm_state4)) then tmp_hist1_2_V_d0 <= ap_const_lv32_0; else tmp_hist1_2_V_d0 <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX"; end if; end process; tmp_hist1_2_V_we0_assign_proc : process(ap_CS_fsm_pp2_stage1, ap_enable_reg_pp2_iter1, ap_CS_fsm_state4, icmp_ln503_reg_2329_pp2_iter1_reg, ap_block_pp2_stage1_11001, icmp_ln887_fu_1189_p2) begin if ((((icmp_ln503_reg_2329_pp2_iter1_reg = ap_const_lv1_0) and (ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage1) and (ap_const_boolean_0 = ap_block_pp2_stage1_11001)) or ((icmp_ln887_fu_1189_p2 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_state4)))) then tmp_hist1_2_V_we0 <= ap_const_logic_1; else tmp_hist1_2_V_we0 <= ap_const_logic_0; end if; end process; tmp_hist1_3_V_address0_assign_proc : process(ap_CS_fsm_pp2_stage1, ap_block_pp2_stage1, ap_CS_fsm_pp2_stage0, ap_enable_reg_pp2_iter1, ap_block_pp2_stage0, ap_CS_fsm_state4, tmp_hist1_3_V_addr_2_reg_2413, ap_CS_fsm_pp3_stage0, zext_ln544_1_reg_2467, ap_enable_reg_pp3_iter1, zext_ln544_fu_1201_p1, zext_ln536_3_fu_1773_p1, ap_block_pp3_stage0) begin if (((ap_const_boolean_0 = ap_block_pp3_stage0) and (ap_enable_reg_pp3_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp3_stage0))) then tmp_hist1_3_V_address0 <= zext_ln544_1_reg_2467(10 - 1 downto 0); elsif (((ap_const_boolean_0 = ap_block_pp2_stage1) and (ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage1))) then tmp_hist1_3_V_address0 <= tmp_hist1_3_V_addr_2_reg_2413; elsif (((ap_const_boolean_0 = ap_block_pp2_stage0) and (ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage0))) then tmp_hist1_3_V_address0 <= zext_ln536_3_fu_1773_p1(10 - 1 downto 0); elsif ((ap_const_logic_1 = ap_CS_fsm_state4)) then tmp_hist1_3_V_address0 <= zext_ln544_fu_1201_p1(10 - 1 downto 0); else tmp_hist1_3_V_address0 <= "XXXXXXXXXX"; end if; end process; tmp_hist1_3_V_ce0_assign_proc : process(ap_CS_fsm_pp2_stage1, ap_CS_fsm_pp2_stage0, ap_enable_reg_pp2_iter1, ap_CS_fsm_state4, ap_block_pp2_stage0_11001, ap_block_pp2_stage1_11001, ap_CS_fsm_pp3_stage0, ap_block_pp3_stage0_11001, ap_enable_reg_pp3_iter1) begin if (((ap_const_logic_1 = ap_CS_fsm_state4) or ((ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage0) and (ap_const_boolean_0 = ap_block_pp2_stage0_11001)) or ((ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage1) and (ap_const_boolean_0 = ap_block_pp2_stage1_11001)) or ((ap_const_boolean_0 = ap_block_pp3_stage0_11001) and (ap_enable_reg_pp3_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp3_stage0)))) then tmp_hist1_3_V_ce0 <= ap_const_logic_1; else tmp_hist1_3_V_ce0 <= ap_const_logic_0; end if; end process; tmp_hist1_3_V_d0_assign_proc : process(ap_CS_fsm_pp2_stage1, ap_block_pp2_stage1, ap_enable_reg_pp2_iter1, ap_CS_fsm_state4, add_ln700_19_fu_2087_p2) begin if (((ap_const_boolean_0 = ap_block_pp2_stage1) and (ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage1))) then tmp_hist1_3_V_d0 <= add_ln700_19_fu_2087_p2; elsif ((ap_const_logic_1 = ap_CS_fsm_state4)) then tmp_hist1_3_V_d0 <= ap_const_lv32_0; else tmp_hist1_3_V_d0 <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX"; end if; end process; tmp_hist1_3_V_we0_assign_proc : process(ap_CS_fsm_pp2_stage1, ap_enable_reg_pp2_iter1, ap_CS_fsm_state4, icmp_ln503_reg_2329_pp2_iter1_reg, ap_block_pp2_stage1_11001, icmp_ln887_fu_1189_p2) begin if ((((icmp_ln503_reg_2329_pp2_iter1_reg = ap_const_lv1_0) and (ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage1) and (ap_const_boolean_0 = ap_block_pp2_stage1_11001)) or ((icmp_ln887_fu_1189_p2 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_state4)))) then tmp_hist1_3_V_we0 <= ap_const_logic_1; else tmp_hist1_3_V_we0 <= ap_const_logic_0; end if; end process; tmp_hist1_4_V_address0_assign_proc : process(ap_CS_fsm_pp2_stage1, ap_block_pp2_stage1, ap_CS_fsm_pp2_stage0, ap_enable_reg_pp2_iter1, ap_block_pp2_stage0, ap_CS_fsm_state4, tmp_hist1_4_V_addr_2_reg_2418, ap_CS_fsm_pp3_stage0, zext_ln544_1_reg_2467, ap_enable_reg_pp3_iter1, zext_ln544_fu_1201_p1, zext_ln536_4_fu_1809_p1, ap_block_pp3_stage0) begin if (((ap_const_boolean_0 = ap_block_pp3_stage0) and (ap_enable_reg_pp3_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp3_stage0))) then tmp_hist1_4_V_address0 <= zext_ln544_1_reg_2467(10 - 1 downto 0); elsif (((ap_const_boolean_0 = ap_block_pp2_stage1) and (ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage1))) then tmp_hist1_4_V_address0 <= tmp_hist1_4_V_addr_2_reg_2418; elsif (((ap_const_boolean_0 = ap_block_pp2_stage0) and (ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage0))) then tmp_hist1_4_V_address0 <= zext_ln536_4_fu_1809_p1(10 - 1 downto 0); elsif ((ap_const_logic_1 = ap_CS_fsm_state4)) then tmp_hist1_4_V_address0 <= zext_ln544_fu_1201_p1(10 - 1 downto 0); else tmp_hist1_4_V_address0 <= "XXXXXXXXXX"; end if; end process; tmp_hist1_4_V_ce0_assign_proc : process(ap_CS_fsm_pp2_stage1, ap_CS_fsm_pp2_stage0, ap_enable_reg_pp2_iter1, ap_CS_fsm_state4, ap_block_pp2_stage0_11001, ap_block_pp2_stage1_11001, ap_CS_fsm_pp3_stage0, ap_block_pp3_stage0_11001, ap_enable_reg_pp3_iter1) begin if (((ap_const_logic_1 = ap_CS_fsm_state4) or ((ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage0) and (ap_const_boolean_0 = ap_block_pp2_stage0_11001)) or ((ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage1) and (ap_const_boolean_0 = ap_block_pp2_stage1_11001)) or ((ap_const_boolean_0 = ap_block_pp3_stage0_11001) and (ap_enable_reg_pp3_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp3_stage0)))) then tmp_hist1_4_V_ce0 <= ap_const_logic_1; else tmp_hist1_4_V_ce0 <= ap_const_logic_0; end if; end process; tmp_hist1_4_V_d0_assign_proc : process(ap_CS_fsm_pp2_stage1, ap_block_pp2_stage1, ap_enable_reg_pp2_iter1, ap_CS_fsm_state4, add_ln700_20_fu_2094_p2) begin if (((ap_const_boolean_0 = ap_block_pp2_stage1) and (ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage1))) then tmp_hist1_4_V_d0 <= add_ln700_20_fu_2094_p2; elsif ((ap_const_logic_1 = ap_CS_fsm_state4)) then tmp_hist1_4_V_d0 <= ap_const_lv32_0; else tmp_hist1_4_V_d0 <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX"; end if; end process; tmp_hist1_4_V_we0_assign_proc : process(ap_CS_fsm_pp2_stage1, ap_enable_reg_pp2_iter1, ap_CS_fsm_state4, icmp_ln503_reg_2329_pp2_iter1_reg, ap_block_pp2_stage1_11001, icmp_ln887_fu_1189_p2) begin if ((((icmp_ln503_reg_2329_pp2_iter1_reg = ap_const_lv1_0) and (ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage1) and (ap_const_boolean_0 = ap_block_pp2_stage1_11001)) or ((icmp_ln887_fu_1189_p2 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_state4)))) then tmp_hist1_4_V_we0 <= ap_const_logic_1; else tmp_hist1_4_V_we0 <= ap_const_logic_0; end if; end process; tmp_hist1_5_V_address0_assign_proc : process(ap_CS_fsm_pp2_stage1, ap_block_pp2_stage1, ap_CS_fsm_pp2_stage0, ap_enable_reg_pp2_iter1, ap_block_pp2_stage0, ap_CS_fsm_state4, tmp_hist1_5_V_addr_2_reg_2423, ap_CS_fsm_pp3_stage0, zext_ln544_1_reg_2467, ap_enable_reg_pp3_iter1, zext_ln544_fu_1201_p1, zext_ln536_5_fu_1845_p1, ap_block_pp3_stage0) begin if (((ap_const_boolean_0 = ap_block_pp3_stage0) and (ap_enable_reg_pp3_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp3_stage0))) then tmp_hist1_5_V_address0 <= zext_ln544_1_reg_2467(10 - 1 downto 0); elsif (((ap_const_boolean_0 = ap_block_pp2_stage1) and (ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage1))) then tmp_hist1_5_V_address0 <= tmp_hist1_5_V_addr_2_reg_2423; elsif (((ap_const_boolean_0 = ap_block_pp2_stage0) and (ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage0))) then tmp_hist1_5_V_address0 <= zext_ln536_5_fu_1845_p1(10 - 1 downto 0); elsif ((ap_const_logic_1 = ap_CS_fsm_state4)) then tmp_hist1_5_V_address0 <= zext_ln544_fu_1201_p1(10 - 1 downto 0); else tmp_hist1_5_V_address0 <= "XXXXXXXXXX"; end if; end process; tmp_hist1_5_V_ce0_assign_proc : process(ap_CS_fsm_pp2_stage1, ap_CS_fsm_pp2_stage0, ap_enable_reg_pp2_iter1, ap_CS_fsm_state4, ap_block_pp2_stage0_11001, ap_block_pp2_stage1_11001, ap_CS_fsm_pp3_stage0, ap_block_pp3_stage0_11001, ap_enable_reg_pp3_iter1) begin if (((ap_const_logic_1 = ap_CS_fsm_state4) or ((ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage0) and (ap_const_boolean_0 = ap_block_pp2_stage0_11001)) or ((ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage1) and (ap_const_boolean_0 = ap_block_pp2_stage1_11001)) or ((ap_const_boolean_0 = ap_block_pp3_stage0_11001) and (ap_enable_reg_pp3_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp3_stage0)))) then tmp_hist1_5_V_ce0 <= ap_const_logic_1; else tmp_hist1_5_V_ce0 <= ap_const_logic_0; end if; end process; tmp_hist1_5_V_d0_assign_proc : process(ap_CS_fsm_pp2_stage1, ap_block_pp2_stage1, ap_enable_reg_pp2_iter1, ap_CS_fsm_state4, add_ln700_21_fu_2101_p2) begin if (((ap_const_boolean_0 = ap_block_pp2_stage1) and (ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage1))) then tmp_hist1_5_V_d0 <= add_ln700_21_fu_2101_p2; elsif ((ap_const_logic_1 = ap_CS_fsm_state4)) then tmp_hist1_5_V_d0 <= ap_const_lv32_0; else tmp_hist1_5_V_d0 <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX"; end if; end process; tmp_hist1_5_V_we0_assign_proc : process(ap_CS_fsm_pp2_stage1, ap_enable_reg_pp2_iter1, ap_CS_fsm_state4, icmp_ln503_reg_2329_pp2_iter1_reg, ap_block_pp2_stage1_11001, icmp_ln887_fu_1189_p2) begin if ((((icmp_ln503_reg_2329_pp2_iter1_reg = ap_const_lv1_0) and (ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage1) and (ap_const_boolean_0 = ap_block_pp2_stage1_11001)) or ((icmp_ln887_fu_1189_p2 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_state4)))) then tmp_hist1_5_V_we0 <= ap_const_logic_1; else tmp_hist1_5_V_we0 <= ap_const_logic_0; end if; end process; tmp_hist1_6_V_address0_assign_proc : process(ap_CS_fsm_pp2_stage1, ap_block_pp2_stage1, ap_CS_fsm_pp2_stage0, ap_enable_reg_pp2_iter1, ap_block_pp2_stage0, ap_CS_fsm_state4, tmp_hist1_6_V_addr_2_reg_2428, ap_CS_fsm_pp3_stage0, zext_ln544_1_reg_2467, ap_enable_reg_pp3_iter1, zext_ln544_fu_1201_p1, zext_ln536_6_fu_1881_p1, ap_block_pp3_stage0) begin if (((ap_const_boolean_0 = ap_block_pp3_stage0) and (ap_enable_reg_pp3_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp3_stage0))) then tmp_hist1_6_V_address0 <= zext_ln544_1_reg_2467(10 - 1 downto 0); elsif (((ap_const_boolean_0 = ap_block_pp2_stage1) and (ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage1))) then tmp_hist1_6_V_address0 <= tmp_hist1_6_V_addr_2_reg_2428; elsif (((ap_const_boolean_0 = ap_block_pp2_stage0) and (ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage0))) then tmp_hist1_6_V_address0 <= zext_ln536_6_fu_1881_p1(10 - 1 downto 0); elsif ((ap_const_logic_1 = ap_CS_fsm_state4)) then tmp_hist1_6_V_address0 <= zext_ln544_fu_1201_p1(10 - 1 downto 0); else tmp_hist1_6_V_address0 <= "XXXXXXXXXX"; end if; end process; tmp_hist1_6_V_ce0_assign_proc : process(ap_CS_fsm_pp2_stage1, ap_CS_fsm_pp2_stage0, ap_enable_reg_pp2_iter1, ap_CS_fsm_state4, ap_block_pp2_stage0_11001, ap_block_pp2_stage1_11001, ap_CS_fsm_pp3_stage0, ap_block_pp3_stage0_11001, ap_enable_reg_pp3_iter1) begin if (((ap_const_logic_1 = ap_CS_fsm_state4) or ((ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage0) and (ap_const_boolean_0 = ap_block_pp2_stage0_11001)) or ((ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage1) and (ap_const_boolean_0 = ap_block_pp2_stage1_11001)) or ((ap_const_boolean_0 = ap_block_pp3_stage0_11001) and (ap_enable_reg_pp3_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp3_stage0)))) then tmp_hist1_6_V_ce0 <= ap_const_logic_1; else tmp_hist1_6_V_ce0 <= ap_const_logic_0; end if; end process; tmp_hist1_6_V_d0_assign_proc : process(ap_CS_fsm_pp2_stage1, ap_block_pp2_stage1, ap_enable_reg_pp2_iter1, ap_CS_fsm_state4, add_ln700_22_fu_2108_p2) begin if (((ap_const_boolean_0 = ap_block_pp2_stage1) and (ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage1))) then tmp_hist1_6_V_d0 <= add_ln700_22_fu_2108_p2; elsif ((ap_const_logic_1 = ap_CS_fsm_state4)) then tmp_hist1_6_V_d0 <= ap_const_lv32_0; else tmp_hist1_6_V_d0 <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX"; end if; end process; tmp_hist1_6_V_we0_assign_proc : process(ap_CS_fsm_pp2_stage1, ap_enable_reg_pp2_iter1, ap_CS_fsm_state4, icmp_ln503_reg_2329_pp2_iter1_reg, ap_block_pp2_stage1_11001, icmp_ln887_fu_1189_p2) begin if ((((icmp_ln503_reg_2329_pp2_iter1_reg = ap_const_lv1_0) and (ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage1) and (ap_const_boolean_0 = ap_block_pp2_stage1_11001)) or ((icmp_ln887_fu_1189_p2 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_state4)))) then tmp_hist1_6_V_we0 <= ap_const_logic_1; else tmp_hist1_6_V_we0 <= ap_const_logic_0; end if; end process; tmp_hist1_7_V_address0_assign_proc : process(ap_CS_fsm_pp2_stage1, ap_block_pp2_stage1, ap_CS_fsm_pp2_stage0, ap_enable_reg_pp2_iter1, ap_block_pp2_stage0, ap_CS_fsm_state4, tmp_hist1_7_V_addr_2_reg_2433, ap_CS_fsm_pp3_stage0, zext_ln544_1_reg_2467, ap_enable_reg_pp3_iter1, zext_ln544_fu_1201_p1, zext_ln536_7_fu_1917_p1, ap_block_pp3_stage0) begin if (((ap_const_boolean_0 = ap_block_pp3_stage0) and (ap_enable_reg_pp3_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp3_stage0))) then tmp_hist1_7_V_address0 <= zext_ln544_1_reg_2467(10 - 1 downto 0); elsif (((ap_const_boolean_0 = ap_block_pp2_stage1) and (ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage1))) then tmp_hist1_7_V_address0 <= tmp_hist1_7_V_addr_2_reg_2433; elsif (((ap_const_boolean_0 = ap_block_pp2_stage0) and (ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage0))) then tmp_hist1_7_V_address0 <= zext_ln536_7_fu_1917_p1(10 - 1 downto 0); elsif ((ap_const_logic_1 = ap_CS_fsm_state4)) then tmp_hist1_7_V_address0 <= zext_ln544_fu_1201_p1(10 - 1 downto 0); else tmp_hist1_7_V_address0 <= "XXXXXXXXXX"; end if; end process; tmp_hist1_7_V_ce0_assign_proc : process(ap_CS_fsm_pp2_stage1, ap_CS_fsm_pp2_stage0, ap_enable_reg_pp2_iter1, ap_CS_fsm_state4, ap_block_pp2_stage0_11001, ap_block_pp2_stage1_11001, ap_CS_fsm_pp3_stage0, ap_block_pp3_stage0_11001, ap_enable_reg_pp3_iter1) begin if (((ap_const_logic_1 = ap_CS_fsm_state4) or ((ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage0) and (ap_const_boolean_0 = ap_block_pp2_stage0_11001)) or ((ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage1) and (ap_const_boolean_0 = ap_block_pp2_stage1_11001)) or ((ap_const_boolean_0 = ap_block_pp3_stage0_11001) and (ap_enable_reg_pp3_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp3_stage0)))) then tmp_hist1_7_V_ce0 <= ap_const_logic_1; else tmp_hist1_7_V_ce0 <= ap_const_logic_0; end if; end process; tmp_hist1_7_V_d0_assign_proc : process(ap_CS_fsm_pp2_stage1, ap_block_pp2_stage1, ap_enable_reg_pp2_iter1, ap_CS_fsm_state4, add_ln700_23_fu_2115_p2) begin if (((ap_const_boolean_0 = ap_block_pp2_stage1) and (ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage1))) then tmp_hist1_7_V_d0 <= add_ln700_23_fu_2115_p2; elsif ((ap_const_logic_1 = ap_CS_fsm_state4)) then tmp_hist1_7_V_d0 <= ap_const_lv32_0; else tmp_hist1_7_V_d0 <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX"; end if; end process; tmp_hist1_7_V_we0_assign_proc : process(ap_CS_fsm_pp2_stage1, ap_enable_reg_pp2_iter1, ap_CS_fsm_state4, icmp_ln503_reg_2329_pp2_iter1_reg, ap_block_pp2_stage1_11001, icmp_ln887_fu_1189_p2) begin if ((((icmp_ln503_reg_2329_pp2_iter1_reg = ap_const_lv1_0) and (ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage1) and (ap_const_boolean_0 = ap_block_pp2_stage1_11001)) or ((icmp_ln887_fu_1189_p2 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_state4)))) then tmp_hist1_7_V_we0 <= ap_const_logic_1; else tmp_hist1_7_V_we0 <= ap_const_logic_0; end if; end process; tmp_hist1_8_V_address0_assign_proc : process(ap_CS_fsm_pp2_stage1, ap_block_pp2_stage1, ap_CS_fsm_pp2_stage0, ap_enable_reg_pp2_iter1, ap_block_pp2_stage0, ap_CS_fsm_state4, tmp_hist1_8_V_addr_2_reg_2438, ap_CS_fsm_pp3_stage0, zext_ln544_1_reg_2467, ap_enable_reg_pp3_iter1, zext_ln544_fu_1201_p1, zext_ln536_8_fu_1953_p1, ap_block_pp3_stage0) begin if (((ap_const_boolean_0 = ap_block_pp3_stage0) and (ap_enable_reg_pp3_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp3_stage0))) then tmp_hist1_8_V_address0 <= zext_ln544_1_reg_2467(10 - 1 downto 0); elsif (((ap_const_boolean_0 = ap_block_pp2_stage1) and (ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage1))) then tmp_hist1_8_V_address0 <= tmp_hist1_8_V_addr_2_reg_2438; elsif (((ap_const_boolean_0 = ap_block_pp2_stage0) and (ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage0))) then tmp_hist1_8_V_address0 <= zext_ln536_8_fu_1953_p1(10 - 1 downto 0); elsif ((ap_const_logic_1 = ap_CS_fsm_state4)) then tmp_hist1_8_V_address0 <= zext_ln544_fu_1201_p1(10 - 1 downto 0); else tmp_hist1_8_V_address0 <= "XXXXXXXXXX"; end if; end process; tmp_hist1_8_V_ce0_assign_proc : process(ap_CS_fsm_pp2_stage1, ap_CS_fsm_pp2_stage0, ap_enable_reg_pp2_iter1, ap_CS_fsm_state4, ap_block_pp2_stage0_11001, ap_block_pp2_stage1_11001, ap_CS_fsm_pp3_stage0, ap_block_pp3_stage0_11001, ap_enable_reg_pp3_iter1) begin if (((ap_const_logic_1 = ap_CS_fsm_state4) or ((ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage0) and (ap_const_boolean_0 = ap_block_pp2_stage0_11001)) or ((ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage1) and (ap_const_boolean_0 = ap_block_pp2_stage1_11001)) or ((ap_const_boolean_0 = ap_block_pp3_stage0_11001) and (ap_enable_reg_pp3_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp3_stage0)))) then tmp_hist1_8_V_ce0 <= ap_const_logic_1; else tmp_hist1_8_V_ce0 <= ap_const_logic_0; end if; end process; tmp_hist1_8_V_d0_assign_proc : process(ap_CS_fsm_pp2_stage1, ap_block_pp2_stage1, ap_enable_reg_pp2_iter1, ap_CS_fsm_state4, add_ln700_24_fu_2122_p2) begin if (((ap_const_boolean_0 = ap_block_pp2_stage1) and (ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage1))) then tmp_hist1_8_V_d0 <= add_ln700_24_fu_2122_p2; elsif ((ap_const_logic_1 = ap_CS_fsm_state4)) then tmp_hist1_8_V_d0 <= ap_const_lv32_0; else tmp_hist1_8_V_d0 <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX"; end if; end process; tmp_hist1_8_V_we0_assign_proc : process(ap_CS_fsm_pp2_stage1, ap_enable_reg_pp2_iter1, ap_CS_fsm_state4, icmp_ln503_reg_2329_pp2_iter1_reg, ap_block_pp2_stage1_11001, icmp_ln887_fu_1189_p2) begin if ((((icmp_ln503_reg_2329_pp2_iter1_reg = ap_const_lv1_0) and (ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage1) and (ap_const_boolean_0 = ap_block_pp2_stage1_11001)) or ((icmp_ln887_fu_1189_p2 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_state4)))) then tmp_hist1_8_V_we0 <= ap_const_logic_1; else tmp_hist1_8_V_we0 <= ap_const_logic_0; end if; end process; tmp_hist1_9_V_address0_assign_proc : process(ap_CS_fsm_pp2_stage1, ap_block_pp2_stage1, ap_CS_fsm_pp2_stage0, ap_enable_reg_pp2_iter1, ap_block_pp2_stage0, ap_CS_fsm_state4, tmp_hist1_9_V_addr_2_reg_2443, ap_CS_fsm_pp3_stage0, ap_enable_reg_pp3_iter0, zext_ln544_1_fu_2162_p1, zext_ln544_fu_1201_p1, zext_ln536_9_fu_1989_p1, ap_block_pp3_stage0) begin if (((ap_const_boolean_0 = ap_block_pp3_stage0) and (ap_enable_reg_pp3_iter0 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp3_stage0))) then tmp_hist1_9_V_address0 <= zext_ln544_1_fu_2162_p1(10 - 1 downto 0); elsif (((ap_const_boolean_0 = ap_block_pp2_stage1) and (ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage1))) then tmp_hist1_9_V_address0 <= tmp_hist1_9_V_addr_2_reg_2443; elsif (((ap_const_boolean_0 = ap_block_pp2_stage0) and (ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage0))) then tmp_hist1_9_V_address0 <= zext_ln536_9_fu_1989_p1(10 - 1 downto 0); elsif ((ap_const_logic_1 = ap_CS_fsm_state4)) then tmp_hist1_9_V_address0 <= zext_ln544_fu_1201_p1(10 - 1 downto 0); else tmp_hist1_9_V_address0 <= "XXXXXXXXXX"; end if; end process; tmp_hist1_9_V_ce0_assign_proc : process(ap_CS_fsm_pp2_stage1, ap_CS_fsm_pp2_stage0, ap_enable_reg_pp2_iter1, ap_CS_fsm_state4, ap_block_pp2_stage0_11001, ap_block_pp2_stage1_11001, ap_CS_fsm_pp3_stage0, ap_block_pp3_stage0_11001, ap_enable_reg_pp3_iter0) begin if (((ap_const_logic_1 = ap_CS_fsm_state4) or ((ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage0) and (ap_const_boolean_0 = ap_block_pp2_stage0_11001)) or ((ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage1) and (ap_const_boolean_0 = ap_block_pp2_stage1_11001)) or ((ap_const_boolean_0 = ap_block_pp3_stage0_11001) and (ap_enable_reg_pp3_iter0 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp3_stage0)))) then tmp_hist1_9_V_ce0 <= ap_const_logic_1; else tmp_hist1_9_V_ce0 <= ap_const_logic_0; end if; end process; tmp_hist1_9_V_d0_assign_proc : process(ap_CS_fsm_pp2_stage1, ap_block_pp2_stage1, ap_enable_reg_pp2_iter1, ap_CS_fsm_state4, add_ln700_25_fu_2129_p2) begin if (((ap_const_boolean_0 = ap_block_pp2_stage1) and (ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage1))) then tmp_hist1_9_V_d0 <= add_ln700_25_fu_2129_p2; elsif ((ap_const_logic_1 = ap_CS_fsm_state4)) then tmp_hist1_9_V_d0 <= ap_const_lv32_0; else tmp_hist1_9_V_d0 <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX"; end if; end process; tmp_hist1_9_V_we0_assign_proc : process(ap_CS_fsm_pp2_stage1, ap_enable_reg_pp2_iter1, ap_CS_fsm_state4, icmp_ln503_reg_2329_pp2_iter1_reg, ap_block_pp2_stage1_11001, icmp_ln887_fu_1189_p2) begin if ((((icmp_ln503_reg_2329_pp2_iter1_reg = ap_const_lv1_0) and (ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage1) and (ap_const_boolean_0 = ap_block_pp2_stage1_11001)) or ((icmp_ln887_fu_1189_p2 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_state4)))) then tmp_hist1_9_V_we0 <= ap_const_logic_1; else tmp_hist1_9_V_we0 <= ap_const_logic_0; end if; end process; tmp_hist_0_V_address0_assign_proc : process(ap_CS_fsm_pp2_stage1, ap_enable_reg_pp2_iter0, ap_block_pp2_stage1, ap_CS_fsm_pp2_stage0, ap_enable_reg_pp2_iter1, ap_block_pp2_stage0, ap_CS_fsm_state4, tmp_hist_0_V_addr_2_reg_2333, ap_CS_fsm_pp3_stage0, zext_ln544_1_reg_2467, ap_enable_reg_pp3_iter1, zext_ln544_fu_1201_p1, zext_ln535_fu_1300_p1, ap_block_pp3_stage0) begin if (((ap_const_boolean_0 = ap_block_pp3_stage0) and (ap_enable_reg_pp3_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp3_stage0))) then tmp_hist_0_V_address0 <= zext_ln544_1_reg_2467(10 - 1 downto 0); elsif (((ap_const_boolean_0 = ap_block_pp2_stage0) and (ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage0))) then tmp_hist_0_V_address0 <= tmp_hist_0_V_addr_2_reg_2333; elsif (((ap_const_boolean_0 = ap_block_pp2_stage1) and (ap_enable_reg_pp2_iter0 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage1))) then tmp_hist_0_V_address0 <= zext_ln535_fu_1300_p1(10 - 1 downto 0); elsif ((ap_const_logic_1 = ap_CS_fsm_state4)) then tmp_hist_0_V_address0 <= zext_ln544_fu_1201_p1(10 - 1 downto 0); else tmp_hist_0_V_address0 <= "XXXXXXXXXX"; end if; end process; tmp_hist_0_V_ce0_assign_proc : process(ap_CS_fsm_pp2_stage1, ap_enable_reg_pp2_iter0, ap_CS_fsm_pp2_stage0, ap_enable_reg_pp2_iter1, ap_CS_fsm_state4, ap_block_pp2_stage0_11001, ap_block_pp2_stage1_11001, ap_CS_fsm_pp3_stage0, ap_block_pp3_stage0_11001, ap_enable_reg_pp3_iter1) begin if (((ap_const_logic_1 = ap_CS_fsm_state4) or ((ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage0) and (ap_const_boolean_0 = ap_block_pp2_stage0_11001)) or ((ap_enable_reg_pp2_iter0 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage1) and (ap_const_boolean_0 = ap_block_pp2_stage1_11001)) or ((ap_const_boolean_0 = ap_block_pp3_stage0_11001) and (ap_enable_reg_pp3_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp3_stage0)))) then tmp_hist_0_V_ce0 <= ap_const_logic_1; else tmp_hist_0_V_ce0 <= ap_const_logic_0; end if; end process; tmp_hist_0_V_d0_assign_proc : process(ap_CS_fsm_pp2_stage0, ap_enable_reg_pp2_iter1, ap_block_pp2_stage0, ap_CS_fsm_state4, add_ln700_fu_1658_p2) begin if (((ap_const_boolean_0 = ap_block_pp2_stage0) and (ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage0))) then tmp_hist_0_V_d0 <= add_ln700_fu_1658_p2; elsif ((ap_const_logic_1 = ap_CS_fsm_state4)) then tmp_hist_0_V_d0 <= ap_const_lv32_0; else tmp_hist_0_V_d0 <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX"; end if; end process; tmp_hist_0_V_we0_assign_proc : process(icmp_ln503_reg_2329, ap_CS_fsm_pp2_stage0, ap_enable_reg_pp2_iter1, ap_CS_fsm_state4, ap_block_pp2_stage0_11001, icmp_ln887_fu_1189_p2) begin if ((((icmp_ln503_reg_2329 = ap_const_lv1_0) and (ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage0) and (ap_const_boolean_0 = ap_block_pp2_stage0_11001)) or ((icmp_ln887_fu_1189_p2 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_state4)))) then tmp_hist_0_V_we0 <= ap_const_logic_1; else tmp_hist_0_V_we0 <= ap_const_logic_0; end if; end process; tmp_hist_10_V_address0_assign_proc : process(ap_CS_fsm_pp2_stage1, ap_enable_reg_pp2_iter0, ap_block_pp2_stage1, ap_CS_fsm_pp2_stage0, ap_enable_reg_pp2_iter1, ap_block_pp2_stage0, ap_CS_fsm_state4, tmp_hist_10_V_addr_2_reg_2383, ap_CS_fsm_pp3_stage0, ap_enable_reg_pp3_iter0, zext_ln544_1_fu_2162_p1, zext_ln544_fu_1201_p1, zext_ln535_10_fu_1590_p1, ap_block_pp3_stage0) begin if (((ap_const_boolean_0 = ap_block_pp3_stage0) and (ap_enable_reg_pp3_iter0 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp3_stage0))) then tmp_hist_10_V_address0 <= zext_ln544_1_fu_2162_p1(10 - 1 downto 0); elsif (((ap_const_boolean_0 = ap_block_pp2_stage0) and (ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage0))) then tmp_hist_10_V_address0 <= tmp_hist_10_V_addr_2_reg_2383; elsif (((ap_const_boolean_0 = ap_block_pp2_stage1) and (ap_enable_reg_pp2_iter0 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage1))) then tmp_hist_10_V_address0 <= zext_ln535_10_fu_1590_p1(10 - 1 downto 0); elsif ((ap_const_logic_1 = ap_CS_fsm_state4)) then tmp_hist_10_V_address0 <= zext_ln544_fu_1201_p1(10 - 1 downto 0); else tmp_hist_10_V_address0 <= "XXXXXXXXXX"; end if; end process; tmp_hist_10_V_ce0_assign_proc : process(ap_CS_fsm_pp2_stage1, ap_enable_reg_pp2_iter0, ap_CS_fsm_pp2_stage0, ap_enable_reg_pp2_iter1, ap_CS_fsm_state4, ap_block_pp2_stage0_11001, ap_block_pp2_stage1_11001, ap_CS_fsm_pp3_stage0, ap_block_pp3_stage0_11001, ap_enable_reg_pp3_iter0) begin if (((ap_const_logic_1 = ap_CS_fsm_state4) or ((ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage0) and (ap_const_boolean_0 = ap_block_pp2_stage0_11001)) or ((ap_enable_reg_pp2_iter0 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage1) and (ap_const_boolean_0 = ap_block_pp2_stage1_11001)) or ((ap_const_boolean_0 = ap_block_pp3_stage0_11001) and (ap_enable_reg_pp3_iter0 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp3_stage0)))) then tmp_hist_10_V_ce0 <= ap_const_logic_1; else tmp_hist_10_V_ce0 <= ap_const_logic_0; end if; end process; tmp_hist_10_V_d0_assign_proc : process(ap_CS_fsm_pp2_stage0, ap_enable_reg_pp2_iter1, ap_block_pp2_stage0, ap_CS_fsm_state4, add_ln700_10_fu_2018_p2) begin if (((ap_const_boolean_0 = ap_block_pp2_stage0) and (ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage0))) then tmp_hist_10_V_d0 <= add_ln700_10_fu_2018_p2; elsif ((ap_const_logic_1 = ap_CS_fsm_state4)) then tmp_hist_10_V_d0 <= ap_const_lv32_0; else tmp_hist_10_V_d0 <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX"; end if; end process; tmp_hist_10_V_we0_assign_proc : process(icmp_ln503_reg_2329, ap_CS_fsm_pp2_stage0, ap_enable_reg_pp2_iter1, ap_CS_fsm_state4, ap_block_pp2_stage0_11001, icmp_ln887_fu_1189_p2) begin if ((((icmp_ln503_reg_2329 = ap_const_lv1_0) and (ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage0) and (ap_const_boolean_0 = ap_block_pp2_stage0_11001)) or ((icmp_ln887_fu_1189_p2 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_state4)))) then tmp_hist_10_V_we0 <= ap_const_logic_1; else tmp_hist_10_V_we0 <= ap_const_logic_0; end if; end process; tmp_hist_11_V_address0_assign_proc : process(ap_CS_fsm_pp2_stage1, ap_enable_reg_pp2_iter0, ap_block_pp2_stage1, ap_CS_fsm_pp2_stage0, ap_enable_reg_pp2_iter1, ap_block_pp2_stage0, ap_CS_fsm_state4, tmp_hist_11_V_addr_2_reg_2388, ap_CS_fsm_pp3_stage0, ap_enable_reg_pp3_iter0, zext_ln544_1_fu_2162_p1, zext_ln544_fu_1201_p1, zext_ln535_11_fu_1619_p1, ap_block_pp3_stage0) begin if (((ap_const_boolean_0 = ap_block_pp3_stage0) and (ap_enable_reg_pp3_iter0 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp3_stage0))) then tmp_hist_11_V_address0 <= zext_ln544_1_fu_2162_p1(10 - 1 downto 0); elsif (((ap_const_boolean_0 = ap_block_pp2_stage0) and (ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage0))) then tmp_hist_11_V_address0 <= tmp_hist_11_V_addr_2_reg_2388; elsif (((ap_const_boolean_0 = ap_block_pp2_stage1) and (ap_enable_reg_pp2_iter0 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage1))) then tmp_hist_11_V_address0 <= zext_ln535_11_fu_1619_p1(10 - 1 downto 0); elsif ((ap_const_logic_1 = ap_CS_fsm_state4)) then tmp_hist_11_V_address0 <= zext_ln544_fu_1201_p1(10 - 1 downto 0); else tmp_hist_11_V_address0 <= "XXXXXXXXXX"; end if; end process; tmp_hist_11_V_ce0_assign_proc : process(ap_CS_fsm_pp2_stage1, ap_enable_reg_pp2_iter0, ap_CS_fsm_pp2_stage0, ap_enable_reg_pp2_iter1, ap_CS_fsm_state4, ap_block_pp2_stage0_11001, ap_block_pp2_stage1_11001, ap_CS_fsm_pp3_stage0, ap_block_pp3_stage0_11001, ap_enable_reg_pp3_iter0) begin if (((ap_const_logic_1 = ap_CS_fsm_state4) or ((ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage0) and (ap_const_boolean_0 = ap_block_pp2_stage0_11001)) or ((ap_enable_reg_pp2_iter0 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage1) and (ap_const_boolean_0 = ap_block_pp2_stage1_11001)) or ((ap_const_boolean_0 = ap_block_pp3_stage0_11001) and (ap_enable_reg_pp3_iter0 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp3_stage0)))) then tmp_hist_11_V_ce0 <= ap_const_logic_1; else tmp_hist_11_V_ce0 <= ap_const_logic_0; end if; end process; tmp_hist_11_V_d0_assign_proc : process(ap_CS_fsm_pp2_stage0, ap_enable_reg_pp2_iter1, ap_block_pp2_stage0, ap_CS_fsm_state4, add_ln700_11_fu_2054_p2) begin if (((ap_const_boolean_0 = ap_block_pp2_stage0) and (ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage0))) then tmp_hist_11_V_d0 <= add_ln700_11_fu_2054_p2; elsif ((ap_const_logic_1 = ap_CS_fsm_state4)) then tmp_hist_11_V_d0 <= ap_const_lv32_0; else tmp_hist_11_V_d0 <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX"; end if; end process; tmp_hist_11_V_we0_assign_proc : process(icmp_ln503_reg_2329, ap_CS_fsm_pp2_stage0, ap_enable_reg_pp2_iter1, ap_CS_fsm_state4, ap_block_pp2_stage0_11001, icmp_ln887_fu_1189_p2) begin if ((((icmp_ln503_reg_2329 = ap_const_lv1_0) and (ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage0) and (ap_const_boolean_0 = ap_block_pp2_stage0_11001)) or ((icmp_ln887_fu_1189_p2 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_state4)))) then tmp_hist_11_V_we0 <= ap_const_logic_1; else tmp_hist_11_V_we0 <= ap_const_logic_0; end if; end process; tmp_hist_1_V_address0_assign_proc : process(ap_CS_fsm_pp2_stage1, ap_enable_reg_pp2_iter0, ap_block_pp2_stage1, ap_CS_fsm_pp2_stage0, ap_enable_reg_pp2_iter1, ap_block_pp2_stage0, ap_CS_fsm_state4, tmp_hist_1_V_addr_2_reg_2338, ap_CS_fsm_pp3_stage0, zext_ln544_1_reg_2467, ap_enable_reg_pp3_iter1, zext_ln544_fu_1201_p1, zext_ln535_1_fu_1329_p1, ap_block_pp3_stage0) begin if (((ap_const_boolean_0 = ap_block_pp3_stage0) and (ap_enable_reg_pp3_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp3_stage0))) then tmp_hist_1_V_address0 <= zext_ln544_1_reg_2467(10 - 1 downto 0); elsif (((ap_const_boolean_0 = ap_block_pp2_stage0) and (ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage0))) then tmp_hist_1_V_address0 <= tmp_hist_1_V_addr_2_reg_2338; elsif (((ap_const_boolean_0 = ap_block_pp2_stage1) and (ap_enable_reg_pp2_iter0 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage1))) then tmp_hist_1_V_address0 <= zext_ln535_1_fu_1329_p1(10 - 1 downto 0); elsif ((ap_const_logic_1 = ap_CS_fsm_state4)) then tmp_hist_1_V_address0 <= zext_ln544_fu_1201_p1(10 - 1 downto 0); else tmp_hist_1_V_address0 <= "XXXXXXXXXX"; end if; end process; tmp_hist_1_V_ce0_assign_proc : process(ap_CS_fsm_pp2_stage1, ap_enable_reg_pp2_iter0, ap_CS_fsm_pp2_stage0, ap_enable_reg_pp2_iter1, ap_CS_fsm_state4, ap_block_pp2_stage0_11001, ap_block_pp2_stage1_11001, ap_CS_fsm_pp3_stage0, ap_block_pp3_stage0_11001, ap_enable_reg_pp3_iter1) begin if (((ap_const_logic_1 = ap_CS_fsm_state4) or ((ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage0) and (ap_const_boolean_0 = ap_block_pp2_stage0_11001)) or ((ap_enable_reg_pp2_iter0 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage1) and (ap_const_boolean_0 = ap_block_pp2_stage1_11001)) or ((ap_const_boolean_0 = ap_block_pp3_stage0_11001) and (ap_enable_reg_pp3_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp3_stage0)))) then tmp_hist_1_V_ce0 <= ap_const_logic_1; else tmp_hist_1_V_ce0 <= ap_const_logic_0; end if; end process; tmp_hist_1_V_d0_assign_proc : process(ap_CS_fsm_pp2_stage0, ap_enable_reg_pp2_iter1, ap_block_pp2_stage0, ap_CS_fsm_state4, add_ln700_16_fu_1694_p2) begin if (((ap_const_boolean_0 = ap_block_pp2_stage0) and (ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage0))) then tmp_hist_1_V_d0 <= add_ln700_16_fu_1694_p2; elsif ((ap_const_logic_1 = ap_CS_fsm_state4)) then tmp_hist_1_V_d0 <= ap_const_lv32_0; else tmp_hist_1_V_d0 <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX"; end if; end process; tmp_hist_1_V_we0_assign_proc : process(icmp_ln503_reg_2329, ap_CS_fsm_pp2_stage0, ap_enable_reg_pp2_iter1, ap_CS_fsm_state4, ap_block_pp2_stage0_11001, icmp_ln887_fu_1189_p2) begin if ((((icmp_ln503_reg_2329 = ap_const_lv1_0) and (ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage0) and (ap_const_boolean_0 = ap_block_pp2_stage0_11001)) or ((icmp_ln887_fu_1189_p2 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_state4)))) then tmp_hist_1_V_we0 <= ap_const_logic_1; else tmp_hist_1_V_we0 <= ap_const_logic_0; end if; end process; tmp_hist_2_V_address0_assign_proc : process(ap_CS_fsm_pp2_stage1, ap_enable_reg_pp2_iter0, ap_block_pp2_stage1, ap_CS_fsm_pp2_stage0, ap_enable_reg_pp2_iter1, ap_block_pp2_stage0, ap_CS_fsm_state4, tmp_hist_2_V_addr_2_reg_2343, ap_CS_fsm_pp3_stage0, zext_ln544_1_reg_2467, ap_enable_reg_pp3_iter1, zext_ln544_fu_1201_p1, zext_ln535_2_fu_1358_p1, ap_block_pp3_stage0) begin if (((ap_const_boolean_0 = ap_block_pp3_stage0) and (ap_enable_reg_pp3_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp3_stage0))) then tmp_hist_2_V_address0 <= zext_ln544_1_reg_2467(10 - 1 downto 0); elsif (((ap_const_boolean_0 = ap_block_pp2_stage0) and (ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage0))) then tmp_hist_2_V_address0 <= tmp_hist_2_V_addr_2_reg_2343; elsif (((ap_const_boolean_0 = ap_block_pp2_stage1) and (ap_enable_reg_pp2_iter0 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage1))) then tmp_hist_2_V_address0 <= zext_ln535_2_fu_1358_p1(10 - 1 downto 0); elsif ((ap_const_logic_1 = ap_CS_fsm_state4)) then tmp_hist_2_V_address0 <= zext_ln544_fu_1201_p1(10 - 1 downto 0); else tmp_hist_2_V_address0 <= "XXXXXXXXXX"; end if; end process; tmp_hist_2_V_ce0_assign_proc : process(ap_CS_fsm_pp2_stage1, ap_enable_reg_pp2_iter0, ap_CS_fsm_pp2_stage0, ap_enable_reg_pp2_iter1, ap_CS_fsm_state4, ap_block_pp2_stage0_11001, ap_block_pp2_stage1_11001, ap_CS_fsm_pp3_stage0, ap_block_pp3_stage0_11001, ap_enable_reg_pp3_iter1) begin if (((ap_const_logic_1 = ap_CS_fsm_state4) or ((ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage0) and (ap_const_boolean_0 = ap_block_pp2_stage0_11001)) or ((ap_enable_reg_pp2_iter0 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage1) and (ap_const_boolean_0 = ap_block_pp2_stage1_11001)) or ((ap_const_boolean_0 = ap_block_pp3_stage0_11001) and (ap_enable_reg_pp3_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp3_stage0)))) then tmp_hist_2_V_ce0 <= ap_const_logic_1; else tmp_hist_2_V_ce0 <= ap_const_logic_0; end if; end process; tmp_hist_2_V_d0_assign_proc : process(ap_CS_fsm_pp2_stage0, ap_enable_reg_pp2_iter1, ap_block_pp2_stage0, ap_CS_fsm_state4, add_ln700_2_fu_1730_p2) begin if (((ap_const_boolean_0 = ap_block_pp2_stage0) and (ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage0))) then tmp_hist_2_V_d0 <= add_ln700_2_fu_1730_p2; elsif ((ap_const_logic_1 = ap_CS_fsm_state4)) then tmp_hist_2_V_d0 <= ap_const_lv32_0; else tmp_hist_2_V_d0 <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX"; end if; end process; tmp_hist_2_V_we0_assign_proc : process(icmp_ln503_reg_2329, ap_CS_fsm_pp2_stage0, ap_enable_reg_pp2_iter1, ap_CS_fsm_state4, ap_block_pp2_stage0_11001, icmp_ln887_fu_1189_p2) begin if ((((icmp_ln503_reg_2329 = ap_const_lv1_0) and (ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage0) and (ap_const_boolean_0 = ap_block_pp2_stage0_11001)) or ((icmp_ln887_fu_1189_p2 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_state4)))) then tmp_hist_2_V_we0 <= ap_const_logic_1; else tmp_hist_2_V_we0 <= ap_const_logic_0; end if; end process; tmp_hist_3_V_address0_assign_proc : process(ap_CS_fsm_pp2_stage1, ap_enable_reg_pp2_iter0, ap_block_pp2_stage1, ap_CS_fsm_pp2_stage0, ap_enable_reg_pp2_iter1, ap_block_pp2_stage0, ap_CS_fsm_state4, tmp_hist_3_V_addr_2_reg_2348, ap_CS_fsm_pp3_stage0, zext_ln544_1_reg_2467, ap_enable_reg_pp3_iter1, zext_ln544_fu_1201_p1, zext_ln535_3_fu_1387_p1, ap_block_pp3_stage0) begin if (((ap_const_boolean_0 = ap_block_pp3_stage0) and (ap_enable_reg_pp3_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp3_stage0))) then tmp_hist_3_V_address0 <= zext_ln544_1_reg_2467(10 - 1 downto 0); elsif (((ap_const_boolean_0 = ap_block_pp2_stage0) and (ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage0))) then tmp_hist_3_V_address0 <= tmp_hist_3_V_addr_2_reg_2348; elsif (((ap_const_boolean_0 = ap_block_pp2_stage1) and (ap_enable_reg_pp2_iter0 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage1))) then tmp_hist_3_V_address0 <= zext_ln535_3_fu_1387_p1(10 - 1 downto 0); elsif ((ap_const_logic_1 = ap_CS_fsm_state4)) then tmp_hist_3_V_address0 <= zext_ln544_fu_1201_p1(10 - 1 downto 0); else tmp_hist_3_V_address0 <= "XXXXXXXXXX"; end if; end process; tmp_hist_3_V_ce0_assign_proc : process(ap_CS_fsm_pp2_stage1, ap_enable_reg_pp2_iter0, ap_CS_fsm_pp2_stage0, ap_enable_reg_pp2_iter1, ap_CS_fsm_state4, ap_block_pp2_stage0_11001, ap_block_pp2_stage1_11001, ap_CS_fsm_pp3_stage0, ap_block_pp3_stage0_11001, ap_enable_reg_pp3_iter1) begin if (((ap_const_logic_1 = ap_CS_fsm_state4) or ((ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage0) and (ap_const_boolean_0 = ap_block_pp2_stage0_11001)) or ((ap_enable_reg_pp2_iter0 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage1) and (ap_const_boolean_0 = ap_block_pp2_stage1_11001)) or ((ap_const_boolean_0 = ap_block_pp3_stage0_11001) and (ap_enable_reg_pp3_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp3_stage0)))) then tmp_hist_3_V_ce0 <= ap_const_logic_1; else tmp_hist_3_V_ce0 <= ap_const_logic_0; end if; end process; tmp_hist_3_V_d0_assign_proc : process(ap_CS_fsm_pp2_stage0, ap_enable_reg_pp2_iter1, ap_block_pp2_stage0, ap_CS_fsm_state4, add_ln700_3_fu_1766_p2) begin if (((ap_const_boolean_0 = ap_block_pp2_stage0) and (ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage0))) then tmp_hist_3_V_d0 <= add_ln700_3_fu_1766_p2; elsif ((ap_const_logic_1 = ap_CS_fsm_state4)) then tmp_hist_3_V_d0 <= ap_const_lv32_0; else tmp_hist_3_V_d0 <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX"; end if; end process; tmp_hist_3_V_we0_assign_proc : process(icmp_ln503_reg_2329, ap_CS_fsm_pp2_stage0, ap_enable_reg_pp2_iter1, ap_CS_fsm_state4, ap_block_pp2_stage0_11001, icmp_ln887_fu_1189_p2) begin if ((((icmp_ln503_reg_2329 = ap_const_lv1_0) and (ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage0) and (ap_const_boolean_0 = ap_block_pp2_stage0_11001)) or ((icmp_ln887_fu_1189_p2 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_state4)))) then tmp_hist_3_V_we0 <= ap_const_logic_1; else tmp_hist_3_V_we0 <= ap_const_logic_0; end if; end process; tmp_hist_4_V_address0_assign_proc : process(ap_CS_fsm_pp2_stage1, ap_enable_reg_pp2_iter0, ap_block_pp2_stage1, ap_CS_fsm_pp2_stage0, ap_enable_reg_pp2_iter1, ap_block_pp2_stage0, ap_CS_fsm_state4, tmp_hist_4_V_addr_2_reg_2353, ap_CS_fsm_pp3_stage0, zext_ln544_1_reg_2467, ap_enable_reg_pp3_iter1, zext_ln544_fu_1201_p1, zext_ln535_4_fu_1416_p1, ap_block_pp3_stage0) begin if (((ap_const_boolean_0 = ap_block_pp3_stage0) and (ap_enable_reg_pp3_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp3_stage0))) then tmp_hist_4_V_address0 <= zext_ln544_1_reg_2467(10 - 1 downto 0); elsif (((ap_const_boolean_0 = ap_block_pp2_stage0) and (ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage0))) then tmp_hist_4_V_address0 <= tmp_hist_4_V_addr_2_reg_2353; elsif (((ap_const_boolean_0 = ap_block_pp2_stage1) and (ap_enable_reg_pp2_iter0 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage1))) then tmp_hist_4_V_address0 <= zext_ln535_4_fu_1416_p1(10 - 1 downto 0); elsif ((ap_const_logic_1 = ap_CS_fsm_state4)) then tmp_hist_4_V_address0 <= zext_ln544_fu_1201_p1(10 - 1 downto 0); else tmp_hist_4_V_address0 <= "XXXXXXXXXX"; end if; end process; tmp_hist_4_V_ce0_assign_proc : process(ap_CS_fsm_pp2_stage1, ap_enable_reg_pp2_iter0, ap_CS_fsm_pp2_stage0, ap_enable_reg_pp2_iter1, ap_CS_fsm_state4, ap_block_pp2_stage0_11001, ap_block_pp2_stage1_11001, ap_CS_fsm_pp3_stage0, ap_block_pp3_stage0_11001, ap_enable_reg_pp3_iter1) begin if (((ap_const_logic_1 = ap_CS_fsm_state4) or ((ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage0) and (ap_const_boolean_0 = ap_block_pp2_stage0_11001)) or ((ap_enable_reg_pp2_iter0 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage1) and (ap_const_boolean_0 = ap_block_pp2_stage1_11001)) or ((ap_const_boolean_0 = ap_block_pp3_stage0_11001) and (ap_enable_reg_pp3_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp3_stage0)))) then tmp_hist_4_V_ce0 <= ap_const_logic_1; else tmp_hist_4_V_ce0 <= ap_const_logic_0; end if; end process; tmp_hist_4_V_d0_assign_proc : process(ap_CS_fsm_pp2_stage0, ap_enable_reg_pp2_iter1, ap_block_pp2_stage0, ap_CS_fsm_state4, add_ln700_4_fu_1802_p2) begin if (((ap_const_boolean_0 = ap_block_pp2_stage0) and (ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage0))) then tmp_hist_4_V_d0 <= add_ln700_4_fu_1802_p2; elsif ((ap_const_logic_1 = ap_CS_fsm_state4)) then tmp_hist_4_V_d0 <= ap_const_lv32_0; else tmp_hist_4_V_d0 <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX"; end if; end process; tmp_hist_4_V_we0_assign_proc : process(icmp_ln503_reg_2329, ap_CS_fsm_pp2_stage0, ap_enable_reg_pp2_iter1, ap_CS_fsm_state4, ap_block_pp2_stage0_11001, icmp_ln887_fu_1189_p2) begin if ((((icmp_ln503_reg_2329 = ap_const_lv1_0) and (ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage0) and (ap_const_boolean_0 = ap_block_pp2_stage0_11001)) or ((icmp_ln887_fu_1189_p2 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_state4)))) then tmp_hist_4_V_we0 <= ap_const_logic_1; else tmp_hist_4_V_we0 <= ap_const_logic_0; end if; end process; tmp_hist_5_V_address0_assign_proc : process(ap_CS_fsm_pp2_stage1, ap_enable_reg_pp2_iter0, ap_block_pp2_stage1, ap_CS_fsm_pp2_stage0, ap_enable_reg_pp2_iter1, ap_block_pp2_stage0, ap_CS_fsm_state4, tmp_hist_5_V_addr_2_reg_2358, ap_CS_fsm_pp3_stage0, zext_ln544_1_reg_2467, ap_enable_reg_pp3_iter1, zext_ln544_fu_1201_p1, zext_ln535_5_fu_1445_p1, ap_block_pp3_stage0) begin if (((ap_const_boolean_0 = ap_block_pp3_stage0) and (ap_enable_reg_pp3_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp3_stage0))) then tmp_hist_5_V_address0 <= zext_ln544_1_reg_2467(10 - 1 downto 0); elsif (((ap_const_boolean_0 = ap_block_pp2_stage0) and (ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage0))) then tmp_hist_5_V_address0 <= tmp_hist_5_V_addr_2_reg_2358; elsif (((ap_const_boolean_0 = ap_block_pp2_stage1) and (ap_enable_reg_pp2_iter0 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage1))) then tmp_hist_5_V_address0 <= zext_ln535_5_fu_1445_p1(10 - 1 downto 0); elsif ((ap_const_logic_1 = ap_CS_fsm_state4)) then tmp_hist_5_V_address0 <= zext_ln544_fu_1201_p1(10 - 1 downto 0); else tmp_hist_5_V_address0 <= "XXXXXXXXXX"; end if; end process; tmp_hist_5_V_ce0_assign_proc : process(ap_CS_fsm_pp2_stage1, ap_enable_reg_pp2_iter0, ap_CS_fsm_pp2_stage0, ap_enable_reg_pp2_iter1, ap_CS_fsm_state4, ap_block_pp2_stage0_11001, ap_block_pp2_stage1_11001, ap_CS_fsm_pp3_stage0, ap_block_pp3_stage0_11001, ap_enable_reg_pp3_iter1) begin if (((ap_const_logic_1 = ap_CS_fsm_state4) or ((ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage0) and (ap_const_boolean_0 = ap_block_pp2_stage0_11001)) or ((ap_enable_reg_pp2_iter0 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage1) and (ap_const_boolean_0 = ap_block_pp2_stage1_11001)) or ((ap_const_boolean_0 = ap_block_pp3_stage0_11001) and (ap_enable_reg_pp3_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp3_stage0)))) then tmp_hist_5_V_ce0 <= ap_const_logic_1; else tmp_hist_5_V_ce0 <= ap_const_logic_0; end if; end process; tmp_hist_5_V_d0_assign_proc : process(ap_CS_fsm_pp2_stage0, ap_enable_reg_pp2_iter1, ap_block_pp2_stage0, ap_CS_fsm_state4, add_ln700_5_fu_1838_p2) begin if (((ap_const_boolean_0 = ap_block_pp2_stage0) and (ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage0))) then tmp_hist_5_V_d0 <= add_ln700_5_fu_1838_p2; elsif ((ap_const_logic_1 = ap_CS_fsm_state4)) then tmp_hist_5_V_d0 <= ap_const_lv32_0; else tmp_hist_5_V_d0 <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX"; end if; end process; tmp_hist_5_V_we0_assign_proc : process(icmp_ln503_reg_2329, ap_CS_fsm_pp2_stage0, ap_enable_reg_pp2_iter1, ap_CS_fsm_state4, ap_block_pp2_stage0_11001, icmp_ln887_fu_1189_p2) begin if ((((icmp_ln503_reg_2329 = ap_const_lv1_0) and (ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage0) and (ap_const_boolean_0 = ap_block_pp2_stage0_11001)) or ((icmp_ln887_fu_1189_p2 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_state4)))) then tmp_hist_5_V_we0 <= ap_const_logic_1; else tmp_hist_5_V_we0 <= ap_const_logic_0; end if; end process; tmp_hist_6_V_address0_assign_proc : process(ap_CS_fsm_pp2_stage1, ap_enable_reg_pp2_iter0, ap_block_pp2_stage1, ap_CS_fsm_pp2_stage0, ap_enable_reg_pp2_iter1, ap_block_pp2_stage0, ap_CS_fsm_state4, tmp_hist_6_V_addr_2_reg_2363, ap_CS_fsm_pp3_stage0, zext_ln544_1_reg_2467, ap_enable_reg_pp3_iter1, zext_ln544_fu_1201_p1, zext_ln535_6_fu_1474_p1, ap_block_pp3_stage0) begin if (((ap_const_boolean_0 = ap_block_pp3_stage0) and (ap_enable_reg_pp3_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp3_stage0))) then tmp_hist_6_V_address0 <= zext_ln544_1_reg_2467(10 - 1 downto 0); elsif (((ap_const_boolean_0 = ap_block_pp2_stage0) and (ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage0))) then tmp_hist_6_V_address0 <= tmp_hist_6_V_addr_2_reg_2363; elsif (((ap_const_boolean_0 = ap_block_pp2_stage1) and (ap_enable_reg_pp2_iter0 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage1))) then tmp_hist_6_V_address0 <= zext_ln535_6_fu_1474_p1(10 - 1 downto 0); elsif ((ap_const_logic_1 = ap_CS_fsm_state4)) then tmp_hist_6_V_address0 <= zext_ln544_fu_1201_p1(10 - 1 downto 0); else tmp_hist_6_V_address0 <= "XXXXXXXXXX"; end if; end process; tmp_hist_6_V_ce0_assign_proc : process(ap_CS_fsm_pp2_stage1, ap_enable_reg_pp2_iter0, ap_CS_fsm_pp2_stage0, ap_enable_reg_pp2_iter1, ap_CS_fsm_state4, ap_block_pp2_stage0_11001, ap_block_pp2_stage1_11001, ap_CS_fsm_pp3_stage0, ap_block_pp3_stage0_11001, ap_enable_reg_pp3_iter1) begin if (((ap_const_logic_1 = ap_CS_fsm_state4) or ((ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage0) and (ap_const_boolean_0 = ap_block_pp2_stage0_11001)) or ((ap_enable_reg_pp2_iter0 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage1) and (ap_const_boolean_0 = ap_block_pp2_stage1_11001)) or ((ap_const_boolean_0 = ap_block_pp3_stage0_11001) and (ap_enable_reg_pp3_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp3_stage0)))) then tmp_hist_6_V_ce0 <= ap_const_logic_1; else tmp_hist_6_V_ce0 <= ap_const_logic_0; end if; end process; tmp_hist_6_V_d0_assign_proc : process(ap_CS_fsm_pp2_stage0, ap_enable_reg_pp2_iter1, ap_block_pp2_stage0, ap_CS_fsm_state4, add_ln700_6_fu_1874_p2) begin if (((ap_const_boolean_0 = ap_block_pp2_stage0) and (ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage0))) then tmp_hist_6_V_d0 <= add_ln700_6_fu_1874_p2; elsif ((ap_const_logic_1 = ap_CS_fsm_state4)) then tmp_hist_6_V_d0 <= ap_const_lv32_0; else tmp_hist_6_V_d0 <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX"; end if; end process; tmp_hist_6_V_we0_assign_proc : process(icmp_ln503_reg_2329, ap_CS_fsm_pp2_stage0, ap_enable_reg_pp2_iter1, ap_CS_fsm_state4, ap_block_pp2_stage0_11001, icmp_ln887_fu_1189_p2) begin if ((((icmp_ln503_reg_2329 = ap_const_lv1_0) and (ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage0) and (ap_const_boolean_0 = ap_block_pp2_stage0_11001)) or ((icmp_ln887_fu_1189_p2 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_state4)))) then tmp_hist_6_V_we0 <= ap_const_logic_1; else tmp_hist_6_V_we0 <= ap_const_logic_0; end if; end process; tmp_hist_7_V_address0_assign_proc : process(ap_CS_fsm_pp2_stage1, ap_enable_reg_pp2_iter0, ap_block_pp2_stage1, ap_CS_fsm_pp2_stage0, ap_enable_reg_pp2_iter1, ap_block_pp2_stage0, ap_CS_fsm_state4, tmp_hist_7_V_addr_2_reg_2368, ap_CS_fsm_pp3_stage0, zext_ln544_1_reg_2467, ap_enable_reg_pp3_iter1, zext_ln544_fu_1201_p1, zext_ln535_7_fu_1503_p1, ap_block_pp3_stage0) begin if (((ap_const_boolean_0 = ap_block_pp3_stage0) and (ap_enable_reg_pp3_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp3_stage0))) then tmp_hist_7_V_address0 <= zext_ln544_1_reg_2467(10 - 1 downto 0); elsif (((ap_const_boolean_0 = ap_block_pp2_stage0) and (ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage0))) then tmp_hist_7_V_address0 <= tmp_hist_7_V_addr_2_reg_2368; elsif (((ap_const_boolean_0 = ap_block_pp2_stage1) and (ap_enable_reg_pp2_iter0 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage1))) then tmp_hist_7_V_address0 <= zext_ln535_7_fu_1503_p1(10 - 1 downto 0); elsif ((ap_const_logic_1 = ap_CS_fsm_state4)) then tmp_hist_7_V_address0 <= zext_ln544_fu_1201_p1(10 - 1 downto 0); else tmp_hist_7_V_address0 <= "XXXXXXXXXX"; end if; end process; tmp_hist_7_V_ce0_assign_proc : process(ap_CS_fsm_pp2_stage1, ap_enable_reg_pp2_iter0, ap_CS_fsm_pp2_stage0, ap_enable_reg_pp2_iter1, ap_CS_fsm_state4, ap_block_pp2_stage0_11001, ap_block_pp2_stage1_11001, ap_CS_fsm_pp3_stage0, ap_block_pp3_stage0_11001, ap_enable_reg_pp3_iter1) begin if (((ap_const_logic_1 = ap_CS_fsm_state4) or ((ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage0) and (ap_const_boolean_0 = ap_block_pp2_stage0_11001)) or ((ap_enable_reg_pp2_iter0 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage1) and (ap_const_boolean_0 = ap_block_pp2_stage1_11001)) or ((ap_const_boolean_0 = ap_block_pp3_stage0_11001) and (ap_enable_reg_pp3_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp3_stage0)))) then tmp_hist_7_V_ce0 <= ap_const_logic_1; else tmp_hist_7_V_ce0 <= ap_const_logic_0; end if; end process; tmp_hist_7_V_d0_assign_proc : process(ap_CS_fsm_pp2_stage0, ap_enable_reg_pp2_iter1, ap_block_pp2_stage0, ap_CS_fsm_state4, add_ln700_7_fu_1910_p2) begin if (((ap_const_boolean_0 = ap_block_pp2_stage0) and (ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage0))) then tmp_hist_7_V_d0 <= add_ln700_7_fu_1910_p2; elsif ((ap_const_logic_1 = ap_CS_fsm_state4)) then tmp_hist_7_V_d0 <= ap_const_lv32_0; else tmp_hist_7_V_d0 <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX"; end if; end process; tmp_hist_7_V_we0_assign_proc : process(icmp_ln503_reg_2329, ap_CS_fsm_pp2_stage0, ap_enable_reg_pp2_iter1, ap_CS_fsm_state4, ap_block_pp2_stage0_11001, icmp_ln887_fu_1189_p2) begin if ((((icmp_ln503_reg_2329 = ap_const_lv1_0) and (ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage0) and (ap_const_boolean_0 = ap_block_pp2_stage0_11001)) or ((icmp_ln887_fu_1189_p2 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_state4)))) then tmp_hist_7_V_we0 <= ap_const_logic_1; else tmp_hist_7_V_we0 <= ap_const_logic_0; end if; end process; tmp_hist_8_V_address0_assign_proc : process(ap_CS_fsm_pp2_stage1, ap_enable_reg_pp2_iter0, ap_block_pp2_stage1, ap_CS_fsm_pp2_stage0, ap_enable_reg_pp2_iter1, ap_block_pp2_stage0, ap_CS_fsm_state4, tmp_hist_8_V_addr_2_reg_2373, ap_CS_fsm_pp3_stage0, zext_ln544_1_reg_2467, ap_enable_reg_pp3_iter1, zext_ln544_fu_1201_p1, zext_ln535_8_fu_1532_p1, ap_block_pp3_stage0) begin if (((ap_const_boolean_0 = ap_block_pp3_stage0) and (ap_enable_reg_pp3_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp3_stage0))) then tmp_hist_8_V_address0 <= zext_ln544_1_reg_2467(10 - 1 downto 0); elsif (((ap_const_boolean_0 = ap_block_pp2_stage0) and (ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage0))) then tmp_hist_8_V_address0 <= tmp_hist_8_V_addr_2_reg_2373; elsif (((ap_const_boolean_0 = ap_block_pp2_stage1) and (ap_enable_reg_pp2_iter0 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage1))) then tmp_hist_8_V_address0 <= zext_ln535_8_fu_1532_p1(10 - 1 downto 0); elsif ((ap_const_logic_1 = ap_CS_fsm_state4)) then tmp_hist_8_V_address0 <= zext_ln544_fu_1201_p1(10 - 1 downto 0); else tmp_hist_8_V_address0 <= "XXXXXXXXXX"; end if; end process; tmp_hist_8_V_ce0_assign_proc : process(ap_CS_fsm_pp2_stage1, ap_enable_reg_pp2_iter0, ap_CS_fsm_pp2_stage0, ap_enable_reg_pp2_iter1, ap_CS_fsm_state4, ap_block_pp2_stage0_11001, ap_block_pp2_stage1_11001, ap_CS_fsm_pp3_stage0, ap_block_pp3_stage0_11001, ap_enable_reg_pp3_iter1) begin if (((ap_const_logic_1 = ap_CS_fsm_state4) or ((ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage0) and (ap_const_boolean_0 = ap_block_pp2_stage0_11001)) or ((ap_enable_reg_pp2_iter0 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage1) and (ap_const_boolean_0 = ap_block_pp2_stage1_11001)) or ((ap_const_boolean_0 = ap_block_pp3_stage0_11001) and (ap_enable_reg_pp3_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp3_stage0)))) then tmp_hist_8_V_ce0 <= ap_const_logic_1; else tmp_hist_8_V_ce0 <= ap_const_logic_0; end if; end process; tmp_hist_8_V_d0_assign_proc : process(ap_CS_fsm_pp2_stage0, ap_enable_reg_pp2_iter1, ap_block_pp2_stage0, ap_CS_fsm_state4, add_ln700_8_fu_1946_p2) begin if (((ap_const_boolean_0 = ap_block_pp2_stage0) and (ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage0))) then tmp_hist_8_V_d0 <= add_ln700_8_fu_1946_p2; elsif ((ap_const_logic_1 = ap_CS_fsm_state4)) then tmp_hist_8_V_d0 <= ap_const_lv32_0; else tmp_hist_8_V_d0 <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX"; end if; end process; tmp_hist_8_V_we0_assign_proc : process(icmp_ln503_reg_2329, ap_CS_fsm_pp2_stage0, ap_enable_reg_pp2_iter1, ap_CS_fsm_state4, ap_block_pp2_stage0_11001, icmp_ln887_fu_1189_p2) begin if ((((icmp_ln503_reg_2329 = ap_const_lv1_0) and (ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage0) and (ap_const_boolean_0 = ap_block_pp2_stage0_11001)) or ((icmp_ln887_fu_1189_p2 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_state4)))) then tmp_hist_8_V_we0 <= ap_const_logic_1; else tmp_hist_8_V_we0 <= ap_const_logic_0; end if; end process; tmp_hist_9_V_address0_assign_proc : process(ap_CS_fsm_pp2_stage1, ap_enable_reg_pp2_iter0, ap_block_pp2_stage1, ap_CS_fsm_pp2_stage0, ap_enable_reg_pp2_iter1, ap_block_pp2_stage0, ap_CS_fsm_state4, tmp_hist_9_V_addr_2_reg_2378, ap_CS_fsm_pp3_stage0, ap_enable_reg_pp3_iter0, zext_ln544_1_fu_2162_p1, zext_ln544_fu_1201_p1, zext_ln535_9_fu_1561_p1, ap_block_pp3_stage0) begin if (((ap_const_boolean_0 = ap_block_pp3_stage0) and (ap_enable_reg_pp3_iter0 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp3_stage0))) then tmp_hist_9_V_address0 <= zext_ln544_1_fu_2162_p1(10 - 1 downto 0); elsif (((ap_const_boolean_0 = ap_block_pp2_stage0) and (ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage0))) then tmp_hist_9_V_address0 <= tmp_hist_9_V_addr_2_reg_2378; elsif (((ap_const_boolean_0 = ap_block_pp2_stage1) and (ap_enable_reg_pp2_iter0 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage1))) then tmp_hist_9_V_address0 <= zext_ln535_9_fu_1561_p1(10 - 1 downto 0); elsif ((ap_const_logic_1 = ap_CS_fsm_state4)) then tmp_hist_9_V_address0 <= zext_ln544_fu_1201_p1(10 - 1 downto 0); else tmp_hist_9_V_address0 <= "XXXXXXXXXX"; end if; end process; tmp_hist_9_V_ce0_assign_proc : process(ap_CS_fsm_pp2_stage1, ap_enable_reg_pp2_iter0, ap_CS_fsm_pp2_stage0, ap_enable_reg_pp2_iter1, ap_CS_fsm_state4, ap_block_pp2_stage0_11001, ap_block_pp2_stage1_11001, ap_CS_fsm_pp3_stage0, ap_block_pp3_stage0_11001, ap_enable_reg_pp3_iter0) begin if (((ap_const_logic_1 = ap_CS_fsm_state4) or ((ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage0) and (ap_const_boolean_0 = ap_block_pp2_stage0_11001)) or ((ap_enable_reg_pp2_iter0 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage1) and (ap_const_boolean_0 = ap_block_pp2_stage1_11001)) or ((ap_const_boolean_0 = ap_block_pp3_stage0_11001) and (ap_enable_reg_pp3_iter0 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp3_stage0)))) then tmp_hist_9_V_ce0 <= ap_const_logic_1; else tmp_hist_9_V_ce0 <= ap_const_logic_0; end if; end process; tmp_hist_9_V_d0_assign_proc : process(ap_CS_fsm_pp2_stage0, ap_enable_reg_pp2_iter1, ap_block_pp2_stage0, ap_CS_fsm_state4, add_ln700_9_fu_1982_p2) begin if (((ap_const_boolean_0 = ap_block_pp2_stage0) and (ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage0))) then tmp_hist_9_V_d0 <= add_ln700_9_fu_1982_p2; elsif ((ap_const_logic_1 = ap_CS_fsm_state4)) then tmp_hist_9_V_d0 <= ap_const_lv32_0; else tmp_hist_9_V_d0 <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX"; end if; end process; tmp_hist_9_V_we0_assign_proc : process(icmp_ln503_reg_2329, ap_CS_fsm_pp2_stage0, ap_enable_reg_pp2_iter1, ap_CS_fsm_state4, ap_block_pp2_stage0_11001, icmp_ln887_fu_1189_p2) begin if ((((icmp_ln503_reg_2329 = ap_const_lv1_0) and (ap_enable_reg_pp2_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp2_stage0) and (ap_const_boolean_0 = ap_block_pp2_stage0_11001)) or ((icmp_ln887_fu_1189_p2 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_state4)))) then tmp_hist_9_V_we0 <= ap_const_logic_1; else tmp_hist_9_V_we0 <= ap_const_logic_0; end if; end process; trunc_ln728_1_fu_1630_p1 <= src1_data_V_V_dout(10 - 1 downto 0); trunc_ln728_fu_1272_p1 <= src1_data_V_V_dout(10 - 1 downto 0); zext_ln426_fu_1166_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_164_fu_1156_p4),15)); zext_ln455_fu_1182_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(k_0_reg_990),64)); zext_ln503_fu_1263_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_166_fu_1255_p3),32)); zext_ln535_10_fu_1590_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_101_fu_1580_p4),64)); zext_ln535_11_fu_1619_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_103_fu_1609_p4),64)); zext_ln535_1_fu_1329_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_83_fu_1319_p4),64)); zext_ln535_2_fu_1358_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_85_fu_1348_p4),64)); zext_ln535_3_fu_1387_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_87_fu_1377_p4),64)); zext_ln535_4_fu_1416_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_89_fu_1406_p4),64)); zext_ln535_5_fu_1445_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_91_fu_1435_p4),64)); zext_ln535_6_fu_1474_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_93_fu_1464_p4),64)); zext_ln535_7_fu_1503_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_95_fu_1493_p4),64)); zext_ln535_8_fu_1532_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_97_fu_1522_p4),64)); zext_ln535_9_fu_1561_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_99_fu_1551_p4),64)); zext_ln535_fu_1300_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_81_fu_1290_p4),64)); zext_ln536_10_fu_2025_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_102_fu_2008_p4),64)); zext_ln536_11_fu_2061_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_104_fu_2044_p4),64)); zext_ln536_1_fu_1701_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_84_fu_1684_p4),64)); zext_ln536_2_fu_1737_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_86_fu_1720_p4),64)); zext_ln536_3_fu_1773_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_88_fu_1756_p4),64)); zext_ln536_4_fu_1809_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_90_fu_1792_p4),64)); zext_ln536_5_fu_1845_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_92_fu_1828_p4),64)); zext_ln536_6_fu_1881_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_94_fu_1864_p4),64)); zext_ln536_7_fu_1917_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_96_fu_1900_p4),64)); zext_ln536_8_fu_1953_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_98_fu_1936_p4),64)); zext_ln536_9_fu_1989_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_100_fu_1972_p4),64)); zext_ln536_fu_1665_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_82_fu_1648_p4),64)); zext_ln544_1_fu_2162_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(t_V_1_reg_1035),64)); zext_ln544_fu_1201_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(t_V_reg_1001),64)); end behav;
VHDL
4
hito0512/Vitis-AI
Whole-App-Acceleration/apps/resnet50/build_flow/DPUCVDX8G_vck190/vck190_platform/hw/source/ip/isppipeline_accel/hdl/vhdl/AWBhistogramkernel.vhd
[ "Apache-2.0" ]
../available_vhosts/venia_publish.vhost
ApacheConf
1
kwin/aem-cif-guides-venia
classic/dispatcher/src/conf.d/enabled_vhosts/venia_publish.vhost
[ "Apache-2.0" ]
name = "CRC32c" uuid = "8bf52ea8-c179-5cab-976a-9e18b702a9bc" [extras] Test = "8dfed614-e22c-5e08-85e1-65c5234f0b40" Random = "9a3f8284-a2c9-5f02-9a11-845980a1fd5c" [targets] test = ["Test", "Random"]
TOML
2
greimel/julia
stdlib/CRC32c/Project.toml
[ "Zlib" ]
// Eric Heep // April 3rd, 2017 // poweradsr-feedback-beatings.ck // set number of sines and power envs 5 => int num; MagicSine sin[num]; PowerADSR env[num]; // sound chain for (int i; i < num; i++) { sin[i] => env[i] => dac; sin[i].gain(0.25/num); } fun void loopSin(int idx) { dur env_time; float curve; while (true) { Math.random2(1, 2)::second => env_time; // curves below 1.0 will be "hill" shaped, // curves above 1.0 will resemble an exponential curve Math.random2f(0.5, 4.0) => curve; sin[idx].freq(Math.random2f(1000, 1100)); // set all envelope durations env[idx].set(env_time, 1::second, 0.5, env_time); // set all envelope power curves env[idx].setCurves(curve, 1.0, 1.0/curve); // begins attack phase env[idx].keyOn(); env_time => now; // begins decay phase 1::second => now; // begins release phase env[idx].keyOff(); env_time => now; } } for (int i; i < num; i++) { spork ~ loopSin(i); } while (true) { 1::second => now; }
ChucK
5
ccdarabundit/chugins
PowerADSR/poweradsr-feedback-beatings.ck
[ "MIT" ]
/* Copyright 2015 The TensorFlow Authors. All Rights Reserved. Licensed under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License. You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. See the License for the specific language governing permissions and limitations under the License. ==============================================================================*/ #include "tensorflow/cc/ops/const_op.h" #include "tensorflow/cc/ops/image_ops.h" #include "tensorflow/cc/ops/nn_ops.h" #include "tensorflow/cc/ops/sendrecv_ops.h" #include "tensorflow/cc/ops/standard_ops.h" #include "tensorflow/core/framework/tensor_testutil.h" #include "tensorflow/core/lib/core/status_test_util.h" #include "tensorflow/core/platform/test.h" #include "tensorflow/core/platform/test_benchmark.h" #include "tensorflow/core/public/session.h" #include "tensorflow/tools/graph_transforms/transform_utils.h" namespace tensorflow { namespace graph_transforms { class SortByExecutionOrderTest : public ::testing::Test { protected: void GetOrder(const GraphDef& graph_def, std::map<string, int>* order) { for (int i = 0; i < graph_def.node_size(); ++i) { const NodeDef& node = graph_def.node(i); (*order)[node.name()] = i; } } void TestSimpleAdd() { GraphDef graph_def; NodeDef* add_node = graph_def.add_node(); add_node->set_name("add_node"); add_node->set_op("Add"); add_node->add_input("a_node"); add_node->add_input("b_node"); NodeDef* b_node = graph_def.add_node(); b_node->set_name("b_node"); b_node->set_op("Const"); NodeDef* a_node = graph_def.add_node(); a_node->set_name("a_node"); a_node->set_op("Const"); GraphDef result; TF_ASSERT_OK(SortByExecutionOrder(graph_def, &result)); std::map<string, int> order; GetOrder(result, &order); EXPECT_EQ(2, order["add_node"]); EXPECT_GT(2, order["a_node"]); EXPECT_GT(2, order["b_node"]); } void TestSimpleLinear() { GraphDef graph_def; NodeDef* negative_node = graph_def.add_node(); negative_node->set_name("negative_node"); negative_node->set_op("Negative"); negative_node->add_input("sqrt_node"); NodeDef* relu_node = graph_def.add_node(); relu_node->set_name("relu_node"); relu_node->set_op("Relu"); relu_node->add_input("const_node"); NodeDef* sqrt_node = graph_def.add_node(); sqrt_node->set_name("sqrt_node"); sqrt_node->set_op("Sqrt"); sqrt_node->add_input("relu_node"); NodeDef* const_node = graph_def.add_node(); const_node->set_name("const_node"); const_node->set_op("Const"); GraphDef result; TF_ASSERT_OK(SortByExecutionOrder(graph_def, &result)); std::map<string, int> order; GetOrder(result, &order); EXPECT_EQ(3, order["negative_node"]); EXPECT_EQ(2, order["sqrt_node"]); EXPECT_EQ(1, order["relu_node"]); EXPECT_EQ(0, order["const_node"]); } void TestSimpleTree() { GraphDef graph_def; NodeDef* add_node1 = graph_def.add_node(); add_node1->set_name("add_node1"); add_node1->set_op("Add"); add_node1->add_input("add_node2"); add_node1->add_input("add_node3"); NodeDef* add_node2 = graph_def.add_node(); add_node2->set_name("add_node2"); add_node2->set_op("Add"); add_node2->add_input("const_node1"); add_node2->add_input("const_node2"); NodeDef* add_node3 = graph_def.add_node(); add_node3->set_name("add_node3"); add_node3->set_op("Add"); add_node3->add_input("const_node3"); add_node3->add_input("const_node4"); NodeDef* const_node1 = graph_def.add_node(); const_node1->set_name("const_node1"); const_node1->set_op("Const"); NodeDef* const_node2 = graph_def.add_node(); const_node2->set_name("const_node2"); const_node2->set_op("Const"); NodeDef* const_node3 = graph_def.add_node(); const_node3->set_name("const_node3"); const_node3->set_op("Const"); NodeDef* const_node4 = graph_def.add_node(); const_node4->set_name("const_node4"); const_node4->set_op("Const"); GraphDef result; TF_ASSERT_OK(SortByExecutionOrder(graph_def, &result)); std::map<string, int> order; GetOrder(result, &order); EXPECT_EQ(6, order["add_node1"]); EXPECT_GT(6, order["add_node2"]); EXPECT_GT(6, order["add_node3"]); EXPECT_GT(5, order["const_node1"]); EXPECT_GT(5, order["const_node2"]); EXPECT_GT(5, order["const_node3"]); EXPECT_GT(5, order["const_node4"]); } void TestCommonAncestor() { GraphDef graph_def; NodeDef* add_node1 = graph_def.add_node(); add_node1->set_name("add_node1"); add_node1->set_op("Add"); add_node1->add_input("add_node2"); add_node1->add_input("add_node3"); NodeDef* add_node2 = graph_def.add_node(); add_node2->set_name("add_node2"); add_node2->set_op("Add"); add_node2->add_input("const_node1"); add_node2->add_input("const_node2"); NodeDef* add_node3 = graph_def.add_node(); add_node3->set_name("add_node3"); add_node3->set_op("Add"); add_node3->add_input("const_node1"); add_node3->add_input("const_node3"); NodeDef* const_node1 = graph_def.add_node(); const_node1->set_name("const_node1"); const_node1->set_op("Const"); NodeDef* const_node2 = graph_def.add_node(); const_node2->set_name("const_node2"); const_node2->set_op("Const"); NodeDef* const_node3 = graph_def.add_node(); const_node3->set_name("const_node3"); const_node3->set_op("Const"); GraphDef result; TF_ASSERT_OK(SortByExecutionOrder(graph_def, &result)); std::map<string, int> order; GetOrder(result, &order); EXPECT_EQ(5, order["add_node1"]); EXPECT_GT(5, order["add_node2"]); EXPECT_GT(5, order["add_node3"]); EXPECT_GT(4, order["const_node2"]); EXPECT_GT(4, order["const_node3"]); EXPECT_GT(3, order["const_node1"]); } }; TEST_F(SortByExecutionOrderTest, TestSimpleAdd) { TestSimpleAdd(); } TEST_F(SortByExecutionOrderTest, TestSimpleLinear) { TestSimpleLinear(); } TEST_F(SortByExecutionOrderTest, TestSimpleTree) { TestSimpleTree(); } TEST_F(SortByExecutionOrderTest, TestCommonAncestor) { TestCommonAncestor(); } } // namespace graph_transforms } // namespace tensorflow
C++
4
abhaikollara/tensorflow
tensorflow/tools/graph_transforms/sort_by_execution_order_test.cc
[ "Apache-2.0" ]
/* * Copyright (c) 2021, Luke Wilde <[email protected]> * * SPDX-License-Identifier: BSD-2-Clause */ #pragma once namespace Web::DOM { // https://dom.spec.whatwg.org/#childnode template<typename NodeType> class ChildNode { public: // https://dom.spec.whatwg.org/#dom-childnode-remove void remove_binding() { auto* node = static_cast<NodeType*>(this); // 1. If this’s parent is null, then return. if (!node->parent()) return; // 2. Remove this. node->remove(); } protected: ChildNode() = default; }; }
C
4
r00ster91/serenity
Userland/Libraries/LibWeb/DOM/ChildNode.h
[ "BSD-2-Clause" ]
# Copyright 2021 The TensorFlow Authors. All Rights Reserved. # # Licensed under the Apache License, Version 2.0 (the "License"); # you may not use this file except in compliance with the License. # You may obtain a copy of the License at # # http://www.apache.org/licenses/LICENSE-2.0 # # Unless required by applicable law or agreed to in writing, software # distributed under the License is distributed on an "AS IS" BASIS, # WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. # See the License for the specific language governing permissions and # limitations under the License. """Tests for Keras based XLNet model.""" import numpy as np import tensorflow as tf from tensorflow.python.distribute import combinations from tensorflow.python.keras import keras_parameterized # pylint: disable=g-direct-tensorflow-import from official.nlp.modeling.networks import xlnet_base @keras_parameterized.run_all_keras_modes class RelativePositionEncodingTest(keras_parameterized.TestCase): def test_positional_embedding(self): """A low-dimensional example is tested. With len(pos_seq)=2 and d_model=4: pos_seq = [[1.], [0.]] inv_freq = [1., 0.01] pos_seq x inv_freq = [[1, 0.01], [0., 0.]] pos_emb = [[sin(1.), sin(0.01), cos(1.), cos(0.01)], [sin(0.), sin(0.), cos(0.), cos(0.)]] = [[0.84147096, 0.00999983, 0.54030228, 0.99994999], [0., 0., 1., 1.]] """ target = np.array([[[0.84147096, 0.00999983, 0.54030228, 0.99994999], [0., 0., 1., 1.]]]) hidden_size = 4 pos_seq = tf.range(1, -1, -1.0) # [1., 0.] encoding_layer = xlnet_base.RelativePositionEncoding( hidden_size=hidden_size) encoding = encoding_layer(pos_seq, batch_size=None).numpy().astype(float) self.assertAllClose(encoding, target) class ComputePositionEncodingTest(keras_parameterized.TestCase): @combinations.generate(combinations.combine( attention_type=["uni", "bi"], bi_data=[False, True], )) def test_compute_position_encoding_smoke(self, attention_type, bi_data): hidden_size = 4 batch_size = 4 total_length = 8 seq_length = 4 position_encoding_layer = xlnet_base.RelativePositionEncoding( hidden_size=hidden_size) encoding = xlnet_base._compute_positional_encoding( attention_type=attention_type, position_encoding_layer=position_encoding_layer, hidden_size=hidden_size, batch_size=batch_size, total_length=total_length, seq_length=seq_length, clamp_length=2, bi_data=bi_data, dtype=tf.float32) self.assertEqual(encoding.shape[0], batch_size) self.assertEqual(encoding.shape[2], hidden_size) class CausalAttentionMaskTests(tf.test.TestCase): def test_casual_attention_mask_with_no_memory(self): seq_length, memory_length = 3, 0 causal_attention_mask = xlnet_base._create_causal_attention_mask( seq_length=seq_length, memory_length=memory_length) expected_output = np.array([[1, 0, 0], [1, 1, 0], [1, 1, 1]]) self.assertAllClose(causal_attention_mask, expected_output) def test_casual_attention_mask_with_memory(self): seq_length, memory_length = 3, 2 causal_attention_mask = xlnet_base._create_causal_attention_mask( seq_length=seq_length, memory_length=memory_length) expected_output = np.array([[1, 1, 1, 0, 0], [1, 1, 1, 1, 0], [1, 1, 1, 1, 1]]) self.assertAllClose(causal_attention_mask, expected_output) def test_causal_attention_mask_with_same_length(self): seq_length, memory_length = 3, 2 causal_attention_mask = xlnet_base._create_causal_attention_mask( seq_length=seq_length, memory_length=memory_length, same_length=True) expected_output = np.array([[1, 1, 1, 0, 0], [0, 1, 1, 1, 0], [0, 0, 1, 1, 1]]) self.assertAllClose(causal_attention_mask, expected_output) class MaskComputationTests(keras_parameterized.TestCase): @combinations.generate(combinations.combine( use_input_mask=[False, True], use_permutation_mask=[False, True], attention_type=["uni", "bi"], memory_length=[0, 4], )) def test_compute_attention_mask_smoke(self, use_input_mask, use_permutation_mask, attention_type, memory_length): """Tests coverage and functionality for different configurations.""" batch_size = 2 seq_length = 8 if use_input_mask: input_mask = tf.zeros(shape=(batch_size, seq_length)) else: input_mask = None if use_permutation_mask: permutation_mask = tf.zeros(shape=(batch_size, seq_length, seq_length)) else: permutation_mask = None _, content_mask = xlnet_base._compute_attention_mask( input_mask=input_mask, permutation_mask=permutation_mask, attention_type=attention_type, seq_length=seq_length, memory_length=memory_length, batch_size=batch_size, dtype=tf.float32) expected_mask_shape = (batch_size, 1, seq_length, seq_length + memory_length) if use_input_mask or use_permutation_mask: self.assertEqual(content_mask.shape, expected_mask_shape) def test_no_input_masks(self): query_mask, content_mask = xlnet_base._compute_attention_mask( input_mask=None, permutation_mask=None, attention_type="uni", seq_length=8, memory_length=2, batch_size=2, dtype=tf.float32) self.assertIsNone(query_mask) self.assertIsNone(content_mask) def test_input_mask_no_permutation(self): """Tests if an input mask is provided but not permutation. In the case that only one of input mask or permutation mask is provided and the attention type is bidirectional, the query mask should be a broadcasted version of the provided mask. Content mask should be a broadcasted version of the query mask, where the diagonal is 0s. """ seq_length = 4 batch_size = 1 memory_length = 0 input_mask = np.array([[1, 1, 0, 0]]) permutation_mask = None expected_query_mask = input_mask[None, None, :, :] expected_content_mask = np.array([[[ [1, 1, 0, 0], [1, 1, 0, 0], [1, 1, 1, 0], [1, 1, 0, 1]]]]) query_mask, content_mask = xlnet_base._compute_attention_mask( input_mask=input_mask, permutation_mask=permutation_mask, attention_type="bi", seq_length=seq_length, memory_length=memory_length, batch_size=batch_size, dtype=tf.float32) self.assertAllClose(query_mask, expected_query_mask) self.assertAllClose(content_mask, expected_content_mask) def test_permutation_mask_no_input_mask(self): """Tests if a permutation mask is provided but not input.""" seq_length = 2 batch_size = 1 memory_length = 0 input_mask = None permutation_mask = np.array([ [[1, 0], [1, 0]], ]) expected_query_mask = permutation_mask[:, None, :, :] expected_content_mask = np.array([[[ [1, 0], [1, 1]]]]) query_mask, content_mask = xlnet_base._compute_attention_mask( input_mask=input_mask, permutation_mask=permutation_mask, attention_type="bi", seq_length=seq_length, memory_length=memory_length, batch_size=batch_size, dtype=tf.float32) self.assertAllClose(query_mask, expected_query_mask) self.assertAllClose(content_mask, expected_content_mask) def test_permutation_and_input_mask(self): """Tests if both an input and permutation mask are provided.""" seq_length = 4 batch_size = 1 memory_length = 0 input_mask = np.array([[1, 1, 0, 0]]) permutation_mask = np.array([[ [0, 1, 1, 1], [1, 0, 1, 1], [1, 1, 0, 1], [1, 1, 1, 0], ]]) expected_query_mask = np.array([[[ [0, 1, 0, 0], [1, 0, 0, 0], [1, 1, 0, 0], [1, 1, 0, 0]]]]) expected_content_mask = np.array([[[ [1, 1, 0, 0], [1, 1, 0, 0], [1, 1, 1, 0], [1, 1, 0, 1]]]]) query_mask, content_mask = xlnet_base._compute_attention_mask( input_mask=input_mask, permutation_mask=permutation_mask, attention_type="bi", seq_length=seq_length, memory_length=memory_length, batch_size=batch_size, dtype=tf.float32) self.assertAllClose(query_mask, expected_query_mask) self.assertAllClose(content_mask, expected_content_mask) def test_permutation_input_uni_mask(self): """Tests if an input, permutation and causal mask are provided.""" seq_length = 4 batch_size = 1 memory_length = 0 input_mask = np.array([[1, 1, 1, 0]]) permutation_mask = np.array([[ [0, 1, 1, 1], [1, 0, 1, 1], [1, 1, 0, 1], [1, 1, 1, 0], ]]) expected_query_mask = np.array([[[ [0, 0, 0, 0], [1, 0, 0, 0], [1, 1, 0, 0], [1, 1, 1, 0]]]]) expected_content_mask = np.array([[[ [1, 0, 0, 0], [1, 1, 0, 0], [1, 1, 1, 0], [1, 1, 1, 1]]]]) query_mask, content_mask = xlnet_base._compute_attention_mask( input_mask=input_mask, permutation_mask=permutation_mask, attention_type="uni", seq_length=seq_length, memory_length=memory_length, batch_size=batch_size, dtype=tf.float32) self.assertAllClose(query_mask, expected_query_mask) self.assertAllClose(content_mask, expected_content_mask) class SegmentMatrixTests(tf.test.TestCase): def test_no_segment_ids(self): segment_matrix = xlnet_base._compute_segment_matrix( segment_ids=None, memory_length=2, batch_size=1, use_cls_mask=False) self.assertIsNone(segment_matrix) def test_basic(self): batch_size = 1 memory_length = 0 segment_ids = np.array([ [1, 1, 2, 1] ]) expected_segment_matrix = np.array([[ [False, False, True, False], [False, False, True, False], [True, True, False, True], [False, False, True, False] ]]) segment_matrix = xlnet_base._compute_segment_matrix( segment_ids=segment_ids, memory_length=memory_length, batch_size=batch_size, use_cls_mask=False) self.assertAllClose(segment_matrix, expected_segment_matrix) def test_basic_with_memory(self): batch_size = 1 memory_length = 1 segment_ids = np.array([ [1, 1, 2, 1] ]) expected_segment_matrix = np.array([[ [True, False, False, True, False], [True, False, False, True, False], [True, True, True, False, True], [True, False, False, True, False] ]]).astype(int) segment_matrix = tf.cast(xlnet_base._compute_segment_matrix( segment_ids=segment_ids, memory_length=memory_length, batch_size=batch_size, use_cls_mask=False), dtype=tf.uint8) self.assertAllClose(segment_matrix, expected_segment_matrix) def dont_test_basic_with_class_mask(self): # TODO(allencwang) - this test should pass but illustrates the legacy issue # of using class mask. Enable once addressed. batch_size = 1 memory_length = 0 segment_ids = np.array([ [1, 1, 2, 1] ]) expected_segment_matrix = np.array([[ [False, False, True, False], [False, False, True, False], [True, True, False, True], [False, False, True, False] ]]).astype(int) segment_matrix = tf.cast(xlnet_base._compute_segment_matrix( segment_ids=segment_ids, memory_length=memory_length, batch_size=batch_size, use_cls_mask=True), dtype=tf.uint8) self.assertAllClose(segment_matrix, expected_segment_matrix) class XLNetModelTests(tf.test.TestCase): def _generate_data(self, batch_size, seq_length, num_predictions=None): """Generates sample XLNet data for testing.""" sequence_shape = (batch_size, seq_length) if num_predictions is not None: target_mapping = tf.random.uniform( shape=(batch_size, num_predictions, seq_length)) return { "input_ids": np.random.randint(10, size=sequence_shape, dtype="int32"), "segment_ids": np.random.randint(2, size=sequence_shape, dtype="int32"), "input_mask": np.random.randint(2, size=sequence_shape).astype("float32"), "permutation_mask": np.random.randint( 2, size=(batch_size, seq_length, seq_length)).astype("float32"), "target_mapping": target_mapping, "masked_tokens": tf.random.uniform(shape=sequence_shape), } def test_xlnet_model(self): batch_size = 2 seq_length = 8 num_predictions = 2 hidden_size = 4 xlnet_model = xlnet_base.XLNetBase( vocab_size=32000, num_layers=2, hidden_size=hidden_size, num_attention_heads=2, head_size=2, inner_size=2, dropout_rate=0., attention_dropout_rate=0., attention_type="bi", bi_data=True, initializer=tf.keras.initializers.RandomNormal(stddev=0.1), two_stream=False, tie_attention_biases=True, reuse_length=0, inner_activation="relu") input_data = self._generate_data(batch_size=batch_size, seq_length=seq_length, num_predictions=num_predictions) model_output = xlnet_model(**input_data) self.assertEqual(model_output[0].shape, (batch_size, seq_length, hidden_size)) def test_get_config(self): xlnet_model = xlnet_base.XLNetBase( vocab_size=32000, num_layers=12, hidden_size=36, num_attention_heads=12, head_size=12, inner_size=12, dropout_rate=0., attention_dropout_rate=0., attention_type="bi", bi_data=True, initializer=tf.keras.initializers.RandomNormal(stddev=0.1), two_stream=False, tie_attention_biases=True, memory_length=0, reuse_length=0, inner_activation="relu") config = xlnet_model.get_config() new_xlnet = xlnet_base.XLNetBase.from_config(config) self.assertEqual(config, new_xlnet.get_config()) if __name__ == "__main__": tf.random.set_seed(0) tf.test.main()
Python
5
akshit-protonn/models
official/nlp/modeling/networks/xlnet_base_test.py
[ "Apache-2.0" ]
module.exports = require(( __resourceFragment.substr(1) + "/resourceFragment/returnRF#XXXFragment" ).replace(/XXX/g, "resource"));
JavaScript
1
1shenxi/webpack
test/cases/parsing/evaluate/resourceFragment/index.js
[ "MIT" ]
$(header) LIBRARY ieee; USE ieee.std_logic_1164.ALL; LIBRARY work; USE work.types_pkg.ALL; PACKAGE $(particle)_setup IS CONSTANT $(particle)_phi: $(particle)_phi_arr := ( $(phi) OTHERS => X"00000000000000000000000000000000" ); END $(particle)_setup;
VHDL
4
ckamtsikis/cmssw
L1TriggerConfig/L1GtConfigProducers/data/VhdlTemplates/Templates/etm_setup.vhd
[ "Apache-2.0" ]
Gramatika 0 $accept: prog $end 1 prog: %empty 2 $@1: %empty 3 prog: prog $@1 line 4 $@2: %empty 5 line: LLAB ':' $@2 line 6 $@3: %empty 7 line: LNAME ':' $@3 line 8 | ';' 9 | inst ';' 10 | error ';' 11 inst: LNAME '=' expr 12 | LVAR '=' expr 13 | LTYPE0 nonnon 14 | LTYPE1 nonrem 15 | LTYPE2 rimnon 16 | LTYPE3 rimrem 17 | LTYPE4 remrim 18 | LTYPER nonrel 19 | LTYPED spec1 20 | LTYPET spec2 21 | LTYPEC spec3 22 | LTYPEN spec4 23 | LTYPES spec5 24 | LTYPEM spec6 25 | LTYPEI spec7 26 | LTYPEXC spec8 27 | LTYPEX spec9 28 | LTYPERT spec10 29 | LTYPEG spec11 30 | LTYPEPC spec12 31 | LTYPEF spec13 32 nonnon: %empty 33 | ',' 34 rimrem: rim ',' rem 35 remrim: rem ',' rim 36 rimnon: rim ',' 37 | rim 38 nonrem: ',' rem 39 | rem 40 nonrel: ',' rel 41 | rel 42 | imm ',' rel 43 spec1: nam '/' con ',' imm 44 spec2: mem ',' imm2 45 | mem ',' con ',' imm2 46 spec3: ',' rom 47 | rom 48 spec4: nonnon 49 | nonrem 50 spec5: rim ',' rem 51 | rim ',' rem ':' LLREG 52 spec6: rim ',' rem 53 | rim ',' rem ':' LSREG 54 spec7: rim ',' 55 | rim 56 | rim ',' rem 57 spec8: reg ',' rem ',' con 58 spec9: imm ',' rem ',' reg 59 spec10: %empty 60 | imm 61 spec11: mem ',' imm 62 | mem ',' con ',' imm 63 spec12: rim ',' rim 64 spec13: rim ',' rim 65 rem: reg 66 | mem 67 rom: rel 68 | nmem 69 | '*' reg 70 | '*' omem 71 | reg 72 | omem 73 rim: rem 74 | imm 75 rel: con '(' LPC ')' 76 | LNAME offset 77 | LLAB offset 78 reg: LBREG 79 | LFREG 80 | LLREG 81 | LMREG 82 | LSP 83 | LSREG 84 | LXREG 85 imm2: '$' con2 86 imm: '$' con 87 | '$' nam 88 | '$' LSCONST 89 | '$' LFCONST 90 | '$' '(' LFCONST ')' 91 | '$' '(' '-' LFCONST ')' 92 | '$' '-' LFCONST 93 mem: omem 94 | nmem 95 omem: con 96 | con '(' LLREG ')' 97 | con '(' LSP ')' 98 | con '(' LSREG ')' 99 | con '(' LLREG '*' con ')' 100 | con '(' LLREG ')' '(' LLREG '*' con ')' 101 | con '(' LLREG ')' '(' LSREG '*' con ')' 102 | '(' LLREG ')' 103 | '(' LSP ')' 104 | '(' LLREG '*' con ')' 105 | '(' LLREG ')' '(' LLREG '*' con ')' 106 nmem: nam 107 | nam '(' LLREG '*' con ')' 108 nam: LNAME offset '(' pointer ')' 109 | LNAME '<' '>' offset '(' LSB ')' 110 offset: %empty 111 | '+' con 112 | '-' con 113 pointer: LSB 114 | LSP 115 | LFP 116 con: LCONST 117 | LVAR 118 | '-' con 119 | '+' con 120 | '~' con 121 | '(' expr ')' 122 con2: LCONST 123 | '-' LCONST 124 | LCONST '-' LCONST 125 | '-' LCONST '-' LCONST 126 expr: con 127 | expr '+' expr 128 | expr '-' expr 129 | expr '*' expr 130 | expr '/' expr 131 | expr '%' expr 132 | expr '<' '<' expr 133 | expr '>' '>' expr 134 | expr '&' expr 135 | expr '^' expr 136 | expr '|' expr TerminΓ‘ly s pravidly, ve kterΓ½ch se objevujΓ­ $end (0) 0 '$' (36) 85 86 87 88 89 90 91 92 '%' (37) 131 '&' (38) 134 '(' (40) 75 90 91 96 97 98 99 100 101 102 103 104 105 107 108 109 121 ')' (41) 75 90 91 96 97 98 99 100 101 102 103 104 105 107 108 109 121 '*' (42) 69 70 99 100 101 104 105 107 129 '+' (43) 111 119 127 ',' (44) 33 34 35 36 38 40 42 43 44 45 46 50 51 52 53 54 56 57 58 61 62 63 64 '-' (45) 91 92 112 118 123 124 125 128 '/' (47) 43 130 ':' (58) 5 7 51 53 ';' (59) 8 9 10 '<' (60) 109 132 '=' (61) 11 12 '>' (62) 109 133 '^' (94) 135 '|' (124) 136 '~' (126) 120 error (256) 10 LTYPE0 (258) 13 LTYPE1 (259) 14 LTYPE2 (260) 15 LTYPE3 (261) 16 LTYPE4 (262) 17 LTYPEC (263) 21 LTYPED (264) 19 LTYPEN (265) 22 LTYPER (266) 18 LTYPET (267) 20 LTYPEG (268) 29 LTYPEPC (269) 30 LTYPES (270) 23 LTYPEM (271) 24 LTYPEI (272) 25 LTYPEXC (273) 26 LTYPEX (274) 27 LTYPERT (275) 28 LTYPEF (276) 31 LCONST (277) 116 122 123 124 125 LFP (278) 115 LPC (279) 75 LSB (280) 109 113 LBREG (281) 78 LLREG (282) 51 80 96 99 100 101 102 104 105 107 LSREG (283) 53 83 98 101 LFREG (284) 79 LMREG (285) 81 LXREG (286) 84 LFCONST (287) 89 90 91 92 LSCONST (288) 88 LSP (289) 82 97 103 114 LNAME (290) 7 11 76 108 109 LLAB (291) 5 77 LVAR (292) 12 117 NeterminΓ‘ly s pravidly, ve kterΓ½ch se objevujΓ­ $accept (56) vlevo: 0 prog (57) vlevo: 1 3, vpravo: 0 3 $@1 (58) vlevo: 2, vpravo: 3 line (59) vlevo: 5 7 8 9 10, vpravo: 3 5 7 $@2 (60) vlevo: 4, vpravo: 5 $@3 (61) vlevo: 6, vpravo: 7 inst (62) vlevo: 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31, vpravo: 9 nonnon (63) vlevo: 32 33, vpravo: 13 48 rimrem (64) vlevo: 34, vpravo: 16 remrim (65) vlevo: 35, vpravo: 17 rimnon (66) vlevo: 36 37, vpravo: 15 nonrem (67) vlevo: 38 39, vpravo: 14 49 nonrel (68) vlevo: 40 41 42, vpravo: 18 spec1 (69) vlevo: 43, vpravo: 19 spec2 (70) vlevo: 44 45, vpravo: 20 spec3 (71) vlevo: 46 47, vpravo: 21 spec4 (72) vlevo: 48 49, vpravo: 22 spec5 (73) vlevo: 50 51, vpravo: 23 spec6 (74) vlevo: 52 53, vpravo: 24 spec7 (75) vlevo: 54 55 56, vpravo: 25 spec8 (76) vlevo: 57, vpravo: 26 spec9 (77) vlevo: 58, vpravo: 27 spec10 (78) vlevo: 59 60, vpravo: 28 spec11 (79) vlevo: 61 62, vpravo: 29 spec12 (80) vlevo: 63, vpravo: 30 spec13 (81) vlevo: 64, vpravo: 31 rem (82) vlevo: 65 66, vpravo: 34 35 38 39 50 51 52 53 56 57 58 73 rom (83) vlevo: 67 68 69 70 71 72, vpravo: 46 47 rim (84) vlevo: 73 74, vpravo: 34 35 36 37 50 51 52 53 54 55 56 63 64 rel (85) vlevo: 75 76 77, vpravo: 40 41 42 67 reg (86) vlevo: 78 79 80 81 82 83 84, vpravo: 57 58 65 69 71 imm2 (87) vlevo: 85, vpravo: 44 45 imm (88) vlevo: 86 87 88 89 90 91 92, vpravo: 42 43 58 60 61 62 74 mem (89) vlevo: 93 94, vpravo: 44 45 61 62 66 omem (90) vlevo: 95 96 97 98 99 100 101 102 103 104 105, vpravo: 70 72 93 nmem (91) vlevo: 106 107, vpravo: 68 94 nam (92) vlevo: 108 109, vpravo: 43 87 106 107 offset (93) vlevo: 110 111 112, vpravo: 76 77 108 109 pointer (94) vlevo: 113 114 115, vpravo: 108 con (95) vlevo: 116 117 118 119 120 121, vpravo: 43 45 57 62 75 86 95 96 97 98 99 100 101 104 105 107 111 112 118 119 120 126 con2 (96) vlevo: 122 123 124 125, vpravo: 85 expr (97) vlevo: 126 127 128 129 130 131 132 133 134 135 136, vpravo: 11 12 121 127 128 129 130 131 132 133 134 135 136 State 0 0 $accept: . prog $end 1 prog: . %empty 3 | . prog $@1 line $vΓ½chozΓ­ reduce using rule 1 (prog) prog pΕ™ejΓ­t do stavu 1 State 1 0 $accept: prog . $end 2 $@1: . %empty [error, LTYPE0, LTYPE1, LTYPE2, LTYPE3, LTYPE4, LTYPEC, LTYPED, LTYPEN, LTYPER, LTYPET, LTYPEG, LTYPEPC, LTYPES, LTYPEM, LTYPEI, LTYPEXC, LTYPEX, LTYPERT, LTYPEF, LNAME, LLAB, LVAR, ';'] 3 prog: prog . $@1 line $end posunout a pΕ™ejΓ­t do stavu 2 $vΓ½chozΓ­ reduce using rule 2 ($@1) $@1 pΕ™ejΓ­t do stavu 3 State 2 0 $accept: prog $end . $vΓ½chozΓ­ pΕ™ijmout State 3 3 prog: prog $@1 . line 5 line: . LLAB ':' $@2 line 7 | . LNAME ':' $@3 line 8 | . ';' 9 | . inst ';' 10 | . error ';' 11 inst: . LNAME '=' expr 12 | . LVAR '=' expr 13 | . LTYPE0 nonnon 14 | . LTYPE1 nonrem 15 | . LTYPE2 rimnon 16 | . LTYPE3 rimrem 17 | . LTYPE4 remrim 18 | . LTYPER nonrel 19 | . LTYPED spec1 20 | . LTYPET spec2 21 | . LTYPEC spec3 22 | . LTYPEN spec4 23 | . LTYPES spec5 24 | . LTYPEM spec6 25 | . LTYPEI spec7 26 | . LTYPEXC spec8 27 | . LTYPEX spec9 28 | . LTYPERT spec10 29 | . LTYPEG spec11 30 | . LTYPEPC spec12 31 | . LTYPEF spec13 error posunout a pΕ™ejΓ­t do stavu 4 LTYPE0 posunout a pΕ™ejΓ­t do stavu 5 LTYPE1 posunout a pΕ™ejΓ­t do stavu 6 LTYPE2 posunout a pΕ™ejΓ­t do stavu 7 LTYPE3 posunout a pΕ™ejΓ­t do stavu 8 LTYPE4 posunout a pΕ™ejΓ­t do stavu 9 LTYPEC posunout a pΕ™ejΓ­t do stavu 10 LTYPED posunout a pΕ™ejΓ­t do stavu 11 LTYPEN posunout a pΕ™ejΓ­t do stavu 12 LTYPER posunout a pΕ™ejΓ­t do stavu 13 LTYPET posunout a pΕ™ejΓ­t do stavu 14 LTYPEG posunout a pΕ™ejΓ­t do stavu 15 LTYPEPC posunout a pΕ™ejΓ­t do stavu 16 LTYPES posunout a pΕ™ejΓ­t do stavu 17 LTYPEM posunout a pΕ™ejΓ­t do stavu 18 LTYPEI posunout a pΕ™ejΓ­t do stavu 19 LTYPEXC posunout a pΕ™ejΓ­t do stavu 20 LTYPEX posunout a pΕ™ejΓ­t do stavu 21 LTYPERT posunout a pΕ™ejΓ­t do stavu 22 LTYPEF posunout a pΕ™ejΓ­t do stavu 23 LNAME posunout a pΕ™ejΓ­t do stavu 24 LLAB posunout a pΕ™ejΓ­t do stavu 25 LVAR posunout a pΕ™ejΓ­t do stavu 26 ';' posunout a pΕ™ejΓ­t do stavu 27 line pΕ™ejΓ­t do stavu 28 inst pΕ™ejΓ­t do stavu 29 State 4 10 line: error . ';' ';' posunout a pΕ™ejΓ­t do stavu 30 State 5 13 inst: LTYPE0 . nonnon 32 nonnon: . %empty [';'] 33 | . ',' ',' posunout a pΕ™ejΓ­t do stavu 31 $vΓ½chozΓ­ reduce using rule 32 (nonnon) nonnon pΕ™ejΓ­t do stavu 32 State 6 14 inst: LTYPE1 . nonrem 38 nonrem: . ',' rem 39 | . rem 65 rem: . reg 66 | . mem 78 reg: . LBREG 79 | . LFREG 80 | . LLREG 81 | . LMREG 82 | . LSP 83 | . LSREG 84 | . LXREG 93 mem: . omem 94 | . nmem 95 omem: . con 96 | . con '(' LLREG ')' 97 | . con '(' LSP ')' 98 | . con '(' LSREG ')' 99 | . con '(' LLREG '*' con ')' 100 | . con '(' LLREG ')' '(' LLREG '*' con ')' 101 | . con '(' LLREG ')' '(' LSREG '*' con ')' 102 | . '(' LLREG ')' 103 | . '(' LSP ')' 104 | . '(' LLREG '*' con ')' 105 | . '(' LLREG ')' '(' LLREG '*' con ')' 106 nmem: . nam 107 | . nam '(' LLREG '*' con ')' 108 nam: . LNAME offset '(' pointer ')' 109 | . LNAME '<' '>' offset '(' LSB ')' 116 con: . LCONST 117 | . LVAR 118 | . '-' con 119 | . '+' con 120 | . '~' con 121 | . '(' expr ')' '+' posunout a pΕ™ejΓ­t do stavu 33 '-' posunout a pΕ™ejΓ­t do stavu 34 LCONST posunout a pΕ™ejΓ­t do stavu 35 LBREG posunout a pΕ™ejΓ­t do stavu 36 LLREG posunout a pΕ™ejΓ­t do stavu 37 LSREG posunout a pΕ™ejΓ­t do stavu 38 LFREG posunout a pΕ™ejΓ­t do stavu 39 LMREG posunout a pΕ™ejΓ­t do stavu 40 LXREG posunout a pΕ™ejΓ­t do stavu 41 LSP posunout a pΕ™ejΓ­t do stavu 42 LNAME posunout a pΕ™ejΓ­t do stavu 43 LVAR posunout a pΕ™ejΓ­t do stavu 44 ',' posunout a pΕ™ejΓ­t do stavu 45 '(' posunout a pΕ™ejΓ­t do stavu 46 '~' posunout a pΕ™ejΓ­t do stavu 47 nonrem pΕ™ejΓ­t do stavu 48 rem pΕ™ejΓ­t do stavu 49 reg pΕ™ejΓ­t do stavu 50 mem pΕ™ejΓ­t do stavu 51 omem pΕ™ejΓ­t do stavu 52 nmem pΕ™ejΓ­t do stavu 53 nam pΕ™ejΓ­t do stavu 54 con pΕ™ejΓ­t do stavu 55 State 7 15 inst: LTYPE2 . rimnon 36 rimnon: . rim ',' 37 | . rim 65 rem: . reg 66 | . mem 73 rim: . rem 74 | . imm 78 reg: . LBREG 79 | . LFREG 80 | . LLREG 81 | . LMREG 82 | . LSP 83 | . LSREG 84 | . LXREG 86 imm: . '$' con 87 | . '$' nam 88 | . '$' LSCONST 89 | . '$' LFCONST 90 | . '$' '(' LFCONST ')' 91 | . '$' '(' '-' LFCONST ')' 92 | . '$' '-' LFCONST 93 mem: . omem 94 | . nmem 95 omem: . con 96 | . con '(' LLREG ')' 97 | . con '(' LSP ')' 98 | . con '(' LSREG ')' 99 | . con '(' LLREG '*' con ')' 100 | . con '(' LLREG ')' '(' LLREG '*' con ')' 101 | . con '(' LLREG ')' '(' LSREG '*' con ')' 102 | . '(' LLREG ')' 103 | . '(' LSP ')' 104 | . '(' LLREG '*' con ')' 105 | . '(' LLREG ')' '(' LLREG '*' con ')' 106 nmem: . nam 107 | . nam '(' LLREG '*' con ')' 108 nam: . LNAME offset '(' pointer ')' 109 | . LNAME '<' '>' offset '(' LSB ')' 116 con: . LCONST 117 | . LVAR 118 | . '-' con 119 | . '+' con 120 | . '~' con 121 | . '(' expr ')' '+' posunout a pΕ™ejΓ­t do stavu 33 '-' posunout a pΕ™ejΓ­t do stavu 34 LCONST posunout a pΕ™ejΓ­t do stavu 35 LBREG posunout a pΕ™ejΓ­t do stavu 36 LLREG posunout a pΕ™ejΓ­t do stavu 37 LSREG posunout a pΕ™ejΓ­t do stavu 38 LFREG posunout a pΕ™ejΓ­t do stavu 39 LMREG posunout a pΕ™ejΓ­t do stavu 40 LXREG posunout a pΕ™ejΓ­t do stavu 41 LSP posunout a pΕ™ejΓ­t do stavu 42 LNAME posunout a pΕ™ejΓ­t do stavu 43 LVAR posunout a pΕ™ejΓ­t do stavu 44 '(' posunout a pΕ™ejΓ­t do stavu 46 '$' posunout a pΕ™ejΓ­t do stavu 56 '~' posunout a pΕ™ejΓ­t do stavu 47 rimnon pΕ™ejΓ­t do stavu 57 rem pΕ™ejΓ­t do stavu 58 rim pΕ™ejΓ­t do stavu 59 reg pΕ™ejΓ­t do stavu 50 imm pΕ™ejΓ­t do stavu 60 mem pΕ™ejΓ­t do stavu 51 omem pΕ™ejΓ­t do stavu 52 nmem pΕ™ejΓ­t do stavu 53 nam pΕ™ejΓ­t do stavu 54 con pΕ™ejΓ­t do stavu 55 State 8 16 inst: LTYPE3 . rimrem 34 rimrem: . rim ',' rem 65 rem: . reg 66 | . mem 73 rim: . rem 74 | . imm 78 reg: . LBREG 79 | . LFREG 80 | . LLREG 81 | . LMREG 82 | . LSP 83 | . LSREG 84 | . LXREG 86 imm: . '$' con 87 | . '$' nam 88 | . '$' LSCONST 89 | . '$' LFCONST 90 | . '$' '(' LFCONST ')' 91 | . '$' '(' '-' LFCONST ')' 92 | . '$' '-' LFCONST 93 mem: . omem 94 | . nmem 95 omem: . con 96 | . con '(' LLREG ')' 97 | . con '(' LSP ')' 98 | . con '(' LSREG ')' 99 | . con '(' LLREG '*' con ')' 100 | . con '(' LLREG ')' '(' LLREG '*' con ')' 101 | . con '(' LLREG ')' '(' LSREG '*' con ')' 102 | . '(' LLREG ')' 103 | . '(' LSP ')' 104 | . '(' LLREG '*' con ')' 105 | . '(' LLREG ')' '(' LLREG '*' con ')' 106 nmem: . nam 107 | . nam '(' LLREG '*' con ')' 108 nam: . LNAME offset '(' pointer ')' 109 | . LNAME '<' '>' offset '(' LSB ')' 116 con: . LCONST 117 | . LVAR 118 | . '-' con 119 | . '+' con 120 | . '~' con 121 | . '(' expr ')' '+' posunout a pΕ™ejΓ­t do stavu 33 '-' posunout a pΕ™ejΓ­t do stavu 34 LCONST posunout a pΕ™ejΓ­t do stavu 35 LBREG posunout a pΕ™ejΓ­t do stavu 36 LLREG posunout a pΕ™ejΓ­t do stavu 37 LSREG posunout a pΕ™ejΓ­t do stavu 38 LFREG posunout a pΕ™ejΓ­t do stavu 39 LMREG posunout a pΕ™ejΓ­t do stavu 40 LXREG posunout a pΕ™ejΓ­t do stavu 41 LSP posunout a pΕ™ejΓ­t do stavu 42 LNAME posunout a pΕ™ejΓ­t do stavu 43 LVAR posunout a pΕ™ejΓ­t do stavu 44 '(' posunout a pΕ™ejΓ­t do stavu 46 '$' posunout a pΕ™ejΓ­t do stavu 56 '~' posunout a pΕ™ejΓ­t do stavu 47 rimrem pΕ™ejΓ­t do stavu 61 rem pΕ™ejΓ­t do stavu 58 rim pΕ™ejΓ­t do stavu 62 reg pΕ™ejΓ­t do stavu 50 imm pΕ™ejΓ­t do stavu 60 mem pΕ™ejΓ­t do stavu 51 omem pΕ™ejΓ­t do stavu 52 nmem pΕ™ejΓ­t do stavu 53 nam pΕ™ejΓ­t do stavu 54 con pΕ™ejΓ­t do stavu 55 State 9 17 inst: LTYPE4 . remrim 35 remrim: . rem ',' rim 65 rem: . reg 66 | . mem 78 reg: . LBREG 79 | . LFREG 80 | . LLREG 81 | . LMREG 82 | . LSP 83 | . LSREG 84 | . LXREG 93 mem: . omem 94 | . nmem 95 omem: . con 96 | . con '(' LLREG ')' 97 | . con '(' LSP ')' 98 | . con '(' LSREG ')' 99 | . con '(' LLREG '*' con ')' 100 | . con '(' LLREG ')' '(' LLREG '*' con ')' 101 | . con '(' LLREG ')' '(' LSREG '*' con ')' 102 | . '(' LLREG ')' 103 | . '(' LSP ')' 104 | . '(' LLREG '*' con ')' 105 | . '(' LLREG ')' '(' LLREG '*' con ')' 106 nmem: . nam 107 | . nam '(' LLREG '*' con ')' 108 nam: . LNAME offset '(' pointer ')' 109 | . LNAME '<' '>' offset '(' LSB ')' 116 con: . LCONST 117 | . LVAR 118 | . '-' con 119 | . '+' con 120 | . '~' con 121 | . '(' expr ')' '+' posunout a pΕ™ejΓ­t do stavu 33 '-' posunout a pΕ™ejΓ­t do stavu 34 LCONST posunout a pΕ™ejΓ­t do stavu 35 LBREG posunout a pΕ™ejΓ­t do stavu 36 LLREG posunout a pΕ™ejΓ­t do stavu 37 LSREG posunout a pΕ™ejΓ­t do stavu 38 LFREG posunout a pΕ™ejΓ­t do stavu 39 LMREG posunout a pΕ™ejΓ­t do stavu 40 LXREG posunout a pΕ™ejΓ­t do stavu 41 LSP posunout a pΕ™ejΓ­t do stavu 42 LNAME posunout a pΕ™ejΓ­t do stavu 43 LVAR posunout a pΕ™ejΓ­t do stavu 44 '(' posunout a pΕ™ejΓ­t do stavu 46 '~' posunout a pΕ™ejΓ­t do stavu 47 remrim pΕ™ejΓ­t do stavu 63 rem pΕ™ejΓ­t do stavu 64 reg pΕ™ejΓ­t do stavu 50 mem pΕ™ejΓ­t do stavu 51 omem pΕ™ejΓ­t do stavu 52 nmem pΕ™ejΓ­t do stavu 53 nam pΕ™ejΓ­t do stavu 54 con pΕ™ejΓ­t do stavu 55 State 10 21 inst: LTYPEC . spec3 46 spec3: . ',' rom 47 | . rom 67 rom: . rel 68 | . nmem 69 | . '*' reg 70 | . '*' omem 71 | . reg 72 | . omem 75 rel: . con '(' LPC ')' 76 | . LNAME offset 77 | . LLAB offset 78 reg: . LBREG 79 | . LFREG 80 | . LLREG 81 | . LMREG 82 | . LSP 83 | . LSREG 84 | . LXREG 95 omem: . con 96 | . con '(' LLREG ')' 97 | . con '(' LSP ')' 98 | . con '(' LSREG ')' 99 | . con '(' LLREG '*' con ')' 100 | . con '(' LLREG ')' '(' LLREG '*' con ')' 101 | . con '(' LLREG ')' '(' LSREG '*' con ')' 102 | . '(' LLREG ')' 103 | . '(' LSP ')' 104 | . '(' LLREG '*' con ')' 105 | . '(' LLREG ')' '(' LLREG '*' con ')' 106 nmem: . nam 107 | . nam '(' LLREG '*' con ')' 108 nam: . LNAME offset '(' pointer ')' 109 | . LNAME '<' '>' offset '(' LSB ')' 116 con: . LCONST 117 | . LVAR 118 | . '-' con 119 | . '+' con 120 | . '~' con 121 | . '(' expr ')' '+' posunout a pΕ™ejΓ­t do stavu 33 '-' posunout a pΕ™ejΓ­t do stavu 34 '*' posunout a pΕ™ejΓ­t do stavu 65 LCONST posunout a pΕ™ejΓ­t do stavu 35 LBREG posunout a pΕ™ejΓ­t do stavu 36 LLREG posunout a pΕ™ejΓ­t do stavu 37 LSREG posunout a pΕ™ejΓ­t do stavu 38 LFREG posunout a pΕ™ejΓ­t do stavu 39 LMREG posunout a pΕ™ejΓ­t do stavu 40 LXREG posunout a pΕ™ejΓ­t do stavu 41 LSP posunout a pΕ™ejΓ­t do stavu 42 LNAME posunout a pΕ™ejΓ­t do stavu 66 LLAB posunout a pΕ™ejΓ­t do stavu 67 LVAR posunout a pΕ™ejΓ­t do stavu 44 ',' posunout a pΕ™ejΓ­t do stavu 68 '(' posunout a pΕ™ejΓ­t do stavu 46 '~' posunout a pΕ™ejΓ­t do stavu 47 spec3 pΕ™ejΓ­t do stavu 69 rom pΕ™ejΓ­t do stavu 70 rel pΕ™ejΓ­t do stavu 71 reg pΕ™ejΓ­t do stavu 72 omem pΕ™ejΓ­t do stavu 73 nmem pΕ™ejΓ­t do stavu 74 nam pΕ™ejΓ­t do stavu 54 con pΕ™ejΓ­t do stavu 75 State 11 19 inst: LTYPED . spec1 43 spec1: . nam '/' con ',' imm 108 nam: . LNAME offset '(' pointer ')' 109 | . LNAME '<' '>' offset '(' LSB ')' LNAME posunout a pΕ™ejΓ­t do stavu 43 spec1 pΕ™ejΓ­t do stavu 76 nam pΕ™ejΓ­t do stavu 77 State 12 22 inst: LTYPEN . spec4 32 nonnon: . %empty [';'] 33 | . ',' 38 nonrem: . ',' rem 39 | . rem 48 spec4: . nonnon 49 | . nonrem 65 rem: . reg 66 | . mem 78 reg: . LBREG 79 | . LFREG 80 | . LLREG 81 | . LMREG 82 | . LSP 83 | . LSREG 84 | . LXREG 93 mem: . omem 94 | . nmem 95 omem: . con 96 | . con '(' LLREG ')' 97 | . con '(' LSP ')' 98 | . con '(' LSREG ')' 99 | . con '(' LLREG '*' con ')' 100 | . con '(' LLREG ')' '(' LLREG '*' con ')' 101 | . con '(' LLREG ')' '(' LSREG '*' con ')' 102 | . '(' LLREG ')' 103 | . '(' LSP ')' 104 | . '(' LLREG '*' con ')' 105 | . '(' LLREG ')' '(' LLREG '*' con ')' 106 nmem: . nam 107 | . nam '(' LLREG '*' con ')' 108 nam: . LNAME offset '(' pointer ')' 109 | . LNAME '<' '>' offset '(' LSB ')' 116 con: . LCONST 117 | . LVAR 118 | . '-' con 119 | . '+' con 120 | . '~' con 121 | . '(' expr ')' '+' posunout a pΕ™ejΓ­t do stavu 33 '-' posunout a pΕ™ejΓ­t do stavu 34 LCONST posunout a pΕ™ejΓ­t do stavu 35 LBREG posunout a pΕ™ejΓ­t do stavu 36 LLREG posunout a pΕ™ejΓ­t do stavu 37 LSREG posunout a pΕ™ejΓ­t do stavu 38 LFREG posunout a pΕ™ejΓ­t do stavu 39 LMREG posunout a pΕ™ejΓ­t do stavu 40 LXREG posunout a pΕ™ejΓ­t do stavu 41 LSP posunout a pΕ™ejΓ­t do stavu 42 LNAME posunout a pΕ™ejΓ­t do stavu 43 LVAR posunout a pΕ™ejΓ­t do stavu 44 ',' posunout a pΕ™ejΓ­t do stavu 78 '(' posunout a pΕ™ejΓ­t do stavu 46 '~' posunout a pΕ™ejΓ­t do stavu 47 $vΓ½chozΓ­ reduce using rule 32 (nonnon) nonnon pΕ™ejΓ­t do stavu 79 nonrem pΕ™ejΓ­t do stavu 80 spec4 pΕ™ejΓ­t do stavu 81 rem pΕ™ejΓ­t do stavu 49 reg pΕ™ejΓ­t do stavu 50 mem pΕ™ejΓ­t do stavu 51 omem pΕ™ejΓ­t do stavu 52 nmem pΕ™ejΓ­t do stavu 53 nam pΕ™ejΓ­t do stavu 54 con pΕ™ejΓ­t do stavu 55 State 13 18 inst: LTYPER . nonrel 40 nonrel: . ',' rel 41 | . rel 42 | . imm ',' rel 75 rel: . con '(' LPC ')' 76 | . LNAME offset 77 | . LLAB offset 86 imm: . '$' con 87 | . '$' nam 88 | . '$' LSCONST 89 | . '$' LFCONST 90 | . '$' '(' LFCONST ')' 91 | . '$' '(' '-' LFCONST ')' 92 | . '$' '-' LFCONST 116 con: . LCONST 117 | . LVAR 118 | . '-' con 119 | . '+' con 120 | . '~' con 121 | . '(' expr ')' '+' posunout a pΕ™ejΓ­t do stavu 33 '-' posunout a pΕ™ejΓ­t do stavu 34 LCONST posunout a pΕ™ejΓ­t do stavu 35 LNAME posunout a pΕ™ejΓ­t do stavu 82 LLAB posunout a pΕ™ejΓ­t do stavu 67 LVAR posunout a pΕ™ejΓ­t do stavu 44 ',' posunout a pΕ™ejΓ­t do stavu 83 '(' posunout a pΕ™ejΓ­t do stavu 84 '$' posunout a pΕ™ejΓ­t do stavu 56 '~' posunout a pΕ™ejΓ­t do stavu 47 nonrel pΕ™ejΓ­t do stavu 85 rel pΕ™ejΓ­t do stavu 86 imm pΕ™ejΓ­t do stavu 87 con pΕ™ejΓ­t do stavu 88 State 14 20 inst: LTYPET . spec2 44 spec2: . mem ',' imm2 45 | . mem ',' con ',' imm2 93 mem: . omem 94 | . nmem 95 omem: . con 96 | . con '(' LLREG ')' 97 | . con '(' LSP ')' 98 | . con '(' LSREG ')' 99 | . con '(' LLREG '*' con ')' 100 | . con '(' LLREG ')' '(' LLREG '*' con ')' 101 | . con '(' LLREG ')' '(' LSREG '*' con ')' 102 | . '(' LLREG ')' 103 | . '(' LSP ')' 104 | . '(' LLREG '*' con ')' 105 | . '(' LLREG ')' '(' LLREG '*' con ')' 106 nmem: . nam 107 | . nam '(' LLREG '*' con ')' 108 nam: . LNAME offset '(' pointer ')' 109 | . LNAME '<' '>' offset '(' LSB ')' 116 con: . LCONST 117 | . LVAR 118 | . '-' con 119 | . '+' con 120 | . '~' con 121 | . '(' expr ')' '+' posunout a pΕ™ejΓ­t do stavu 33 '-' posunout a pΕ™ejΓ­t do stavu 34 LCONST posunout a pΕ™ejΓ­t do stavu 35 LNAME posunout a pΕ™ejΓ­t do stavu 43 LVAR posunout a pΕ™ejΓ­t do stavu 44 '(' posunout a pΕ™ejΓ­t do stavu 46 '~' posunout a pΕ™ejΓ­t do stavu 47 spec2 pΕ™ejΓ­t do stavu 89 mem pΕ™ejΓ­t do stavu 90 omem pΕ™ejΓ­t do stavu 52 nmem pΕ™ejΓ­t do stavu 53 nam pΕ™ejΓ­t do stavu 54 con pΕ™ejΓ­t do stavu 55 State 15 29 inst: LTYPEG . spec11 61 spec11: . mem ',' imm 62 | . mem ',' con ',' imm 93 mem: . omem 94 | . nmem 95 omem: . con 96 | . con '(' LLREG ')' 97 | . con '(' LSP ')' 98 | . con '(' LSREG ')' 99 | . con '(' LLREG '*' con ')' 100 | . con '(' LLREG ')' '(' LLREG '*' con ')' 101 | . con '(' LLREG ')' '(' LSREG '*' con ')' 102 | . '(' LLREG ')' 103 | . '(' LSP ')' 104 | . '(' LLREG '*' con ')' 105 | . '(' LLREG ')' '(' LLREG '*' con ')' 106 nmem: . nam 107 | . nam '(' LLREG '*' con ')' 108 nam: . LNAME offset '(' pointer ')' 109 | . LNAME '<' '>' offset '(' LSB ')' 116 con: . LCONST 117 | . LVAR 118 | . '-' con 119 | . '+' con 120 | . '~' con 121 | . '(' expr ')' '+' posunout a pΕ™ejΓ­t do stavu 33 '-' posunout a pΕ™ejΓ­t do stavu 34 LCONST posunout a pΕ™ejΓ­t do stavu 35 LNAME posunout a pΕ™ejΓ­t do stavu 43 LVAR posunout a pΕ™ejΓ­t do stavu 44 '(' posunout a pΕ™ejΓ­t do stavu 46 '~' posunout a pΕ™ejΓ­t do stavu 47 spec11 pΕ™ejΓ­t do stavu 91 mem pΕ™ejΓ­t do stavu 92 omem pΕ™ejΓ­t do stavu 52 nmem pΕ™ejΓ­t do stavu 53 nam pΕ™ejΓ­t do stavu 54 con pΕ™ejΓ­t do stavu 55 State 16 30 inst: LTYPEPC . spec12 63 spec12: . rim ',' rim 65 rem: . reg 66 | . mem 73 rim: . rem 74 | . imm 78 reg: . LBREG 79 | . LFREG 80 | . LLREG 81 | . LMREG 82 | . LSP 83 | . LSREG 84 | . LXREG 86 imm: . '$' con 87 | . '$' nam 88 | . '$' LSCONST 89 | . '$' LFCONST 90 | . '$' '(' LFCONST ')' 91 | . '$' '(' '-' LFCONST ')' 92 | . '$' '-' LFCONST 93 mem: . omem 94 | . nmem 95 omem: . con 96 | . con '(' LLREG ')' 97 | . con '(' LSP ')' 98 | . con '(' LSREG ')' 99 | . con '(' LLREG '*' con ')' 100 | . con '(' LLREG ')' '(' LLREG '*' con ')' 101 | . con '(' LLREG ')' '(' LSREG '*' con ')' 102 | . '(' LLREG ')' 103 | . '(' LSP ')' 104 | . '(' LLREG '*' con ')' 105 | . '(' LLREG ')' '(' LLREG '*' con ')' 106 nmem: . nam 107 | . nam '(' LLREG '*' con ')' 108 nam: . LNAME offset '(' pointer ')' 109 | . LNAME '<' '>' offset '(' LSB ')' 116 con: . LCONST 117 | . LVAR 118 | . '-' con 119 | . '+' con 120 | . '~' con 121 | . '(' expr ')' '+' posunout a pΕ™ejΓ­t do stavu 33 '-' posunout a pΕ™ejΓ­t do stavu 34 LCONST posunout a pΕ™ejΓ­t do stavu 35 LBREG posunout a pΕ™ejΓ­t do stavu 36 LLREG posunout a pΕ™ejΓ­t do stavu 37 LSREG posunout a pΕ™ejΓ­t do stavu 38 LFREG posunout a pΕ™ejΓ­t do stavu 39 LMREG posunout a pΕ™ejΓ­t do stavu 40 LXREG posunout a pΕ™ejΓ­t do stavu 41 LSP posunout a pΕ™ejΓ­t do stavu 42 LNAME posunout a pΕ™ejΓ­t do stavu 43 LVAR posunout a pΕ™ejΓ­t do stavu 44 '(' posunout a pΕ™ejΓ­t do stavu 46 '$' posunout a pΕ™ejΓ­t do stavu 56 '~' posunout a pΕ™ejΓ­t do stavu 47 spec12 pΕ™ejΓ­t do stavu 93 rem pΕ™ejΓ­t do stavu 58 rim pΕ™ejΓ­t do stavu 94 reg pΕ™ejΓ­t do stavu 50 imm pΕ™ejΓ­t do stavu 60 mem pΕ™ejΓ­t do stavu 51 omem pΕ™ejΓ­t do stavu 52 nmem pΕ™ejΓ­t do stavu 53 nam pΕ™ejΓ­t do stavu 54 con pΕ™ejΓ­t do stavu 55 State 17 23 inst: LTYPES . spec5 50 spec5: . rim ',' rem 51 | . rim ',' rem ':' LLREG 65 rem: . reg 66 | . mem 73 rim: . rem 74 | . imm 78 reg: . LBREG 79 | . LFREG 80 | . LLREG 81 | . LMREG 82 | . LSP 83 | . LSREG 84 | . LXREG 86 imm: . '$' con 87 | . '$' nam 88 | . '$' LSCONST 89 | . '$' LFCONST 90 | . '$' '(' LFCONST ')' 91 | . '$' '(' '-' LFCONST ')' 92 | . '$' '-' LFCONST 93 mem: . omem 94 | . nmem 95 omem: . con 96 | . con '(' LLREG ')' 97 | . con '(' LSP ')' 98 | . con '(' LSREG ')' 99 | . con '(' LLREG '*' con ')' 100 | . con '(' LLREG ')' '(' LLREG '*' con ')' 101 | . con '(' LLREG ')' '(' LSREG '*' con ')' 102 | . '(' LLREG ')' 103 | . '(' LSP ')' 104 | . '(' LLREG '*' con ')' 105 | . '(' LLREG ')' '(' LLREG '*' con ')' 106 nmem: . nam 107 | . nam '(' LLREG '*' con ')' 108 nam: . LNAME offset '(' pointer ')' 109 | . LNAME '<' '>' offset '(' LSB ')' 116 con: . LCONST 117 | . LVAR 118 | . '-' con 119 | . '+' con 120 | . '~' con 121 | . '(' expr ')' '+' posunout a pΕ™ejΓ­t do stavu 33 '-' posunout a pΕ™ejΓ­t do stavu 34 LCONST posunout a pΕ™ejΓ­t do stavu 35 LBREG posunout a pΕ™ejΓ­t do stavu 36 LLREG posunout a pΕ™ejΓ­t do stavu 37 LSREG posunout a pΕ™ejΓ­t do stavu 38 LFREG posunout a pΕ™ejΓ­t do stavu 39 LMREG posunout a pΕ™ejΓ­t do stavu 40 LXREG posunout a pΕ™ejΓ­t do stavu 41 LSP posunout a pΕ™ejΓ­t do stavu 42 LNAME posunout a pΕ™ejΓ­t do stavu 43 LVAR posunout a pΕ™ejΓ­t do stavu 44 '(' posunout a pΕ™ejΓ­t do stavu 46 '$' posunout a pΕ™ejΓ­t do stavu 56 '~' posunout a pΕ™ejΓ­t do stavu 47 spec5 pΕ™ejΓ­t do stavu 95 rem pΕ™ejΓ­t do stavu 58 rim pΕ™ejΓ­t do stavu 96 reg pΕ™ejΓ­t do stavu 50 imm pΕ™ejΓ­t do stavu 60 mem pΕ™ejΓ­t do stavu 51 omem pΕ™ejΓ­t do stavu 52 nmem pΕ™ejΓ­t do stavu 53 nam pΕ™ejΓ­t do stavu 54 con pΕ™ejΓ­t do stavu 55 State 18 24 inst: LTYPEM . spec6 52 spec6: . rim ',' rem 53 | . rim ',' rem ':' LSREG 65 rem: . reg 66 | . mem 73 rim: . rem 74 | . imm 78 reg: . LBREG 79 | . LFREG 80 | . LLREG 81 | . LMREG 82 | . LSP 83 | . LSREG 84 | . LXREG 86 imm: . '$' con 87 | . '$' nam 88 | . '$' LSCONST 89 | . '$' LFCONST 90 | . '$' '(' LFCONST ')' 91 | . '$' '(' '-' LFCONST ')' 92 | . '$' '-' LFCONST 93 mem: . omem 94 | . nmem 95 omem: . con 96 | . con '(' LLREG ')' 97 | . con '(' LSP ')' 98 | . con '(' LSREG ')' 99 | . con '(' LLREG '*' con ')' 100 | . con '(' LLREG ')' '(' LLREG '*' con ')' 101 | . con '(' LLREG ')' '(' LSREG '*' con ')' 102 | . '(' LLREG ')' 103 | . '(' LSP ')' 104 | . '(' LLREG '*' con ')' 105 | . '(' LLREG ')' '(' LLREG '*' con ')' 106 nmem: . nam 107 | . nam '(' LLREG '*' con ')' 108 nam: . LNAME offset '(' pointer ')' 109 | . LNAME '<' '>' offset '(' LSB ')' 116 con: . LCONST 117 | . LVAR 118 | . '-' con 119 | . '+' con 120 | . '~' con 121 | . '(' expr ')' '+' posunout a pΕ™ejΓ­t do stavu 33 '-' posunout a pΕ™ejΓ­t do stavu 34 LCONST posunout a pΕ™ejΓ­t do stavu 35 LBREG posunout a pΕ™ejΓ­t do stavu 36 LLREG posunout a pΕ™ejΓ­t do stavu 37 LSREG posunout a pΕ™ejΓ­t do stavu 38 LFREG posunout a pΕ™ejΓ­t do stavu 39 LMREG posunout a pΕ™ejΓ­t do stavu 40 LXREG posunout a pΕ™ejΓ­t do stavu 41 LSP posunout a pΕ™ejΓ­t do stavu 42 LNAME posunout a pΕ™ejΓ­t do stavu 43 LVAR posunout a pΕ™ejΓ­t do stavu 44 '(' posunout a pΕ™ejΓ­t do stavu 46 '$' posunout a pΕ™ejΓ­t do stavu 56 '~' posunout a pΕ™ejΓ­t do stavu 47 spec6 pΕ™ejΓ­t do stavu 97 rem pΕ™ejΓ­t do stavu 58 rim pΕ™ejΓ­t do stavu 98 reg pΕ™ejΓ­t do stavu 50 imm pΕ™ejΓ­t do stavu 60 mem pΕ™ejΓ­t do stavu 51 omem pΕ™ejΓ­t do stavu 52 nmem pΕ™ejΓ­t do stavu 53 nam pΕ™ejΓ­t do stavu 54 con pΕ™ejΓ­t do stavu 55 State 19 25 inst: LTYPEI . spec7 54 spec7: . rim ',' 55 | . rim 56 | . rim ',' rem 65 rem: . reg 66 | . mem 73 rim: . rem 74 | . imm 78 reg: . LBREG 79 | . LFREG 80 | . LLREG 81 | . LMREG 82 | . LSP 83 | . LSREG 84 | . LXREG 86 imm: . '$' con 87 | . '$' nam 88 | . '$' LSCONST 89 | . '$' LFCONST 90 | . '$' '(' LFCONST ')' 91 | . '$' '(' '-' LFCONST ')' 92 | . '$' '-' LFCONST 93 mem: . omem 94 | . nmem 95 omem: . con 96 | . con '(' LLREG ')' 97 | . con '(' LSP ')' 98 | . con '(' LSREG ')' 99 | . con '(' LLREG '*' con ')' 100 | . con '(' LLREG ')' '(' LLREG '*' con ')' 101 | . con '(' LLREG ')' '(' LSREG '*' con ')' 102 | . '(' LLREG ')' 103 | . '(' LSP ')' 104 | . '(' LLREG '*' con ')' 105 | . '(' LLREG ')' '(' LLREG '*' con ')' 106 nmem: . nam 107 | . nam '(' LLREG '*' con ')' 108 nam: . LNAME offset '(' pointer ')' 109 | . LNAME '<' '>' offset '(' LSB ')' 116 con: . LCONST 117 | . LVAR 118 | . '-' con 119 | . '+' con 120 | . '~' con 121 | . '(' expr ')' '+' posunout a pΕ™ejΓ­t do stavu 33 '-' posunout a pΕ™ejΓ­t do stavu 34 LCONST posunout a pΕ™ejΓ­t do stavu 35 LBREG posunout a pΕ™ejΓ­t do stavu 36 LLREG posunout a pΕ™ejΓ­t do stavu 37 LSREG posunout a pΕ™ejΓ­t do stavu 38 LFREG posunout a pΕ™ejΓ­t do stavu 39 LMREG posunout a pΕ™ejΓ­t do stavu 40 LXREG posunout a pΕ™ejΓ­t do stavu 41 LSP posunout a pΕ™ejΓ­t do stavu 42 LNAME posunout a pΕ™ejΓ­t do stavu 43 LVAR posunout a pΕ™ejΓ­t do stavu 44 '(' posunout a pΕ™ejΓ­t do stavu 46 '$' posunout a pΕ™ejΓ­t do stavu 56 '~' posunout a pΕ™ejΓ­t do stavu 47 spec7 pΕ™ejΓ­t do stavu 99 rem pΕ™ejΓ­t do stavu 58 rim pΕ™ejΓ­t do stavu 100 reg pΕ™ejΓ­t do stavu 50 imm pΕ™ejΓ­t do stavu 60 mem pΕ™ejΓ­t do stavu 51 omem pΕ™ejΓ­t do stavu 52 nmem pΕ™ejΓ­t do stavu 53 nam pΕ™ejΓ­t do stavu 54 con pΕ™ejΓ­t do stavu 55 State 20 26 inst: LTYPEXC . spec8 57 spec8: . reg ',' rem ',' con 78 reg: . LBREG 79 | . LFREG 80 | . LLREG 81 | . LMREG 82 | . LSP 83 | . LSREG 84 | . LXREG LBREG posunout a pΕ™ejΓ­t do stavu 36 LLREG posunout a pΕ™ejΓ­t do stavu 37 LSREG posunout a pΕ™ejΓ­t do stavu 38 LFREG posunout a pΕ™ejΓ­t do stavu 39 LMREG posunout a pΕ™ejΓ­t do stavu 40 LXREG posunout a pΕ™ejΓ­t do stavu 41 LSP posunout a pΕ™ejΓ­t do stavu 42 spec8 pΕ™ejΓ­t do stavu 101 reg pΕ™ejΓ­t do stavu 102 State 21 27 inst: LTYPEX . spec9 58 spec9: . imm ',' rem ',' reg 86 imm: . '$' con 87 | . '$' nam 88 | . '$' LSCONST 89 | . '$' LFCONST 90 | . '$' '(' LFCONST ')' 91 | . '$' '(' '-' LFCONST ')' 92 | . '$' '-' LFCONST '$' posunout a pΕ™ejΓ­t do stavu 56 spec9 pΕ™ejΓ­t do stavu 103 imm pΕ™ejΓ­t do stavu 104 State 22 28 inst: LTYPERT . spec10 59 spec10: . %empty [';'] 60 | . imm 86 imm: . '$' con 87 | . '$' nam 88 | . '$' LSCONST 89 | . '$' LFCONST 90 | . '$' '(' LFCONST ')' 91 | . '$' '(' '-' LFCONST ')' 92 | . '$' '-' LFCONST '$' posunout a pΕ™ejΓ­t do stavu 56 $vΓ½chozΓ­ reduce using rule 59 (spec10) spec10 pΕ™ejΓ­t do stavu 105 imm pΕ™ejΓ­t do stavu 106 State 23 31 inst: LTYPEF . spec13 64 spec13: . rim ',' rim 65 rem: . reg 66 | . mem 73 rim: . rem 74 | . imm 78 reg: . LBREG 79 | . LFREG 80 | . LLREG 81 | . LMREG 82 | . LSP 83 | . LSREG 84 | . LXREG 86 imm: . '$' con 87 | . '$' nam 88 | . '$' LSCONST 89 | . '$' LFCONST 90 | . '$' '(' LFCONST ')' 91 | . '$' '(' '-' LFCONST ')' 92 | . '$' '-' LFCONST 93 mem: . omem 94 | . nmem 95 omem: . con 96 | . con '(' LLREG ')' 97 | . con '(' LSP ')' 98 | . con '(' LSREG ')' 99 | . con '(' LLREG '*' con ')' 100 | . con '(' LLREG ')' '(' LLREG '*' con ')' 101 | . con '(' LLREG ')' '(' LSREG '*' con ')' 102 | . '(' LLREG ')' 103 | . '(' LSP ')' 104 | . '(' LLREG '*' con ')' 105 | . '(' LLREG ')' '(' LLREG '*' con ')' 106 nmem: . nam 107 | . nam '(' LLREG '*' con ')' 108 nam: . LNAME offset '(' pointer ')' 109 | . LNAME '<' '>' offset '(' LSB ')' 116 con: . LCONST 117 | . LVAR 118 | . '-' con 119 | . '+' con 120 | . '~' con 121 | . '(' expr ')' '+' posunout a pΕ™ejΓ­t do stavu 33 '-' posunout a pΕ™ejΓ­t do stavu 34 LCONST posunout a pΕ™ejΓ­t do stavu 35 LBREG posunout a pΕ™ejΓ­t do stavu 36 LLREG posunout a pΕ™ejΓ­t do stavu 37 LSREG posunout a pΕ™ejΓ­t do stavu 38 LFREG posunout a pΕ™ejΓ­t do stavu 39 LMREG posunout a pΕ™ejΓ­t do stavu 40 LXREG posunout a pΕ™ejΓ­t do stavu 41 LSP posunout a pΕ™ejΓ­t do stavu 42 LNAME posunout a pΕ™ejΓ­t do stavu 43 LVAR posunout a pΕ™ejΓ­t do stavu 44 '(' posunout a pΕ™ejΓ­t do stavu 46 '$' posunout a pΕ™ejΓ­t do stavu 56 '~' posunout a pΕ™ejΓ­t do stavu 47 spec13 pΕ™ejΓ­t do stavu 107 rem pΕ™ejΓ­t do stavu 58 rim pΕ™ejΓ­t do stavu 108 reg pΕ™ejΓ­t do stavu 50 imm pΕ™ejΓ­t do stavu 60 mem pΕ™ejΓ­t do stavu 51 omem pΕ™ejΓ­t do stavu 52 nmem pΕ™ejΓ­t do stavu 53 nam pΕ™ejΓ­t do stavu 54 con pΕ™ejΓ­t do stavu 55 State 24 7 line: LNAME . ':' $@3 line 11 inst: LNAME . '=' expr ':' posunout a pΕ™ejΓ­t do stavu 109 '=' posunout a pΕ™ejΓ­t do stavu 110 State 25 5 line: LLAB . ':' $@2 line ':' posunout a pΕ™ejΓ­t do stavu 111 State 26 12 inst: LVAR . '=' expr '=' posunout a pΕ™ejΓ­t do stavu 112 State 27 8 line: ';' . $vΓ½chozΓ­ reduce using rule 8 (line) State 28 3 prog: prog $@1 line . $vΓ½chozΓ­ reduce using rule 3 (prog) State 29 9 line: inst . ';' ';' posunout a pΕ™ejΓ­t do stavu 113 State 30 10 line: error ';' . $vΓ½chozΓ­ reduce using rule 10 (line) State 31 33 nonnon: ',' . $vΓ½chozΓ­ reduce using rule 33 (nonnon) State 32 13 inst: LTYPE0 nonnon . $vΓ½chozΓ­ reduce using rule 13 (inst) State 33 116 con: . LCONST 117 | . LVAR 118 | . '-' con 119 | . '+' con 119 | '+' . con 120 | . '~' con 121 | . '(' expr ')' '+' posunout a pΕ™ejΓ­t do stavu 33 '-' posunout a pΕ™ejΓ­t do stavu 34 LCONST posunout a pΕ™ejΓ­t do stavu 35 LVAR posunout a pΕ™ejΓ­t do stavu 44 '(' posunout a pΕ™ejΓ­t do stavu 84 '~' posunout a pΕ™ejΓ­t do stavu 47 con pΕ™ejΓ­t do stavu 114 State 34 116 con: . LCONST 117 | . LVAR 118 | . '-' con 118 | '-' . con 119 | . '+' con 120 | . '~' con 121 | . '(' expr ')' '+' posunout a pΕ™ejΓ­t do stavu 33 '-' posunout a pΕ™ejΓ­t do stavu 34 LCONST posunout a pΕ™ejΓ­t do stavu 35 LVAR posunout a pΕ™ejΓ­t do stavu 44 '(' posunout a pΕ™ejΓ­t do stavu 84 '~' posunout a pΕ™ejΓ­t do stavu 47 con pΕ™ejΓ­t do stavu 115 State 35 116 con: LCONST . $vΓ½chozΓ­ reduce using rule 116 (con) State 36 78 reg: LBREG . $vΓ½chozΓ­ reduce using rule 78 (reg) State 37 80 reg: LLREG . $vΓ½chozΓ­ reduce using rule 80 (reg) State 38 83 reg: LSREG . $vΓ½chozΓ­ reduce using rule 83 (reg) State 39 79 reg: LFREG . $vΓ½chozΓ­ reduce using rule 79 (reg) State 40 81 reg: LMREG . $vΓ½chozΓ­ reduce using rule 81 (reg) State 41 84 reg: LXREG . $vΓ½chozΓ­ reduce using rule 84 (reg) State 42 82 reg: LSP . $vΓ½chozΓ­ reduce using rule 82 (reg) State 43 108 nam: LNAME . offset '(' pointer ')' 109 | LNAME . '<' '>' offset '(' LSB ')' 110 offset: . %empty ['('] 111 | . '+' con 112 | . '-' con '<' posunout a pΕ™ejΓ­t do stavu 116 '+' posunout a pΕ™ejΓ­t do stavu 117 '-' posunout a pΕ™ejΓ­t do stavu 118 $vΓ½chozΓ­ reduce using rule 110 (offset) offset pΕ™ejΓ­t do stavu 119 State 44 117 con: LVAR . $vΓ½chozΓ­ reduce using rule 117 (con) State 45 38 nonrem: ',' . rem 65 rem: . reg 66 | . mem 78 reg: . LBREG 79 | . LFREG 80 | . LLREG 81 | . LMREG 82 | . LSP 83 | . LSREG 84 | . LXREG 93 mem: . omem 94 | . nmem 95 omem: . con 96 | . con '(' LLREG ')' 97 | . con '(' LSP ')' 98 | . con '(' LSREG ')' 99 | . con '(' LLREG '*' con ')' 100 | . con '(' LLREG ')' '(' LLREG '*' con ')' 101 | . con '(' LLREG ')' '(' LSREG '*' con ')' 102 | . '(' LLREG ')' 103 | . '(' LSP ')' 104 | . '(' LLREG '*' con ')' 105 | . '(' LLREG ')' '(' LLREG '*' con ')' 106 nmem: . nam 107 | . nam '(' LLREG '*' con ')' 108 nam: . LNAME offset '(' pointer ')' 109 | . LNAME '<' '>' offset '(' LSB ')' 116 con: . LCONST 117 | . LVAR 118 | . '-' con 119 | . '+' con 120 | . '~' con 121 | . '(' expr ')' '+' posunout a pΕ™ejΓ­t do stavu 33 '-' posunout a pΕ™ejΓ­t do stavu 34 LCONST posunout a pΕ™ejΓ­t do stavu 35 LBREG posunout a pΕ™ejΓ­t do stavu 36 LLREG posunout a pΕ™ejΓ­t do stavu 37 LSREG posunout a pΕ™ejΓ­t do stavu 38 LFREG posunout a pΕ™ejΓ­t do stavu 39 LMREG posunout a pΕ™ejΓ­t do stavu 40 LXREG posunout a pΕ™ejΓ­t do stavu 41 LSP posunout a pΕ™ejΓ­t do stavu 42 LNAME posunout a pΕ™ejΓ­t do stavu 43 LVAR posunout a pΕ™ejΓ­t do stavu 44 '(' posunout a pΕ™ejΓ­t do stavu 46 '~' posunout a pΕ™ejΓ­t do stavu 47 rem pΕ™ejΓ­t do stavu 120 reg pΕ™ejΓ­t do stavu 50 mem pΕ™ejΓ­t do stavu 51 omem pΕ™ejΓ­t do stavu 52 nmem pΕ™ejΓ­t do stavu 53 nam pΕ™ejΓ­t do stavu 54 con pΕ™ejΓ­t do stavu 55 State 46 102 omem: '(' . LLREG ')' 103 | '(' . LSP ')' 104 | '(' . LLREG '*' con ')' 105 | '(' . LLREG ')' '(' LLREG '*' con ')' 116 con: . LCONST 117 | . LVAR 118 | . '-' con 119 | . '+' con 120 | . '~' con 121 | . '(' expr ')' 121 | '(' . expr ')' 126 expr: . con 127 | . expr '+' expr 128 | . expr '-' expr 129 | . expr '*' expr 130 | . expr '/' expr 131 | . expr '%' expr 132 | . expr '<' '<' expr 133 | . expr '>' '>' expr 134 | . expr '&' expr 135 | . expr '^' expr 136 | . expr '|' expr '+' posunout a pΕ™ejΓ­t do stavu 33 '-' posunout a pΕ™ejΓ­t do stavu 34 LCONST posunout a pΕ™ejΓ­t do stavu 35 LLREG posunout a pΕ™ejΓ­t do stavu 121 LSP posunout a pΕ™ejΓ­t do stavu 122 LVAR posunout a pΕ™ejΓ­t do stavu 44 '(' posunout a pΕ™ejΓ­t do stavu 84 '~' posunout a pΕ™ejΓ­t do stavu 47 con pΕ™ejΓ­t do stavu 123 expr pΕ™ejΓ­t do stavu 124 State 47 116 con: . LCONST 117 | . LVAR 118 | . '-' con 119 | . '+' con 120 | . '~' con 120 | '~' . con 121 | . '(' expr ')' '+' posunout a pΕ™ejΓ­t do stavu 33 '-' posunout a pΕ™ejΓ­t do stavu 34 LCONST posunout a pΕ™ejΓ­t do stavu 35 LVAR posunout a pΕ™ejΓ­t do stavu 44 '(' posunout a pΕ™ejΓ­t do stavu 84 '~' posunout a pΕ™ejΓ­t do stavu 47 con pΕ™ejΓ­t do stavu 125 State 48 14 inst: LTYPE1 nonrem . $vΓ½chozΓ­ reduce using rule 14 (inst) State 49 39 nonrem: rem . $vΓ½chozΓ­ reduce using rule 39 (nonrem) State 50 65 rem: reg . $vΓ½chozΓ­ reduce using rule 65 (rem) State 51 66 rem: mem . $vΓ½chozΓ­ reduce using rule 66 (rem) State 52 93 mem: omem . $vΓ½chozΓ­ reduce using rule 93 (mem) State 53 94 mem: nmem . $vΓ½chozΓ­ reduce using rule 94 (mem) State 54 106 nmem: nam . [':', ';', ','] 107 | nam . '(' LLREG '*' con ')' '(' posunout a pΕ™ejΓ­t do stavu 126 $vΓ½chozΓ­ reduce using rule 106 (nmem) State 55 95 omem: con . [':', ';', ','] 96 | con . '(' LLREG ')' 97 | con . '(' LSP ')' 98 | con . '(' LSREG ')' 99 | con . '(' LLREG '*' con ')' 100 | con . '(' LLREG ')' '(' LLREG '*' con ')' 101 | con . '(' LLREG ')' '(' LSREG '*' con ')' '(' posunout a pΕ™ejΓ­t do stavu 127 $vΓ½chozΓ­ reduce using rule 95 (omem) State 56 86 imm: '$' . con 87 | '$' . nam 88 | '$' . LSCONST 89 | '$' . LFCONST 90 | '$' . '(' LFCONST ')' 91 | '$' . '(' '-' LFCONST ')' 92 | '$' . '-' LFCONST 108 nam: . LNAME offset '(' pointer ')' 109 | . LNAME '<' '>' offset '(' LSB ')' 116 con: . LCONST 117 | . LVAR 118 | . '-' con 119 | . '+' con 120 | . '~' con 121 | . '(' expr ')' '+' posunout a pΕ™ejΓ­t do stavu 33 '-' posunout a pΕ™ejΓ­t do stavu 128 LCONST posunout a pΕ™ejΓ­t do stavu 35 LFCONST posunout a pΕ™ejΓ­t do stavu 129 LSCONST posunout a pΕ™ejΓ­t do stavu 130 LNAME posunout a pΕ™ejΓ­t do stavu 43 LVAR posunout a pΕ™ejΓ­t do stavu 44 '(' posunout a pΕ™ejΓ­t do stavu 131 '~' posunout a pΕ™ejΓ­t do stavu 47 nam pΕ™ejΓ­t do stavu 132 con pΕ™ejΓ­t do stavu 133 State 57 15 inst: LTYPE2 rimnon . $vΓ½chozΓ­ reduce using rule 15 (inst) State 58 73 rim: rem . $vΓ½chozΓ­ reduce using rule 73 (rim) State 59 36 rimnon: rim . ',' 37 | rim . [';'] ',' posunout a pΕ™ejΓ­t do stavu 134 $vΓ½chozΓ­ reduce using rule 37 (rimnon) State 60 74 rim: imm . $vΓ½chozΓ­ reduce using rule 74 (rim) State 61 16 inst: LTYPE3 rimrem . $vΓ½chozΓ­ reduce using rule 16 (inst) State 62 34 rimrem: rim . ',' rem ',' posunout a pΕ™ejΓ­t do stavu 135 State 63 17 inst: LTYPE4 remrim . $vΓ½chozΓ­ reduce using rule 17 (inst) State 64 35 remrim: rem . ',' rim ',' posunout a pΕ™ejΓ­t do stavu 136 State 65 69 rom: '*' . reg 70 | '*' . omem 78 reg: . LBREG 79 | . LFREG 80 | . LLREG 81 | . LMREG 82 | . LSP 83 | . LSREG 84 | . LXREG 95 omem: . con 96 | . con '(' LLREG ')' 97 | . con '(' LSP ')' 98 | . con '(' LSREG ')' 99 | . con '(' LLREG '*' con ')' 100 | . con '(' LLREG ')' '(' LLREG '*' con ')' 101 | . con '(' LLREG ')' '(' LSREG '*' con ')' 102 | . '(' LLREG ')' 103 | . '(' LSP ')' 104 | . '(' LLREG '*' con ')' 105 | . '(' LLREG ')' '(' LLREG '*' con ')' 116 con: . LCONST 117 | . LVAR 118 | . '-' con 119 | . '+' con 120 | . '~' con 121 | . '(' expr ')' '+' posunout a pΕ™ejΓ­t do stavu 33 '-' posunout a pΕ™ejΓ­t do stavu 34 LCONST posunout a pΕ™ejΓ­t do stavu 35 LBREG posunout a pΕ™ejΓ­t do stavu 36 LLREG posunout a pΕ™ejΓ­t do stavu 37 LSREG posunout a pΕ™ejΓ­t do stavu 38 LFREG posunout a pΕ™ejΓ­t do stavu 39 LMREG posunout a pΕ™ejΓ­t do stavu 40 LXREG posunout a pΕ™ejΓ­t do stavu 41 LSP posunout a pΕ™ejΓ­t do stavu 42 LVAR posunout a pΕ™ejΓ­t do stavu 44 '(' posunout a pΕ™ejΓ­t do stavu 46 '~' posunout a pΕ™ejΓ­t do stavu 47 reg pΕ™ejΓ­t do stavu 137 omem pΕ™ejΓ­t do stavu 138 con pΕ™ejΓ­t do stavu 55 State 66 76 rel: LNAME . offset 108 nam: LNAME . offset '(' pointer ')' 109 | LNAME . '<' '>' offset '(' LSB ')' 110 offset: . %empty [';', '('] 111 | . '+' con 112 | . '-' con '<' posunout a pΕ™ejΓ­t do stavu 116 '+' posunout a pΕ™ejΓ­t do stavu 117 '-' posunout a pΕ™ejΓ­t do stavu 118 $vΓ½chozΓ­ reduce using rule 110 (offset) offset pΕ™ejΓ­t do stavu 139 State 67 77 rel: LLAB . offset 110 offset: . %empty [';'] 111 | . '+' con 112 | . '-' con '+' posunout a pΕ™ejΓ­t do stavu 117 '-' posunout a pΕ™ejΓ­t do stavu 118 $vΓ½chozΓ­ reduce using rule 110 (offset) offset pΕ™ejΓ­t do stavu 140 State 68 46 spec3: ',' . rom 67 rom: . rel 68 | . nmem 69 | . '*' reg 70 | . '*' omem 71 | . reg 72 | . omem 75 rel: . con '(' LPC ')' 76 | . LNAME offset 77 | . LLAB offset 78 reg: . LBREG 79 | . LFREG 80 | . LLREG 81 | . LMREG 82 | . LSP 83 | . LSREG 84 | . LXREG 95 omem: . con 96 | . con '(' LLREG ')' 97 | . con '(' LSP ')' 98 | . con '(' LSREG ')' 99 | . con '(' LLREG '*' con ')' 100 | . con '(' LLREG ')' '(' LLREG '*' con ')' 101 | . con '(' LLREG ')' '(' LSREG '*' con ')' 102 | . '(' LLREG ')' 103 | . '(' LSP ')' 104 | . '(' LLREG '*' con ')' 105 | . '(' LLREG ')' '(' LLREG '*' con ')' 106 nmem: . nam 107 | . nam '(' LLREG '*' con ')' 108 nam: . LNAME offset '(' pointer ')' 109 | . LNAME '<' '>' offset '(' LSB ')' 116 con: . LCONST 117 | . LVAR 118 | . '-' con 119 | . '+' con 120 | . '~' con 121 | . '(' expr ')' '+' posunout a pΕ™ejΓ­t do stavu 33 '-' posunout a pΕ™ejΓ­t do stavu 34 '*' posunout a pΕ™ejΓ­t do stavu 65 LCONST posunout a pΕ™ejΓ­t do stavu 35 LBREG posunout a pΕ™ejΓ­t do stavu 36 LLREG posunout a pΕ™ejΓ­t do stavu 37 LSREG posunout a pΕ™ejΓ­t do stavu 38 LFREG posunout a pΕ™ejΓ­t do stavu 39 LMREG posunout a pΕ™ejΓ­t do stavu 40 LXREG posunout a pΕ™ejΓ­t do stavu 41 LSP posunout a pΕ™ejΓ­t do stavu 42 LNAME posunout a pΕ™ejΓ­t do stavu 66 LLAB posunout a pΕ™ejΓ­t do stavu 67 LVAR posunout a pΕ™ejΓ­t do stavu 44 '(' posunout a pΕ™ejΓ­t do stavu 46 '~' posunout a pΕ™ejΓ­t do stavu 47 rom pΕ™ejΓ­t do stavu 141 rel pΕ™ejΓ­t do stavu 71 reg pΕ™ejΓ­t do stavu 72 omem pΕ™ejΓ­t do stavu 73 nmem pΕ™ejΓ­t do stavu 74 nam pΕ™ejΓ­t do stavu 54 con pΕ™ejΓ­t do stavu 75 State 69 21 inst: LTYPEC spec3 . $vΓ½chozΓ­ reduce using rule 21 (inst) State 70 47 spec3: rom . $vΓ½chozΓ­ reduce using rule 47 (spec3) State 71 67 rom: rel . $vΓ½chozΓ­ reduce using rule 67 (rom) State 72 71 rom: reg . $vΓ½chozΓ­ reduce using rule 71 (rom) State 73 72 rom: omem . $vΓ½chozΓ­ reduce using rule 72 (rom) State 74 68 rom: nmem . $vΓ½chozΓ­ reduce using rule 68 (rom) State 75 75 rel: con . '(' LPC ')' 95 omem: con . [';'] 96 | con . '(' LLREG ')' 97 | con . '(' LSP ')' 98 | con . '(' LSREG ')' 99 | con . '(' LLREG '*' con ')' 100 | con . '(' LLREG ')' '(' LLREG '*' con ')' 101 | con . '(' LLREG ')' '(' LSREG '*' con ')' '(' posunout a pΕ™ejΓ­t do stavu 142 $vΓ½chozΓ­ reduce using rule 95 (omem) State 76 19 inst: LTYPED spec1 . $vΓ½chozΓ­ reduce using rule 19 (inst) State 77 43 spec1: nam . '/' con ',' imm '/' posunout a pΕ™ejΓ­t do stavu 143 State 78 33 nonnon: ',' . [';'] 38 nonrem: ',' . rem 65 rem: . reg 66 | . mem 78 reg: . LBREG 79 | . LFREG 80 | . LLREG 81 | . LMREG 82 | . LSP 83 | . LSREG 84 | . LXREG 93 mem: . omem 94 | . nmem 95 omem: . con 96 | . con '(' LLREG ')' 97 | . con '(' LSP ')' 98 | . con '(' LSREG ')' 99 | . con '(' LLREG '*' con ')' 100 | . con '(' LLREG ')' '(' LLREG '*' con ')' 101 | . con '(' LLREG ')' '(' LSREG '*' con ')' 102 | . '(' LLREG ')' 103 | . '(' LSP ')' 104 | . '(' LLREG '*' con ')' 105 | . '(' LLREG ')' '(' LLREG '*' con ')' 106 nmem: . nam 107 | . nam '(' LLREG '*' con ')' 108 nam: . LNAME offset '(' pointer ')' 109 | . LNAME '<' '>' offset '(' LSB ')' 116 con: . LCONST 117 | . LVAR 118 | . '-' con 119 | . '+' con 120 | . '~' con 121 | . '(' expr ')' '+' posunout a pΕ™ejΓ­t do stavu 33 '-' posunout a pΕ™ejΓ­t do stavu 34 LCONST posunout a pΕ™ejΓ­t do stavu 35 LBREG posunout a pΕ™ejΓ­t do stavu 36 LLREG posunout a pΕ™ejΓ­t do stavu 37 LSREG posunout a pΕ™ejΓ­t do stavu 38 LFREG posunout a pΕ™ejΓ­t do stavu 39 LMREG posunout a pΕ™ejΓ­t do stavu 40 LXREG posunout a pΕ™ejΓ­t do stavu 41 LSP posunout a pΕ™ejΓ­t do stavu 42 LNAME posunout a pΕ™ejΓ­t do stavu 43 LVAR posunout a pΕ™ejΓ­t do stavu 44 '(' posunout a pΕ™ejΓ­t do stavu 46 '~' posunout a pΕ™ejΓ­t do stavu 47 $vΓ½chozΓ­ reduce using rule 33 (nonnon) rem pΕ™ejΓ­t do stavu 120 reg pΕ™ejΓ­t do stavu 50 mem pΕ™ejΓ­t do stavu 51 omem pΕ™ejΓ­t do stavu 52 nmem pΕ™ejΓ­t do stavu 53 nam pΕ™ejΓ­t do stavu 54 con pΕ™ejΓ­t do stavu 55 State 79 48 spec4: nonnon . $vΓ½chozΓ­ reduce using rule 48 (spec4) State 80 49 spec4: nonrem . $vΓ½chozΓ­ reduce using rule 49 (spec4) State 81 22 inst: LTYPEN spec4 . $vΓ½chozΓ­ reduce using rule 22 (inst) State 82 76 rel: LNAME . offset 110 offset: . %empty [';'] 111 | . '+' con 112 | . '-' con '+' posunout a pΕ™ejΓ­t do stavu 117 '-' posunout a pΕ™ejΓ­t do stavu 118 $vΓ½chozΓ­ reduce using rule 110 (offset) offset pΕ™ejΓ­t do stavu 144 State 83 40 nonrel: ',' . rel 75 rel: . con '(' LPC ')' 76 | . LNAME offset 77 | . LLAB offset 116 con: . LCONST 117 | . LVAR 118 | . '-' con 119 | . '+' con 120 | . '~' con 121 | . '(' expr ')' '+' posunout a pΕ™ejΓ­t do stavu 33 '-' posunout a pΕ™ejΓ­t do stavu 34 LCONST posunout a pΕ™ejΓ­t do stavu 35 LNAME posunout a pΕ™ejΓ­t do stavu 82 LLAB posunout a pΕ™ejΓ­t do stavu 67 LVAR posunout a pΕ™ejΓ­t do stavu 44 '(' posunout a pΕ™ejΓ­t do stavu 84 '~' posunout a pΕ™ejΓ­t do stavu 47 rel pΕ™ejΓ­t do stavu 145 con pΕ™ejΓ­t do stavu 88 State 84 116 con: . LCONST 117 | . LVAR 118 | . '-' con 119 | . '+' con 120 | . '~' con 121 | . '(' expr ')' 121 | '(' . expr ')' 126 expr: . con 127 | . expr '+' expr 128 | . expr '-' expr 129 | . expr '*' expr 130 | . expr '/' expr 131 | . expr '%' expr 132 | . expr '<' '<' expr 133 | . expr '>' '>' expr 134 | . expr '&' expr 135 | . expr '^' expr 136 | . expr '|' expr '+' posunout a pΕ™ejΓ­t do stavu 33 '-' posunout a pΕ™ejΓ­t do stavu 34 LCONST posunout a pΕ™ejΓ­t do stavu 35 LVAR posunout a pΕ™ejΓ­t do stavu 44 '(' posunout a pΕ™ejΓ­t do stavu 84 '~' posunout a pΕ™ejΓ­t do stavu 47 con pΕ™ejΓ­t do stavu 123 expr pΕ™ejΓ­t do stavu 124 State 85 18 inst: LTYPER nonrel . $vΓ½chozΓ­ reduce using rule 18 (inst) State 86 41 nonrel: rel . $vΓ½chozΓ­ reduce using rule 41 (nonrel) State 87 42 nonrel: imm . ',' rel ',' posunout a pΕ™ejΓ­t do stavu 146 State 88 75 rel: con . '(' LPC ')' '(' posunout a pΕ™ejΓ­t do stavu 147 State 89 20 inst: LTYPET spec2 . $vΓ½chozΓ­ reduce using rule 20 (inst) State 90 44 spec2: mem . ',' imm2 45 | mem . ',' con ',' imm2 ',' posunout a pΕ™ejΓ­t do stavu 148 State 91 29 inst: LTYPEG spec11 . $vΓ½chozΓ­ reduce using rule 29 (inst) State 92 61 spec11: mem . ',' imm 62 | mem . ',' con ',' imm ',' posunout a pΕ™ejΓ­t do stavu 149 State 93 30 inst: LTYPEPC spec12 . $vΓ½chozΓ­ reduce using rule 30 (inst) State 94 63 spec12: rim . ',' rim ',' posunout a pΕ™ejΓ­t do stavu 150 State 95 23 inst: LTYPES spec5 . $vΓ½chozΓ­ reduce using rule 23 (inst) State 96 50 spec5: rim . ',' rem 51 | rim . ',' rem ':' LLREG ',' posunout a pΕ™ejΓ­t do stavu 151 State 97 24 inst: LTYPEM spec6 . $vΓ½chozΓ­ reduce using rule 24 (inst) State 98 52 spec6: rim . ',' rem 53 | rim . ',' rem ':' LSREG ',' posunout a pΕ™ejΓ­t do stavu 152 State 99 25 inst: LTYPEI spec7 . $vΓ½chozΓ­ reduce using rule 25 (inst) State 100 54 spec7: rim . ',' 55 | rim . [';'] 56 | rim . ',' rem ',' posunout a pΕ™ejΓ­t do stavu 153 $vΓ½chozΓ­ reduce using rule 55 (spec7) State 101 26 inst: LTYPEXC spec8 . $vΓ½chozΓ­ reduce using rule 26 (inst) State 102 57 spec8: reg . ',' rem ',' con ',' posunout a pΕ™ejΓ­t do stavu 154 State 103 27 inst: LTYPEX spec9 . $vΓ½chozΓ­ reduce using rule 27 (inst) State 104 58 spec9: imm . ',' rem ',' reg ',' posunout a pΕ™ejΓ­t do stavu 155 State 105 28 inst: LTYPERT spec10 . $vΓ½chozΓ­ reduce using rule 28 (inst) State 106 60 spec10: imm . $vΓ½chozΓ­ reduce using rule 60 (spec10) State 107 31 inst: LTYPEF spec13 . $vΓ½chozΓ­ reduce using rule 31 (inst) State 108 64 spec13: rim . ',' rim ',' posunout a pΕ™ejΓ­t do stavu 156 State 109 6 $@3: . %empty 7 line: LNAME ':' . $@3 line $vΓ½chozΓ­ reduce using rule 6 ($@3) $@3 pΕ™ejΓ­t do stavu 157 State 110 11 inst: LNAME '=' . expr 116 con: . LCONST 117 | . LVAR 118 | . '-' con 119 | . '+' con 120 | . '~' con 121 | . '(' expr ')' 126 expr: . con 127 | . expr '+' expr 128 | . expr '-' expr 129 | . expr '*' expr 130 | . expr '/' expr 131 | . expr '%' expr 132 | . expr '<' '<' expr 133 | . expr '>' '>' expr 134 | . expr '&' expr 135 | . expr '^' expr 136 | . expr '|' expr '+' posunout a pΕ™ejΓ­t do stavu 33 '-' posunout a pΕ™ejΓ­t do stavu 34 LCONST posunout a pΕ™ejΓ­t do stavu 35 LVAR posunout a pΕ™ejΓ­t do stavu 44 '(' posunout a pΕ™ejΓ­t do stavu 84 '~' posunout a pΕ™ejΓ­t do stavu 47 con pΕ™ejΓ­t do stavu 123 expr pΕ™ejΓ­t do stavu 158 State 111 4 $@2: . %empty 5 line: LLAB ':' . $@2 line $vΓ½chozΓ­ reduce using rule 4 ($@2) $@2 pΕ™ejΓ­t do stavu 159 State 112 12 inst: LVAR '=' . expr 116 con: . LCONST 117 | . LVAR 118 | . '-' con 119 | . '+' con 120 | . '~' con 121 | . '(' expr ')' 126 expr: . con 127 | . expr '+' expr 128 | . expr '-' expr 129 | . expr '*' expr 130 | . expr '/' expr 131 | . expr '%' expr 132 | . expr '<' '<' expr 133 | . expr '>' '>' expr 134 | . expr '&' expr 135 | . expr '^' expr 136 | . expr '|' expr '+' posunout a pΕ™ejΓ­t do stavu 33 '-' posunout a pΕ™ejΓ­t do stavu 34 LCONST posunout a pΕ™ejΓ­t do stavu 35 LVAR posunout a pΕ™ejΓ­t do stavu 44 '(' posunout a pΕ™ejΓ­t do stavu 84 '~' posunout a pΕ™ejΓ­t do stavu 47 con pΕ™ejΓ­t do stavu 123 expr pΕ™ejΓ­t do stavu 160 State 113 9 line: inst ';' . $vΓ½chozΓ­ reduce using rule 9 (line) State 114 119 con: '+' con . $vΓ½chozΓ­ reduce using rule 119 (con) State 115 118 con: '-' con . $vΓ½chozΓ­ reduce using rule 118 (con) State 116 109 nam: LNAME '<' . '>' offset '(' LSB ')' '>' posunout a pΕ™ejΓ­t do stavu 161 State 117 111 offset: '+' . con 116 con: . LCONST 117 | . LVAR 118 | . '-' con 119 | . '+' con 120 | . '~' con 121 | . '(' expr ')' '+' posunout a pΕ™ejΓ­t do stavu 33 '-' posunout a pΕ™ejΓ­t do stavu 34 LCONST posunout a pΕ™ejΓ­t do stavu 35 LVAR posunout a pΕ™ejΓ­t do stavu 44 '(' posunout a pΕ™ejΓ­t do stavu 84 '~' posunout a pΕ™ejΓ­t do stavu 47 con pΕ™ejΓ­t do stavu 162 State 118 112 offset: '-' . con 116 con: . LCONST 117 | . LVAR 118 | . '-' con 119 | . '+' con 120 | . '~' con 121 | . '(' expr ')' '+' posunout a pΕ™ejΓ­t do stavu 33 '-' posunout a pΕ™ejΓ­t do stavu 34 LCONST posunout a pΕ™ejΓ­t do stavu 35 LVAR posunout a pΕ™ejΓ­t do stavu 44 '(' posunout a pΕ™ejΓ­t do stavu 84 '~' posunout a pΕ™ejΓ­t do stavu 47 con pΕ™ejΓ­t do stavu 163 State 119 108 nam: LNAME offset . '(' pointer ')' '(' posunout a pΕ™ejΓ­t do stavu 164 State 120 38 nonrem: ',' rem . $vΓ½chozΓ­ reduce using rule 38 (nonrem) State 121 102 omem: '(' LLREG . ')' 104 | '(' LLREG . '*' con ')' 105 | '(' LLREG . ')' '(' LLREG '*' con ')' '*' posunout a pΕ™ejΓ­t do stavu 165 ')' posunout a pΕ™ejΓ­t do stavu 166 State 122 103 omem: '(' LSP . ')' ')' posunout a pΕ™ejΓ­t do stavu 167 State 123 126 expr: con . $vΓ½chozΓ­ reduce using rule 126 (expr) State 124 121 con: '(' expr . ')' 127 expr: expr . '+' expr 128 | expr . '-' expr 129 | expr . '*' expr 130 | expr . '/' expr 131 | expr . '%' expr 132 | expr . '<' '<' expr 133 | expr . '>' '>' expr 134 | expr . '&' expr 135 | expr . '^' expr 136 | expr . '|' expr '|' posunout a pΕ™ejΓ­t do stavu 168 '^' posunout a pΕ™ejΓ­t do stavu 169 '&' posunout a pΕ™ejΓ­t do stavu 170 '<' posunout a pΕ™ejΓ­t do stavu 171 '>' posunout a pΕ™ejΓ­t do stavu 172 '+' posunout a pΕ™ejΓ­t do stavu 173 '-' posunout a pΕ™ejΓ­t do stavu 174 '*' posunout a pΕ™ejΓ­t do stavu 175 '/' posunout a pΕ™ejΓ­t do stavu 176 '%' posunout a pΕ™ejΓ­t do stavu 177 ')' posunout a pΕ™ejΓ­t do stavu 178 State 125 120 con: '~' con . $vΓ½chozΓ­ reduce using rule 120 (con) State 126 107 nmem: nam '(' . LLREG '*' con ')' LLREG posunout a pΕ™ejΓ­t do stavu 179 State 127 96 omem: con '(' . LLREG ')' 97 | con '(' . LSP ')' 98 | con '(' . LSREG ')' 99 | con '(' . LLREG '*' con ')' 100 | con '(' . LLREG ')' '(' LLREG '*' con ')' 101 | con '(' . LLREG ')' '(' LSREG '*' con ')' LLREG posunout a pΕ™ejΓ­t do stavu 180 LSREG posunout a pΕ™ejΓ­t do stavu 181 LSP posunout a pΕ™ejΓ­t do stavu 182 State 128 92 imm: '$' '-' . LFCONST 116 con: . LCONST 117 | . LVAR 118 | . '-' con 118 | '-' . con 119 | . '+' con 120 | . '~' con 121 | . '(' expr ')' '+' posunout a pΕ™ejΓ­t do stavu 33 '-' posunout a pΕ™ejΓ­t do stavu 34 LCONST posunout a pΕ™ejΓ­t do stavu 35 LFCONST posunout a pΕ™ejΓ­t do stavu 183 LVAR posunout a pΕ™ejΓ­t do stavu 44 '(' posunout a pΕ™ejΓ­t do stavu 84 '~' posunout a pΕ™ejΓ­t do stavu 47 con pΕ™ejΓ­t do stavu 115 State 129 89 imm: '$' LFCONST . $vΓ½chozΓ­ reduce using rule 89 (imm) State 130 88 imm: '$' LSCONST . $vΓ½chozΓ­ reduce using rule 88 (imm) State 131 90 imm: '$' '(' . LFCONST ')' 91 | '$' '(' . '-' LFCONST ')' 116 con: . LCONST 117 | . LVAR 118 | . '-' con 119 | . '+' con 120 | . '~' con 121 | . '(' expr ')' 121 | '(' . expr ')' 126 expr: . con 127 | . expr '+' expr 128 | . expr '-' expr 129 | . expr '*' expr 130 | . expr '/' expr 131 | . expr '%' expr 132 | . expr '<' '<' expr 133 | . expr '>' '>' expr 134 | . expr '&' expr 135 | . expr '^' expr 136 | . expr '|' expr '+' posunout a pΕ™ejΓ­t do stavu 33 '-' posunout a pΕ™ejΓ­t do stavu 184 LCONST posunout a pΕ™ejΓ­t do stavu 35 LFCONST posunout a pΕ™ejΓ­t do stavu 185 LVAR posunout a pΕ™ejΓ­t do stavu 44 '(' posunout a pΕ™ejΓ­t do stavu 84 '~' posunout a pΕ™ejΓ­t do stavu 47 con pΕ™ejΓ­t do stavu 123 expr pΕ™ejΓ­t do stavu 124 State 132 87 imm: '$' nam . $vΓ½chozΓ­ reduce using rule 87 (imm) State 133 86 imm: '$' con . $vΓ½chozΓ­ reduce using rule 86 (imm) State 134 36 rimnon: rim ',' . $vΓ½chozΓ­ reduce using rule 36 (rimnon) State 135 34 rimrem: rim ',' . rem 65 rem: . reg 66 | . mem 78 reg: . LBREG 79 | . LFREG 80 | . LLREG 81 | . LMREG 82 | . LSP 83 | . LSREG 84 | . LXREG 93 mem: . omem 94 | . nmem 95 omem: . con 96 | . con '(' LLREG ')' 97 | . con '(' LSP ')' 98 | . con '(' LSREG ')' 99 | . con '(' LLREG '*' con ')' 100 | . con '(' LLREG ')' '(' LLREG '*' con ')' 101 | . con '(' LLREG ')' '(' LSREG '*' con ')' 102 | . '(' LLREG ')' 103 | . '(' LSP ')' 104 | . '(' LLREG '*' con ')' 105 | . '(' LLREG ')' '(' LLREG '*' con ')' 106 nmem: . nam 107 | . nam '(' LLREG '*' con ')' 108 nam: . LNAME offset '(' pointer ')' 109 | . LNAME '<' '>' offset '(' LSB ')' 116 con: . LCONST 117 | . LVAR 118 | . '-' con 119 | . '+' con 120 | . '~' con 121 | . '(' expr ')' '+' posunout a pΕ™ejΓ­t do stavu 33 '-' posunout a pΕ™ejΓ­t do stavu 34 LCONST posunout a pΕ™ejΓ­t do stavu 35 LBREG posunout a pΕ™ejΓ­t do stavu 36 LLREG posunout a pΕ™ejΓ­t do stavu 37 LSREG posunout a pΕ™ejΓ­t do stavu 38 LFREG posunout a pΕ™ejΓ­t do stavu 39 LMREG posunout a pΕ™ejΓ­t do stavu 40 LXREG posunout a pΕ™ejΓ­t do stavu 41 LSP posunout a pΕ™ejΓ­t do stavu 42 LNAME posunout a pΕ™ejΓ­t do stavu 43 LVAR posunout a pΕ™ejΓ­t do stavu 44 '(' posunout a pΕ™ejΓ­t do stavu 46 '~' posunout a pΕ™ejΓ­t do stavu 47 rem pΕ™ejΓ­t do stavu 186 reg pΕ™ejΓ­t do stavu 50 mem pΕ™ejΓ­t do stavu 51 omem pΕ™ejΓ­t do stavu 52 nmem pΕ™ejΓ­t do stavu 53 nam pΕ™ejΓ­t do stavu 54 con pΕ™ejΓ­t do stavu 55 State 136 35 remrim: rem ',' . rim 65 rem: . reg 66 | . mem 73 rim: . rem 74 | . imm 78 reg: . LBREG 79 | . LFREG 80 | . LLREG 81 | . LMREG 82 | . LSP 83 | . LSREG 84 | . LXREG 86 imm: . '$' con 87 | . '$' nam 88 | . '$' LSCONST 89 | . '$' LFCONST 90 | . '$' '(' LFCONST ')' 91 | . '$' '(' '-' LFCONST ')' 92 | . '$' '-' LFCONST 93 mem: . omem 94 | . nmem 95 omem: . con 96 | . con '(' LLREG ')' 97 | . con '(' LSP ')' 98 | . con '(' LSREG ')' 99 | . con '(' LLREG '*' con ')' 100 | . con '(' LLREG ')' '(' LLREG '*' con ')' 101 | . con '(' LLREG ')' '(' LSREG '*' con ')' 102 | . '(' LLREG ')' 103 | . '(' LSP ')' 104 | . '(' LLREG '*' con ')' 105 | . '(' LLREG ')' '(' LLREG '*' con ')' 106 nmem: . nam 107 | . nam '(' LLREG '*' con ')' 108 nam: . LNAME offset '(' pointer ')' 109 | . LNAME '<' '>' offset '(' LSB ')' 116 con: . LCONST 117 | . LVAR 118 | . '-' con 119 | . '+' con 120 | . '~' con 121 | . '(' expr ')' '+' posunout a pΕ™ejΓ­t do stavu 33 '-' posunout a pΕ™ejΓ­t do stavu 34 LCONST posunout a pΕ™ejΓ­t do stavu 35 LBREG posunout a pΕ™ejΓ­t do stavu 36 LLREG posunout a pΕ™ejΓ­t do stavu 37 LSREG posunout a pΕ™ejΓ­t do stavu 38 LFREG posunout a pΕ™ejΓ­t do stavu 39 LMREG posunout a pΕ™ejΓ­t do stavu 40 LXREG posunout a pΕ™ejΓ­t do stavu 41 LSP posunout a pΕ™ejΓ­t do stavu 42 LNAME posunout a pΕ™ejΓ­t do stavu 43 LVAR posunout a pΕ™ejΓ­t do stavu 44 '(' posunout a pΕ™ejΓ­t do stavu 46 '$' posunout a pΕ™ejΓ­t do stavu 56 '~' posunout a pΕ™ejΓ­t do stavu 47 rem pΕ™ejΓ­t do stavu 58 rim pΕ™ejΓ­t do stavu 187 reg pΕ™ejΓ­t do stavu 50 imm pΕ™ejΓ­t do stavu 60 mem pΕ™ejΓ­t do stavu 51 omem pΕ™ejΓ­t do stavu 52 nmem pΕ™ejΓ­t do stavu 53 nam pΕ™ejΓ­t do stavu 54 con pΕ™ejΓ­t do stavu 55 State 137 69 rom: '*' reg . $vΓ½chozΓ­ reduce using rule 69 (rom) State 138 70 rom: '*' omem . $vΓ½chozΓ­ reduce using rule 70 (rom) State 139 76 rel: LNAME offset . [';'] 108 nam: LNAME offset . '(' pointer ')' '(' posunout a pΕ™ejΓ­t do stavu 164 $vΓ½chozΓ­ reduce using rule 76 (rel) State 140 77 rel: LLAB offset . $vΓ½chozΓ­ reduce using rule 77 (rel) State 141 46 spec3: ',' rom . $vΓ½chozΓ­ reduce using rule 46 (spec3) State 142 75 rel: con '(' . LPC ')' 96 omem: con '(' . LLREG ')' 97 | con '(' . LSP ')' 98 | con '(' . LSREG ')' 99 | con '(' . LLREG '*' con ')' 100 | con '(' . LLREG ')' '(' LLREG '*' con ')' 101 | con '(' . LLREG ')' '(' LSREG '*' con ')' LPC posunout a pΕ™ejΓ­t do stavu 188 LLREG posunout a pΕ™ejΓ­t do stavu 180 LSREG posunout a pΕ™ejΓ­t do stavu 181 LSP posunout a pΕ™ejΓ­t do stavu 182 State 143 43 spec1: nam '/' . con ',' imm 116 con: . LCONST 117 | . LVAR 118 | . '-' con 119 | . '+' con 120 | . '~' con 121 | . '(' expr ')' '+' posunout a pΕ™ejΓ­t do stavu 33 '-' posunout a pΕ™ejΓ­t do stavu 34 LCONST posunout a pΕ™ejΓ­t do stavu 35 LVAR posunout a pΕ™ejΓ­t do stavu 44 '(' posunout a pΕ™ejΓ­t do stavu 84 '~' posunout a pΕ™ejΓ­t do stavu 47 con pΕ™ejΓ­t do stavu 189 State 144 76 rel: LNAME offset . $vΓ½chozΓ­ reduce using rule 76 (rel) State 145 40 nonrel: ',' rel . $vΓ½chozΓ­ reduce using rule 40 (nonrel) State 146 42 nonrel: imm ',' . rel 75 rel: . con '(' LPC ')' 76 | . LNAME offset 77 | . LLAB offset 116 con: . LCONST 117 | . LVAR 118 | . '-' con 119 | . '+' con 120 | . '~' con 121 | . '(' expr ')' '+' posunout a pΕ™ejΓ­t do stavu 33 '-' posunout a pΕ™ejΓ­t do stavu 34 LCONST posunout a pΕ™ejΓ­t do stavu 35 LNAME posunout a pΕ™ejΓ­t do stavu 82 LLAB posunout a pΕ™ejΓ­t do stavu 67 LVAR posunout a pΕ™ejΓ­t do stavu 44 '(' posunout a pΕ™ejΓ­t do stavu 84 '~' posunout a pΕ™ejΓ­t do stavu 47 rel pΕ™ejΓ­t do stavu 190 con pΕ™ejΓ­t do stavu 88 State 147 75 rel: con '(' . LPC ')' LPC posunout a pΕ™ejΓ­t do stavu 188 State 148 44 spec2: mem ',' . imm2 45 | mem ',' . con ',' imm2 85 imm2: . '$' con2 116 con: . LCONST 117 | . LVAR 118 | . '-' con 119 | . '+' con 120 | . '~' con 121 | . '(' expr ')' '+' posunout a pΕ™ejΓ­t do stavu 33 '-' posunout a pΕ™ejΓ­t do stavu 34 LCONST posunout a pΕ™ejΓ­t do stavu 35 LVAR posunout a pΕ™ejΓ­t do stavu 44 '(' posunout a pΕ™ejΓ­t do stavu 84 '$' posunout a pΕ™ejΓ­t do stavu 191 '~' posunout a pΕ™ejΓ­t do stavu 47 imm2 pΕ™ejΓ­t do stavu 192 con pΕ™ejΓ­t do stavu 193 State 149 61 spec11: mem ',' . imm 62 | mem ',' . con ',' imm 86 imm: . '$' con 87 | . '$' nam 88 | . '$' LSCONST 89 | . '$' LFCONST 90 | . '$' '(' LFCONST ')' 91 | . '$' '(' '-' LFCONST ')' 92 | . '$' '-' LFCONST 116 con: . LCONST 117 | . LVAR 118 | . '-' con 119 | . '+' con 120 | . '~' con 121 | . '(' expr ')' '+' posunout a pΕ™ejΓ­t do stavu 33 '-' posunout a pΕ™ejΓ­t do stavu 34 LCONST posunout a pΕ™ejΓ­t do stavu 35 LVAR posunout a pΕ™ejΓ­t do stavu 44 '(' posunout a pΕ™ejΓ­t do stavu 84 '$' posunout a pΕ™ejΓ­t do stavu 56 '~' posunout a pΕ™ejΓ­t do stavu 47 imm pΕ™ejΓ­t do stavu 194 con pΕ™ejΓ­t do stavu 195 State 150 63 spec12: rim ',' . rim 65 rem: . reg 66 | . mem 73 rim: . rem 74 | . imm 78 reg: . LBREG 79 | . LFREG 80 | . LLREG 81 | . LMREG 82 | . LSP 83 | . LSREG 84 | . LXREG 86 imm: . '$' con 87 | . '$' nam 88 | . '$' LSCONST 89 | . '$' LFCONST 90 | . '$' '(' LFCONST ')' 91 | . '$' '(' '-' LFCONST ')' 92 | . '$' '-' LFCONST 93 mem: . omem 94 | . nmem 95 omem: . con 96 | . con '(' LLREG ')' 97 | . con '(' LSP ')' 98 | . con '(' LSREG ')' 99 | . con '(' LLREG '*' con ')' 100 | . con '(' LLREG ')' '(' LLREG '*' con ')' 101 | . con '(' LLREG ')' '(' LSREG '*' con ')' 102 | . '(' LLREG ')' 103 | . '(' LSP ')' 104 | . '(' LLREG '*' con ')' 105 | . '(' LLREG ')' '(' LLREG '*' con ')' 106 nmem: . nam 107 | . nam '(' LLREG '*' con ')' 108 nam: . LNAME offset '(' pointer ')' 109 | . LNAME '<' '>' offset '(' LSB ')' 116 con: . LCONST 117 | . LVAR 118 | . '-' con 119 | . '+' con 120 | . '~' con 121 | . '(' expr ')' '+' posunout a pΕ™ejΓ­t do stavu 33 '-' posunout a pΕ™ejΓ­t do stavu 34 LCONST posunout a pΕ™ejΓ­t do stavu 35 LBREG posunout a pΕ™ejΓ­t do stavu 36 LLREG posunout a pΕ™ejΓ­t do stavu 37 LSREG posunout a pΕ™ejΓ­t do stavu 38 LFREG posunout a pΕ™ejΓ­t do stavu 39 LMREG posunout a pΕ™ejΓ­t do stavu 40 LXREG posunout a pΕ™ejΓ­t do stavu 41 LSP posunout a pΕ™ejΓ­t do stavu 42 LNAME posunout a pΕ™ejΓ­t do stavu 43 LVAR posunout a pΕ™ejΓ­t do stavu 44 '(' posunout a pΕ™ejΓ­t do stavu 46 '$' posunout a pΕ™ejΓ­t do stavu 56 '~' posunout a pΕ™ejΓ­t do stavu 47 rem pΕ™ejΓ­t do stavu 58 rim pΕ™ejΓ­t do stavu 196 reg pΕ™ejΓ­t do stavu 50 imm pΕ™ejΓ­t do stavu 60 mem pΕ™ejΓ­t do stavu 51 omem pΕ™ejΓ­t do stavu 52 nmem pΕ™ejΓ­t do stavu 53 nam pΕ™ejΓ­t do stavu 54 con pΕ™ejΓ­t do stavu 55 State 151 50 spec5: rim ',' . rem 51 | rim ',' . rem ':' LLREG 65 rem: . reg 66 | . mem 78 reg: . LBREG 79 | . LFREG 80 | . LLREG 81 | . LMREG 82 | . LSP 83 | . LSREG 84 | . LXREG 93 mem: . omem 94 | . nmem 95 omem: . con 96 | . con '(' LLREG ')' 97 | . con '(' LSP ')' 98 | . con '(' LSREG ')' 99 | . con '(' LLREG '*' con ')' 100 | . con '(' LLREG ')' '(' LLREG '*' con ')' 101 | . con '(' LLREG ')' '(' LSREG '*' con ')' 102 | . '(' LLREG ')' 103 | . '(' LSP ')' 104 | . '(' LLREG '*' con ')' 105 | . '(' LLREG ')' '(' LLREG '*' con ')' 106 nmem: . nam 107 | . nam '(' LLREG '*' con ')' 108 nam: . LNAME offset '(' pointer ')' 109 | . LNAME '<' '>' offset '(' LSB ')' 116 con: . LCONST 117 | . LVAR 118 | . '-' con 119 | . '+' con 120 | . '~' con 121 | . '(' expr ')' '+' posunout a pΕ™ejΓ­t do stavu 33 '-' posunout a pΕ™ejΓ­t do stavu 34 LCONST posunout a pΕ™ejΓ­t do stavu 35 LBREG posunout a pΕ™ejΓ­t do stavu 36 LLREG posunout a pΕ™ejΓ­t do stavu 37 LSREG posunout a pΕ™ejΓ­t do stavu 38 LFREG posunout a pΕ™ejΓ­t do stavu 39 LMREG posunout a pΕ™ejΓ­t do stavu 40 LXREG posunout a pΕ™ejΓ­t do stavu 41 LSP posunout a pΕ™ejΓ­t do stavu 42 LNAME posunout a pΕ™ejΓ­t do stavu 43 LVAR posunout a pΕ™ejΓ­t do stavu 44 '(' posunout a pΕ™ejΓ­t do stavu 46 '~' posunout a pΕ™ejΓ­t do stavu 47 rem pΕ™ejΓ­t do stavu 197 reg pΕ™ejΓ­t do stavu 50 mem pΕ™ejΓ­t do stavu 51 omem pΕ™ejΓ­t do stavu 52 nmem pΕ™ejΓ­t do stavu 53 nam pΕ™ejΓ­t do stavu 54 con pΕ™ejΓ­t do stavu 55 State 152 52 spec6: rim ',' . rem 53 | rim ',' . rem ':' LSREG 65 rem: . reg 66 | . mem 78 reg: . LBREG 79 | . LFREG 80 | . LLREG 81 | . LMREG 82 | . LSP 83 | . LSREG 84 | . LXREG 93 mem: . omem 94 | . nmem 95 omem: . con 96 | . con '(' LLREG ')' 97 | . con '(' LSP ')' 98 | . con '(' LSREG ')' 99 | . con '(' LLREG '*' con ')' 100 | . con '(' LLREG ')' '(' LLREG '*' con ')' 101 | . con '(' LLREG ')' '(' LSREG '*' con ')' 102 | . '(' LLREG ')' 103 | . '(' LSP ')' 104 | . '(' LLREG '*' con ')' 105 | . '(' LLREG ')' '(' LLREG '*' con ')' 106 nmem: . nam 107 | . nam '(' LLREG '*' con ')' 108 nam: . LNAME offset '(' pointer ')' 109 | . LNAME '<' '>' offset '(' LSB ')' 116 con: . LCONST 117 | . LVAR 118 | . '-' con 119 | . '+' con 120 | . '~' con 121 | . '(' expr ')' '+' posunout a pΕ™ejΓ­t do stavu 33 '-' posunout a pΕ™ejΓ­t do stavu 34 LCONST posunout a pΕ™ejΓ­t do stavu 35 LBREG posunout a pΕ™ejΓ­t do stavu 36 LLREG posunout a pΕ™ejΓ­t do stavu 37 LSREG posunout a pΕ™ejΓ­t do stavu 38 LFREG posunout a pΕ™ejΓ­t do stavu 39 LMREG posunout a pΕ™ejΓ­t do stavu 40 LXREG posunout a pΕ™ejΓ­t do stavu 41 LSP posunout a pΕ™ejΓ­t do stavu 42 LNAME posunout a pΕ™ejΓ­t do stavu 43 LVAR posunout a pΕ™ejΓ­t do stavu 44 '(' posunout a pΕ™ejΓ­t do stavu 46 '~' posunout a pΕ™ejΓ­t do stavu 47 rem pΕ™ejΓ­t do stavu 198 reg pΕ™ejΓ­t do stavu 50 mem pΕ™ejΓ­t do stavu 51 omem pΕ™ejΓ­t do stavu 52 nmem pΕ™ejΓ­t do stavu 53 nam pΕ™ejΓ­t do stavu 54 con pΕ™ejΓ­t do stavu 55 State 153 54 spec7: rim ',' . [';'] 56 | rim ',' . rem 65 rem: . reg 66 | . mem 78 reg: . LBREG 79 | . LFREG 80 | . LLREG 81 | . LMREG 82 | . LSP 83 | . LSREG 84 | . LXREG 93 mem: . omem 94 | . nmem 95 omem: . con 96 | . con '(' LLREG ')' 97 | . con '(' LSP ')' 98 | . con '(' LSREG ')' 99 | . con '(' LLREG '*' con ')' 100 | . con '(' LLREG ')' '(' LLREG '*' con ')' 101 | . con '(' LLREG ')' '(' LSREG '*' con ')' 102 | . '(' LLREG ')' 103 | . '(' LSP ')' 104 | . '(' LLREG '*' con ')' 105 | . '(' LLREG ')' '(' LLREG '*' con ')' 106 nmem: . nam 107 | . nam '(' LLREG '*' con ')' 108 nam: . LNAME offset '(' pointer ')' 109 | . LNAME '<' '>' offset '(' LSB ')' 116 con: . LCONST 117 | . LVAR 118 | . '-' con 119 | . '+' con 120 | . '~' con 121 | . '(' expr ')' '+' posunout a pΕ™ejΓ­t do stavu 33 '-' posunout a pΕ™ejΓ­t do stavu 34 LCONST posunout a pΕ™ejΓ­t do stavu 35 LBREG posunout a pΕ™ejΓ­t do stavu 36 LLREG posunout a pΕ™ejΓ­t do stavu 37 LSREG posunout a pΕ™ejΓ­t do stavu 38 LFREG posunout a pΕ™ejΓ­t do stavu 39 LMREG posunout a pΕ™ejΓ­t do stavu 40 LXREG posunout a pΕ™ejΓ­t do stavu 41 LSP posunout a pΕ™ejΓ­t do stavu 42 LNAME posunout a pΕ™ejΓ­t do stavu 43 LVAR posunout a pΕ™ejΓ­t do stavu 44 '(' posunout a pΕ™ejΓ­t do stavu 46 '~' posunout a pΕ™ejΓ­t do stavu 47 $vΓ½chozΓ­ reduce using rule 54 (spec7) rem pΕ™ejΓ­t do stavu 199 reg pΕ™ejΓ­t do stavu 50 mem pΕ™ejΓ­t do stavu 51 omem pΕ™ejΓ­t do stavu 52 nmem pΕ™ejΓ­t do stavu 53 nam pΕ™ejΓ­t do stavu 54 con pΕ™ejΓ­t do stavu 55 State 154 57 spec8: reg ',' . rem ',' con 65 rem: . reg 66 | . mem 78 reg: . LBREG 79 | . LFREG 80 | . LLREG 81 | . LMREG 82 | . LSP 83 | . LSREG 84 | . LXREG 93 mem: . omem 94 | . nmem 95 omem: . con 96 | . con '(' LLREG ')' 97 | . con '(' LSP ')' 98 | . con '(' LSREG ')' 99 | . con '(' LLREG '*' con ')' 100 | . con '(' LLREG ')' '(' LLREG '*' con ')' 101 | . con '(' LLREG ')' '(' LSREG '*' con ')' 102 | . '(' LLREG ')' 103 | . '(' LSP ')' 104 | . '(' LLREG '*' con ')' 105 | . '(' LLREG ')' '(' LLREG '*' con ')' 106 nmem: . nam 107 | . nam '(' LLREG '*' con ')' 108 nam: . LNAME offset '(' pointer ')' 109 | . LNAME '<' '>' offset '(' LSB ')' 116 con: . LCONST 117 | . LVAR 118 | . '-' con 119 | . '+' con 120 | . '~' con 121 | . '(' expr ')' '+' posunout a pΕ™ejΓ­t do stavu 33 '-' posunout a pΕ™ejΓ­t do stavu 34 LCONST posunout a pΕ™ejΓ­t do stavu 35 LBREG posunout a pΕ™ejΓ­t do stavu 36 LLREG posunout a pΕ™ejΓ­t do stavu 37 LSREG posunout a pΕ™ejΓ­t do stavu 38 LFREG posunout a pΕ™ejΓ­t do stavu 39 LMREG posunout a pΕ™ejΓ­t do stavu 40 LXREG posunout a pΕ™ejΓ­t do stavu 41 LSP posunout a pΕ™ejΓ­t do stavu 42 LNAME posunout a pΕ™ejΓ­t do stavu 43 LVAR posunout a pΕ™ejΓ­t do stavu 44 '(' posunout a pΕ™ejΓ­t do stavu 46 '~' posunout a pΕ™ejΓ­t do stavu 47 rem pΕ™ejΓ­t do stavu 200 reg pΕ™ejΓ­t do stavu 50 mem pΕ™ejΓ­t do stavu 51 omem pΕ™ejΓ­t do stavu 52 nmem pΕ™ejΓ­t do stavu 53 nam pΕ™ejΓ­t do stavu 54 con pΕ™ejΓ­t do stavu 55 State 155 58 spec9: imm ',' . rem ',' reg 65 rem: . reg 66 | . mem 78 reg: . LBREG 79 | . LFREG 80 | . LLREG 81 | . LMREG 82 | . LSP 83 | . LSREG 84 | . LXREG 93 mem: . omem 94 | . nmem 95 omem: . con 96 | . con '(' LLREG ')' 97 | . con '(' LSP ')' 98 | . con '(' LSREG ')' 99 | . con '(' LLREG '*' con ')' 100 | . con '(' LLREG ')' '(' LLREG '*' con ')' 101 | . con '(' LLREG ')' '(' LSREG '*' con ')' 102 | . '(' LLREG ')' 103 | . '(' LSP ')' 104 | . '(' LLREG '*' con ')' 105 | . '(' LLREG ')' '(' LLREG '*' con ')' 106 nmem: . nam 107 | . nam '(' LLREG '*' con ')' 108 nam: . LNAME offset '(' pointer ')' 109 | . LNAME '<' '>' offset '(' LSB ')' 116 con: . LCONST 117 | . LVAR 118 | . '-' con 119 | . '+' con 120 | . '~' con 121 | . '(' expr ')' '+' posunout a pΕ™ejΓ­t do stavu 33 '-' posunout a pΕ™ejΓ­t do stavu 34 LCONST posunout a pΕ™ejΓ­t do stavu 35 LBREG posunout a pΕ™ejΓ­t do stavu 36 LLREG posunout a pΕ™ejΓ­t do stavu 37 LSREG posunout a pΕ™ejΓ­t do stavu 38 LFREG posunout a pΕ™ejΓ­t do stavu 39 LMREG posunout a pΕ™ejΓ­t do stavu 40 LXREG posunout a pΕ™ejΓ­t do stavu 41 LSP posunout a pΕ™ejΓ­t do stavu 42 LNAME posunout a pΕ™ejΓ­t do stavu 43 LVAR posunout a pΕ™ejΓ­t do stavu 44 '(' posunout a pΕ™ejΓ­t do stavu 46 '~' posunout a pΕ™ejΓ­t do stavu 47 rem pΕ™ejΓ­t do stavu 201 reg pΕ™ejΓ­t do stavu 50 mem pΕ™ejΓ­t do stavu 51 omem pΕ™ejΓ­t do stavu 52 nmem pΕ™ejΓ­t do stavu 53 nam pΕ™ejΓ­t do stavu 54 con pΕ™ejΓ­t do stavu 55 State 156 64 spec13: rim ',' . rim 65 rem: . reg 66 | . mem 73 rim: . rem 74 | . imm 78 reg: . LBREG 79 | . LFREG 80 | . LLREG 81 | . LMREG 82 | . LSP 83 | . LSREG 84 | . LXREG 86 imm: . '$' con 87 | . '$' nam 88 | . '$' LSCONST 89 | . '$' LFCONST 90 | . '$' '(' LFCONST ')' 91 | . '$' '(' '-' LFCONST ')' 92 | . '$' '-' LFCONST 93 mem: . omem 94 | . nmem 95 omem: . con 96 | . con '(' LLREG ')' 97 | . con '(' LSP ')' 98 | . con '(' LSREG ')' 99 | . con '(' LLREG '*' con ')' 100 | . con '(' LLREG ')' '(' LLREG '*' con ')' 101 | . con '(' LLREG ')' '(' LSREG '*' con ')' 102 | . '(' LLREG ')' 103 | . '(' LSP ')' 104 | . '(' LLREG '*' con ')' 105 | . '(' LLREG ')' '(' LLREG '*' con ')' 106 nmem: . nam 107 | . nam '(' LLREG '*' con ')' 108 nam: . LNAME offset '(' pointer ')' 109 | . LNAME '<' '>' offset '(' LSB ')' 116 con: . LCONST 117 | . LVAR 118 | . '-' con 119 | . '+' con 120 | . '~' con 121 | . '(' expr ')' '+' posunout a pΕ™ejΓ­t do stavu 33 '-' posunout a pΕ™ejΓ­t do stavu 34 LCONST posunout a pΕ™ejΓ­t do stavu 35 LBREG posunout a pΕ™ejΓ­t do stavu 36 LLREG posunout a pΕ™ejΓ­t do stavu 37 LSREG posunout a pΕ™ejΓ­t do stavu 38 LFREG posunout a pΕ™ejΓ­t do stavu 39 LMREG posunout a pΕ™ejΓ­t do stavu 40 LXREG posunout a pΕ™ejΓ­t do stavu 41 LSP posunout a pΕ™ejΓ­t do stavu 42 LNAME posunout a pΕ™ejΓ­t do stavu 43 LVAR posunout a pΕ™ejΓ­t do stavu 44 '(' posunout a pΕ™ejΓ­t do stavu 46 '$' posunout a pΕ™ejΓ­t do stavu 56 '~' posunout a pΕ™ejΓ­t do stavu 47 rem pΕ™ejΓ­t do stavu 58 rim pΕ™ejΓ­t do stavu 202 reg pΕ™ejΓ­t do stavu 50 imm pΕ™ejΓ­t do stavu 60 mem pΕ™ejΓ­t do stavu 51 omem pΕ™ejΓ­t do stavu 52 nmem pΕ™ejΓ­t do stavu 53 nam pΕ™ejΓ­t do stavu 54 con pΕ™ejΓ­t do stavu 55 State 157 5 line: . LLAB ':' $@2 line 7 | . LNAME ':' $@3 line 7 | LNAME ':' $@3 . line 8 | . ';' 9 | . inst ';' 10 | . error ';' 11 inst: . LNAME '=' expr 12 | . LVAR '=' expr 13 | . LTYPE0 nonnon 14 | . LTYPE1 nonrem 15 | . LTYPE2 rimnon 16 | . LTYPE3 rimrem 17 | . LTYPE4 remrim 18 | . LTYPER nonrel 19 | . LTYPED spec1 20 | . LTYPET spec2 21 | . LTYPEC spec3 22 | . LTYPEN spec4 23 | . LTYPES spec5 24 | . LTYPEM spec6 25 | . LTYPEI spec7 26 | . LTYPEXC spec8 27 | . LTYPEX spec9 28 | . LTYPERT spec10 29 | . LTYPEG spec11 30 | . LTYPEPC spec12 31 | . LTYPEF spec13 error posunout a pΕ™ejΓ­t do stavu 4 LTYPE0 posunout a pΕ™ejΓ­t do stavu 5 LTYPE1 posunout a pΕ™ejΓ­t do stavu 6 LTYPE2 posunout a pΕ™ejΓ­t do stavu 7 LTYPE3 posunout a pΕ™ejΓ­t do stavu 8 LTYPE4 posunout a pΕ™ejΓ­t do stavu 9 LTYPEC posunout a pΕ™ejΓ­t do stavu 10 LTYPED posunout a pΕ™ejΓ­t do stavu 11 LTYPEN posunout a pΕ™ejΓ­t do stavu 12 LTYPER posunout a pΕ™ejΓ­t do stavu 13 LTYPET posunout a pΕ™ejΓ­t do stavu 14 LTYPEG posunout a pΕ™ejΓ­t do stavu 15 LTYPEPC posunout a pΕ™ejΓ­t do stavu 16 LTYPES posunout a pΕ™ejΓ­t do stavu 17 LTYPEM posunout a pΕ™ejΓ­t do stavu 18 LTYPEI posunout a pΕ™ejΓ­t do stavu 19 LTYPEXC posunout a pΕ™ejΓ­t do stavu 20 LTYPEX posunout a pΕ™ejΓ­t do stavu 21 LTYPERT posunout a pΕ™ejΓ­t do stavu 22 LTYPEF posunout a pΕ™ejΓ­t do stavu 23 LNAME posunout a pΕ™ejΓ­t do stavu 24 LLAB posunout a pΕ™ejΓ­t do stavu 25 LVAR posunout a pΕ™ejΓ­t do stavu 26 ';' posunout a pΕ™ejΓ­t do stavu 27 line pΕ™ejΓ­t do stavu 203 inst pΕ™ejΓ­t do stavu 29 State 158 11 inst: LNAME '=' expr . [';'] 127 expr: expr . '+' expr 128 | expr . '-' expr 129 | expr . '*' expr 130 | expr . '/' expr 131 | expr . '%' expr 132 | expr . '<' '<' expr 133 | expr . '>' '>' expr 134 | expr . '&' expr 135 | expr . '^' expr 136 | expr . '|' expr '|' posunout a pΕ™ejΓ­t do stavu 168 '^' posunout a pΕ™ejΓ­t do stavu 169 '&' posunout a pΕ™ejΓ­t do stavu 170 '<' posunout a pΕ™ejΓ­t do stavu 171 '>' posunout a pΕ™ejΓ­t do stavu 172 '+' posunout a pΕ™ejΓ­t do stavu 173 '-' posunout a pΕ™ejΓ­t do stavu 174 '*' posunout a pΕ™ejΓ­t do stavu 175 '/' posunout a pΕ™ejΓ­t do stavu 176 '%' posunout a pΕ™ejΓ­t do stavu 177 $vΓ½chozΓ­ reduce using rule 11 (inst) State 159 5 line: . LLAB ':' $@2 line 5 | LLAB ':' $@2 . line 7 | . LNAME ':' $@3 line 8 | . ';' 9 | . inst ';' 10 | . error ';' 11 inst: . LNAME '=' expr 12 | . LVAR '=' expr 13 | . LTYPE0 nonnon 14 | . LTYPE1 nonrem 15 | . LTYPE2 rimnon 16 | . LTYPE3 rimrem 17 | . LTYPE4 remrim 18 | . LTYPER nonrel 19 | . LTYPED spec1 20 | . LTYPET spec2 21 | . LTYPEC spec3 22 | . LTYPEN spec4 23 | . LTYPES spec5 24 | . LTYPEM spec6 25 | . LTYPEI spec7 26 | . LTYPEXC spec8 27 | . LTYPEX spec9 28 | . LTYPERT spec10 29 | . LTYPEG spec11 30 | . LTYPEPC spec12 31 | . LTYPEF spec13 error posunout a pΕ™ejΓ­t do stavu 4 LTYPE0 posunout a pΕ™ejΓ­t do stavu 5 LTYPE1 posunout a pΕ™ejΓ­t do stavu 6 LTYPE2 posunout a pΕ™ejΓ­t do stavu 7 LTYPE3 posunout a pΕ™ejΓ­t do stavu 8 LTYPE4 posunout a pΕ™ejΓ­t do stavu 9 LTYPEC posunout a pΕ™ejΓ­t do stavu 10 LTYPED posunout a pΕ™ejΓ­t do stavu 11 LTYPEN posunout a pΕ™ejΓ­t do stavu 12 LTYPER posunout a pΕ™ejΓ­t do stavu 13 LTYPET posunout a pΕ™ejΓ­t do stavu 14 LTYPEG posunout a pΕ™ejΓ­t do stavu 15 LTYPEPC posunout a pΕ™ejΓ­t do stavu 16 LTYPES posunout a pΕ™ejΓ­t do stavu 17 LTYPEM posunout a pΕ™ejΓ­t do stavu 18 LTYPEI posunout a pΕ™ejΓ­t do stavu 19 LTYPEXC posunout a pΕ™ejΓ­t do stavu 20 LTYPEX posunout a pΕ™ejΓ­t do stavu 21 LTYPERT posunout a pΕ™ejΓ­t do stavu 22 LTYPEF posunout a pΕ™ejΓ­t do stavu 23 LNAME posunout a pΕ™ejΓ­t do stavu 24 LLAB posunout a pΕ™ejΓ­t do stavu 25 LVAR posunout a pΕ™ejΓ­t do stavu 26 ';' posunout a pΕ™ejΓ­t do stavu 27 line pΕ™ejΓ­t do stavu 204 inst pΕ™ejΓ­t do stavu 29 State 160 12 inst: LVAR '=' expr . [';'] 127 expr: expr . '+' expr 128 | expr . '-' expr 129 | expr . '*' expr 130 | expr . '/' expr 131 | expr . '%' expr 132 | expr . '<' '<' expr 133 | expr . '>' '>' expr 134 | expr . '&' expr 135 | expr . '^' expr 136 | expr . '|' expr '|' posunout a pΕ™ejΓ­t do stavu 168 '^' posunout a pΕ™ejΓ­t do stavu 169 '&' posunout a pΕ™ejΓ­t do stavu 170 '<' posunout a pΕ™ejΓ­t do stavu 171 '>' posunout a pΕ™ejΓ­t do stavu 172 '+' posunout a pΕ™ejΓ­t do stavu 173 '-' posunout a pΕ™ejΓ­t do stavu 174 '*' posunout a pΕ™ejΓ­t do stavu 175 '/' posunout a pΕ™ejΓ­t do stavu 176 '%' posunout a pΕ™ejΓ­t do stavu 177 $vΓ½chozΓ­ reduce using rule 12 (inst) State 161 109 nam: LNAME '<' '>' . offset '(' LSB ')' 110 offset: . %empty ['('] 111 | . '+' con 112 | . '-' con '+' posunout a pΕ™ejΓ­t do stavu 117 '-' posunout a pΕ™ejΓ­t do stavu 118 $vΓ½chozΓ­ reduce using rule 110 (offset) offset pΕ™ejΓ­t do stavu 205 State 162 111 offset: '+' con . $vΓ½chozΓ­ reduce using rule 111 (offset) State 163 112 offset: '-' con . $vΓ½chozΓ­ reduce using rule 112 (offset) State 164 108 nam: LNAME offset '(' . pointer ')' 113 pointer: . LSB 114 | . LSP 115 | . LFP LFP posunout a pΕ™ejΓ­t do stavu 206 LSB posunout a pΕ™ejΓ­t do stavu 207 LSP posunout a pΕ™ejΓ­t do stavu 208 pointer pΕ™ejΓ­t do stavu 209 State 165 104 omem: '(' LLREG '*' . con ')' 116 con: . LCONST 117 | . LVAR 118 | . '-' con 119 | . '+' con 120 | . '~' con 121 | . '(' expr ')' '+' posunout a pΕ™ejΓ­t do stavu 33 '-' posunout a pΕ™ejΓ­t do stavu 34 LCONST posunout a pΕ™ejΓ­t do stavu 35 LVAR posunout a pΕ™ejΓ­t do stavu 44 '(' posunout a pΕ™ejΓ­t do stavu 84 '~' posunout a pΕ™ejΓ­t do stavu 47 con pΕ™ejΓ­t do stavu 210 State 166 102 omem: '(' LLREG ')' . [':', ';', ','] 105 | '(' LLREG ')' . '(' LLREG '*' con ')' '(' posunout a pΕ™ejΓ­t do stavu 211 $vΓ½chozΓ­ reduce using rule 102 (omem) State 167 103 omem: '(' LSP ')' . $vΓ½chozΓ­ reduce using rule 103 (omem) State 168 116 con: . LCONST 117 | . LVAR 118 | . '-' con 119 | . '+' con 120 | . '~' con 121 | . '(' expr ')' 126 expr: . con 127 | . expr '+' expr 128 | . expr '-' expr 129 | . expr '*' expr 130 | . expr '/' expr 131 | . expr '%' expr 132 | . expr '<' '<' expr 133 | . expr '>' '>' expr 134 | . expr '&' expr 135 | . expr '^' expr 136 | . expr '|' expr 136 | expr '|' . expr '+' posunout a pΕ™ejΓ­t do stavu 33 '-' posunout a pΕ™ejΓ­t do stavu 34 LCONST posunout a pΕ™ejΓ­t do stavu 35 LVAR posunout a pΕ™ejΓ­t do stavu 44 '(' posunout a pΕ™ejΓ­t do stavu 84 '~' posunout a pΕ™ejΓ­t do stavu 47 con pΕ™ejΓ­t do stavu 123 expr pΕ™ejΓ­t do stavu 212 State 169 116 con: . LCONST 117 | . LVAR 118 | . '-' con 119 | . '+' con 120 | . '~' con 121 | . '(' expr ')' 126 expr: . con 127 | . expr '+' expr 128 | . expr '-' expr 129 | . expr '*' expr 130 | . expr '/' expr 131 | . expr '%' expr 132 | . expr '<' '<' expr 133 | . expr '>' '>' expr 134 | . expr '&' expr 135 | . expr '^' expr 135 | expr '^' . expr 136 | . expr '|' expr '+' posunout a pΕ™ejΓ­t do stavu 33 '-' posunout a pΕ™ejΓ­t do stavu 34 LCONST posunout a pΕ™ejΓ­t do stavu 35 LVAR posunout a pΕ™ejΓ­t do stavu 44 '(' posunout a pΕ™ejΓ­t do stavu 84 '~' posunout a pΕ™ejΓ­t do stavu 47 con pΕ™ejΓ­t do stavu 123 expr pΕ™ejΓ­t do stavu 213 State 170 116 con: . LCONST 117 | . LVAR 118 | . '-' con 119 | . '+' con 120 | . '~' con 121 | . '(' expr ')' 126 expr: . con 127 | . expr '+' expr 128 | . expr '-' expr 129 | . expr '*' expr 130 | . expr '/' expr 131 | . expr '%' expr 132 | . expr '<' '<' expr 133 | . expr '>' '>' expr 134 | . expr '&' expr 134 | expr '&' . expr 135 | . expr '^' expr 136 | . expr '|' expr '+' posunout a pΕ™ejΓ­t do stavu 33 '-' posunout a pΕ™ejΓ­t do stavu 34 LCONST posunout a pΕ™ejΓ­t do stavu 35 LVAR posunout a pΕ™ejΓ­t do stavu 44 '(' posunout a pΕ™ejΓ­t do stavu 84 '~' posunout a pΕ™ejΓ­t do stavu 47 con pΕ™ejΓ­t do stavu 123 expr pΕ™ejΓ­t do stavu 214 State 171 132 expr: expr '<' . '<' expr '<' posunout a pΕ™ejΓ­t do stavu 215 State 172 133 expr: expr '>' . '>' expr '>' posunout a pΕ™ejΓ­t do stavu 216 State 173 116 con: . LCONST 117 | . LVAR 118 | . '-' con 119 | . '+' con 120 | . '~' con 121 | . '(' expr ')' 126 expr: . con 127 | . expr '+' expr 127 | expr '+' . expr 128 | . expr '-' expr 129 | . expr '*' expr 130 | . expr '/' expr 131 | . expr '%' expr 132 | . expr '<' '<' expr 133 | . expr '>' '>' expr 134 | . expr '&' expr 135 | . expr '^' expr 136 | . expr '|' expr '+' posunout a pΕ™ejΓ­t do stavu 33 '-' posunout a pΕ™ejΓ­t do stavu 34 LCONST posunout a pΕ™ejΓ­t do stavu 35 LVAR posunout a pΕ™ejΓ­t do stavu 44 '(' posunout a pΕ™ejΓ­t do stavu 84 '~' posunout a pΕ™ejΓ­t do stavu 47 con pΕ™ejΓ­t do stavu 123 expr pΕ™ejΓ­t do stavu 217 State 174 116 con: . LCONST 117 | . LVAR 118 | . '-' con 119 | . '+' con 120 | . '~' con 121 | . '(' expr ')' 126 expr: . con 127 | . expr '+' expr 128 | . expr '-' expr 128 | expr '-' . expr 129 | . expr '*' expr 130 | . expr '/' expr 131 | . expr '%' expr 132 | . expr '<' '<' expr 133 | . expr '>' '>' expr 134 | . expr '&' expr 135 | . expr '^' expr 136 | . expr '|' expr '+' posunout a pΕ™ejΓ­t do stavu 33 '-' posunout a pΕ™ejΓ­t do stavu 34 LCONST posunout a pΕ™ejΓ­t do stavu 35 LVAR posunout a pΕ™ejΓ­t do stavu 44 '(' posunout a pΕ™ejΓ­t do stavu 84 '~' posunout a pΕ™ejΓ­t do stavu 47 con pΕ™ejΓ­t do stavu 123 expr pΕ™ejΓ­t do stavu 218 State 175 116 con: . LCONST 117 | . LVAR 118 | . '-' con 119 | . '+' con 120 | . '~' con 121 | . '(' expr ')' 126 expr: . con 127 | . expr '+' expr 128 | . expr '-' expr 129 | . expr '*' expr 129 | expr '*' . expr 130 | . expr '/' expr 131 | . expr '%' expr 132 | . expr '<' '<' expr 133 | . expr '>' '>' expr 134 | . expr '&' expr 135 | . expr '^' expr 136 | . expr '|' expr '+' posunout a pΕ™ejΓ­t do stavu 33 '-' posunout a pΕ™ejΓ­t do stavu 34 LCONST posunout a pΕ™ejΓ­t do stavu 35 LVAR posunout a pΕ™ejΓ­t do stavu 44 '(' posunout a pΕ™ejΓ­t do stavu 84 '~' posunout a pΕ™ejΓ­t do stavu 47 con pΕ™ejΓ­t do stavu 123 expr pΕ™ejΓ­t do stavu 219 State 176 116 con: . LCONST 117 | . LVAR 118 | . '-' con 119 | . '+' con 120 | . '~' con 121 | . '(' expr ')' 126 expr: . con 127 | . expr '+' expr 128 | . expr '-' expr 129 | . expr '*' expr 130 | . expr '/' expr 130 | expr '/' . expr 131 | . expr '%' expr 132 | . expr '<' '<' expr 133 | . expr '>' '>' expr 134 | . expr '&' expr 135 | . expr '^' expr 136 | . expr '|' expr '+' posunout a pΕ™ejΓ­t do stavu 33 '-' posunout a pΕ™ejΓ­t do stavu 34 LCONST posunout a pΕ™ejΓ­t do stavu 35 LVAR posunout a pΕ™ejΓ­t do stavu 44 '(' posunout a pΕ™ejΓ­t do stavu 84 '~' posunout a pΕ™ejΓ­t do stavu 47 con pΕ™ejΓ­t do stavu 123 expr pΕ™ejΓ­t do stavu 220 State 177 116 con: . LCONST 117 | . LVAR 118 | . '-' con 119 | . '+' con 120 | . '~' con 121 | . '(' expr ')' 126 expr: . con 127 | . expr '+' expr 128 | . expr '-' expr 129 | . expr '*' expr 130 | . expr '/' expr 131 | . expr '%' expr 131 | expr '%' . expr 132 | . expr '<' '<' expr 133 | . expr '>' '>' expr 134 | . expr '&' expr 135 | . expr '^' expr 136 | . expr '|' expr '+' posunout a pΕ™ejΓ­t do stavu 33 '-' posunout a pΕ™ejΓ­t do stavu 34 LCONST posunout a pΕ™ejΓ­t do stavu 35 LVAR posunout a pΕ™ejΓ­t do stavu 44 '(' posunout a pΕ™ejΓ­t do stavu 84 '~' posunout a pΕ™ejΓ­t do stavu 47 con pΕ™ejΓ­t do stavu 123 expr pΕ™ejΓ­t do stavu 221 State 178 121 con: '(' expr ')' . $vΓ½chozΓ­ reduce using rule 121 (con) State 179 107 nmem: nam '(' LLREG . '*' con ')' '*' posunout a pΕ™ejΓ­t do stavu 222 State 180 96 omem: con '(' LLREG . ')' 99 | con '(' LLREG . '*' con ')' 100 | con '(' LLREG . ')' '(' LLREG '*' con ')' 101 | con '(' LLREG . ')' '(' LSREG '*' con ')' '*' posunout a pΕ™ejΓ­t do stavu 223 ')' posunout a pΕ™ejΓ­t do stavu 224 State 181 98 omem: con '(' LSREG . ')' ')' posunout a pΕ™ejΓ­t do stavu 225 State 182 97 omem: con '(' LSP . ')' ')' posunout a pΕ™ejΓ­t do stavu 226 State 183 92 imm: '$' '-' LFCONST . $vΓ½chozΓ­ reduce using rule 92 (imm) State 184 91 imm: '$' '(' '-' . LFCONST ')' 116 con: . LCONST 117 | . LVAR 118 | . '-' con 118 | '-' . con 119 | . '+' con 120 | . '~' con 121 | . '(' expr ')' '+' posunout a pΕ™ejΓ­t do stavu 33 '-' posunout a pΕ™ejΓ­t do stavu 34 LCONST posunout a pΕ™ejΓ­t do stavu 35 LFCONST posunout a pΕ™ejΓ­t do stavu 227 LVAR posunout a pΕ™ejΓ­t do stavu 44 '(' posunout a pΕ™ejΓ­t do stavu 84 '~' posunout a pΕ™ejΓ­t do stavu 47 con pΕ™ejΓ­t do stavu 115 State 185 90 imm: '$' '(' LFCONST . ')' ')' posunout a pΕ™ejΓ­t do stavu 228 State 186 34 rimrem: rim ',' rem . $vΓ½chozΓ­ reduce using rule 34 (rimrem) State 187 35 remrim: rem ',' rim . $vΓ½chozΓ­ reduce using rule 35 (remrim) State 188 75 rel: con '(' LPC . ')' ')' posunout a pΕ™ejΓ­t do stavu 229 State 189 43 spec1: nam '/' con . ',' imm ',' posunout a pΕ™ejΓ­t do stavu 230 State 190 42 nonrel: imm ',' rel . $vΓ½chozΓ­ reduce using rule 42 (nonrel) State 191 85 imm2: '$' . con2 122 con2: . LCONST 123 | . '-' LCONST 124 | . LCONST '-' LCONST 125 | . '-' LCONST '-' LCONST '-' posunout a pΕ™ejΓ­t do stavu 231 LCONST posunout a pΕ™ejΓ­t do stavu 232 con2 pΕ™ejΓ­t do stavu 233 State 192 44 spec2: mem ',' imm2 . $vΓ½chozΓ­ reduce using rule 44 (spec2) State 193 45 spec2: mem ',' con . ',' imm2 ',' posunout a pΕ™ejΓ­t do stavu 234 State 194 61 spec11: mem ',' imm . $vΓ½chozΓ­ reduce using rule 61 (spec11) State 195 62 spec11: mem ',' con . ',' imm ',' posunout a pΕ™ejΓ­t do stavu 235 State 196 63 spec12: rim ',' rim . $vΓ½chozΓ­ reduce using rule 63 (spec12) State 197 50 spec5: rim ',' rem . [';'] 51 | rim ',' rem . ':' LLREG ':' posunout a pΕ™ejΓ­t do stavu 236 $vΓ½chozΓ­ reduce using rule 50 (spec5) State 198 52 spec6: rim ',' rem . [';'] 53 | rim ',' rem . ':' LSREG ':' posunout a pΕ™ejΓ­t do stavu 237 $vΓ½chozΓ­ reduce using rule 52 (spec6) State 199 56 spec7: rim ',' rem . $vΓ½chozΓ­ reduce using rule 56 (spec7) State 200 57 spec8: reg ',' rem . ',' con ',' posunout a pΕ™ejΓ­t do stavu 238 State 201 58 spec9: imm ',' rem . ',' reg ',' posunout a pΕ™ejΓ­t do stavu 239 State 202 64 spec13: rim ',' rim . $vΓ½chozΓ­ reduce using rule 64 (spec13) State 203 7 line: LNAME ':' $@3 line . $vΓ½chozΓ­ reduce using rule 7 (line) State 204 5 line: LLAB ':' $@2 line . $vΓ½chozΓ­ reduce using rule 5 (line) State 205 109 nam: LNAME '<' '>' offset . '(' LSB ')' '(' posunout a pΕ™ejΓ­t do stavu 240 State 206 115 pointer: LFP . $vΓ½chozΓ­ reduce using rule 115 (pointer) State 207 113 pointer: LSB . $vΓ½chozΓ­ reduce using rule 113 (pointer) State 208 114 pointer: LSP . $vΓ½chozΓ­ reduce using rule 114 (pointer) State 209 108 nam: LNAME offset '(' pointer . ')' ')' posunout a pΕ™ejΓ­t do stavu 241 State 210 104 omem: '(' LLREG '*' con . ')' ')' posunout a pΕ™ejΓ­t do stavu 242 State 211 105 omem: '(' LLREG ')' '(' . LLREG '*' con ')' LLREG posunout a pΕ™ejΓ­t do stavu 243 State 212 127 expr: expr . '+' expr 128 | expr . '-' expr 129 | expr . '*' expr 130 | expr . '/' expr 131 | expr . '%' expr 132 | expr . '<' '<' expr 133 | expr . '>' '>' expr 134 | expr . '&' expr 135 | expr . '^' expr 136 | expr . '|' expr 136 | expr '|' expr . ['|', ';', ')'] '^' posunout a pΕ™ejΓ­t do stavu 169 '&' posunout a pΕ™ejΓ­t do stavu 170 '<' posunout a pΕ™ejΓ­t do stavu 171 '>' posunout a pΕ™ejΓ­t do stavu 172 '+' posunout a pΕ™ejΓ­t do stavu 173 '-' posunout a pΕ™ejΓ­t do stavu 174 '*' posunout a pΕ™ejΓ­t do stavu 175 '/' posunout a pΕ™ejΓ­t do stavu 176 '%' posunout a pΕ™ejΓ­t do stavu 177 $vΓ½chozΓ­ reduce using rule 136 (expr) Conflict between rule 136 and token '|' resolved as reduce (%left '|'). Conflict between rule 136 and token '^' resolved as shift ('|' < '^'). Conflict between rule 136 and token '&' resolved as shift ('|' < '&'). Conflict between rule 136 and token '<' resolved as shift ('|' < '<'). Conflict between rule 136 and token '>' resolved as shift ('|' < '>'). Conflict between rule 136 and token '+' resolved as shift ('|' < '+'). Conflict between rule 136 and token '-' resolved as shift ('|' < '-'). Conflict between rule 136 and token '*' resolved as shift ('|' < '*'). Conflict between rule 136 and token '/' resolved as shift ('|' < '/'). Conflict between rule 136 and token '%' resolved as shift ('|' < '%'). State 213 127 expr: expr . '+' expr 128 | expr . '-' expr 129 | expr . '*' expr 130 | expr . '/' expr 131 | expr . '%' expr 132 | expr . '<' '<' expr 133 | expr . '>' '>' expr 134 | expr . '&' expr 135 | expr . '^' expr 135 | expr '^' expr . ['|', '^', ';', ')'] 136 | expr . '|' expr '&' posunout a pΕ™ejΓ­t do stavu 170 '<' posunout a pΕ™ejΓ­t do stavu 171 '>' posunout a pΕ™ejΓ­t do stavu 172 '+' posunout a pΕ™ejΓ­t do stavu 173 '-' posunout a pΕ™ejΓ­t do stavu 174 '*' posunout a pΕ™ejΓ­t do stavu 175 '/' posunout a pΕ™ejΓ­t do stavu 176 '%' posunout a pΕ™ejΓ­t do stavu 177 $vΓ½chozΓ­ reduce using rule 135 (expr) Conflict between rule 135 and token '|' resolved as reduce ('|' < '^'). Conflict between rule 135 and token '^' resolved as reduce (%left '^'). Conflict between rule 135 and token '&' resolved as shift ('^' < '&'). Conflict between rule 135 and token '<' resolved as shift ('^' < '<'). Conflict between rule 135 and token '>' resolved as shift ('^' < '>'). Conflict between rule 135 and token '+' resolved as shift ('^' < '+'). Conflict between rule 135 and token '-' resolved as shift ('^' < '-'). Conflict between rule 135 and token '*' resolved as shift ('^' < '*'). Conflict between rule 135 and token '/' resolved as shift ('^' < '/'). Conflict between rule 135 and token '%' resolved as shift ('^' < '%'). State 214 127 expr: expr . '+' expr 128 | expr . '-' expr 129 | expr . '*' expr 130 | expr . '/' expr 131 | expr . '%' expr 132 | expr . '<' '<' expr 133 | expr . '>' '>' expr 134 | expr . '&' expr 134 | expr '&' expr . ['|', '^', '&', ';', ')'] 135 | expr . '^' expr 136 | expr . '|' expr '<' posunout a pΕ™ejΓ­t do stavu 171 '>' posunout a pΕ™ejΓ­t do stavu 172 '+' posunout a pΕ™ejΓ­t do stavu 173 '-' posunout a pΕ™ejΓ­t do stavu 174 '*' posunout a pΕ™ejΓ­t do stavu 175 '/' posunout a pΕ™ejΓ­t do stavu 176 '%' posunout a pΕ™ejΓ­t do stavu 177 $vΓ½chozΓ­ reduce using rule 134 (expr) Conflict between rule 134 and token '|' resolved as reduce ('|' < '&'). Conflict between rule 134 and token '^' resolved as reduce ('^' < '&'). Conflict between rule 134 and token '&' resolved as reduce (%left '&'). Conflict between rule 134 and token '<' resolved as shift ('&' < '<'). Conflict between rule 134 and token '>' resolved as shift ('&' < '>'). Conflict between rule 134 and token '+' resolved as shift ('&' < '+'). Conflict between rule 134 and token '-' resolved as shift ('&' < '-'). Conflict between rule 134 and token '*' resolved as shift ('&' < '*'). Conflict between rule 134 and token '/' resolved as shift ('&' < '/'). Conflict between rule 134 and token '%' resolved as shift ('&' < '%'). State 215 116 con: . LCONST 117 | . LVAR 118 | . '-' con 119 | . '+' con 120 | . '~' con 121 | . '(' expr ')' 126 expr: . con 127 | . expr '+' expr 128 | . expr '-' expr 129 | . expr '*' expr 130 | . expr '/' expr 131 | . expr '%' expr 132 | . expr '<' '<' expr 132 | expr '<' '<' . expr 133 | . expr '>' '>' expr 134 | . expr '&' expr 135 | . expr '^' expr 136 | . expr '|' expr '+' posunout a pΕ™ejΓ­t do stavu 33 '-' posunout a pΕ™ejΓ­t do stavu 34 LCONST posunout a pΕ™ejΓ­t do stavu 35 LVAR posunout a pΕ™ejΓ­t do stavu 44 '(' posunout a pΕ™ejΓ­t do stavu 84 '~' posunout a pΕ™ejΓ­t do stavu 47 con pΕ™ejΓ­t do stavu 123 expr pΕ™ejΓ­t do stavu 244 State 216 116 con: . LCONST 117 | . LVAR 118 | . '-' con 119 | . '+' con 120 | . '~' con 121 | . '(' expr ')' 126 expr: . con 127 | . expr '+' expr 128 | . expr '-' expr 129 | . expr '*' expr 130 | . expr '/' expr 131 | . expr '%' expr 132 | . expr '<' '<' expr 133 | . expr '>' '>' expr 133 | expr '>' '>' . expr 134 | . expr '&' expr 135 | . expr '^' expr 136 | . expr '|' expr '+' posunout a pΕ™ejΓ­t do stavu 33 '-' posunout a pΕ™ejΓ­t do stavu 34 LCONST posunout a pΕ™ejΓ­t do stavu 35 LVAR posunout a pΕ™ejΓ­t do stavu 44 '(' posunout a pΕ™ejΓ­t do stavu 84 '~' posunout a pΕ™ejΓ­t do stavu 47 con pΕ™ejΓ­t do stavu 123 expr pΕ™ejΓ­t do stavu 245 State 217 127 expr: expr . '+' expr 127 | expr '+' expr . ['|', '^', '&', '<', '>', '+', '-', ';', ')'] 128 | expr . '-' expr 129 | expr . '*' expr 130 | expr . '/' expr 131 | expr . '%' expr 132 | expr . '<' '<' expr 133 | expr . '>' '>' expr 134 | expr . '&' expr 135 | expr . '^' expr 136 | expr . '|' expr '*' posunout a pΕ™ejΓ­t do stavu 175 '/' posunout a pΕ™ejΓ­t do stavu 176 '%' posunout a pΕ™ejΓ­t do stavu 177 $vΓ½chozΓ­ reduce using rule 127 (expr) Conflict between rule 127 and token '|' resolved as reduce ('|' < '+'). Conflict between rule 127 and token '^' resolved as reduce ('^' < '+'). Conflict between rule 127 and token '&' resolved as reduce ('&' < '+'). Conflict between rule 127 and token '<' resolved as reduce ('<' < '+'). Conflict between rule 127 and token '>' resolved as reduce ('>' < '+'). Conflict between rule 127 and token '+' resolved as reduce (%left '+'). Conflict between rule 127 and token '-' resolved as reduce (%left '-'). Conflict between rule 127 and token '*' resolved as shift ('+' < '*'). Conflict between rule 127 and token '/' resolved as shift ('+' < '/'). Conflict between rule 127 and token '%' resolved as shift ('+' < '%'). State 218 127 expr: expr . '+' expr 128 | expr . '-' expr 128 | expr '-' expr . ['|', '^', '&', '<', '>', '+', '-', ';', ')'] 129 | expr . '*' expr 130 | expr . '/' expr 131 | expr . '%' expr 132 | expr . '<' '<' expr 133 | expr . '>' '>' expr 134 | expr . '&' expr 135 | expr . '^' expr 136 | expr . '|' expr '*' posunout a pΕ™ejΓ­t do stavu 175 '/' posunout a pΕ™ejΓ­t do stavu 176 '%' posunout a pΕ™ejΓ­t do stavu 177 $vΓ½chozΓ­ reduce using rule 128 (expr) Conflict between rule 128 and token '|' resolved as reduce ('|' < '-'). Conflict between rule 128 and token '^' resolved as reduce ('^' < '-'). Conflict between rule 128 and token '&' resolved as reduce ('&' < '-'). Conflict between rule 128 and token '<' resolved as reduce ('<' < '-'). Conflict between rule 128 and token '>' resolved as reduce ('>' < '-'). Conflict between rule 128 and token '+' resolved as reduce (%left '+'). Conflict between rule 128 and token '-' resolved as reduce (%left '-'). Conflict between rule 128 and token '*' resolved as shift ('-' < '*'). Conflict between rule 128 and token '/' resolved as shift ('-' < '/'). Conflict between rule 128 and token '%' resolved as shift ('-' < '%'). State 219 127 expr: expr . '+' expr 128 | expr . '-' expr 129 | expr . '*' expr 129 | expr '*' expr . ['|', '^', '&', '<', '>', '+', '-', '*', '/', '%', ';', ')'] 130 | expr . '/' expr 131 | expr . '%' expr 132 | expr . '<' '<' expr 133 | expr . '>' '>' expr 134 | expr . '&' expr 135 | expr . '^' expr 136 | expr . '|' expr $vΓ½chozΓ­ reduce using rule 129 (expr) Conflict between rule 129 and token '|' resolved as reduce ('|' < '*'). Conflict between rule 129 and token '^' resolved as reduce ('^' < '*'). Conflict between rule 129 and token '&' resolved as reduce ('&' < '*'). Conflict between rule 129 and token '<' resolved as reduce ('<' < '*'). Conflict between rule 129 and token '>' resolved as reduce ('>' < '*'). Conflict between rule 129 and token '+' resolved as reduce ('+' < '*'). Conflict between rule 129 and token '-' resolved as reduce ('-' < '*'). Conflict between rule 129 and token '*' resolved as reduce (%left '*'). Conflict between rule 129 and token '/' resolved as reduce (%left '/'). Conflict between rule 129 and token '%' resolved as reduce (%left '%'). State 220 127 expr: expr . '+' expr 128 | expr . '-' expr 129 | expr . '*' expr 130 | expr . '/' expr 130 | expr '/' expr . ['|', '^', '&', '<', '>', '+', '-', '*', '/', '%', ';', ')'] 131 | expr . '%' expr 132 | expr . '<' '<' expr 133 | expr . '>' '>' expr 134 | expr . '&' expr 135 | expr . '^' expr 136 | expr . '|' expr $vΓ½chozΓ­ reduce using rule 130 (expr) Conflict between rule 130 and token '|' resolved as reduce ('|' < '/'). Conflict between rule 130 and token '^' resolved as reduce ('^' < '/'). Conflict between rule 130 and token '&' resolved as reduce ('&' < '/'). Conflict between rule 130 and token '<' resolved as reduce ('<' < '/'). Conflict between rule 130 and token '>' resolved as reduce ('>' < '/'). Conflict between rule 130 and token '+' resolved as reduce ('+' < '/'). Conflict between rule 130 and token '-' resolved as reduce ('-' < '/'). Conflict between rule 130 and token '*' resolved as reduce (%left '*'). Conflict between rule 130 and token '/' resolved as reduce (%left '/'). Conflict between rule 130 and token '%' resolved as reduce (%left '%'). State 221 127 expr: expr . '+' expr 128 | expr . '-' expr 129 | expr . '*' expr 130 | expr . '/' expr 131 | expr . '%' expr 131 | expr '%' expr . ['|', '^', '&', '<', '>', '+', '-', '*', '/', '%', ';', ')'] 132 | expr . '<' '<' expr 133 | expr . '>' '>' expr 134 | expr . '&' expr 135 | expr . '^' expr 136 | expr . '|' expr $vΓ½chozΓ­ reduce using rule 131 (expr) Conflict between rule 131 and token '|' resolved as reduce ('|' < '%'). Conflict between rule 131 and token '^' resolved as reduce ('^' < '%'). Conflict between rule 131 and token '&' resolved as reduce ('&' < '%'). Conflict between rule 131 and token '<' resolved as reduce ('<' < '%'). Conflict between rule 131 and token '>' resolved as reduce ('>' < '%'). Conflict between rule 131 and token '+' resolved as reduce ('+' < '%'). Conflict between rule 131 and token '-' resolved as reduce ('-' < '%'). Conflict between rule 131 and token '*' resolved as reduce (%left '*'). Conflict between rule 131 and token '/' resolved as reduce (%left '/'). Conflict between rule 131 and token '%' resolved as reduce (%left '%'). State 222 107 nmem: nam '(' LLREG '*' . con ')' 116 con: . LCONST 117 | . LVAR 118 | . '-' con 119 | . '+' con 120 | . '~' con 121 | . '(' expr ')' '+' posunout a pΕ™ejΓ­t do stavu 33 '-' posunout a pΕ™ejΓ­t do stavu 34 LCONST posunout a pΕ™ejΓ­t do stavu 35 LVAR posunout a pΕ™ejΓ­t do stavu 44 '(' posunout a pΕ™ejΓ­t do stavu 84 '~' posunout a pΕ™ejΓ­t do stavu 47 con pΕ™ejΓ­t do stavu 246 State 223 99 omem: con '(' LLREG '*' . con ')' 116 con: . LCONST 117 | . LVAR 118 | . '-' con 119 | . '+' con 120 | . '~' con 121 | . '(' expr ')' '+' posunout a pΕ™ejΓ­t do stavu 33 '-' posunout a pΕ™ejΓ­t do stavu 34 LCONST posunout a pΕ™ejΓ­t do stavu 35 LVAR posunout a pΕ™ejΓ­t do stavu 44 '(' posunout a pΕ™ejΓ­t do stavu 84 '~' posunout a pΕ™ejΓ­t do stavu 47 con pΕ™ejΓ­t do stavu 247 State 224 96 omem: con '(' LLREG ')' . [':', ';', ','] 100 | con '(' LLREG ')' . '(' LLREG '*' con ')' 101 | con '(' LLREG ')' . '(' LSREG '*' con ')' '(' posunout a pΕ™ejΓ­t do stavu 248 $vΓ½chozΓ­ reduce using rule 96 (omem) State 225 98 omem: con '(' LSREG ')' . $vΓ½chozΓ­ reduce using rule 98 (omem) State 226 97 omem: con '(' LSP ')' . $vΓ½chozΓ­ reduce using rule 97 (omem) State 227 91 imm: '$' '(' '-' LFCONST . ')' ')' posunout a pΕ™ejΓ­t do stavu 249 State 228 90 imm: '$' '(' LFCONST ')' . $vΓ½chozΓ­ reduce using rule 90 (imm) State 229 75 rel: con '(' LPC ')' . $vΓ½chozΓ­ reduce using rule 75 (rel) State 230 43 spec1: nam '/' con ',' . imm 86 imm: . '$' con 87 | . '$' nam 88 | . '$' LSCONST 89 | . '$' LFCONST 90 | . '$' '(' LFCONST ')' 91 | . '$' '(' '-' LFCONST ')' 92 | . '$' '-' LFCONST '$' posunout a pΕ™ejΓ­t do stavu 56 imm pΕ™ejΓ­t do stavu 250 State 231 123 con2: '-' . LCONST 125 | '-' . LCONST '-' LCONST LCONST posunout a pΕ™ejΓ­t do stavu 251 State 232 122 con2: LCONST . [';'] 124 | LCONST . '-' LCONST '-' posunout a pΕ™ejΓ­t do stavu 252 $vΓ½chozΓ­ reduce using rule 122 (con2) State 233 85 imm2: '$' con2 . $vΓ½chozΓ­ reduce using rule 85 (imm2) State 234 45 spec2: mem ',' con ',' . imm2 85 imm2: . '$' con2 '$' posunout a pΕ™ejΓ­t do stavu 191 imm2 pΕ™ejΓ­t do stavu 253 State 235 62 spec11: mem ',' con ',' . imm 86 imm: . '$' con 87 | . '$' nam 88 | . '$' LSCONST 89 | . '$' LFCONST 90 | . '$' '(' LFCONST ')' 91 | . '$' '(' '-' LFCONST ')' 92 | . '$' '-' LFCONST '$' posunout a pΕ™ejΓ­t do stavu 56 imm pΕ™ejΓ­t do stavu 254 State 236 51 spec5: rim ',' rem ':' . LLREG LLREG posunout a pΕ™ejΓ­t do stavu 255 State 237 53 spec6: rim ',' rem ':' . LSREG LSREG posunout a pΕ™ejΓ­t do stavu 256 State 238 57 spec8: reg ',' rem ',' . con 116 con: . LCONST 117 | . LVAR 118 | . '-' con 119 | . '+' con 120 | . '~' con 121 | . '(' expr ')' '+' posunout a pΕ™ejΓ­t do stavu 33 '-' posunout a pΕ™ejΓ­t do stavu 34 LCONST posunout a pΕ™ejΓ­t do stavu 35 LVAR posunout a pΕ™ejΓ­t do stavu 44 '(' posunout a pΕ™ejΓ­t do stavu 84 '~' posunout a pΕ™ejΓ­t do stavu 47 con pΕ™ejΓ­t do stavu 257 State 239 58 spec9: imm ',' rem ',' . reg 78 reg: . LBREG 79 | . LFREG 80 | . LLREG 81 | . LMREG 82 | . LSP 83 | . LSREG 84 | . LXREG LBREG posunout a pΕ™ejΓ­t do stavu 36 LLREG posunout a pΕ™ejΓ­t do stavu 37 LSREG posunout a pΕ™ejΓ­t do stavu 38 LFREG posunout a pΕ™ejΓ­t do stavu 39 LMREG posunout a pΕ™ejΓ­t do stavu 40 LXREG posunout a pΕ™ejΓ­t do stavu 41 LSP posunout a pΕ™ejΓ­t do stavu 42 reg pΕ™ejΓ­t do stavu 258 State 240 109 nam: LNAME '<' '>' offset '(' . LSB ')' LSB posunout a pΕ™ejΓ­t do stavu 259 State 241 108 nam: LNAME offset '(' pointer ')' . $vΓ½chozΓ­ reduce using rule 108 (nam) State 242 104 omem: '(' LLREG '*' con ')' . $vΓ½chozΓ­ reduce using rule 104 (omem) State 243 105 omem: '(' LLREG ')' '(' LLREG . '*' con ')' '*' posunout a pΕ™ejΓ­t do stavu 260 State 244 127 expr: expr . '+' expr 128 | expr . '-' expr 129 | expr . '*' expr 130 | expr . '/' expr 131 | expr . '%' expr 132 | expr . '<' '<' expr 132 | expr '<' '<' expr . ['|', '^', '&', '<', '>', ';', ')'] 133 | expr . '>' '>' expr 134 | expr . '&' expr 135 | expr . '^' expr 136 | expr . '|' expr '+' posunout a pΕ™ejΓ­t do stavu 173 '-' posunout a pΕ™ejΓ­t do stavu 174 '*' posunout a pΕ™ejΓ­t do stavu 175 '/' posunout a pΕ™ejΓ­t do stavu 176 '%' posunout a pΕ™ejΓ­t do stavu 177 $vΓ½chozΓ­ reduce using rule 132 (expr) Conflict between rule 132 and token '|' resolved as reduce ('|' < '<'). Conflict between rule 132 and token '^' resolved as reduce ('^' < '<'). Conflict between rule 132 and token '&' resolved as reduce ('&' < '<'). Conflict between rule 132 and token '<' resolved as reduce (%left '<'). Conflict between rule 132 and token '>' resolved as reduce (%left '>'). Conflict between rule 132 and token '+' resolved as shift ('<' < '+'). Conflict between rule 132 and token '-' resolved as shift ('<' < '-'). Conflict between rule 132 and token '*' resolved as shift ('<' < '*'). Conflict between rule 132 and token '/' resolved as shift ('<' < '/'). Conflict between rule 132 and token '%' resolved as shift ('<' < '%'). State 245 127 expr: expr . '+' expr 128 | expr . '-' expr 129 | expr . '*' expr 130 | expr . '/' expr 131 | expr . '%' expr 132 | expr . '<' '<' expr 133 | expr . '>' '>' expr 133 | expr '>' '>' expr . ['|', '^', '&', '<', '>', ';', ')'] 134 | expr . '&' expr 135 | expr . '^' expr 136 | expr . '|' expr '+' posunout a pΕ™ejΓ­t do stavu 173 '-' posunout a pΕ™ejΓ­t do stavu 174 '*' posunout a pΕ™ejΓ­t do stavu 175 '/' posunout a pΕ™ejΓ­t do stavu 176 '%' posunout a pΕ™ejΓ­t do stavu 177 $vΓ½chozΓ­ reduce using rule 133 (expr) Conflict between rule 133 and token '|' resolved as reduce ('|' < '>'). Conflict between rule 133 and token '^' resolved as reduce ('^' < '>'). Conflict between rule 133 and token '&' resolved as reduce ('&' < '>'). Conflict between rule 133 and token '<' resolved as reduce (%left '<'). Conflict between rule 133 and token '>' resolved as reduce (%left '>'). Conflict between rule 133 and token '+' resolved as shift ('>' < '+'). Conflict between rule 133 and token '-' resolved as shift ('>' < '-'). Conflict between rule 133 and token '*' resolved as shift ('>' < '*'). Conflict between rule 133 and token '/' resolved as shift ('>' < '/'). Conflict between rule 133 and token '%' resolved as shift ('>' < '%'). State 246 107 nmem: nam '(' LLREG '*' con . ')' ')' posunout a pΕ™ejΓ­t do stavu 261 State 247 99 omem: con '(' LLREG '*' con . ')' ')' posunout a pΕ™ejΓ­t do stavu 262 State 248 100 omem: con '(' LLREG ')' '(' . LLREG '*' con ')' 101 | con '(' LLREG ')' '(' . LSREG '*' con ')' LLREG posunout a pΕ™ejΓ­t do stavu 263 LSREG posunout a pΕ™ejΓ­t do stavu 264 State 249 91 imm: '$' '(' '-' LFCONST ')' . $vΓ½chozΓ­ reduce using rule 91 (imm) State 250 43 spec1: nam '/' con ',' imm . $vΓ½chozΓ­ reduce using rule 43 (spec1) State 251 123 con2: '-' LCONST . [';'] 125 | '-' LCONST . '-' LCONST '-' posunout a pΕ™ejΓ­t do stavu 265 $vΓ½chozΓ­ reduce using rule 123 (con2) State 252 124 con2: LCONST '-' . LCONST LCONST posunout a pΕ™ejΓ­t do stavu 266 State 253 45 spec2: mem ',' con ',' imm2 . $vΓ½chozΓ­ reduce using rule 45 (spec2) State 254 62 spec11: mem ',' con ',' imm . $vΓ½chozΓ­ reduce using rule 62 (spec11) State 255 51 spec5: rim ',' rem ':' LLREG . $vΓ½chozΓ­ reduce using rule 51 (spec5) State 256 53 spec6: rim ',' rem ':' LSREG . $vΓ½chozΓ­ reduce using rule 53 (spec6) State 257 57 spec8: reg ',' rem ',' con . $vΓ½chozΓ­ reduce using rule 57 (spec8) State 258 58 spec9: imm ',' rem ',' reg . $vΓ½chozΓ­ reduce using rule 58 (spec9) State 259 109 nam: LNAME '<' '>' offset '(' LSB . ')' ')' posunout a pΕ™ejΓ­t do stavu 267 State 260 105 omem: '(' LLREG ')' '(' LLREG '*' . con ')' 116 con: . LCONST 117 | . LVAR 118 | . '-' con 119 | . '+' con 120 | . '~' con 121 | . '(' expr ')' '+' posunout a pΕ™ejΓ­t do stavu 33 '-' posunout a pΕ™ejΓ­t do stavu 34 LCONST posunout a pΕ™ejΓ­t do stavu 35 LVAR posunout a pΕ™ejΓ­t do stavu 44 '(' posunout a pΕ™ejΓ­t do stavu 84 '~' posunout a pΕ™ejΓ­t do stavu 47 con pΕ™ejΓ­t do stavu 268 State 261 107 nmem: nam '(' LLREG '*' con ')' . $vΓ½chozΓ­ reduce using rule 107 (nmem) State 262 99 omem: con '(' LLREG '*' con ')' . $vΓ½chozΓ­ reduce using rule 99 (omem) State 263 100 omem: con '(' LLREG ')' '(' LLREG . '*' con ')' '*' posunout a pΕ™ejΓ­t do stavu 269 State 264 101 omem: con '(' LLREG ')' '(' LSREG . '*' con ')' '*' posunout a pΕ™ejΓ­t do stavu 270 State 265 125 con2: '-' LCONST '-' . LCONST LCONST posunout a pΕ™ejΓ­t do stavu 271 State 266 124 con2: LCONST '-' LCONST . $vΓ½chozΓ­ reduce using rule 124 (con2) State 267 109 nam: LNAME '<' '>' offset '(' LSB ')' . $vΓ½chozΓ­ reduce using rule 109 (nam) State 268 105 omem: '(' LLREG ')' '(' LLREG '*' con . ')' ')' posunout a pΕ™ejΓ­t do stavu 272 State 269 100 omem: con '(' LLREG ')' '(' LLREG '*' . con ')' 116 con: . LCONST 117 | . LVAR 118 | . '-' con 119 | . '+' con 120 | . '~' con 121 | . '(' expr ')' '+' posunout a pΕ™ejΓ­t do stavu 33 '-' posunout a pΕ™ejΓ­t do stavu 34 LCONST posunout a pΕ™ejΓ­t do stavu 35 LVAR posunout a pΕ™ejΓ­t do stavu 44 '(' posunout a pΕ™ejΓ­t do stavu 84 '~' posunout a pΕ™ejΓ­t do stavu 47 con pΕ™ejΓ­t do stavu 273 State 270 101 omem: con '(' LLREG ')' '(' LSREG '*' . con ')' 116 con: . LCONST 117 | . LVAR 118 | . '-' con 119 | . '+' con 120 | . '~' con 121 | . '(' expr ')' '+' posunout a pΕ™ejΓ­t do stavu 33 '-' posunout a pΕ™ejΓ­t do stavu 34 LCONST posunout a pΕ™ejΓ­t do stavu 35 LVAR posunout a pΕ™ejΓ­t do stavu 44 '(' posunout a pΕ™ejΓ­t do stavu 84 '~' posunout a pΕ™ejΓ­t do stavu 47 con pΕ™ejΓ­t do stavu 274 State 271 125 con2: '-' LCONST '-' LCONST . $vΓ½chozΓ­ reduce using rule 125 (con2) State 272 105 omem: '(' LLREG ')' '(' LLREG '*' con ')' . $vΓ½chozΓ­ reduce using rule 105 (omem) State 273 100 omem: con '(' LLREG ')' '(' LLREG '*' con . ')' ')' posunout a pΕ™ejΓ­t do stavu 275 State 274 101 omem: con '(' LLREG ')' '(' LSREG '*' con . ')' ')' posunout a pΕ™ejΓ­t do stavu 276 State 275 100 omem: con '(' LLREG ')' '(' LLREG '*' con ')' . $vΓ½chozΓ­ reduce using rule 100 (omem) State 276 101 omem: con '(' LLREG ')' '(' LSREG '*' con ')' . $vΓ½chozΓ­ reduce using rule 101 (omem)
Bison
4
YKG/y
testdata/ok/6a.y.bison
[ "BSD-3-Clause" ]
/* * Copyright (c) Facebook, Inc. and its affiliates. * * Licensed under the Apache License, Version 2.0 (the "License"); * you may not use this file except in compliance with the License. * You may obtain a copy of the License at * * http://www.apache.org/licenses/LICENSE-2.0 * * Unless required by applicable law or agreed to in writing, software * distributed under the License is distributed on an "AS IS" BASIS, * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. * See the License for the specific language governing permissions and * limitations under the License. */ #pragma once #include <folly/Portability.h> #include <folly/compression/Compression.h> #if FOLLY_HAVE_LIBZ #include <zlib.h> /** * Interface for Zlib-specific codec initialization. */ namespace folly { namespace io { namespace zlib { struct Options { /** * ZLIB: default option -- write a zlib wrapper as documented in RFC 1950. * * GZIP: write a simple gzip header and trailer around the compressed data * instead of a zlib wrapper. * * RAW: deflate will generate raw deflate data with no zlib header or * trailer, and will not compute a check value. * * AUTO: enable automatic header detection for decoding gzip or zlib data. * For deflation, ZLIB will be used. */ enum class Format { ZLIB, GZIP, RAW, AUTO }; explicit Options( Format format_ = Format::ZLIB, int windowSize_ = 15, int memLevel_ = 8, int strategy_ = Z_DEFAULT_STRATEGY) : format(format_), windowSize(windowSize_), memLevel(memLevel_), strategy(strategy_) {} Format format; /** * windowSize is the base two logarithm of the window size (the size of the * history buffer). It should be in the range 9..15. Larger values of this * parameter result in better compression at the expense of memory usage. * * The default value is 15. * * NB: when inflating/uncompressing data, the windowSize must be greater than * or equal to the size used when deflating/compressing. */ int windowSize; /** * "The memLevel parameter specifies how much memory should be allocated for * the internal compression state. memLevel=1 uses minimum memory but is slow * and reduces compression ratio; memLevel=9 uses maximum memory for optimal * speed. The default value is 8." */ int memLevel; /** * The strategy parameter is used to tune the compression algorithm. * Supported values: * - Z_DEFAULT_STRATEGY: normal data * - Z_FILTERED: data produced by a filter (or predictor) * - Z_HUFFMAN_ONLY: force Huffman encoding only (no string match) * - Z_RLE: limit match distances to one * - Z_FIXED: prevents the use of dynamic Huffman codes * * The strategy parameter only affects the compression ratio but not the * correctness of the compressed output. */ int strategy; }; /** * Get the default options for gzip compression. * A codec created with these options will have type CodecType::GZIP. */ Options defaultGzipOptions(); /** * Get the default options for zlib compression. * A codec created with these options will have type CodecType::ZLIB. */ Options defaultZlibOptions(); /** * Get a codec with the given options and compression level. * * If the windowSize is 15 and the format is Format::ZLIB or Format::GZIP, then * the type of the codec will be CodecType::ZLIB or CodecType::GZIP * respectively. Otherwise, the type will be CodecType::USER_DEFINED. * * Automatic uncompression is not supported with USER_DEFINED codecs. * * Levels supported: 0 = no compression, 1 = fast, ..., 9 = best; default = 6 */ std::unique_ptr<Codec> getCodec( Options options = Options(), int level = COMPRESSION_LEVEL_DEFAULT); std::unique_ptr<StreamCodec> getStreamCodec( Options options = Options(), int level = COMPRESSION_LEVEL_DEFAULT); } // namespace zlib } // namespace io } // namespace folly #endif // FOLLY_HAVE_LIBZ
C
4
Aoikiseki/folly
folly/compression/Zlib.h
[ "Apache-2.0" ]
module pkgDIP37.test17629; public import pkgDIP37.test17629.common;
D
1
deadalnix/dmd
test/compilable/extra-files/pkgDIP37/test17629/package.di
[ "BSL-1.0" ]
pub main coginit(0, @entry, 0) dat org 0 entry _plot rdword _var01, arg01 shl _var01, #16 sar _var01, #16 mov outa, _var01 add arg01, #2 rdword _var01, arg01 shl _var01, #16 sar _var01, #16 mov outb, _var01 _plot_ret ret COG_BSS_START fit 496 org COG_BSS_START _var01 res 1 arg01 res 1 fit 496
Parrot Assembly
2
archivest/spin2cpp
Test/Expect/stest261.pasm
[ "MIT" ]
do { actor class C() { public func m() {}; public func n() {}; public func m() {}; // Illegal! }; }; do { type T = {foo : Int; foo: Bool} }; do { type T = {foo : Int; nxnnbkddcv: Bool} }; do { type T = {#foo : Int; #nxnnbkddcv: Bool} }; do { ignore({foo = 5; foo = true}); }; do { ignore({foo = 5; nxnnbkddcv = true}); }; do { ignore(object {public func foo() = (); public func nxnnbkddcv() = ()}); }; do { ignore(actor {public func foo() {}; public func nxnnbkddcv() {}}); }; do { ignore(module {public func foo() = (); public func nxnnbkddcv() = ()}); }; do { // not a clash ignore(module { public let foo = 1; public type foo = Int; public type nxnnbkddcv = Nat });}; do { // not a clash // syntax not supported yet // type T = {type foo = Int; nxnnbkddcv: Bool} };
Modelica
2
olaszakos/motoko
test/fail/duplicate-field.mo
[ "Apache-2.0" ]
{- simple wrapper around libpq, focused on supporting copy from stdin/ to stdout -} {-# LANGUAGE ForeignFunctionInterface #-} module Database.HsSqlPpp.Utils.PQ where #include <stdio.h> #include <stdlib.h> #include <string.h> #include <errno.h> #include <sys/time.h> #include <postgresql/libpq-fe.h> import Foreign import Database.HsSqlPpp.Utils.C2HS {#enum ConnStatusType {} deriving (Eq,Show)#} {#enum ExecStatusType {} deriving (Eq,Show)#} {#pointer *PGconn as ^ newtype#} {#pointer *PGresult as ^ newtype#} makePGConn :: Ptr () -> PGconn makePGConn p = PGconn (castPtr p) {#fun unsafe PQconnectdb as pqConnectdb {withCString* `String'} -> `PGconn' id #} {#fun unsafe PQfinish as pqFinish {id `PGconn'} -> `()' #} {#fun unsafe PQstatus as pqStatus {id `PGconn'} -> `ConnStatusType' cToEnum#} {#fun unsafe PQerrorMessage as pqErrorMessage {id `PGconn'} -> `String' peekCString* #} {#fun unsafe PQexec as pqExec {id `PGconn' ,withCString* `String' } -> `PGresult' id #} {#fun unsafe PQclear as pqClear {id `PGresult'} -> `()' #} {#fun unsafe PQresultStatus as pqResultStatus {id `PGresult'} -> `ExecStatusType' cToEnum #} {#fun unsafe PQresStatus as pqResStatus {cFromEnum `ExecStatusType'} -> `String' peekCString* #} {#fun unsafe PQresultErrorMessage as pqResultErrorMessage {id `PGresult'} -> `String' peekCString* #} {# fun unsafe PQputCopyData as pqPutCopyData {id `PGconn' ,withCString* `String' ,`Int'} -> `Int' #} {# fun unsafe PQputCopyEnd as pqPutCopyEnd {id `PGconn' ,withMCString* `Maybe String'} -> `Int' #} withMCString :: Maybe String -> (CString -> IO a) -> IO a withMCString m f = do case m of Nothing -> f nullPtr Just s -> withCString s f {# fun unsafe PQgetCopyData as pqGetCopyData {id `PGconn' ,id `Ptr (Ptr CChar)' ,`Int'} -> `Int' #} {# fun unsafe PQgetResult as pqGetResult {id `PGconn'} -> `Maybe PGresult' mPGresult #} mPGresult :: PGresult -> Maybe PGresult mPGresult p@(PGresult x) = if x == nullPtr then Nothing else Just p {# fun unsafe PQfreemem as pqFreemem {id `Ptr ()'} -> `()' #}
C2hs Haskell
4
mvangeest/hssqlppp
src-extra/tosort/examples/util/Database/HsSqlPpp/Utils/PQ.chs
[ "BSD-3-Clause" ]
CC = cc OBJS = adler32.obj, \ compress.obj, \ crc32.obj, \ uncompr.obj, \ deflate.obj, \ trees.obj, \ zutil.obj, \ inflate.obj, \ inftrees.obj, \ inffast.obj libz.olb : $(OBJS) lib/crea $@ $?
Module Management System
3
lemmy04/XEphem
libz/descrip.mms
[ "MIT" ]
// // Description : Array and textureless GLSL 2D simplex noise function. // Author : Ian McEwan, Ashima Arts. // Maintainer : ijm // Lastmod : 20110822 (ijm) // License : Copyright (C) 2011 Ashima Arts. All rights reserved. // Distributed under the MIT License. See LICENSE file. // https://github.com/ashima/webgl-noise // vec3 mod289(vec3 x) { return x - floor(x * (1.0 / 289.0)) * 289.0; } vec2 mod289(vec2 x) { return x - floor(x * (1.0 / 289.0)) * 289.0; } vec3 permute(vec3 x) { return mod289(((x*34.0)+1.0)*x); } float snoise(vec2 v) { const vec4 C = vec4(0.211324865405187, // (3.0-sqrt(3.0))/6.0 0.366025403784439, // 0.5*(sqrt(3.0)-1.0) -0.577350269189626, // -1.0 + 2.0 * C.x 0.024390243902439); // 1.0 / 41.0 // First corner vec2 i = floor(v + dot(v, C.yy) ); vec2 x0 = v - i + dot(i, C.xx); // Other corners vec2 i1; //i1.x = step( x0.y, x0.x ); // x0.x > x0.y ? 1.0 : 0.0 //i1.y = 1.0 - i1.x; i1 = (x0.x > x0.y) ? vec2(1.0, 0.0) : vec2(0.0, 1.0); // x0 = x0 - 0.0 + 0.0 * C.xx ; // x1 = x0 - i1 + 1.0 * C.xx ; // x2 = x0 - 1.0 + 2.0 * C.xx ; vec4 x12 = x0.xyxy + C.xxzz; x12.xy -= i1; // Permutations i = mod289(i); // Avoid truncation effects in permutation vec3 p = permute( permute( i.y + vec3(0.0, i1.y, 1.0 )) + i.x + vec3(0.0, i1.x, 1.0 )); vec3 m = max(0.5 - vec3(dot(x0,x0), dot(x12.xy,x12.xy), dot(x12.zw,x12.zw)), 0.0); m = m*m ; m = m*m ; // Gradients: 41 points uniformly over a line, mapped onto a diamond. // The ring size 17*17 = 289 is close to a multiple of 41 (41*7 = 287) vec3 x = 2.0 * fract(p * C.www) - 1.0; vec3 h = abs(x) - 0.5; vec3 ox = floor(x + 0.5); vec3 a0 = x - ox; // Normalise gradients implicitly by scaling m // Approximation of: m *= inversesqrt( a0*a0 + h*h ); m *= 1.79284291400159 - 0.85373472095314 * ( a0*a0 + h*h ); // Compute final noise value at P vec3 g; g.x = a0.x * x0.x + h.x * x0.y; g.yz = a0.yz * x12.xz + h.yz * x12.yw; return 130.0 * dot(m, g); }
Component Pascal
5
xx3000/mTec
RayMarching/noise.cps
[ "MIT" ]
: main "\[[1mBold\[[0m \[[2mDim\[[0m \[[31m\[[43mColor\[[0m" var! a a @ 0 ansi_strcut pop pop a @ 1 ansi_strcut pop pop a @ 4 ansi_strcut pop pop a @ 5 ansi_strcut pop pop a @ 9 ansi_strcut pop pop a @ 10 ansi_strcut pop pop ;
MUF
2
revarbat/mufsim
tests/ansi_strcut.muf
[ "BSD-2-Clause" ]
# Copyright 1999-2018 Gentoo Foundation # Distributed under the terms of the GNU General Public License v2 EAPI=6 inherit cargo git-r3 CARGO_FETCH_CRATES=yes DESCRIPTION="High-level interactions between wasm modules and JS" HOMEPAGE="https://github.com/rustwasm/wasm-bindgen" LICENSE="|| ( MIT Apache-2.0 )" SLOT="0" KEYWORDS="" RESTRICT="network-sandbox" IUSE="" EGIT_REPO_URI="https://github.com/rustwasm/wasm-bindgen" COMMON_DEPEND=">=virtual/rust-9999" DEPEND="${COMMON_DEPEND}" RDEPEND="${COMMON_DEPEND}" src_compile() { cargo build --release --manifest-path crates/cli/Cargo.toml } src_install() { dobin target/release/wasm-bindgen dobin target/release/wasm2es6js }
Gentoo Ebuild
3
gentoo/gentoo-rust
dev-util/wasm-bindgen/wasm-bindgen-9999.ebuild
[ "BSD-3-Clause" ]
package com.baeldung.map.propertieshashmap; import org.junit.jupiter.api.BeforeEach; import org.junit.jupiter.api.Test; import java.io.IOException; import java.io.InputStream; import java.util.HashMap; import java.util.Properties; import static org.junit.jupiter.api.Assertions.*; class PropertiesToHashMapConverterUnitTest { private Properties properties; private final static String propertyFileName = "toHashMap.properties"; @BeforeEach public void setup() throws IOException { properties = new Properties(); try (InputStream is = getClass().getClassLoader().getResourceAsStream(propertyFileName)) { if (is != null) { properties.load(is); } } } @Test public void havingPropertiesLoaded_whenCheck_thenEquals() { assertEquals(3, properties.size()); assertEquals("str_value", properties.get("property1")); assertEquals("123", properties.get("property2")); assertEquals("", properties.get("property3")); } @Test public void whenPropertiesModified_thenTypeSafeIssues() { compromiseProperties(properties); assertEquals(5, properties.size()); assertNull(properties.getProperty("property4")); assertNotEquals(String.class, properties.get("property4").getClass()); assertEquals(456, properties.get("property4")); assertNull(properties.getProperty("5")); assertNotEquals(String.class, properties.get(5).getClass()); assertEquals(10.11, properties.get(5)); } @Test public void havingNonModifiedProperties_whenTypeCastConvert_thenNoTypeSafeIssues() { HashMap<String, String> hMap = PropertiesToHashMapConverter.typeCastConvert(properties); assertEquals(3, hMap.size()); assertEquals(String.class, hMap.get("property1").getClass()); assertEquals(properties.get("property1"), hMap.get("property1")); assertEquals(String.class, hMap.get("property2").getClass()); assertEquals(properties.get("property2"), hMap.get("property2")); assertEquals(String.class, hMap.get("property3").getClass()); assertEquals(properties.get("property3"), hMap.get("property3")); } @Test public void havingModifiedProperties_whenTypeCastConvert_thenClassCastException() { compromiseProperties(properties); HashMap<String, String> hMap = PropertiesToHashMapConverter.typeCastConvert(properties); assertEquals(5, hMap.size()); assertThrows(ClassCastException.class, () -> { String s = hMap.get("property4"); }); assertEquals(Integer.class, ((Object) hMap.get("property4")).getClass()); assertNull(hMap.get("5")); assertNotNull(hMap.get(5)); assertThrows(ClassCastException.class, () -> { String s = hMap.get(5); }); assertEquals(Double.class, ((Object) hMap.get(5)).getClass()); } @Test public void havingNonModifiedProperties_whenLoopConvert_thenNoTypeSafeIssues() { HashMap<String, String> hMap = PropertiesToHashMapConverter.loopConvert(properties); assertEquals(3, hMap.size()); assertEquals(String.class, hMap.get("property1").getClass()); assertEquals(properties.get("property1"), hMap.get("property1")); assertEquals(String.class, hMap.get("property2").getClass()); assertEquals(properties.get("property2"), hMap.get("property2")); assertEquals(String.class, hMap.get("property3").getClass()); assertEquals(properties.get("property3"), hMap.get("property3")); } @Test public void havingModifiedProperties_whenLoopConvert_thenNoClassCastException() { compromiseProperties(properties); HashMap<String, String> hMap = PropertiesToHashMapConverter.loopConvert(properties); assertEquals(5, hMap.size()); assertDoesNotThrow(() -> { String s = hMap.get("property4"); }); assertEquals(String.class, hMap.get("property4").getClass()); assertEquals("456", hMap.get("property4")); assertDoesNotThrow(() -> { String s = hMap.get("5"); }); assertEquals("10.11", hMap.get("5")); } @Test public void havingNonModifiedProperties_whenStreamConvert_thenNoTypeSafeIssues() { HashMap<String, String> hMap = PropertiesToHashMapConverter.streamConvert(properties); assertEquals(3, hMap.size()); assertEquals(String.class, hMap.get("property1").getClass()); assertEquals(properties.get("property1"), hMap.get("property1")); assertEquals(String.class, hMap.get("property2").getClass()); assertEquals(properties.get("property2"), hMap.get("property2")); assertEquals(String.class, hMap.get("property3").getClass()); assertEquals(properties.get("property3"), hMap.get("property3")); } @Test public void havingModifiedProperties_whenStreamConvert_thenNoClassCastException() { compromiseProperties(properties); HashMap<String, String> hMap = PropertiesToHashMapConverter.streamConvert(properties); assertEquals(5, hMap.size()); assertDoesNotThrow(() -> { String s = hMap.get("property4"); }); assertEquals(String.class, hMap.get("property4").getClass()); assertEquals("456", hMap.get("property4")); assertDoesNotThrow(() -> { String s = hMap.get("5"); }); assertEquals("10.11", hMap.get("5")); } @Test public void havingModifiedProperties_whenLoopConvertAndStreamConvert_thenHashMapsSame() { compromiseProperties(properties); HashMap<String, String> hMap1 = PropertiesToHashMapConverter.loopConvert(properties); HashMap<String, String> hMap2 = PropertiesToHashMapConverter.streamConvert(properties); assertEquals(hMap2, hMap1); } @Test public void havingNonModifiedProperties_whenGuavaConvert_thenNoTypeSafeIssues() { HashMap<String, String> hMap = PropertiesToHashMapConverter.guavaConvert(properties); assertEquals(3, hMap.size()); assertEquals(String.class, hMap.get("property1").getClass()); assertEquals(properties.get("property1"), hMap.get("property1")); assertEquals(String.class, hMap.get("property2").getClass()); assertEquals(properties.get("property2"), hMap.get("property2")); assertEquals(String.class, hMap.get("property3").getClass()); assertEquals(properties.get("property3"), hMap.get("property3")); } @Test public void havingModifiedProperties_whenGuavaConvert_thenUnableToConvertAndThrowException() { compromiseProperties(properties); assertThrows(Exception.class, () -> PropertiesToHashMapConverter.guavaConvert(properties)); } @Test public void havingModifiedPropertiesWithNoIntegerValue_whenGuavaConvert_thenNullPointerException() { properties.put("property4", 456); assertThrows(NullPointerException.class, () -> PropertiesToHashMapConverter.guavaConvert(properties)); } @Test public void havingModifiedPropertiesWithNoIntegerKey_whenGuavaConvert_thenClassCastException() { properties.put(5, 10.11); assertThrows(ClassCastException.class, () -> PropertiesToHashMapConverter.guavaConvert(properties)); } private void compromiseProperties(Properties prop) { prop.put("property4", 456); prop.put(5, 10.11); } }
Java
5
DBatOWL/tutorials
core-java-modules/core-java-collections-maps-3/src/test/java/com/baeldung/map/propertieshashmap/PropertiesToHashMapConverterUnitTest.java
[ "MIT" ]
exec("swigtest.start", -1); function checkBool(bool_val, expected_bool_val) if typeof(bool_val) <> "boolean" then swigtesterror(); end if bool_val <> expected_bool_val then swigtesterror(); end endfunction checkBool(constbool_get(), %f); checkBool(bool1_get(), %t); checkBool(bool2_get(), %f); checkBool(bo(%t), %t); checkBool(bo(%f), %f); bs = new_BoolSt(); checkBool(BoolSt_m_bool1_get(bs), %t); checkBool(BoolSt_m_bool2_get(bs), %f); exec("swigtest.quit", -1);
Scilab
4
kyletanyag/LL-Smartcard
cacreader/swig-4.0.2/Examples/test-suite/scilab/bools_runme.sci
[ "BSD-3-Clause" ]
#!/usr/bin/osascript # @raycast.title Love Current Track # @raycast.author Bryan Schuetz # @raycast.authorURL https://github.com/bryanschuetz # @raycast.description Let the algorithm know how you feel about the currently playing track. # @raycast.icon images/apple-music-logo.png # @raycast.placeholder Love It <3 # @raycast.mode silent # @raycast.packageName Apple Music # @raycast.schemaVersion 1 tell application "Music" set loved of current track to true log "<3 Yeah, that song is rad." end tell
AppleScript
3
daviddzhou/script-commands
commands/media/apple-music/apple-music-love-current-track.applescript
[ "MIT" ]
GET / HTTP/1.0\r\n Someheader: 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\r\n \r\n
HTTP
0
ThePrez/gunicorn
tests/requests/valid/026.http
[ "MIT" ]
/******************************************************************************** * Copyright (c) {date} Red Hat Inc. and/or its affiliates and others * * This program and the accompanying materials are made available under the * terms of the Apache License, Version 2.0 which is available at * http://www.apache.org/licenses/LICENSE-2.0 * * SPDX-License-Identifier: Apache-2.0 ********************************************************************************/ import com.intellij.openapi.\imodule { Module } import com.intellij.psi { PsiClass, PsiMethod } import org.eclipse.ceylon.ide.common.model { CeylonBinaryUnit } import org.eclipse.ceylon.model.typechecker.model { Package } shared class IdeaCeylonBinaryUnit( PsiClass cls, String filename, String relativePath, String fullPath, Package pkg) extends CeylonBinaryUnit<Module,PsiClass,PsiClass|PsiMethod>( cls, filename, relativePath, fullPath, pkg) satisfies IdeaJavaModelAware { }
Ceylon
3
Kopilov/ceylon-ide-intellij
source/org/eclipse/ceylon/ide/intellij/model/IdeaCeylonBinaryUnit.ceylon
[ "Apache-2.0" ]
"Hello world!"r[o]
LilyPond
0
Alex150/hello-worlds
examples/l/Ly.ly
[ "Unlicense" ]
query short($foo:ComplexType, $site : Site = MOBILE, $nonNull: Int!) { hello } query long($foo: ComplexType, $site: Float = 124241.12312, $bar: String = "Long string here", $arg: String = "Hello world!",,,,, $nonNull: String!) { hello } query lists($foo: [Int ], $bar: [Int!], $arg: [ Int! ]!) { ok } query listslong($foo: [String ], $bar: [String!], $arg: [ Int! ]!, $veryLongName: [ Int! ]) { ok } query withvariabledirective($foo: Int @directive) { ok } query withvariabledirectives($foo: Int @directive @another) { ok }
GraphQL
3
fuelingtheweb/prettier
tests/graphql_variable_definitions/variable_definitions.graphql
[ "MIT" ]
create { source { script { name: "fetch.py" } unpack_archive: true no_archive_prune: true } } upload { universal: true pkg_prefix: "chromium/third_party/updater" }
PureBasic
3
zealoussnow/chromium
third_party/updater/chromium_mac_arm64/3pp/3pp.pb
[ "BSD-3-Clause-No-Nuclear-License-2014", "BSD-3-Clause" ]
#%RAML 1.0 title: Contiv description: Contiv API Specification version: v1 baseUri: value: https://{serverfqdn}:10000/api/{version} (rediractable): true baseUriParameters: serverfqdn: type: string protocols: [ HTTPS ] mediaType: [ application/json ] resourceTypes: collection: !include auth_proxy/schemas/collection.raml non-upd-collection-item: !include auth_proxy/schemas/non-upd-collection-item.raml collection-item: !include auth_proxy/schemas/collection-item.raml ro-collection-item: !include auth_proxy/schemas/ro-collection-item.raml annotationTypes: info: properties: license: type: string enum: [ "Apache 2.0" ] allowedTargets: API rediractable: boolean securitySchemes: custom_scheme: !include auth_proxy/schemas/custom-scheme.raml # Resource templates uses: auth_proxy: auth_proxy/libraries/auth_proxy.raml securedBy: custom_scheme # auth_proxy endpoints /auth_proxy: displayName: Auth API description: Authentication/Authorization related API /health: get: description: Returns the health status of Contiv API server and its netmaster securedBy: [ null ] responses: 200: body: application/json: type: auth_proxy.health /login: post: description: Login to Contiv API server securedBy: [ null ] body: application/json: type: auth_proxy.login responses: 200: body: application/json: type: auth_proxy.login_response 400: 401: /version: get: description: Returns the Contiv API server version securedBy: [ null ] responses: 200: body: application/json: | { "version": "1.0.0" } /authorizations: type: {collection: {provider: auth_proxy}} displayName: Authorizations /{authzUUID}: type: {non-upd-collection-item: {provider: auth_proxy}} displayName: Authorization /local_users: type: {collection: {provider: auth_proxy}} displayName: Local Users /{username}: type: {collection-item: {provider: auth_proxy}} displayName: Local User patch: /ldap_configuration: type: {collection-item: {provider: auth_proxy}} displayName: LDAP Configuration put: patch:
RAML
4
sap-ariba/netplugin
contivmodel/spec/auth_proxy.raml
[ "Apache-2.0" ]
#include <oxstd.h> #include <oxdraw.h> #include <oxprob.h> #include "FEM.ox" /** FEM Estimation Code for Initial Conditions \author Pierre-Carl Michaud and Yuhui Zheng \date September 2007 \note run estimation with command mpiexec -machinefile mf -n 48 oxl64 est_init.ox \bug Due to an initialization issue, the independent (X_VAR) and dependent (Y_VAR) variables cannot be changed in number or type. This means, if you want to use different variables, you have to swap them one-to-one, including having the same number of outcomes for any ordered variables. \todo update so that this code no longer relies on data resident on homer. \todo automate the extraction of the covariance matrix from the ox output to the stata input (currently stored in incoming_vcmatrix.dta) \todo automate the extraction of the ordered probit cut points from the ox output to the stata code (currently hand-entered in new51_simulate.do) \todo automate the extraction of the betas from the ox output to the stata input (currently stored in incoming_means.dta) */ main() { decl args = arglist(); // This is the class we use. decl obj = new FEM(); // this is where we work if(sizeof(args) >= 2) obj.SetPath(args[1]); else obj.SetPath("~"); // Estimates the initial condition model obj.SetModel(M_INIT); // This says to use outer product of gradient formula for standard errors (which we don't need) obj.SetStd(OGP); // number of draws (few in this, should be higher but sometimes fails) obj.SetDraws(5); // this is the string that will be appended to file name for results obj.SetName("init92"); // This is the dataset, it is on /homer/c/Retire/michaud/data/fem obj.Load("/homer/c/Retire/michaud/data/fem/age5055_hrs1992r_bmi_exp.csv"); obj.Deterministic(TRUE); // create constant // Formulate the model // Dependent variables obj.Select(Y_VAR, {"fhibpe",0,0,"fhearte",0,0,"fdiabe",0,0,"fanyhi",0,0,"fshlt",0,0, "fwtstate",0,0,"fsmkstat",0,0,"ffuncstat",0,0, "fwork",0,0,"fwlth_nonzero",0,0, "flogaime",0,0,"flogq",0,0, "floghatotax",0,0,"flogiearnx",0,0,"flogdcwlthx",0,0, "fanydc",0,0, "fanydb",0,0,"frdb_ea_c",0,0,"frdb_na_c",0,0}); // Type of dep var, will influence choice probabilities, see FEM.ox for types obj.SetVarType(BIN|BIN|BIN|BIN|BIN| ORDER|ORDER|ORDER| BIN|BIN| CONT|CONT| CENSOR|CENSOR|CENSOR| CENSORBIN|CENSORBIN|CENSORORDER|CENSORORDER); // For each censor vars, these vars (from Y_VAR) are the variables that take value 1 when non-censored, zero if not obj.SetSelectionVars({"fwlth_nonzero","fwork","fanydc", "fwork","fwork","fanydb","fanydb"}); // These are the covariates used on right hand side of model obj.Select(Z_VAR, {"hispan",0,0,"black",0,0, "male",0,0, "hsless",0,0,"college",0,0, "fsingle",0,0,"fwidowed",0,0,"flunge",0,0,"fstroke",0,0,"fcancre",0,0,"Constant",0,0}); // This prints descriptive statistics obj.Info(); // This defines the ids (in init condition time = 1 and period = 1) obj.SetId("hhidpn", "time", "period"); // Don't touch this obj.SetSelSample(-1, 1, -1, 1); // This launches estimation, go see Estimate function in the code to see how this happens. obj.Estimate(); }
Ox
4
ld-archer/E_FEM
FEM_Ox/est_init.ox
[ "MIT" ]
# API name # Data Structures ## User User of the application ### Properties + username: pavan + id: 5 (number) - Id of the user + address + street + city + zip: 1234 (number) - zip description + *variable*: trololo + array (array) - array description - 3 - 4 - 5 + inline array: 1,2,3 (array) - desc + multilined description - some dummy text and it continue there and will end there
API Blueprint
1
darkcl/drafter
test/fixtures/api/mson.apib
[ "MIT" ]
@-moz-document url-prefix() { h1 { color: green } }
CSS
3
mengxy/swc
crates/swc_css_parser/tests/fixture/esbuild/misc/ElFW4lY06Cb-VFYtK0WX4A/input.css
[ "Apache-2.0" ]
make P := ? : Prop ; make Q := ? : Prop ; make R := ? : Prop ; make A := ? : Set ; make B := ? : Set ; make easy : :- TT ; propsimplify ; root ; make hard : :- FF ; propsimplify ; root ; make useless : :- (TT => P) ; propsimplify ; root ; make easyish : :- (FF => P) ; propsimplify ; root ; make andy : :- (TT && P && TT) && (TT && Q) ; propsimplify ; root ; make ethel : :- (TT && P => Q && FF) ; propsimplify ; root ; make oops : :- ((TT && P => Q && FF) => FF) ; propsimplify ; root ; make f : :- ((TT => P) => TT) ; propsimplify ; root ; make g : :- (TT => TT) ; propsimplify ; root ; make h : :- (P => TT) ; propsimplify ; root ; make k : :- (P => FF && P) ; propsimplify ; root ; make x : :- (((P && TT) && (TT && Q)) && R && P) ; propsimplify ; root ; make y : :- ((x : Set)(y : Set) => TT) ; propsimplify ; root ; make z : :- ((x : Set) => TT && P && Q) ; propsimplify ; root ; make a : :- ((TT => FF) => P) ; propsimplify ; root ; make b : :- (TT => TT && P) ; propsimplify ; root ; make eek : :- ((P => FF) => FF) ; propsimplify ; root ; make d : :- (P && Q => TT) ; propsimplify ; root ; make e : :- (TT && P => Q && TT) ; propsimplify ; root ; make f : :- (P => P) ; propsimplify ; root ; make g : :- (P && Q => R && Q && P) ; propsimplify ; root ; make h : :- ((P => Q) => P => Q) ; propsimplify ; root ; make k : :- (P == Q => P == Q) ; propsimplify ; root ; make l : :- (P => Q) ; propsimplify ; root ; make m : :- ((: Set) Set == (: Set) Set) ; propsimplify ; root ; make p : :- (((: Set -> Prop) \ x -> P) == ((: Set -> Prop) \ x -> Q)) ; propsimplify ; root ; make q : :- ((: Set) Set == (: Set) (Set -> Set)) ; propsimplify ; root ; make r : :- (P == P) ; propsimplify ; root ; make s : :- (A == A) ; propsimplify ; root ; make t : :- (A == B) ; propsimplify ; root ; make u : :- ((: Sig (A ; B)) ?x == (: Sig (A ; B)) ?y) ; propsimplify ; root ; make v : :- (P => (x : :- P)(y : :- P) => x == y) ; propsimplify ; root ; make g := ? : (:- P) -> Prop ; make w : :- ((x : :- P) => g x) ; propsimplify ; root ; make en : :- ((x : Enum ['a 'b 'c]) => P) ; propsimplify ; root ; make en2 : :- ((x : Enum ['a 'b]) => x == (: Enum ['a 'b]) 'a) ; propsimplify ; root ; make sf : :- ((x : A) => FF) ; propsimplify ; root ; make sf2 : :- ((x : A) => FF && TT) ; propsimplify ; root ; make sp : :- ((x : A) => P) ; propsimplify ; root ; make bug74 : :- (((x : A) => (FF && FF)) => (FF && FF)) ; propsimplify ; root ;
PigLatin
3
mietek/epigram
test/PropSimp.pig
[ "MIT" ]
/* * lin-power-cntsockcode.c * Copyright 2008 Ramon de Carvalho Valle <[email protected]> * * This library is free software; you can redistribute it and/or * modify it under the terms of the GNU Lesser General Public * License as published by the Free Software Foundation; either * version 2.1 of the License, or (at your option) any later version. * * This library is distributed in the hope that it will be useful, * but WITHOUT ANY WARRANTY; without even the implied warranty of * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU * Lesser General Public License for more details. * * You should have received a copy of the GNU Lesser General Public * License along with this library; if not, write to the Free Software * Foundation, Inc., 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA * */ #define CNTSOCKADDR1 54 #define CNTSOCKADDR2 58 #define CNTSOCKPORT 62 char cntsockcode[]= /* 183 bytes */ "\x7f\xff\xfa\x78" /* xor r31,r31,r31 */ "\x3b\xa0\x01\xff" /* li r29,511 */ "\x3b\x9d\xfe\x02" /* addi r28,r29,-510 */ "\x3b\x7d\xfe\x03" /* addi r27,r29,-509 */ "\x97\xe1\xff\xfc" /* stwu r31,-4(r1) */ "\x97\x81\xff\xfc" /* stwu r28,-4(r1) */ "\x97\x61\xff\xfc" /* stwu r27,-4(r1) */ "\x7c\x24\x0b\x78" /* mr r4,r1 */ "\x38\x7d\xfe\x02" /* addi r3,r29,-510 */ "\x38\x1d\xfe\x67" /* addi r0,r29,-409 */ "\x44\xff\xff\x02" /* sc */ "\x7c\x7a\x1b\x78" /* mr r26,r3 */ "\x3b\x3d\xfe\x11" /* addi r25,r29,-495 */ "\x3e\xe0\x7f\x00" /* lis r23,32512 */ "\x62\xf7\x00\x01" /* ori r23,r23,1 */ "\x3a\xc0\x04\xd2" /* li r22,1234 */ "\x96\xe1\xff\xfc" /* stwu r23,-4(r1) */ "\x96\xc1\xff\xfc" /* stwu r22,-4(r1) */ "\x93\x61\xff\xfe" /* stw r27,-2(r1) */ "\x7c\x35\x0b\x78" /* mr r21,r1 */ "\x97\x21\xff\xfc" /* stwu r25,-4(r1) */ "\x96\xa1\xff\xfc" /* stwu r21,-4(r1) */ "\x97\x41\xff\xfc" /* stwu r26,-4(r1) */ "\x7c\x24\x0b\x78" /* mr r4,r1 */ "\x38\x7d\xfe\x04" /* addi r3,r29,-508 */ "\x38\x1d\xfe\x67" /* addi r0,r29,-409 */ "\x44\xff\xff\x02" /* sc */ "\x7f\x64\xdb\x78" /* mr r4,r27 */ "\x7f\x43\xd3\x78" /* mr r3,r26 */ "\x38\x1d\xfe\x40" /* addi r0,r29,-448 */ "\x44\xff\xff\x02" /* sc */ "\x37\x7b\xff\xff" /* addic. r27,r27,-1 */ "\x40\x80\xff\xec" /* bge+ <cntsockcode+108> */ "\x7c\xa5\x2a\x79" /* xor. r5,r5,r5 */ "\x40\x82\xff\xfd" /* bnel+ <cntsockcode+132> */ "\x7f\xc8\x02\xa6" /* mflr r30 */ "\x3b\xde\x01\xff" /* addi r30,r30,511 */ "\x38\x7e\xfe\x25" /* addi r3,r30,-475 */ "\x98\xbe\xfe\x2c" /* stb r5,-468(r30) */ "\x94\xa1\xff\xfc" /* stwu r5,-4(r1) */ "\x94\x61\xff\xfc" /* stwu r3,-4(r1) */ "\x7c\x24\x0b\x78" /* mr r4,r1 */ "\x38\x1d\xfe\x0c" /* addi r0,r29,-500 */ "\x44\xff\xff\x02" /* sc */ "/bin/sh" ;
C
1
OsmanDere/metasploit-framework
external/source/unixasm/lin-power-cntsockcode.c
[ "BSD-2-Clause", "BSD-3-Clause" ]
#tag Window Begin Window WFSWindow BackColor = 16777215 Backdrop = "" CloseButton = True Composite = False Frame = 0 FullScreen = False HasBackColor = False Height = 3.0e+2 ImplicitInstance= True LiveResize = True MacProcID = 0 MaxHeight = 32000 MaximizeButton = False MaxWidth = 32000 MenuBar = 858513407 MenuBarVisible = True MinHeight = 64 MinimizeButton = True MinWidth = 64 Placement = 0 Resizeable = False Title = "WFS" Visible = True Width = 4.67e+2 Begin Label lblDate AutoDeactivate = True Bold = "" DataField = "" DataSource = "" Enabled = True Height = 30 HelpTag = "" Index = -2147483648 InitialParent = "" Italic = "" Left = 238 LockBottom = "" LockedInPosition= False LockLeft = True LockRight = "" LockTop = True Multiline = "" Scope = 0 Selectable = False TabIndex = 0 TabPanelIndex = 0 Text = "Untitled" TextAlign = 0 TextColor = &h000000 TextFont = "System" TextSize = 0 TextUnit = 0 Top = 10 Transparent = False Underline = "" Visible = True Width = 176 End Begin Label lblTime AutoDeactivate = True Bold = "" DataField = "" DataSource = "" Enabled = True Height = 30 HelpTag = "" Index = -2147483648 InitialParent = "" Italic = "" Left = 238 LockBottom = "" LockedInPosition= False LockLeft = True LockRight = "" LockTop = True Multiline = "" Scope = 0 Selectable = False TabIndex = 1 TabPanelIndex = 0 Text = "Untitled" TextAlign = 0 TextColor = &h000000 TextFont = "System" TextSize = 0 TextUnit = 0 Top = 50 Transparent = False Underline = "" Visible = True Width = 176 End Begin Timer tmrUpdateUI Height = 32 Index = -2147483648 Left = 568 LockedInPosition= False Mode = 2 Period = 500 Scope = 0 TabPanelIndex = 0 Top = 13 Width = 32 End Begin PushButton btnSetSystemTime AutoDeactivate = True Bold = "" ButtonStyle = 0 Cancel = "" Caption = "SetSystemTime" Default = "" Enabled = True Height = 25 HelpTag = "" Index = -2147483648 InitialParent = "" Italic = "" Left = 20 LockBottom = "" LockedInPosition= False LockLeft = True LockRight = "" LockTop = True Scope = 0 TabIndex = 2 TabPanelIndex = 0 TabStop = True TextFont = "System" TextSize = 0 TextUnit = 0 Top = 92 Underline = "" Visible = True Width = 176 End End #tag EndWindow #tag WindowCode #tag Event Sub Open() DatePicker = new DateTimePickerWFS DatePicker.Create( self, 20, 10, 150, 30, true ) TimePicker = new DateTimePickerWFS TimePicker.Create( self, 20, 50, 150, 30, false ) UpdateDateTimeLabels() End Sub #tag EndEvent #tag Method, Flags = &h0 Sub UpdateDateTimeLabels() dim d as date = DatePicker.GetDateTime dim t as date = TimePicker.GetDateTime lblDate.Text = d.SQLDateTime lblTime.Text = t.SQLDateTime End Sub #tag EndMethod #tag Property, Flags = &h0 DatePicker As DateTimePickerWFS #tag EndProperty #tag Property, Flags = &h0 TimePicker As DateTimePickerWFS #tag EndProperty #tag EndWindowCode #tag Events tmrUpdateUI #tag Event Sub Action() UpdateDateTimeLabels End Sub #tag EndEvent #tag EndEvents #tag Events btnSetSystemTime #tag Event Sub Action() dim d as date = DatePicker.GetDateTime dim t as date = TimePicker.GetDateTime d.Hour = t.Hour d.Minute = t.Minute d.Second = t.Second if SystemInformationWFS.SetSystemTime( d ) then MsgBox "SetSystemTime succeeded." else MsgBox "SetSystemTime FAILED!" end if End Sub #tag EndEvent #tag EndEvents
REALbasic
4
bskrtich/WFS
WFSWindow.rbfrm
[ "MIT" ]
val list : unit -> transaction page val main : unit -> transaction page
UrWeb
0
apple314159/urweb
tests/urblog.urs
[ "BSD-3-Clause" ]
(* * Copyright (c) Facebook, Inc. and its affiliates. * * This source code is licensed under the MIT license found in the * LICENSE file in the root directory of this source tree. *) open Vcs_utils type error_status = Vcs_utils.error_status = | Not_installed of { path: string } | Errored of string let git ?cwd args = exec ?cwd "git" args (** [merge_base a b] returns the hash of the common ancestor of [a] and [b]. See https://git-scm.com/docs/git-merge-base for caveats. In particular, if [a] and [b] have multiple common ancestors, which one git returns is undefined (we don't pass [--all]). We also don't pass [--fork-point], so we may return "upstream" changes if history was rewritten. *) let merge_base ?cwd a b = match%lwt git ?cwd ["merge-base"; a; b] with | Ok stdout -> let result = try Ok (String.sub stdout 0 40) with | Invalid_argument _ -> Error (Errored "Malformed merge-base") in Lwt.return result | Error _ as err -> Lwt.return err let files_changed_since ?cwd hash = match%lwt git ?cwd ["diff"; "-z"; "--name-only"; hash] with | Ok stdout -> Lwt.return (Ok (split_null_terminated_lines stdout)) | Error _ as err -> Lwt.return err let files_changed_since_mergebase_with ?cwd commit = match%lwt merge_base ?cwd commit "HEAD" with | Error _ as err -> Lwt.return err | Ok mergebase -> (match%lwt files_changed_since ?cwd mergebase with | Ok changes -> Lwt.return (Ok (mergebase, changes)) | Error _ as err -> Lwt.return err)
OCaml
5
zhangmaijun/flow
src/common/vcs/git.ml
[ "MIT" ]
A = load '/tmp/GatewayWebHCatFuncText/pig/passwd.txt' using PigStorage(':'); B = foreach A generate $0 as id; dump B;
PigLatin
3
project-kotinos/knox
gateway-test/src/test/resources/org/apache/knox/gateway/GatewayBasicFuncTest/script.pig
[ "Apache-2.0" ]
#!/bin/bash # Copyright 2016 The Kubernetes Authors All rights reserved. # # Licensed under the Apache License, Version 2.0 (the "License"); # you may not use this file except in compliance with the License. # You may obtain a copy of the License at # # http://www.apache.org/licenses/LICENSE-2.0 # # Unless required by applicable law or agreed to in writing, software # distributed under the License is distributed on an "AS IS" BASIS, # WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. # See the License for the specific language governing permissions and # limitations under the License. set -eu -o pipefail TESTSUITE="${TESTSUITE:-all}" # if env variable not set run all the tests CI="${CI:-false}" # if env variable not set don't run CI tests exitcode=0 if [[ "$TESTSUITE" = "lint" ]] || [[ "$TESTSUITE" = "all" ]] || [[ "$TESTSUITE" = "lintall" ]] then echo "= make lint =============================================================" make -s lint-ci && echo ok || ((exitcode += 4)) echo "= go mod ================================================================" go mod download 2>&1 | grep -v "go: finding" || true if [[ "$CI" = "true" ]] then go mod tidy -v && git diff --quiet go.* && echo ok || (((exitcode += 2)) && echo ERROR: Please run go mod tidy) echo "= generate docs =========================================================" make generate-docs > /dev/null 2>&1 && git diff --quiet site && echo ok || (((exitcode += 3)) && echo ERROR: Please run make generate-docs) else go mod tidy -v && echo ok || ((exitcode += 2)) fi fi if [[ "$TESTSUITE" = "boilerplate" ]] || [[ "$TESTSUITE" = "all" ]] || [[ "$TESTSUITE" = "lintall" ]] then echo "= boilerplate ===========================================================" readonly ROOT_DIR=$(pwd) readonly BDIR="${ROOT_DIR}/hack/boilerplate" pushd . >/dev/null cd ${BDIR} missing="$(go run boilerplate.go -rootdir ${ROOT_DIR} -boilerplate-dir ${BDIR} | egrep -v '/assets.go|/translations.go|/site/themes/|/site/node_modules|\./out|/hugo/|hack/benchmark/time-to-k8s/time-to-k8s-repo' || true)" if [[ -n "${missing}" ]]; then echo "boilerplate missing: $missing" echo "consider running: ${BDIR}/fix.sh" ((exitcode += 8)) else echo "ok" fi popd >/dev/null fi if [[ "$TESTSUITE" = "unittest" ]] || [[ "$TESTSUITE" = "all" ]] then echo "= schema_check ==========================================================" go run deploy/minikube/schema_check.go >/dev/null && echo ok || ((exitcode += 16)) echo "= go test ===============================================================" cov_tmp="$(mktemp)" readonly COVERAGE_PATH=./out/coverage.txt echo "mode: count" >"${COVERAGE_PATH}" pkgs=$(go list -f '{{ if .TestGoFiles }}{{.ImportPath}}{{end}}' ./cmd/... ./pkg/... | xargs) go test \ -ldflags="$MINIKUBE_LDFLAGS" \ -tags "container_image_ostree_stub containers_image_openpgp" \ -covermode=count \ -coverprofile="${cov_tmp}" \ ${pkgs} \ && echo ok || ((exitcode += 32)) tail -n +2 "${cov_tmp}" >>"${COVERAGE_PATH}" rm ${cov_tmp} fi exit "${exitcode}"
Shell
4
skyplaying/minikube
test.sh
[ "Apache-2.0" ]
//tab_size=4 // Copyright 2021 nickmqb // SPDX-License-Identifier: Apache-2.0 exit(code int) void #Foreign("exit") OpcodeInfo { :mul = 0xdc :mul16 = 0xdd :mul12s = 0xdf :push = 0xce :pop = 0xcf :push_slow = 0xee :pop_slow = 0xef :in_ = 0xe2 :out = 0xe3 :get_ex = 0xe4 :put_ex = 0xe5 :jump = 0xe8 :call = 0xe9 :ret = 0xea :goto = 0xf0 :bzbnz = 0xf8 addDefaultSymbols(symbols Map<string, SymbolInfo>) { symbols.add("var", new SymbolInfo { type: SymbolType.reserved }) symbols.add("if", new SymbolInfo { type: SymbolType.reserved }) symbols.add("else", new SymbolInfo { type: SymbolType.reserved }) symbols.add("z", new SymbolInfo { type: SymbolType.reserved }) symbols.add("nz", new SymbolInfo { type: SymbolType.reserved }) symbols.add("begin", new SymbolInfo { type: SymbolType.reserved }) symbols.add("outer_begin", new SymbolInfo { type: SymbolType.reserved }) symbols.add("in", new SymbolInfo { type: SymbolType.reserved }) symbols.add("out", new SymbolInfo { type: SymbolType.reserved }) symbols.add("goto", new SymbolInfo { type: SymbolType.reserved }) symbols.add("u", new SymbolInfo { type: SymbolType.reserved }) // To avoid confusion between compare and put symbols.add("s", new SymbolInfo { type: SymbolType.reserved }) // To avoid confusion between compare and put symbols.add("call", new SymbolInfo { type: SymbolType.reserved }) symbols.add("<<", new SymbolInfo { type: SymbolType.reserved }) symbols.add(">>s", new SymbolInfo { type: SymbolType.reserved }) symbols.add(">>u", new SymbolInfo { type: SymbolType.reserved }) symbols.add("+", new SymbolInfo { type: SymbolType.opcode, opcode: 0xc0 }) symbols.add("dec", new SymbolInfo { type: SymbolType.opcode, opcode: 0xc1 }) symbols.add("dup", new SymbolInfo { type: SymbolType.opcode, opcode: 0xc2 }) symbols.add("inc", new SymbolInfo { type: SymbolType.opcode, opcode: 0xc3 }) symbols.add("swap", new SymbolInfo { type: SymbolType.opcode, opcode: 0xc4 }) symbols.add("sub", new SymbolInfo { type: SymbolType.opcode, opcode: 0xc5 }) symbols.add("~", new SymbolInfo { type: SymbolType.opcode, opcode: 0xc6 }) symbols.add("neg", new SymbolInfo { type: SymbolType.opcode, opcode: 0xc7 }) symbols.add("&", new SymbolInfo { type: SymbolType.opcode, opcode: 0xc8 }) symbols.add("|", new SymbolInfo { type: SymbolType.opcode, opcode: 0xc9 }) symbols.add("^", new SymbolInfo { type: SymbolType.opcode, opcode: 0xca }) symbols.add("&~", new SymbolInfo { type: SymbolType.opcode, opcode: 0xcb }) symbols.add(">=u", new SymbolInfo { type: SymbolType.opcode, opcode: 0xd0 }) symbols.add("<u", new SymbolInfo { type: SymbolType.opcode, opcode: 0xd1 }) symbols.add("<s", new SymbolInfo { type: SymbolType.opcode, opcode: 0xd2 }) symbols.add(">=s", new SymbolInfo { type: SymbolType.opcode, opcode: 0xd3 }) symbols.add(">u", new SymbolInfo { type: SymbolType.opcode, opcode: 0xd4 }) symbols.add("<=u", new SymbolInfo { type: SymbolType.opcode, opcode: 0xd5 }) symbols.add("<=s", new SymbolInfo { type: SymbolType.opcode, opcode: 0xd6 }) symbols.add(">s", new SymbolInfo { type: SymbolType.opcode, opcode: 0xd7 }) symbols.add("not", new SymbolInfo { type: SymbolType.opcode, opcode: 0xd8 }) symbols.add("to_bool", new SymbolInfo { type: SymbolType.opcode, opcode: 0xd9 }) symbols.add("==", new SymbolInfo { type: SymbolType.opcode, opcode: 0xda }) symbols.add("!=", new SymbolInfo { type: SymbolType.opcode, opcode: 0xdb }) symbols.add("mul", new SymbolInfo { type: SymbolType.opcode, opcode: 0xdc }) symbols.add("mul16", new SymbolInfo { type: SymbolType.opcode, opcode: 0xdd }) symbols.add("mul8s", new SymbolInfo { type: SymbolType.opcode, opcode: 0xde }) symbols.add("mul12s", new SymbolInfo { type: SymbolType.opcode, opcode: 0xdf }) symbols.add("load", new SymbolInfo { type: SymbolType.opcode, opcode: 0xe0 }) symbols.add("loadb", new SymbolInfo { type: SymbolType.opcode, opcode: 0xe1 }) symbols.add("store", new SymbolInfo { type: SymbolType.opcode, opcode: 0xcc }) symbols.add("storeb", new SymbolInfo { type: SymbolType.opcode, opcode: 0xcd }) symbols.add("push", new SymbolInfo { type: SymbolType.opcode, opcode: 0xce }) symbols.add("pop", new SymbolInfo { type: SymbolType.opcode, opcode: 0xcf }) symbols.add("ret", new SymbolInfo { type: SymbolType.opcode, opcode: 0xea }) symbols.add("add_offset", new SymbolInfo { type: SymbolType.opcode, opcode: 0xec }) } } main() { ::currentAllocator = Memory.newArenaAllocator(16 * 1024 * 1024) argErrors := new List<CommandLineArgsParserError>{} parser := new CommandLineArgsParser.from(Environment.getCommandLineArgs(), argErrors) args := parseArgs(parser, false) if argErrors.count > 0 { info := parser.getCommandLineInfo() for argErrors { Stderr.writeLine(CommandLineArgsParser.getErrorDesc(it, info)) } exit(1) } comp := Parser.parse(args.source) out := Generator.generate(comp) if !File.tryWriteString(args.outputPath, out.code) { Stderr.writeLine(format("Could not write to output file: {}", args.outputPath)) exit(1) } Stderr.writeLine(format("{} bytes used ({}%)", out.ins.count, out.ins.count * 100.0 / 5120)) Stderr.writeLine(format("Generated output: {}", args.outputPath)) }
mupad
4
nickmqb/fpga_craft
kasm/main.mu
[ "Apache-2.0" ]
# frozen_string_literal: true # Image blobs can have variants that are the result of a set of transformations applied to the original. # These variants are used to create thumbnails, fixed-size avatars, or any other derivative image from the # original. # # Variants rely on {ImageProcessing}[https://github.com/janko-m/image_processing] gem for the actual transformations # of the file, so you must add <tt>gem "image_processing"</tt> to your Gemfile if you wish to use variants. By # default, images will be processed with {ImageMagick}[http://imagemagick.org] using the # {MiniMagick}[https://github.com/minimagick/minimagick] gem, but you can also switch to the # {libvips}[http://libvips.github.io/libvips/] processor operated by the {ruby-vips}[https://github.com/libvips/ruby-vips] # gem). # # Rails.application.config.active_storage.variant_processor # # => :mini_magick # # Rails.application.config.active_storage.variant_processor = :vips # # => :vips # # Note that to create a variant it's necessary to download the entire blob file from the service. Because of this process, # you also want to be considerate about when the variant is actually processed. You shouldn't be processing variants inline # in a template, for example. Delay the processing to an on-demand controller, like the one provided in # ActiveStorage::RepresentationsController. # # To refer to such a delayed on-demand variant, simply link to the variant through the resolved route provided # by Active Storage like so: # # <%= image_tag Current.user.avatar.variant(resize_to_limit: [100, 100]) %> # # This will create a URL for that specific blob with that specific variant, which the ActiveStorage::RepresentationsController # can then produce on-demand. # # When you do want to actually produce the variant needed, call +processed+. This will check that the variant # has already been processed and uploaded to the service, and, if so, just return that. Otherwise it will perform # the transformations, upload the variant to the service, and return itself again. Example: # # avatar.variant(resize_to_limit: [100, 100]).processed.url # # This will create and process a variant of the avatar blob that's constrained to a height and width of 100. # Then it'll upload said variant to the service according to a derivative key of the blob and the transformations. # # You can combine any number of ImageMagick/libvips operations into a variant, as well as any macros provided by the # ImageProcessing gem (such as +resize_to_limit+): # # avatar.variant(resize_to_limit: [800, 800], colourspace: "b-w", rotate: "-90") # # Visit the following links for a list of available ImageProcessing commands and ImageMagick/libvips operations: # # * {ImageProcessing::MiniMagick}[https://github.com/janko-m/image_processing/blob/master/doc/minimagick.md#methods] # * {ImageMagick reference}[https://www.imagemagick.org/script/mogrify.php] # * {ImageProcessing::Vips}[https://github.com/janko-m/image_processing/blob/master/doc/vips.md#methods] # * {ruby-vips reference}[http://www.rubydoc.info/gems/ruby-vips/Vips/Image] class ActiveStorage::Variant attr_reader :blob, :variation delegate :service, to: :blob delegate :content_type, to: :variation def initialize(blob, variation_or_variation_key) @blob, @variation = blob, ActiveStorage::Variation.wrap(variation_or_variation_key) end # Returns the variant instance itself after it's been processed or an existing processing has been found on the service. def processed process unless processed? self end # Returns a combination key of the blob and the variation that together identifies a specific variant. def key "variants/#{blob.key}/#{OpenSSL::Digest::SHA256.hexdigest(variation.key)}" end # Returns the URL of the blob variant on the service. See {ActiveStorage::Blob#url} for details. # # Use <tt>url_for(variant)</tt> (or the implied form, like +link_to variant+ or +redirect_to variant+) to get the stable URL # for a variant that points to the ActiveStorage::RepresentationsController, which in turn will use this +service_call+ method # for its redirection. def url(expires_in: ActiveStorage.service_urls_expire_in, disposition: :inline) service.url key, expires_in: expires_in, disposition: disposition, filename: filename, content_type: content_type end # Downloads the file associated with this variant. If no block is given, the entire file is read into memory and returned. # That'll use a lot of RAM for very large files. If a block is given, then the download is streamed and yielded in chunks. def download(&block) service.download key, &block end def filename ActiveStorage::Filename.new "#{blob.filename.base}.#{variation.format.downcase}" end alias_method :content_type_for_serving, :content_type def forced_disposition_for_serving # :nodoc: nil end # Returns the receiving variant. Allows ActiveStorage::Variant and ActiveStorage::Preview instances to be used interchangeably. def image self end private def processed? service.exist?(key) end def process blob.open do |input| variation.transform(input) do |output| service.upload(key, output, content_type: content_type) end end end end
Ruby
4
jstncarvalho/rails
activestorage/app/models/active_storage/variant.rb
[ "MIT" ]
/* Copyright 2020 The TensorFlow Authors. All Rights Reserved. Licensed under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License. You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. See the License for the specific language governing permissions and limitations under the License. ==============================================================================*/ #include "mlir-hlo/utils/broadcast_utils.h" #include <algorithm> #include "llvm/ADT/Sequence.h" #include "llvm/ADT/SmallVector.h" #include "mlir/Dialect/Shape/IR/Shape.h" #include "mlir/Dialect/StandardOps/IR/Ops.h" #include "mlir/Dialect/Tensor/IR/Tensor.h" #include "mlir/IR/BuiltinTypes.h" #include "mlir/IR/Diagnostics.h" namespace mlir { namespace hlo { bool IsLegalNumpyRankedBroadcast(Value lhs, Value rhs, DenseIntElementsAttr broadcast_dims) { RankedTensorType lhs_type = lhs.getType().dyn_cast<RankedTensorType>(); RankedTensorType rhs_type = rhs.getType().dyn_cast<RankedTensorType>(); if (!lhs_type || !rhs_type) return false; if (lhs_type.getRank() == rhs_type.getRank()) return true; // Otherwise, verify that broadcast_dims strictly performs left-padding. auto smaller_rank = std::min(lhs_type.getRank(), rhs_type.getRank()); auto larger_rank = std::max(lhs_type.getRank(), rhs_type.getRank()); if (smaller_rank != broadcast_dims.getNumElements()) { return false; } auto expected_extents = llvm::seq<int64_t>(larger_rank - smaller_rank, larger_rank); return std::equal(expected_extents.begin(), expected_extents.end(), broadcast_dims.value_begin<APInt>()); } Value ComputeBinaryElementwiseBroadcastingResultExtents(Location loc, Value lhs, Value rhs, OpBuilder& builder) { return ComputeNaryElementwiseBroadcastingResultExtents( loc, ValueRange{lhs, rhs}, builder); } Value ComputeNaryElementwiseBroadcastingResultExtents(Location loc, ValueRange operands, OpBuilder& builder) { auto shapes = llvm::to_vector<4>(llvm::map_range(operands, [&](Value v) { return builder.createOrFold<shape::ShapeOfOp>(loc, v); })); int64_t result_rank = 0; for (Value s : shapes) { auto ty = s.getType().cast<RankedTensorType>(); assert(ty.getRank() == 1 && "expect extent tensor type"); if (ty.isDynamicDim(0)) { result_rank = ShapedType::kDynamicSize; break; } else { result_rank = std::max(result_rank, ty.getDimSize(0)); } } Type extent_tensor_ty = shape::getExtentTensorType(builder.getContext(), result_rank); return builder.createOrFold<shape::BroadcastOp>(loc, extent_tensor_ty, shapes, /*error=*/nullptr); } } // namespace hlo } // namespace mlir
C++
4
computationalartist/tensorflow
tensorflow/compiler/mlir/hlo/lib/utils/broadcast_utils.cc
[ "Apache-2.0" ]
data _null_; put "Hello, World!"; run;
SAS
2
kennethsequeira/Hello-world
Sas/hello.sas
[ "MIT" ]
#!/usr/bin/env bash ## # @license Copyright 2021 The Lighthouse Authors. All Rights Reserved. # Licensed under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License. You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 # Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. See the License for the specific language governing permissions and limitations under the License. ## # Setup dependencies for local layout test runner. # Set SKIP_DOWNLOADS to skip all the downloading and just export variables. set -euo pipefail SCRIPT_DIR="$( cd "$( dirname "${BASH_SOURCE[0]}" )" && pwd )" LH_ROOT="$SCRIPT_DIR/../../.." TEST_DIR="$LH_ROOT/.tmp/chromium-web-tests" export DEPOT_TOOLS_PATH="$TEST_DIR/depot-tools" export DEVTOOLS_PATH=${DEVTOOLS_PATH:-"$TEST_DIR/devtools/devtools-frontend"} export BLINK_TOOLS_PATH="$TEST_DIR/blink_tools" export PATH=$DEPOT_TOOLS_PATH:$PATH if [ -z ${SKIP_DOWNLOADS+x} ] then echo "========================================" echo "Downloading dependencies, including latest content_shell and DevTools" echo "To skip this step, set SKIP_DOWNLOADS=1" echo "========================================" echo bash "$SCRIPT_DIR/download-depot-tools.sh" bash "$SCRIPT_DIR/download-devtools.sh" bash "$SCRIPT_DIR/download-blink-tools.sh" bash "$SCRIPT_DIR/download-content-shell.sh" fi
Shell
4
MB175/lighthouse
lighthouse-core/test/chromium-web-tests/setup.sh
[ "Apache-2.0" ]
DESCRIPTION = "A Python Mocking and Patching Library for Testing" HOMEPAGE = "https://pypi.python.org/pypi/mock" SECTION = "devel/python" LICENSE = "Apache-2.0" LIC_FILES_CHKSUM = "file://LICENSE.txt;md5=de9dfbf780446b18aab11f00baaf5b7e" inherit pypi setuptools3 RDEPENDS:${PN} += "${PYTHON_PN}-prettytable \ ${PYTHON_PN}-cmd2 \ ${PYTHON_PN}-pyparsing \ ${PYTHON_PN}-mccabe \ ${PYTHON_PN}-pep8 \ ${PYTHON_PN}-pyflakes" SRC_URI[sha256sum] = "7d3fbbde18228f4ff2f1f119a45cdffa458b4c0dee32eb4d2bb2f82554bac7bc"
BitBake
1
shipinglinux/meta-openembedded
meta-python/recipes-devtools/python/python3-mock_4.0.3.bb
[ "MIT" ]
/* * Copyright (c) 2021, Leon Albrecht <[email protected]> * * SPDX-License-Identifier: BSD-2-Clause */ #pragma once #include "Report.h" #include <AK/Concepts.h> #include <AK/SIMD.h> #include <LibX86/Instruction.h> #include <LibX86/Interpreter.h> #include <math.h> #include <string.h> namespace UserspaceEmulator { using namespace AK::SIMD; class Emulator; class SoftCPU; union MMX { u64 raw; c8x8 v8; i16x4 v16; i32x2 v32; u16x4 v16u; u32x2 v32u; }; static_assert(AssertSize<MMX, sizeof(u64)>()); class SoftFPU final { public: SoftFPU(Emulator& emulator, SoftCPU& cpu) : m_emulator(emulator) , m_cpu(cpu) { } ALWAYS_INLINE bool c0() const { return m_fpu_c0; } ALWAYS_INLINE bool c1() const { return m_fpu_c1; } ALWAYS_INLINE bool c2() const { return m_fpu_c2; } ALWAYS_INLINE bool c3() const { return m_fpu_c3; } ALWAYS_INLINE void set_c0(bool val) { m_fpu_c0 = val; } ALWAYS_INLINE void set_c1(bool val) { m_fpu_c1 = val; } ALWAYS_INLINE void set_c2(bool val) { m_fpu_c2 = val; } ALWAYS_INLINE void set_c3(bool val) { m_fpu_c3 = val; } long double fpu_get(u8 index); void fpu_push(long double value); long double fpu_pop(); void fpu_set_absolute(u8 index, long double value); void fpu_set(u8 index, long double value); MMX mmx_get(u8 index) const; void mmx_set(u8 index, MMX value); private: friend class SoftCPU; Emulator& m_emulator; SoftCPU& m_cpu; enum class FPU_Exception : u8 { InvalidOperation, DenormalizedOperand, ZeroDivide, Overflow, Underflow, Precision, StackFault, }; enum class FPU_Tag : u8 { Valid = 0b00, Zero = 0b01, Special = 0b10, Empty = 0b11 }; enum class RoundingMode : u8 { NEAREST = 0b00, DOWN = 0b01, UP = 0b10, TRUNC = 0b11 }; void fpu_dump_env() { reportln("Exceptions: #I:{} #D:{} #Z:{} #O:{} #U:{} #P:{} #SF:{} Summary:{}", m_fpu_error_invalid, m_fpu_error_denorm, m_fpu_error_zero_div, m_fpu_error_overflow, m_fpu_error_underflow, m_fpu_error_precision, m_fpu_error_stackfault, m_fpu_error_summary); reportln("Masks: #I:{} #D:{} #Z:{} #O:{} #U:{} #P:{}", m_fpu_mask_invalid, m_fpu_mask_denorm, m_fpu_mask_zero_div, m_fpu_mask_overflow, m_fpu_mask_underflow, m_fpu_mask_precision); reportln("C0:{} C1:{} C2:{} C3:{}", c0(), c1(), c2(), c3()); reportln("fpu-stacktop: {}", m_fpu_stack_top); reportln("fpu-stack /w stacktop (real):"); for (u8 i = 0; i < 8; ++i) { reportln("\t{} ({}): fp {} ({}), mmx {:016x}", i, (u8)((m_fpu_stack_top + i) % 8), m_storage[(m_fpu_stack_top + i) % 8].fp, fpu_is_set(i) ? "set" : "free", m_storage[(m_fpu_stack_top + i) % 8].mmx.raw); } } String fpu_exception_string(FPU_Exception ex) { switch (ex) { case FPU_Exception::StackFault: return "Stackfault"; case FPU_Exception::InvalidOperation: return "Invalid Operation"; case FPU_Exception::DenormalizedOperand: return "Denormalized Operand"; case FPU_Exception::ZeroDivide: return "Divide by Zero"; case FPU_Exception::Overflow: return "Overflow"; case FPU_Exception::Underflow: return "Underflow"; case FPU_Exception::Precision: return "Precision"; } VERIFY_NOT_REACHED(); } // FIXME: Technically we should check for exceptions after each insn, too, // this might be important for FLDENV, but otherwise it should // be fine this way void fpu_set_exception(FPU_Exception ex); ALWAYS_INLINE void fpu_set_stack_overflow() { reportln("Stack Overflow"); set_c1(1); fpu_set_exception(FPU_Exception::StackFault); } ALWAYS_INLINE void fpu_set_stack_underflow() { reportln("Stack Underflow"); set_c1(0); fpu_set_exception(FPU_Exception::StackFault); } constexpr FPU_Tag fpu_get_tag_absolute(u8 index) const { switch (index) { case 0: return FPU_Tag(m_fpu_status_0); case 1: return FPU_Tag(m_fpu_status_1); case 2: return FPU_Tag(m_fpu_status_2); case 3: return FPU_Tag(m_fpu_status_3); case 4: return FPU_Tag(m_fpu_status_4); case 5: return FPU_Tag(m_fpu_status_5); case 6: return FPU_Tag(m_fpu_status_6); case 7: return FPU_Tag(m_fpu_status_7); default: VERIFY_NOT_REACHED(); } } constexpr FPU_Tag fpu_get_tag(u8 index) const { VERIFY(index < 8); return fpu_get_tag_absolute((m_fpu_stack_top + index) % 8); } ALWAYS_INLINE void fpu_set_tag_absolute(u8 index, FPU_Tag tag) { switch (index) { case 0: m_fpu_status_0 = (u8)tag; break; case 1: m_fpu_status_1 = (u8)tag; break; case 2: m_fpu_status_2 = (u8)tag; break; case 3: m_fpu_status_3 = (u8)tag; break; case 4: m_fpu_status_4 = (u8)tag; break; case 5: m_fpu_status_5 = (u8)tag; break; case 6: m_fpu_status_6 = (u8)tag; break; case 7: m_fpu_status_7 = (u8)tag; break; default: VERIFY_NOT_REACHED(); } } ALWAYS_INLINE void fpu_set_tag(u8 index, FPU_Tag tag) { VERIFY(index < 8); fpu_set_tag_absolute((m_fpu_stack_top + index) % 8, tag); } ALWAYS_INLINE void set_tag_from_value_absolute(u8 index, long double val) { switch (fpclassify(val)) { case FP_ZERO: fpu_set_tag_absolute(index, FPU_Tag::Zero); break; case FP_NAN: case FP_INFINITE: case FP_SUBNORMAL: fpu_set_tag_absolute(index, FPU_Tag::Special); break; case FP_NORMAL: fpu_set_tag_absolute(index, FPU_Tag::Valid); break; default: VERIFY_NOT_REACHED(); } } ALWAYS_INLINE void set_tag_from_value(u8 index, long double val) { set_tag_from_value_absolute((m_fpu_stack_top + index) % 8, val); } ALWAYS_INLINE bool fpu_isnan(u8 index) { return isnan(fpu_get(index)); } ALWAYS_INLINE bool fpu_is_set(u8 index) const { return fpu_get_tag_absolute((m_fpu_stack_top + index) % 8) != FPU_Tag::Empty; } ALWAYS_INLINE RoundingMode fpu_get_round_mode() const { return RoundingMode(m_fpu_round_mode); } template<Arithmetic T> T fpu_round(long double) const; template<Arithmetic T> T fpu_round_checked(long double); template<FloatingPoint T> T fpu_convert(long double) const; template<FloatingPoint T> T fpu_convert_checked(long double); ALWAYS_INLINE void fpu_set_unordered() { set_c0(1); set_c2(1); set_c3(1); } void warn_if_mmx_absolute(u8 index) const; void warn_if_fpu_absolute(u8 index) const; void mmx_common() { m_fpu_tw = 0; } bool m_reg_is_mmx[8] { false }; union { long double fp; struct { MMX mmx; u16 __high; }; } m_storage[8]; union { u16 m_fpu_cw { 0x037F }; struct { u16 m_fpu_mask_invalid : 1; u16 m_fpu_mask_denorm : 1; u16 m_fpu_mask_zero_div : 1; u16 m_fpu_mask_overflow : 1; u16 m_fpu_mask_underflow : 1; u16 m_fpu_mask_precision : 1; u16 : 2; // unused u16 m_fpu_precission : 2; u16 m_fpu_round_mode : 2; u16 m_fpu_infinity_control : 1; u16 : 3; // unused }; }; union { u16 m_fpu_sw { 0 }; struct { u16 m_fpu_error_invalid : 1; // pre | IE -> #I (#IS, #IA) u16 m_fpu_error_denorm : 1; // pre | DE -> #D u16 m_fpu_error_zero_div : 1; // pre | ZE -> #Z u16 m_fpu_error_overflow : 1; // post| OE -> #O u16 m_fpu_error_underflow : 1; // post| UE -> #U u16 m_fpu_error_precision : 1; // post| PE -> #P u16 m_fpu_error_stackfault : 1; // SF u16 m_fpu_error_summary : 1; u16 m_fpu_c0 : 1; u16 m_fpu_c1 : 1; u16 m_fpu_c2 : 1; u16 m_fpu_stack_top : 3; u16 m_fpu_c3 : 1; u16 m_fpu_busy : 1; }; }; union { u16 m_fpu_tw { 0xFFFF }; struct { u16 m_fpu_status_0 : 2; u16 m_fpu_status_1 : 2; u16 m_fpu_status_2 : 2; u16 m_fpu_status_3 : 2; u16 m_fpu_status_4 : 2; u16 m_fpu_status_5 : 2; u16 m_fpu_status_6 : 2; u16 m_fpu_status_7 : 2; }; }; u32 m_fpu_ip { 0 }; u16 m_fpu_cs { 0 }; u32 m_fpu_dp { 0 }; u16 m_fpu_ds { 0 }; u16 m_fpu_iop { 0 }; // Instructions // DATA TRANSFER void FLD_RM32(const X86::Instruction&); void FLD_RM64(const X86::Instruction&); void FLD_RM80(const X86::Instruction&); void FST_RM32(const X86::Instruction&); void FST_RM64(const X86::Instruction&); void FSTP_RM32(const X86::Instruction&); void FSTP_RM64(const X86::Instruction&); void FSTP_RM80(const X86::Instruction&); void FILD_RM32(const X86::Instruction&); void FILD_RM16(const X86::Instruction&); void FILD_RM64(const X86::Instruction&); void FIST_RM16(const X86::Instruction&); void FIST_RM32(const X86::Instruction&); void FISTP_RM16(const X86::Instruction&); void FISTP_RM32(const X86::Instruction&); void FISTP_RM64(const X86::Instruction&); void FISTTP_RM16(const X86::Instruction&); void FISTTP_RM32(const X86::Instruction&); void FISTTP_RM64(const X86::Instruction&); void FBLD_M80(const X86::Instruction&); void FBSTP_M80(const X86::Instruction&); void FXCH(const X86::Instruction&); void FCMOVE(const X86::Instruction&); void FCMOVNE(const X86::Instruction&); void FCMOVB(const X86::Instruction&); void FCMOVBE(const X86::Instruction&); void FCMOVNB(const X86::Instruction&); void FCMOVNBE(const X86::Instruction&); void FCMOVU(const X86::Instruction&); void FCMOVNU(const X86::Instruction&); // BASIC ARITHMETIC void FADD_RM32(const X86::Instruction&); void FADD_RM64(const X86::Instruction&); void FADDP(const X86::Instruction&); void FIADD_RM16(const X86::Instruction&); void FIADD_RM32(const X86::Instruction&); void FSUB_RM32(const X86::Instruction&); void FSUB_RM64(const X86::Instruction&); void FSUBP(const X86::Instruction&); void FSUBR_RM32(const X86::Instruction&); void FSUBR_RM64(const X86::Instruction&); void FSUBRP(const X86::Instruction&); void FISUB_RM16(const X86::Instruction&); void FISUB_RM32(const X86::Instruction&); void FISUBR_RM16(const X86::Instruction&); void FISUBR_RM32(const X86::Instruction&); void FMUL_RM32(const X86::Instruction&); void FMUL_RM64(const X86::Instruction&); void FMULP(const X86::Instruction&); void FIMUL_RM16(const X86::Instruction&); void FIMUL_RM32(const X86::Instruction&); void FDIV_RM32(const X86::Instruction&); void FDIV_RM64(const X86::Instruction&); void FDIVP(const X86::Instruction&); void FDIVR_RM32(const X86::Instruction&); void FDIVR_RM64(const X86::Instruction&); void FDIVRP(const X86::Instruction&); void FIDIV_RM16(const X86::Instruction&); void FIDIV_RM32(const X86::Instruction&); void FIDIVR_RM16(const X86::Instruction&); void FIDIVR_RM32(const X86::Instruction&); void FPREM(const X86::Instruction&); void FPREM1(const X86::Instruction&); void FABS(const X86::Instruction&); void FCHS(const X86::Instruction&); void FRNDINT(const X86::Instruction&); void FSCALE(const X86::Instruction&); void FSQRT(const X86::Instruction&); void FXTRACT(const X86::Instruction&); // COMPARISON void FCOM_RM32(const X86::Instruction&); void FCOM_RM64(const X86::Instruction&); void FCOMP_RM32(const X86::Instruction&); void FCOMP_RM64(const X86::Instruction&); void FCOMPP(const X86::Instruction&); void FCOMI(const X86::Instruction&); void FCOMIP(const X86::Instruction&); void FUCOM(const X86::Instruction&); void FUCOMP(const X86::Instruction&); void FUCOMPP(const X86::Instruction&); void FUCOMI(const X86::Instruction&); void FUCOMIP(const X86::Instruction&); void FICOM_RM16(const X86::Instruction&); void FICOM_RM32(const X86::Instruction&); void FICOMP_RM16(const X86::Instruction&); void FICOMP_RM32(const X86::Instruction&); void FTST(const X86::Instruction&); void FXAM(const X86::Instruction&); // TRANSCENDENTAL void FSIN(const X86::Instruction&); void FCOS(const X86::Instruction&); void FSINCOS(const X86::Instruction&); void FPTAN(const X86::Instruction&); void FPATAN(const X86::Instruction&); void F2XM1(const X86::Instruction&); void FYL2X(const X86::Instruction&); void FYL2XP1(const X86::Instruction&); // CONSTANT LOAD void FLD1(const X86::Instruction&); void FLDZ(const X86::Instruction&); void FLDPI(const X86::Instruction&); void FLDL2E(const X86::Instruction&); void FLDLN2(const X86::Instruction&); void FLDL2T(const X86::Instruction&); void FLDLG2(const X86::Instruction&); // CONTROL void FINCSTP(const X86::Instruction&); void FDECSTP(const X86::Instruction&); void FFREE(const X86::Instruction&); void FFREEP(const X86::Instruction&); // undocumented // FIXME: Non N- versions? void FNINIT(const X86::Instruction&); void FNCLEX(const X86::Instruction&); void FNSTCW(const X86::Instruction&); void FLDCW(const X86::Instruction&); void FNSTENV(const X86::Instruction&); void FLDENV(const X86::Instruction&); void FNSAVE(const X86::Instruction&); void FRSTOR(const X86::Instruction&); void FNSTSW(const X86::Instruction&); void FNSTSW_AX(const X86::Instruction&); // FIXME: WAIT && FWAIT void FNOP(const X86::Instruction&); // FPU & SIMD MANAGEMENT // FIXME: FXSAVE && FXRSTOR // DO NOTHING? // FIXME: FENI, FDISI, FSETPM void FNENI(const X86::Instruction&); void FNDISI(const X86::Instruction&); void FNSETPM(const X86::Instruction&); // MMX // ARITHMETIC void PADDB_mm1_mm2m64(const X86::Instruction&); void PADDW_mm1_mm2m64(const X86::Instruction&); void PADDD_mm1_mm2m64(const X86::Instruction&); void PADDSB_mm1_mm2m64(const X86::Instruction&); void PADDSW_mm1_mm2m64(const X86::Instruction&); void PADDUSB_mm1_mm2m64(const X86::Instruction&); void PADDUSW_mm1_mm2m64(const X86::Instruction&); void PSUBB_mm1_mm2m64(const X86::Instruction&); void PSUBW_mm1_mm2m64(const X86::Instruction&); void PSUBD_mm1_mm2m64(const X86::Instruction&); void PSUBSB_mm1_mm2m64(const X86::Instruction&); void PSUBSW_mm1_mm2m64(const X86::Instruction&); void PSUBUSB_mm1_mm2m64(const X86::Instruction&); void PSUBUSW_mm1_mm2m64(const X86::Instruction&); void PMULHW_mm1_mm2m64(const X86::Instruction&); void PMULLW_mm1_mm2m64(const X86::Instruction&); void PMADDWD_mm1_mm2m64(const X86::Instruction&); // COMPARISON void PCMPEQB_mm1_mm2m64(const X86::Instruction&); void PCMPEQW_mm1_mm2m64(const X86::Instruction&); void PCMPEQD_mm1_mm2m64(const X86::Instruction&); void PCMPGTB_mm1_mm2m64(const X86::Instruction&); void PCMPGTW_mm1_mm2m64(const X86::Instruction&); void PCMPGTD_mm1_mm2m64(const X86::Instruction&); // CONVERSION void PACKSSDW_mm1_mm2m64(const X86::Instruction&); void PACKSSWB_mm1_mm2m64(const X86::Instruction&); void PACKUSWB_mm1_mm2m64(const X86::Instruction&); // UNPACK void PUNPCKHBW_mm1_mm2m64(const X86::Instruction&); void PUNPCKHWD_mm1_mm2m64(const X86::Instruction&); void PUNPCKHDQ_mm1_mm2m64(const X86::Instruction&); void PUNPCKLBW_mm1_mm2m32(const X86::Instruction&); void PUNPCKLWD_mm1_mm2m32(const X86::Instruction&); void PUNPCKLDQ_mm1_mm2m32(const X86::Instruction&); // LOGICAL void PAND_mm1_mm2m64(const X86::Instruction&); void PANDN_mm1_mm2m64(const X86::Instruction&); void POR_mm1_mm2m64(const X86::Instruction&); void PXOR_mm1_mm2m64(const X86::Instruction&); // SHIFT void PSLLW_mm1_mm2m64(const X86::Instruction&); void PSLLW_mm1_imm8(const X86::Instruction&); void PSLLD_mm1_mm2m64(const X86::Instruction&); void PSLLD_mm1_imm8(const X86::Instruction&); void PSLLQ_mm1_mm2m64(const X86::Instruction&); void PSLLQ_mm1_imm8(const X86::Instruction&); void PSRAW_mm1_mm2m64(const X86::Instruction&); void PSRAW_mm1_imm8(const X86::Instruction&); void PSRAD_mm1_mm2m64(const X86::Instruction&); void PSRAD_mm1_imm8(const X86::Instruction&); void PSRLW_mm1_mm2m64(const X86::Instruction&); void PSRLW_mm1_imm8(const X86::Instruction&); void PSRLD_mm1_mm2m64(const X86::Instruction&); void PSRLD_mm1_imm8(const X86::Instruction&); void PSRLQ_mm1_mm2m64(const X86::Instruction&); void PSRLQ_mm1_imm8(const X86::Instruction&); // DATA TRANSFER void MOVD_mm1_rm32(const X86::Instruction&); void MOVD_rm32_mm2(const X86::Instruction&); void MOVQ_mm1_mm2m64(const X86::Instruction&); void MOVQ_mm1m64_mm2(const X86::Instruction&); void MOVQ_mm1_rm64(const X86::Instruction&); // long mode void MOVQ_rm64_mm2(const X86::Instruction&); // long mode // EMPTY MMX STATE void EMMS(const X86::Instruction&); }; }
C
5
r00ster91/serenity
Userland/DevTools/UserspaceEmulator/SoftFPU.h
[ "BSD-2-Clause" ]
// run-rustfix #![warn(clippy::result_map_or_into_option)] fn main() { let opt: Result<u32, &str> = Ok(1); let _ = opt.map_or(None, Some); let rewrap = |s: u32| -> Option<u32> { Some(s) }; // A non-Some `f` arg should not emit the lint let opt: Result<u32, &str> = Ok(1); let _ = opt.map_or(None, rewrap); // A non-Some `f` closure where the argument is not used as the // return should not emit the lint let opt: Result<u32, &str> = Ok(1); opt.map_or(None, |_x| Some(1)); }
Rust
4
Eric-Arellano/rust
src/tools/clippy/tests/ui/result_map_or_into_option.rs
[ "ECL-2.0", "Apache-2.0", "MIT-0", "MIT" ]
<table> <tr><th colspan="3"><%= @page_title %></th></tr> <% for page in ['/fi', '/fo', '/fum'] -%> <tr><td>open</td><td><%= page %></td><td>&nbsp;</td></tr> <% end -%> <%= render :partial => 'partial', :locals => {:source => 'RHTML'} %> </table>
RHTML
3
RockHong/railscasts-episodes
episode-116/store/vendor/plugins/selenium-on-rails/test_data/rhtml.rhtml
[ "MIT" ]
<GameFile> <PropertyGroup Name="SelectList" Type="Layer" ID="fb5f9ac2-71b8-4d44-bd74-5bdffeabc31b" Version="3.10.0.0" /> <Content ctype="GameProjectContent"> <Content> <Animation Duration="0" Speed="1.0000" /> <ObjectData Name="Layer" Tag="29" ctype="GameLayerObjectData"> <Size X="720.0000" Y="480.0000" /> <Children> <AbstractNodeData Name="Panel_4" ActionTag="1135387664" Tag="52" IconVisible="False" PercentWidthEnable="True" PercentHeightEnable="True" PercentWidthEnabled="True" PercentHeightEnabled="True" TouchEnable="True" ClipAble="False" ComboBoxIndex="1" ColorAngle="90.0000" Scale9Width="1" Scale9Height="1" ctype="PanelObjectData"> <Size X="720.0000" Y="480.0000" /> <Children> <AbstractNodeData Name="title" ActionTag="1366729226" Tag="43" IconVisible="False" PositionPercentXEnabled="True" VerticalEdge="TopEdge" LeftMargin="314.0000" RightMargin="314.0000" TopMargin="7.0000" BottomMargin="410.0000" FontSize="48" LabelText="Title" ShadowOffsetX="2.0000" ShadowOffsetY="-2.0000" ctype="TextObjectData"> <Size X="92.0000" Y="63.0000" /> <AnchorPoint ScaleX="0.5000" ScaleY="0.5000" /> <Position X="360.0000" Y="441.5000" /> <Scale ScaleX="1.0000" ScaleY="1.0000" /> <CColor A="255" R="255" G="255" B="255" /> <PrePosition X="0.5000" Y="0.9198" /> <PreSize X="0.1278" Y="0.1312" /> <FontResource Type="Normal" Path="DroidSansFallback.ttf" Plist="" /> <OutlineColor A="255" R="255" G="0" B="0" /> <ShadowColor A="255" R="110" G="110" B="110" /> </AbstractNodeData> </Children> <AnchorPoint /> <Position /> <Scale ScaleX="1.0000" ScaleY="1.0000" /> <CColor A="255" R="255" G="255" B="255" /> <PrePosition /> <PreSize X="1.0000" Y="1.0000" /> <SingleColor A="255" R="42" G="42" B="42" /> <FirstColor A="255" R="150" G="200" B="255" /> <EndColor A="255" R="255" G="255" B="255" /> <ColorVector ScaleY="1.0000" /> </AbstractNodeData> <AbstractNodeData Name="Panel_5" ActionTag="697373835" Tag="53" IconVisible="False" PercentWidthEnable="True" PercentWidthEnabled="True" VerticalEdge="BothEdge" TopMargin="80.0000" TouchEnable="True" StretchHeightEnable="True" ClipAble="True" BackColorAlpha="102" ColorAngle="90.0000" Scale9Width="1" Scale9Height="1" ctype="PanelObjectData"> <Size X="720.0000" Y="400.0000" /> <Children> <AbstractNodeData Name="Panel_17" ActionTag="-1215190169" Tag="67" IconVisible="False" PositionPercentYEnabled="True" HorizontalEdge="BothEdge" LeftMargin="80.0000" RightMargin="80.0000" TopMargin="152.0000" BottomMargin="152.0000" StretchWidthEnable="True" ClipAble="False" BackColorAlpha="38" ComboBoxIndex="1" ColorAngle="90.0000" Scale9Width="1" Scale9Height="1" ctype="PanelObjectData"> <Size X="560.0000" Y="96.0000" /> <AnchorPoint ScaleY="0.5000" /> <Position X="80.0000" Y="200.0000" /> <Scale ScaleX="1.0000" ScaleY="1.0000" /> <CColor A="255" R="255" G="255" B="255" /> <PrePosition X="0.1111" Y="0.5000" /> <PreSize X="0.7778" Y="0.2400" /> <SingleColor A="255" R="255" G="255" B="255" /> <FirstColor A="255" R="150" G="200" B="255" /> <EndColor A="255" R="255" G="255" B="255" /> <ColorVector ScaleY="1.0000" /> </AbstractNodeData> <AbstractNodeData Name="pageview" ActionTag="-142522138" Tag="60" IconVisible="False" HorizontalEdge="BothEdge" VerticalEdge="BothEdge" LeftMargin="80.0000" RightMargin="80.0000" TouchEnable="True" StretchWidthEnable="True" StretchHeightEnable="True" ClipAble="False" BackColorAlpha="102" ColorAngle="90.0000" Scale9Width="1" Scale9Height="1" ctype="PanelObjectData"> <Size X="560.0000" Y="400.0000" /> <AnchorPoint /> <Position X="80.0000" /> <Scale ScaleX="1.0000" ScaleY="1.0000" /> <CColor A="255" R="255" G="255" B="255" /> <PrePosition X="0.1111" /> <PreSize X="0.7778" Y="1.0000" /> <SingleColor A="255" R="150" G="200" B="255" /> <FirstColor A="255" R="150" G="200" B="255" /> <EndColor A="255" R="255" G="255" B="255" /> <ColorVector ScaleY="1.0000" /> </AbstractNodeData> <AbstractNodeData Name="dir_icon" ActionTag="1905600206" Tag="54" IconVisible="False" PositionPercentYEnabled="True" HorizontalEdge="LeftEdge" RightMargin="640.0000" TopMargin="160.0000" BottomMargin="160.0000" ClipAble="False" BackColorAlpha="102" ColorAngle="90.0000" Scale9Width="1" Scale9Height="1" ctype="PanelObjectData"> <Size X="80.0000" Y="80.0000" /> <Children> <AbstractNodeData Name="Panel_2_9_8" ActionTag="-1619199232" Tag="55" RotationSkewX="-135.0000" RotationSkewY="-135.0000" IconVisible="False" PositionPercentYEnabled="True" HorizontalEdge="RightEdge" LeftMargin="70.0000" RightMargin="-35.0000" TopMargin="30.0000" BottomMargin="40.0000" TouchEnable="True" ClipAble="False" ComboBoxIndex="1" ColorAngle="90.0000" Scale9Width="1" Scale9Height="1" ctype="PanelObjectData"> <Size X="45.0000" Y="10.0000" /> <AnchorPoint /> <Position X="70.0000" Y="40.0000" /> <Scale ScaleX="1.0000" ScaleY="1.0000" /> <CColor A="255" R="255" G="255" B="255" /> <PrePosition X="0.8750" Y="0.5000" /> <PreSize X="0.5625" Y="0.1250" /> <SingleColor A="255" R="191" G="191" B="191" /> <FirstColor A="255" R="150" G="200" B="255" /> <EndColor A="255" R="255" G="255" B="255" /> <ColorVector ScaleY="1.0000" /> </AbstractNodeData> <AbstractNodeData Name="Panel_2_0_11_10" ActionTag="413048241" Tag="56" RotationSkewX="135.0006" RotationSkewY="135.0000" IconVisible="False" PositionPercentYEnabled="True" HorizontalEdge="RightEdge" LeftMargin="70.0000" RightMargin="-35.0000" TopMargin="40.0000" BottomMargin="30.0000" TouchEnable="True" ClipAble="False" ComboBoxIndex="1" ColorAngle="90.0000" Scale9Width="1" Scale9Height="1" ctype="PanelObjectData"> <Size X="45.0000" Y="10.0000" /> <AnchorPoint ScaleY="1.0000" /> <Position X="70.0000" Y="40.0000" /> <Scale ScaleX="1.0000" ScaleY="1.0000" /> <CColor A="255" R="255" G="255" B="255" /> <PrePosition X="0.8750" Y="0.5000" /> <PreSize X="0.5625" Y="0.1250" /> <SingleColor A="255" R="191" G="191" B="191" /> <FirstColor A="255" R="150" G="200" B="255" /> <EndColor A="255" R="255" G="255" B="255" /> <ColorVector ScaleY="1.0000" /> </AbstractNodeData> </Children> <AnchorPoint ScaleX="1.0000" ScaleY="0.5000" /> <Position X="80.0000" Y="200.0000" /> <Scale ScaleX="1.0000" ScaleY="1.0000" /> <CColor A="255" R="255" G="255" B="255" /> <PrePosition X="0.1111" Y="0.5000" /> <PreSize X="0.1111" Y="0.2000" /> <SingleColor A="255" R="150" G="200" B="255" /> <FirstColor A="255" R="150" G="200" B="255" /> <EndColor A="255" R="255" G="255" B="255" /> <ColorVector ScaleY="1.0000" /> </AbstractNodeData> <AbstractNodeData Name="dir_icon_0" ActionTag="214394180" Tag="58" IconVisible="False" PositionPercentYEnabled="True" HorizontalEdge="RightEdge" LeftMargin="640.0000" TopMargin="160.0000" BottomMargin="160.0000" ClipAble="False" BackColorAlpha="102" ColorAngle="90.0000" Scale9Width="1" Scale9Height="1" ctype="PanelObjectData"> <Size X="80.0000" Y="80.0000" /> <Children> <AbstractNodeData Name="Panel_2_9_8_13" ActionTag="-112702401" Tag="58" RotationSkewX="-135.0000" RotationSkewY="-135.0000" IconVisible="False" PositionPercentYEnabled="True" HorizontalEdge="RightEdge" LeftMargin="70.0000" RightMargin="-35.0000" TopMargin="30.0000" BottomMargin="40.0000" TouchEnable="True" ClipAble="False" ComboBoxIndex="1" ColorAngle="90.0000" Scale9Width="1" Scale9Height="1" ctype="PanelObjectData"> <Size X="45.0000" Y="10.0000" /> <AnchorPoint /> <Position X="70.0000" Y="40.0000" /> <Scale ScaleX="1.0000" ScaleY="1.0000" /> <CColor A="255" R="255" G="255" B="255" /> <PrePosition X="0.8750" Y="0.5000" /> <PreSize X="0.5625" Y="0.1250" /> <SingleColor A="255" R="191" G="191" B="191" /> <FirstColor A="255" R="150" G="200" B="255" /> <EndColor A="255" R="255" G="255" B="255" /> <ColorVector ScaleY="1.0000" /> </AbstractNodeData> <AbstractNodeData Name="Panel_2_0_11_10_15" ActionTag="2004678246" Tag="59" RotationSkewX="135.0006" RotationSkewY="135.0000" IconVisible="False" PositionPercentYEnabled="True" HorizontalEdge="RightEdge" LeftMargin="70.0000" RightMargin="-35.0000" TopMargin="40.0000" BottomMargin="30.0000" TouchEnable="True" ClipAble="False" ComboBoxIndex="1" ColorAngle="90.0000" Scale9Width="1" Scale9Height="1" ctype="PanelObjectData"> <Size X="45.0000" Y="10.0000" /> <AnchorPoint ScaleY="1.0000" /> <Position X="70.0000" Y="40.0000" /> <Scale ScaleX="1.0000" ScaleY="1.0000" /> <CColor A="255" R="255" G="255" B="255" /> <PrePosition X="0.8750" Y="0.5000" /> <PreSize X="0.5625" Y="0.1250" /> <SingleColor A="255" R="191" G="191" B="191" /> <FirstColor A="255" R="150" G="200" B="255" /> <EndColor A="255" R="255" G="255" B="255" /> <ColorVector ScaleY="1.0000" /> </AbstractNodeData> </Children> <AnchorPoint ScaleX="0.5000" ScaleY="0.5000" /> <Position X="680.0000" Y="200.0000" /> <Scale ScaleX="-1.0000" ScaleY="1.0000" /> <CColor A="255" R="255" G="255" B="255" /> <PrePosition X="0.9444" Y="0.5000" /> <PreSize X="0.1111" Y="0.2000" /> <SingleColor A="255" R="150" G="200" B="255" /> <FirstColor A="255" R="150" G="200" B="255" /> <EndColor A="255" R="255" G="255" B="255" /> <ColorVector ScaleY="1.0000" /> </AbstractNodeData> </Children> <AnchorPoint /> <Position /> <Scale ScaleX="1.0000" ScaleY="1.0000" /> <CColor A="255" R="255" G="255" B="255" /> <PrePosition /> <PreSize X="1.0000" Y="0.8333" /> <SingleColor A="255" R="150" G="200" B="255" /> <FirstColor A="255" R="150" G="200" B="255" /> <EndColor A="255" R="255" G="255" B="255" /> <ColorVector ScaleY="1.0000" /> </AbstractNodeData> <AbstractNodeData Name="cancel" ActionTag="-2131293610" Tag="46" IconVisible="False" HorizontalEdge="LeftEdge" VerticalEdge="TopEdge" RightMargin="640.0000" BottomMargin="400.0000" TouchEnable="True" FontSize="14" LeftEage="13" RightEage="13" TopEage="11" BottomEage="11" Scale9OriginX="13" Scale9OriginY="11" Scale9Width="14" Scale9Height="18" ShadowOffsetX="2.0000" ShadowOffsetY="-2.0000" ctype="ButtonObjectData"> <Size X="80.0000" Y="80.0000" /> <AnchorPoint ScaleY="0.5000" /> <Position Y="440.0000" /> <Scale ScaleX="1.0000" ScaleY="1.0000" /> <CColor A="255" R="214" G="15" B="15" /> <PrePosition Y="0.9167" /> <PreSize X="0.1111" Y="0.1667" /> <TextColor A="255" R="65" G="65" B="70" /> <DisabledFileData Type="Normal" Path="img/CheckBox_Disable.png" Plist="" /> <PressedFileData Type="Normal" Path="img/Cancel_Press.png" Plist="" /> <NormalFileData Type="Normal" Path="img/Cancel_Normal.png" Plist="" /> <OutlineColor A="255" R="255" G="0" B="0" /> <ShadowColor A="255" R="255" G="127" B="80" /> </AbstractNodeData> <AbstractNodeData Name="ok" ActionTag="-1982738977" Tag="45" IconVisible="False" HorizontalEdge="RightEdge" VerticalEdge="TopEdge" LeftMargin="640.0000" BottomMargin="400.0000" TouchEnable="True" FontSize="14" LeftEage="13" RightEage="13" TopEage="11" BottomEage="11" Scale9OriginX="13" Scale9OriginY="11" Scale9Width="14" Scale9Height="18" ShadowOffsetX="2.0000" ShadowOffsetY="-2.0000" ctype="ButtonObjectData"> <Size X="80.0000" Y="80.0000" /> <AnchorPoint ScaleX="1.0000" ScaleY="0.5000" /> <Position X="720.0000" Y="440.0000" /> <Scale ScaleX="1.0000" ScaleY="1.0000" /> <CColor A="255" R="0" G="255" B="52" /> <PrePosition X="1.0000" Y="0.9167" /> <PreSize X="0.1111" Y="0.1667" /> <TextColor A="255" R="65" G="65" B="70" /> <DisabledFileData Type="Normal" Path="img/CheckBox_Disable.png" Plist="" /> <PressedFileData Type="Normal" Path="img/CheckBoxNode_Press.png" Plist="" /> <NormalFileData Type="Normal" Path="img/CheckBoxNode_Normal.png" Plist="" /> <OutlineColor A="255" R="255" G="0" B="0" /> <ShadowColor A="255" R="255" G="127" B="80" /> </AbstractNodeData> </Children> </ObjectData> </Content> </Content> </GameFile>
Csound
3
A29586a/Kirikiroid2
cocos/kr2/cocosstudio/ui/SelectList.csd
[ "BSD-3-Clause" ]
\* Copyright (c) 2010-2015, Mark Tarver All rights reserved. Redistribution and use in source and binary forms, with or without modification, are permitted provided that the following conditions are met: 1. Redistributions of source code must retain the above copyright notice, this list of conditions and the following disclaimer. 2. Redistributions in binary form must reproduce the above copyright notice, this list of conditions and the following disclaimer in the documentation and/or other materials provided with the distribution. 3. The name of Mark Tarver may not be used to endorse or promote products derived from this software without specific prior written permission. THIS SOFTWARE IS PROVIDED BY Mark Tarver ''AS IS'' AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL Mark Tarver BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. *\ (package shen [] (define declare F A -> (let Record (set *signedfuncs* [[F | A] | (value *signedfuncs*)]) Variancy (trap-error (variancy-test F A) (/. E skip)) Type (rcons_form (demodulate A)) F* (concat type-signature-of- F) Parameters (parameters 1) Clause [[F* (protect X)] :- [[unify! (protect X) Type]]] AUM_instruction (aum Clause Parameters) Code (aum_to_shen AUM_instruction) ShenDef [define F* | (append Parameters [(protect ProcessN) (protect Continuation)] [-> Code])] Eval (eval-without-macros ShenDef) F)) (define set-signedfuncs F A -> (set *signedfuncs* [[F | A] | (value *signedfuncs*)])) (define demodulate X -> (let Demod (walk (value *demodulation-function*) X) (if (= Demod X) X (demodulate Demod)))) (define variancy-test F A -> (let TypeF (typecheck F (protect B)) Check (cases (= symbol TypeF) skip (variant? TypeF A) skip true (output "warning: changing the type of ~A may create errors~%" F)) skip)) (define variant? X X -> true [X | Y] [X | Z] -> (variant? Y Z) [X | Y] [W | Z] -> (variant? (subst a X Y) (subst a W Z)) where (and (pvar? X) (variable? W)) [[X | Y] | Z] [[X* | Y*] | Z*] -> (variant? (append [X | Y] Z) (append [X* | Y*] Z*)) _ _ -> false) (set-signedfuncs absvector? [A --> boolean]) (set-signedfuncs adjoin [A --> [[list A] --> [list A]]]) (set-signedfuncs and [boolean --> [boolean --> boolean]]) (set-signedfuncs app [A --> [string --> [symbol --> string]]]) (set-signedfuncs append [[list A] --> [[list A] --> [list A]]]) (set-signedfuncs arity [A --> number]) (set-signedfuncs assoc [A --> [[list [list A]] --> [list A]]]) (set-signedfuncs boolean? [A --> boolean]) (set-signedfuncs bound? [symbol --> boolean]) (set-signedfuncs cd [string --> string]) (set-signedfuncs close [[stream A] --> [list B]]) (set-signedfuncs cn [string --> [string --> string]]) (set-signedfuncs command-line [--> [list string]]) (set-signedfuncs compile [[A ==> B] --> [A --> [[A --> B] --> B]]]) (set-signedfuncs cons? [A --> boolean]) (set-signedfuncs destroy [[A --> B] --> symbol]) (set-signedfuncs difference [[list A] --> [[list A] --> [list A]]]) (set-signedfuncs do [A --> [B --> B]]) (set-signedfuncs <e> [[list A] ==> [list B]]) (set-signedfuncs <!> [[list A] ==> [list A]]) (set-signedfuncs element? [A --> [[list A] --> boolean]]) (set-signedfuncs empty? [A --> boolean]) (set-signedfuncs enable-type-theory [symbol --> boolean]) (set-signedfuncs external [symbol --> [list symbol]]) (set-signedfuncs error-to-string [exception --> string]) (set-signedfuncs explode [A --> [list string]]) (set-signedfuncs fail [--> symbol]) (set-signedfuncs fail-if [[symbol --> boolean] --> [symbol --> symbol]]) (set-signedfuncs fix [[A --> A] --> [A --> A]]) (set-signedfuncs freeze [A --> [lazy A]]) (set-signedfuncs fst [[A * B] --> A]) (set-signedfuncs function [[A --> B] --> [A --> B]]) (set-signedfuncs gensym [symbol --> symbol]) (set-signedfuncs <-vector [[vector A] --> [number --> A]]) (set-signedfuncs <-vector/or [[vector A] --> [number --> [[lazy A] --> A]]]) (set-signedfuncs vector-> [[vector A] --> [number --> [A --> [vector A]]]]) (set-signedfuncs vector [number --> [vector A]]) (set-signedfuncs dict [number --> [dict K V]]) (set-signedfuncs dict? [A --> boolean]) (set-signedfuncs dict-count [[dict K V] --> number]) (set-signedfuncs <-dict [[dict K V] --> [K --> V]]) (set-signedfuncs <-dict/or [[dict K V] --> [K --> [[lazy V] --> V]]]) (set-signedfuncs dict-> [[dict K V] --> [K --> [V --> V]]]) (set-signedfuncs dict-rm [[dict K V] --> [K --> K]]) (set-signedfuncs dict-fold [[K --> [V --> [A --> A]]] --> [[dict K V] --> [A --> A]]]) (set-signedfuncs dict-keys [[dict K V] --> [list K]]) (set-signedfuncs dict-values [[dict K V] --> [list V]]) (set-signedfuncs exit [number --> unit]) (set-signedfuncs get-time [symbol --> number]) (set-signedfuncs hash [A --> [number --> number]]) (set-signedfuncs head [[list A] --> A]) (set-signedfuncs hdv [[vector A] --> A]) (set-signedfuncs hdstr [string --> string]) (set-signedfuncs if [boolean --> [A --> [A --> A]]]) (set-signedfuncs it [--> string]) (set-signedfuncs implementation [--> string]) (set-signedfuncs include [[list symbol] --> [list symbol]]) (set-signedfuncs include-all-but [[list symbol] --> [list symbol]]) (set-signedfuncs inferences [--> number]) (set-signedfuncs insert [A --> [string --> string]]) (set-signedfuncs integer? [A --> boolean]) (set-signedfuncs internal [symbol --> [list symbol]]) (set-signedfuncs intersection [[list A] --> [[list A] --> [list A]]]) (set-signedfuncs kill [--> A]) (set-signedfuncs language [--> string]) (set-signedfuncs length [[list A] --> number]) (set-signedfuncs limit [[vector A] --> number]) (set-signedfuncs load [string --> symbol]) (set-signedfuncs fold-left [[B --> [A --> B]] --> [B --> [[list A] --> B]]]) (set-signedfuncs fold-right [[A --> [B --> B]] --> [[list A] --> [B --> B]]]) (set-signedfuncs for-each [[A --> B] --> [[list A] --> unit]]) (set-signedfuncs map [[A --> B] --> [[list A] --> [list B]]]) (set-signedfuncs mapcan [[A --> [list B]] --> [[list A] --> [list B]]]) (set-signedfuncs filter [[A --> boolean] --> [[list A] --> [list A]]]) (set-signedfuncs maxinferences [number --> number]) (set-signedfuncs n->string [number --> string]) (set-signedfuncs nl [number --> number]) (set-signedfuncs not [boolean --> boolean]) (set-signedfuncs nth [number --> [[list A] --> A]]) (set-signedfuncs number? [A --> boolean]) (set-signedfuncs occurrences [A --> [B --> number]]) (set-signedfuncs occurs-check [symbol --> boolean]) (set-signedfuncs optimise [symbol --> boolean]) (set-signedfuncs or [boolean --> [boolean --> boolean]]) (set-signedfuncs os [--> string]) (set-signedfuncs package? [symbol --> boolean]) (set-signedfuncs port [--> string]) (set-signedfuncs porters [--> string]) (set-signedfuncs pos [string --> [number --> string]]) (set-signedfuncs pr [string --> [[stream out] --> string]]) (set-signedfuncs print [A --> A]) (set-signedfuncs profile [[A --> B] --> [A --> B]]) (set-signedfuncs preclude [[list symbol] --> [list symbol]]) (set-signedfuncs proc-nl [string --> string]) (set-signedfuncs profile-results [[A --> B] --> [[A --> B] * number]]) (set-signedfuncs protect [symbol --> symbol]) (set-signedfuncs preclude-all-but [[list symbol] --> [list symbol]]) (set-signedfuncs prhush [string --> [[stream out] --> string]]) (set-signedfuncs ps [symbol --> [list unit]]) (set-signedfuncs read [[stream in] --> unit]) (set-signedfuncs read-byte [[stream in] --> number]) (set-signedfuncs read-char-code [[stream in] --> number]) (set-signedfuncs read-file-as-bytelist [string --> [list number]]) (set-signedfuncs read-file-as-charlist [string --> [list number]]) (set-signedfuncs read-file-as-string [string --> string]) (set-signedfuncs read-file [string --> [list unit]]) (set-signedfuncs read-from-string [string --> [list unit]]) (set-signedfuncs release [--> string]) (set-signedfuncs remove [A --> [[list A] --> [list A]]]) (set-signedfuncs reverse [[list A] --> [list A]]) (set-signedfuncs simple-error [string --> A]) (set-signedfuncs snd [[A * B] --> B]) (set-signedfuncs specialise [symbol --> symbol]) (set-signedfuncs spy [symbol --> boolean]) (set-signedfuncs step [symbol --> boolean]) (set-signedfuncs stinput [--> [stream in]]) (set-signedfuncs sterror [--> [stream out]]) (set-signedfuncs stoutput [--> [stream out]]) (set-signedfuncs string? [A --> boolean]) (set-signedfuncs str [A --> string]) (set-signedfuncs string->n [string --> number]) (set-signedfuncs string->symbol [string --> symbol]) (set-signedfuncs sum [[list number] --> number]) (set-signedfuncs symbol? [A --> boolean]) (set-signedfuncs systemf [symbol --> symbol]) (set-signedfuncs tail [[list A] --> [list A]]) (set-signedfuncs tlstr [string --> string]) (set-signedfuncs tlv [[vector A] --> [vector A]]) (set-signedfuncs tc [symbol --> boolean]) (set-signedfuncs tc? [--> boolean]) (set-signedfuncs thaw [[lazy A] --> A]) (set-signedfuncs track [symbol --> symbol]) (set-signedfuncs trap-error [A --> [[exception --> A] --> A]]) (set-signedfuncs tuple? [A --> boolean]) (set-signedfuncs undefmacro [symbol --> symbol]) (set-signedfuncs union [[list A] --> [[list A] --> [list A]]]) (set-signedfuncs unprofile [[A --> B] --> [A --> B]]) (set-signedfuncs untrack [symbol --> symbol]) (set-signedfuncs unspecialise [symbol --> symbol]) (set-signedfuncs variable? [A --> boolean]) (set-signedfuncs vector? [A --> boolean]) (set-signedfuncs version [--> string]) (set-signedfuncs write-to-file [string --> [A --> A]]) (set-signedfuncs write-byte [number --> [[stream out] --> number]]) (set-signedfuncs y-or-n? [string --> boolean]) (set-signedfuncs > [number --> [number --> boolean]]) (set-signedfuncs < [number --> [number --> boolean]]) (set-signedfuncs >= [number --> [number --> boolean]]) (set-signedfuncs <= [number --> [number --> boolean]]) (set-signedfuncs = [A --> [A --> boolean]]) (set-signedfuncs + [number --> [number --> number]]) (set-signedfuncs / [number --> [number --> number]]) (set-signedfuncs - [number --> [number --> number]]) (set-signedfuncs * [number --> [number --> number]]) (set-signedfuncs == [A --> [B --> boolean]]) (defun shen.type-signature-of-absvector? (V5 V6 V7) (let A (shen.newpv V6) (do (shen.incinfs) (unify! V5 (cons A (cons --> (cons boolean ()))) V6 V7)))) (defun shen.type-signature-of-adjoin (V12 V13 V14) (let A (shen.newpv V13) (do (shen.incinfs) (unify! V12 (cons A (cons --> (cons (cons (cons list (cons A ())) (cons --> (cons (cons list (cons A ())) ()))) ()))) V13 V14)))) (defun shen.type-signature-of-and (V19 V20 V21) (do (shen.incinfs) (unify! V19 (cons boolean (cons --> (cons (cons boolean (cons --> (cons boolean ()))) ()))) V20 V21))) (defun shen.type-signature-of-shen.app (V26 V27 V28) (let A (shen.newpv V27) (do (shen.incinfs) (unify! V26 (cons A (cons --> (cons (cons string (cons --> (cons (cons symbol (cons --> (cons string ()))) ()))) ()))) V27 V28)))) (defun shen.type-signature-of-append (V33 V34 V35) (let A (shen.newpv V34) (do (shen.incinfs) (unify! V33 (cons (cons list (cons A ())) (cons --> (cons (cons (cons list (cons A ())) (cons --> (cons (cons list (cons A ())) ()))) ()))) V34 V35)))) (defun shen.type-signature-of-arity (V40 V41 V42) (let A (shen.newpv V41) (do (shen.incinfs) (unify! V40 (cons A (cons --> (cons number ()))) V41 V42)))) (defun shen.type-signature-of-assoc (V47 V48 V49) (let A (shen.newpv V48) (do (shen.incinfs) (unify! V47 (cons A (cons --> (cons (cons (cons list (cons (cons list (cons A ())) ())) (cons --> (cons (cons list (cons A ())) ()))) ()))) V48 V49)))) (defun shen.type-signature-of-boolean? (V54 V55 V56) (let A (shen.newpv V55) (do (shen.incinfs) (unify! V54 (cons A (cons --> (cons boolean ()))) V55 V56)))) (defun shen.type-signature-of-bound? (V61 V62 V63) (do (shen.incinfs) (unify! V61 (cons symbol (cons --> (cons boolean ()))) V62 V63))) (defun shen.type-signature-of-cd (V68 V69 V70) (do (shen.incinfs) (unify! V68 (cons string (cons --> (cons string ()))) V69 V70))) (defun shen.type-signature-of-close (V75 V76 V77) (let A (shen.newpv V76) (let B (shen.newpv V76) (do (shen.incinfs) (unify! V75 (cons (cons stream (cons A ())) (cons --> (cons (cons list (cons B ())) ()))) V76 V77))))) (defun shen.type-signature-of-cn (V82 V83 V84) (do (shen.incinfs) (unify! V82 (cons string (cons --> (cons (cons string (cons --> (cons string ()))) ()))) V83 V84))) (defun shen.type-signature-of-command-line (V89 V90 V91) (do (shen.incinfs) (unify! V89 (cons --> (cons (cons list (cons string ())) ())) V90 V91))) (defun shen.type-signature-of-compile (V96 V97 V98) (let A (shen.newpv V97) (let B (shen.newpv V97) (do (shen.incinfs) (unify! V96 (cons (cons A (cons shen.==> (cons B ()))) (cons --> (cons (cons A (cons --> (cons (cons (cons A (cons --> (cons B ()))) (cons --> (cons B ()))) ()))) ()))) V97 V98))))) (defun shen.type-signature-of-cons? (V103 V104 V105) (let A (shen.newpv V104) (do (shen.incinfs) (unify! V103 (cons A (cons --> (cons boolean ()))) V104 V105)))) (defun shen.type-signature-of-destroy (V110 V111 V112) (let A (shen.newpv V111) (let B (shen.newpv V111) (do (shen.incinfs) (unify! V110 (cons (cons A (cons --> (cons B ()))) (cons --> (cons symbol ()))) V111 V112))))) (defun shen.type-signature-of-difference (V117 V118 V119) (let A (shen.newpv V118) (do (shen.incinfs) (unify! V117 (cons (cons list (cons A ())) (cons --> (cons (cons (cons list (cons A ())) (cons --> (cons (cons list (cons A ())) ()))) ()))) V118 V119)))) (defun shen.type-signature-of-do (V124 V125 V126) (let A (shen.newpv V125) (let B (shen.newpv V125) (do (shen.incinfs) (unify! V124 (cons A (cons --> (cons (cons B (cons --> (cons B ()))) ()))) V125 V126))))) (defun shen.type-signature-of-<e> (V131 V132 V133) (let A (shen.newpv V132) (let B (shen.newpv V132) (do (shen.incinfs) (unify! V131 (cons (cons list (cons A ())) (cons shen.==> (cons (cons list (cons B ())) ()))) V132 V133))))) (defun shen.type-signature-of-<!> (V138 V139 V140) (let A (shen.newpv V139) (do (shen.incinfs) (unify! V138 (cons (cons list (cons A ())) (cons shen.==> (cons (cons list (cons A ())) ()))) V139 V140)))) (defun shen.type-signature-of-element? (V145 V146 V147) (let A (shen.newpv V146) (do (shen.incinfs) (unify! V145 (cons A (cons --> (cons (cons (cons list (cons A ())) (cons --> (cons boolean ()))) ()))) V146 V147)))) (defun shen.type-signature-of-empty? (V152 V153 V154) (let A (shen.newpv V153) (do (shen.incinfs) (unify! V152 (cons A (cons --> (cons boolean ()))) V153 V154)))) (defun shen.type-signature-of-enable-type-theory (V159 V160 V161) (do (shen.incinfs) (unify! V159 (cons symbol (cons --> (cons boolean ()))) V160 V161))) (defun shen.type-signature-of-external (V166 V167 V168) (do (shen.incinfs) (unify! V166 (cons symbol (cons --> (cons (cons list (cons symbol ())) ()))) V167 V168))) (defun shen.type-signature-of-error-to-string (V173 V174 V175) (do (shen.incinfs) (unify! V173 (cons exception (cons --> (cons string ()))) V174 V175))) (defun shen.type-signature-of-explode (V180 V181 V182) (let A (shen.newpv V181) (do (shen.incinfs) (unify! V180 (cons A (cons --> (cons (cons list (cons string ())) ()))) V181 V182)))) (defun shen.type-signature-of-fail (V187 V188 V189) (do (shen.incinfs) (unify! V187 (cons --> (cons symbol ())) V188 V189))) (defun shen.type-signature-of-fail-if (V194 V195 V196) (do (shen.incinfs) (unify! V194 (cons (cons symbol (cons --> (cons boolean ()))) (cons --> (cons (cons symbol (cons --> (cons symbol ()))) ()))) V195 V196))) (defun shen.type-signature-of-fix (V201 V202 V203) (let A (shen.newpv V202) (do (shen.incinfs) (unify! V201 (cons (cons A (cons --> (cons A ()))) (cons --> (cons (cons A (cons --> (cons A ()))) ()))) V202 V203)))) (defun shen.type-signature-of-freeze (V208 V209 V210) (let A (shen.newpv V209) (do (shen.incinfs) (unify! V208 (cons A (cons --> (cons (cons lazy (cons A ())) ()))) V209 V210)))) (defun shen.type-signature-of-fst (V215 V216 V217) (let B (shen.newpv V216) (let A (shen.newpv V216) (do (shen.incinfs) (unify! V215 (cons (cons A (cons * (cons B ()))) (cons --> (cons A ()))) V216 V217))))) (defun shen.type-signature-of-function (V222 V223 V224) (let A (shen.newpv V223) (let B (shen.newpv V223) (do (shen.incinfs) (unify! V222 (cons (cons A (cons --> (cons B ()))) (cons --> (cons (cons A (cons --> (cons B ()))) ()))) V223 V224))))) (defun shen.type-signature-of-gensym (V229 V230 V231) (do (shen.incinfs) (unify! V229 (cons symbol (cons --> (cons symbol ()))) V230 V231))) (defun shen.type-signature-of-<-vector (V236 V237 V238) (let A (shen.newpv V237) (do (shen.incinfs) (unify! V236 (cons (cons vector (cons A ())) (cons --> (cons (cons number (cons --> (cons A ()))) ()))) V237 V238)))) (defun shen.type-signature-of-<-vector/or (V243 V244 V245) (let A (shen.newpv V244) (do (shen.incinfs) (unify! V243 (cons (cons vector (cons A ())) (cons --> (cons (cons number (cons --> (cons (cons (cons lazy (cons A ())) (cons --> (cons A ()))) ()))) ()))) V244 V245)))) (defun shen.type-signature-of-vector-> (V250 V251 V252) (let A (shen.newpv V251) (do (shen.incinfs) (unify! V250 (cons (cons vector (cons A ())) (cons --> (cons (cons number (cons --> (cons (cons A (cons --> (cons (cons vector (cons A ())) ()))) ()))) ()))) V251 V252)))) (defun shen.type-signature-of-vector (V257 V258 V259) (let A (shen.newpv V258) (do (shen.incinfs) (unify! V257 (cons number (cons --> (cons (cons vector (cons A ())) ()))) V258 V259)))) (defun shen.type-signature-of-dict (V264 V265 V266) (let K (shen.newpv V265) (let V (shen.newpv V265) (do (shen.incinfs) (unify! V264 (cons number (cons --> (cons (cons dict (cons K (cons V ()))) ()))) V265 V266))))) (defun shen.type-signature-of-dict? (V271 V272 V273) (let A (shen.newpv V272) (do (shen.incinfs) (unify! V271 (cons A (cons --> (cons boolean ()))) V272 V273)))) (defun shen.type-signature-of-dict-count (V278 V279 V280) (let K (shen.newpv V279) (let V (shen.newpv V279) (do (shen.incinfs) (unify! V278 (cons (cons dict (cons K (cons V ()))) (cons --> (cons number ()))) V279 V280))))) (defun shen.type-signature-of-<-dict (V285 V286 V287) (let K (shen.newpv V286) (let V (shen.newpv V286) (do (shen.incinfs) (unify! V285 (cons (cons dict (cons K (cons V ()))) (cons --> (cons (cons K (cons --> (cons V ()))) ()))) V286 V287))))) (defun shen.type-signature-of-<-dict/or (V292 V293 V294) (let K (shen.newpv V293) (let V (shen.newpv V293) (do (shen.incinfs) (unify! V292 (cons (cons dict (cons K (cons V ()))) (cons --> (cons (cons K (cons --> (cons (cons (cons lazy (cons V ())) (cons --> (cons V ()))) ()))) ()))) V293 V294))))) (defun shen.type-signature-of-dict-> (V299 V300 V301) (let K (shen.newpv V300) (let V (shen.newpv V300) (do (shen.incinfs) (unify! V299 (cons (cons dict (cons K (cons V ()))) (cons --> (cons (cons K (cons --> (cons (cons V (cons --> (cons V ()))) ()))) ()))) V300 V301))))) (defun shen.type-signature-of-dict-rm (V306 V307 V308) (let V (shen.newpv V307) (let K (shen.newpv V307) (do (shen.incinfs) (unify! V306 (cons (cons dict (cons K (cons V ()))) (cons --> (cons (cons K (cons --> (cons K ()))) ()))) V307 V308))))) (defun shen.type-signature-of-dict-fold (V313 V314 V315) (let K (shen.newpv V314) (let V (shen.newpv V314) (let A (shen.newpv V314) (do (shen.incinfs) (unify! V313 (cons (cons K (cons --> (cons (cons V (cons --> (cons (cons A (cons --> (cons A ()))) ()))) ()))) (cons --> (cons (cons (cons dict (cons K (cons V ()))) (cons --> (cons (cons A (cons --> (cons A ()))) ()))) ()))) V314 V315)))))) (defun shen.type-signature-of-dict-keys (V320 V321 V322) (let V (shen.newpv V321) (let K (shen.newpv V321) (do (shen.incinfs) (unify! V320 (cons (cons dict (cons K (cons V ()))) (cons --> (cons (cons list (cons K ())) ()))) V321 V322))))) (defun shen.type-signature-of-dict-values (V327 V328 V329) (let K (shen.newpv V328) (let V (shen.newpv V328) (do (shen.incinfs) (unify! V327 (cons (cons dict (cons K (cons V ()))) (cons --> (cons (cons list (cons V ())) ()))) V328 V329))))) (defun shen.type-signature-of-exit (V334 V335 V336) (do (shen.incinfs) (unify! V334 (cons number (cons --> (cons unit ()))) V335 V336))) (defun shen.type-signature-of-get-time (V341 V342 V343) (do (shen.incinfs) (unify! V341 (cons symbol (cons --> (cons number ()))) V342 V343))) (defun shen.type-signature-of-hash (V348 V349 V350) (let A (shen.newpv V349) (do (shen.incinfs) (unify! V348 (cons A (cons --> (cons (cons number (cons --> (cons number ()))) ()))) V349 V350)))) (defun shen.type-signature-of-head (V355 V356 V357) (let A (shen.newpv V356) (do (shen.incinfs) (unify! V355 (cons (cons list (cons A ())) (cons --> (cons A ()))) V356 V357)))) (defun shen.type-signature-of-hdv (V362 V363 V364) (let A (shen.newpv V363) (do (shen.incinfs) (unify! V362 (cons (cons vector (cons A ())) (cons --> (cons A ()))) V363 V364)))) (defun shen.type-signature-of-hdstr (V369 V370 V371) (do (shen.incinfs) (unify! V369 (cons string (cons --> (cons string ()))) V370 V371))) (defun shen.type-signature-of-if (V376 V377 V378) (let A (shen.newpv V377) (do (shen.incinfs) (unify! V376 (cons boolean (cons --> (cons (cons A (cons --> (cons (cons A (cons --> (cons A ()))) ()))) ()))) V377 V378)))) (defun shen.type-signature-of-it (V383 V384 V385) (do (shen.incinfs) (unify! V383 (cons --> (cons string ())) V384 V385))) (defun shen.type-signature-of-implementation (V390 V391 V392) (do (shen.incinfs) (unify! V390 (cons --> (cons string ())) V391 V392))) (defun shen.type-signature-of-include (V397 V398 V399) (do (shen.incinfs) (unify! V397 (cons (cons list (cons symbol ())) (cons --> (cons (cons list (cons symbol ())) ()))) V398 V399))) (defun shen.type-signature-of-include-all-but (V404 V405 V406) (do (shen.incinfs) (unify! V404 (cons (cons list (cons symbol ())) (cons --> (cons (cons list (cons symbol ())) ()))) V405 V406))) (defun shen.type-signature-of-inferences (V411 V412 V413) (do (shen.incinfs) (unify! V411 (cons --> (cons number ())) V412 V413))) (defun shen.type-signature-of-shen.insert (V418 V419 V420) (let A (shen.newpv V419) (do (shen.incinfs) (unify! V418 (cons A (cons --> (cons (cons string (cons --> (cons string ()))) ()))) V419 V420)))) (defun shen.type-signature-of-integer? (V425 V426 V427) (let A (shen.newpv V426) (do (shen.incinfs) (unify! V425 (cons A (cons --> (cons boolean ()))) V426 V427)))) (defun shen.type-signature-of-internal (V432 V433 V434) (do (shen.incinfs) (unify! V432 (cons symbol (cons --> (cons (cons list (cons symbol ())) ()))) V433 V434))) (defun shen.type-signature-of-intersection (V439 V440 V441) (let A (shen.newpv V440) (do (shen.incinfs) (unify! V439 (cons (cons list (cons A ())) (cons --> (cons (cons (cons list (cons A ())) (cons --> (cons (cons list (cons A ())) ()))) ()))) V440 V441)))) (defun shen.type-signature-of-kill (V446 V447 V448) (let A (shen.newpv V447) (do (shen.incinfs) (unify! V446 (cons --> (cons A ())) V447 V448)))) (defun shen.type-signature-of-language (V453 V454 V455) (do (shen.incinfs) (unify! V453 (cons --> (cons string ())) V454 V455))) (defun shen.type-signature-of-length (V460 V461 V462) (let A (shen.newpv V461) (do (shen.incinfs) (unify! V460 (cons (cons list (cons A ())) (cons --> (cons number ()))) V461 V462)))) (defun shen.type-signature-of-limit (V467 V468 V469) (let A (shen.newpv V468) (do (shen.incinfs) (unify! V467 (cons (cons vector (cons A ())) (cons --> (cons number ()))) V468 V469)))) (defun shen.type-signature-of-load (V474 V475 V476) (do (shen.incinfs) (unify! V474 (cons string (cons --> (cons symbol ()))) V475 V476))) (defun shen.type-signature-of-fold-left (V481 V482 V483) (let A (shen.newpv V482) (let B (shen.newpv V482) (do (shen.incinfs) (unify! V481 (cons (cons B (cons --> (cons (cons A (cons --> (cons B ()))) ()))) (cons --> (cons (cons B (cons --> (cons (cons (cons list (cons A ())) (cons --> (cons B ()))) ()))) ()))) V482 V483))))) (defun shen.type-signature-of-fold-right (V488 V489 V490) (let A (shen.newpv V489) (let B (shen.newpv V489) (do (shen.incinfs) (unify! V488 (cons (cons A (cons --> (cons (cons B (cons --> (cons B ()))) ()))) (cons --> (cons (cons (cons list (cons A ())) (cons --> (cons (cons B (cons --> (cons B ()))) ()))) ()))) V489 V490))))) (defun shen.type-signature-of-for-each (V495 V496 V497) (let B (shen.newpv V496) (let A (shen.newpv V496) (do (shen.incinfs) (unify! V495 (cons (cons A (cons --> (cons B ()))) (cons --> (cons (cons (cons list (cons A ())) (cons --> (cons unit ()))) ()))) V496 V497))))) (defun shen.type-signature-of-map (V502 V503 V504) (let A (shen.newpv V503) (let B (shen.newpv V503) (do (shen.incinfs) (unify! V502 (cons (cons A (cons --> (cons B ()))) (cons --> (cons (cons (cons list (cons A ())) (cons --> (cons (cons list (cons B ())) ()))) ()))) V503 V504))))) (defun shen.type-signature-of-mapcan (V509 V510 V511) (let A (shen.newpv V510) (let B (shen.newpv V510) (do (shen.incinfs) (unify! V509 (cons (cons A (cons --> (cons (cons list (cons B ())) ()))) (cons --> (cons (cons (cons list (cons A ())) (cons --> (cons (cons list (cons B ())) ()))) ()))) V510 V511))))) (defun shen.type-signature-of-filter (V516 V517 V518) (let A (shen.newpv V517) (do (shen.incinfs) (unify! V516 (cons (cons A (cons --> (cons boolean ()))) (cons --> (cons (cons (cons list (cons A ())) (cons --> (cons (cons list (cons A ())) ()))) ()))) V517 V518)))) (defun shen.type-signature-of-maxinferences (V523 V524 V525) (do (shen.incinfs) (unify! V523 (cons number (cons --> (cons number ()))) V524 V525))) (defun shen.type-signature-of-n->string (V530 V531 V532) (do (shen.incinfs) (unify! V530 (cons number (cons --> (cons string ()))) V531 V532))) (defun shen.type-signature-of-nl (V537 V538 V539) (do (shen.incinfs) (unify! V537 (cons number (cons --> (cons number ()))) V538 V539))) (defun shen.type-signature-of-not (V544 V545 V546) (do (shen.incinfs) (unify! V544 (cons boolean (cons --> (cons boolean ()))) V545 V546))) (defun shen.type-signature-of-nth (V551 V552 V553) (let A (shen.newpv V552) (do (shen.incinfs) (unify! V551 (cons number (cons --> (cons (cons (cons list (cons A ())) (cons --> (cons A ()))) ()))) V552 V553)))) (defun shen.type-signature-of-number? (V558 V559 V560) (let A (shen.newpv V559) (do (shen.incinfs) (unify! V558 (cons A (cons --> (cons boolean ()))) V559 V560)))) (defun shen.type-signature-of-occurrences (V565 V566 V567) (let A (shen.newpv V566) (let B (shen.newpv V566) (do (shen.incinfs) (unify! V565 (cons A (cons --> (cons (cons B (cons --> (cons number ()))) ()))) V566 V567))))) (defun shen.type-signature-of-occurs-check (V572 V573 V574) (do (shen.incinfs) (unify! V572 (cons symbol (cons --> (cons boolean ()))) V573 V574))) (defun shen.type-signature-of-optimise (V579 V580 V581) (do (shen.incinfs) (unify! V579 (cons symbol (cons --> (cons boolean ()))) V580 V581))) (defun shen.type-signature-of-or (V586 V587 V588) (do (shen.incinfs) (unify! V586 (cons boolean (cons --> (cons (cons boolean (cons --> (cons boolean ()))) ()))) V587 V588))) (defun shen.type-signature-of-os (V593 V594 V595) (do (shen.incinfs) (unify! V593 (cons --> (cons string ())) V594 V595))) (defun shen.type-signature-of-package? (V600 V601 V602) (do (shen.incinfs) (unify! V600 (cons symbol (cons --> (cons boolean ()))) V601 V602))) (defun shen.type-signature-of-port (V607 V608 V609) (do (shen.incinfs) (unify! V607 (cons --> (cons string ())) V608 V609))) (defun shen.type-signature-of-porters (V614 V615 V616) (do (shen.incinfs) (unify! V614 (cons --> (cons string ())) V615 V616))) (defun shen.type-signature-of-pos (V621 V622 V623) (do (shen.incinfs) (unify! V621 (cons string (cons --> (cons (cons number (cons --> (cons string ()))) ()))) V622 V623))) (defun shen.type-signature-of-pr (V628 V629 V630) (do (shen.incinfs) (unify! V628 (cons string (cons --> (cons (cons (cons stream (cons out ())) (cons --> (cons string ()))) ()))) V629 V630))) (defun shen.type-signature-of-print (V635 V636 V637) (let A (shen.newpv V636) (do (shen.incinfs) (unify! V635 (cons A (cons --> (cons A ()))) V636 V637)))) (defun shen.type-signature-of-profile (V642 V643 V644) (let A (shen.newpv V643) (let B (shen.newpv V643) (do (shen.incinfs) (unify! V642 (cons (cons A (cons --> (cons B ()))) (cons --> (cons (cons A (cons --> (cons B ()))) ()))) V643 V644))))) (defun shen.type-signature-of-preclude (V649 V650 V651) (do (shen.incinfs) (unify! V649 (cons (cons list (cons symbol ())) (cons --> (cons (cons list (cons symbol ())) ()))) V650 V651))) (defun shen.type-signature-of-shen.proc-nl (V656 V657 V658) (do (shen.incinfs) (unify! V656 (cons string (cons --> (cons string ()))) V657 V658))) (defun shen.type-signature-of-profile-results (V663 V664 V665) (let A (shen.newpv V664) (let B (shen.newpv V664) (do (shen.incinfs) (unify! V663 (cons (cons A (cons --> (cons B ()))) (cons --> (cons (cons (cons A (cons --> (cons B ()))) (cons * (cons number ()))) ()))) V664 V665))))) (defun shen.type-signature-of-protect (V670 V671 V672) (do (shen.incinfs) (unify! V670 (cons symbol (cons --> (cons symbol ()))) V671 V672))) (defun shen.type-signature-of-preclude-all-but (V677 V678 V679) (do (shen.incinfs) (unify! V677 (cons (cons list (cons symbol ())) (cons --> (cons (cons list (cons symbol ())) ()))) V678 V679))) (defun shen.type-signature-of-shen.prhush (V684 V685 V686) (do (shen.incinfs) (unify! V684 (cons string (cons --> (cons (cons (cons stream (cons out ())) (cons --> (cons string ()))) ()))) V685 V686))) (defun shen.type-signature-of-ps (V691 V692 V693) (do (shen.incinfs) (unify! V691 (cons symbol (cons --> (cons (cons list (cons unit ())) ()))) V692 V693))) (defun shen.type-signature-of-read (V698 V699 V700) (do (shen.incinfs) (unify! V698 (cons (cons stream (cons in ())) (cons --> (cons unit ()))) V699 V700))) (defun shen.type-signature-of-read-byte (V705 V706 V707) (do (shen.incinfs) (unify! V705 (cons (cons stream (cons in ())) (cons --> (cons number ()))) V706 V707))) (defun shen.type-signature-of-read-char-code (V712 V713 V714) (do (shen.incinfs) (unify! V712 (cons (cons stream (cons in ())) (cons --> (cons number ()))) V713 V714))) (defun shen.type-signature-of-read-file-as-bytelist (V719 V720 V721) (do (shen.incinfs) (unify! V719 (cons string (cons --> (cons (cons list (cons number ())) ()))) V720 V721))) (defun shen.type-signature-of-read-file-as-charlist (V726 V727 V728) (do (shen.incinfs) (unify! V726 (cons string (cons --> (cons (cons list (cons number ())) ()))) V727 V728))) (defun shen.type-signature-of-read-file-as-string (V733 V734 V735) (do (shen.incinfs) (unify! V733 (cons string (cons --> (cons string ()))) V734 V735))) (defun shen.type-signature-of-read-file (V740 V741 V742) (do (shen.incinfs) (unify! V740 (cons string (cons --> (cons (cons list (cons unit ())) ()))) V741 V742))) (defun shen.type-signature-of-read-from-string (V747 V748 V749) (do (shen.incinfs) (unify! V747 (cons string (cons --> (cons (cons list (cons unit ())) ()))) V748 V749))) (defun shen.type-signature-of-release (V754 V755 V756) (do (shen.incinfs) (unify! V754 (cons --> (cons string ())) V755 V756))) (defun shen.type-signature-of-remove (V761 V762 V763) (let A (shen.newpv V762) (do (shen.incinfs) (unify! V761 (cons A (cons --> (cons (cons (cons list (cons A ())) (cons --> (cons (cons list (cons A ())) ()))) ()))) V762 V763)))) (defun shen.type-signature-of-reverse (V768 V769 V770) (let A (shen.newpv V769) (do (shen.incinfs) (unify! V768 (cons (cons list (cons A ())) (cons --> (cons (cons list (cons A ())) ()))) V769 V770)))) (defun shen.type-signature-of-simple-error (V775 V776 V777) (let A (shen.newpv V776) (do (shen.incinfs) (unify! V775 (cons string (cons --> (cons A ()))) V776 V777)))) (defun shen.type-signature-of-snd (V782 V783 V784) (let A (shen.newpv V783) (let B (shen.newpv V783) (do (shen.incinfs) (unify! V782 (cons (cons A (cons * (cons B ()))) (cons --> (cons B ()))) V783 V784))))) (defun shen.type-signature-of-specialise (V789 V790 V791) (do (shen.incinfs) (unify! V789 (cons symbol (cons --> (cons symbol ()))) V790 V791))) (defun shen.type-signature-of-spy (V796 V797 V798) (do (shen.incinfs) (unify! V796 (cons symbol (cons --> (cons boolean ()))) V797 V798))) (defun shen.type-signature-of-step (V803 V804 V805) (do (shen.incinfs) (unify! V803 (cons symbol (cons --> (cons boolean ()))) V804 V805))) (defun shen.type-signature-of-stinput (V810 V811 V812) (do (shen.incinfs) (unify! V810 (cons --> (cons (cons stream (cons in ())) ())) V811 V812))) (defun shen.type-signature-of-sterror (V817 V818 V819) (do (shen.incinfs) (unify! V817 (cons --> (cons (cons stream (cons out ())) ())) V818 V819))) (defun shen.type-signature-of-stoutput (V824 V825 V826) (do (shen.incinfs) (unify! V824 (cons --> (cons (cons stream (cons out ())) ())) V825 V826))) (defun shen.type-signature-of-string? (V831 V832 V833) (let A (shen.newpv V832) (do (shen.incinfs) (unify! V831 (cons A (cons --> (cons boolean ()))) V832 V833)))) (defun shen.type-signature-of-str (V838 V839 V840) (let A (shen.newpv V839) (do (shen.incinfs) (unify! V838 (cons A (cons --> (cons string ()))) V839 V840)))) (defun shen.type-signature-of-string->n (V845 V846 V847) (do (shen.incinfs) (unify! V845 (cons string (cons --> (cons number ()))) V846 V847))) (defun shen.type-signature-of-string->symbol (V852 V853 V854) (do (shen.incinfs) (unify! V852 (cons string (cons --> (cons symbol ()))) V853 V854))) (defun shen.type-signature-of-sum (V859 V860 V861) (do (shen.incinfs) (unify! V859 (cons (cons list (cons number ())) (cons --> (cons number ()))) V860 V861))) (defun shen.type-signature-of-symbol? (V866 V867 V868) (let A (shen.newpv V867) (do (shen.incinfs) (unify! V866 (cons A (cons --> (cons boolean ()))) V867 V868)))) (defun shen.type-signature-of-systemf (V873 V874 V875) (do (shen.incinfs) (unify! V873 (cons symbol (cons --> (cons symbol ()))) V874 V875))) (defun shen.type-signature-of-tail (V880 V881 V882) (let A (shen.newpv V881) (do (shen.incinfs) (unify! V880 (cons (cons list (cons A ())) (cons --> (cons (cons list (cons A ())) ()))) V881 V882)))) (defun shen.type-signature-of-tlstr (V887 V888 V889) (do (shen.incinfs) (unify! V887 (cons string (cons --> (cons string ()))) V888 V889))) (defun shen.type-signature-of-tlv (V894 V895 V896) (let A (shen.newpv V895) (do (shen.incinfs) (unify! V894 (cons (cons vector (cons A ())) (cons --> (cons (cons vector (cons A ())) ()))) V895 V896)))) (defun shen.type-signature-of-tc (V901 V902 V903) (do (shen.incinfs) (unify! V901 (cons symbol (cons --> (cons boolean ()))) V902 V903))) (defun shen.type-signature-of-tc? (V908 V909 V910) (do (shen.incinfs) (unify! V908 (cons --> (cons boolean ())) V909 V910))) (defun shen.type-signature-of-thaw (V915 V916 V917) (let A (shen.newpv V916) (do (shen.incinfs) (unify! V915 (cons (cons lazy (cons A ())) (cons --> (cons A ()))) V916 V917)))) (defun shen.type-signature-of-track (V922 V923 V924) (do (shen.incinfs) (unify! V922 (cons symbol (cons --> (cons symbol ()))) V923 V924))) (defun shen.type-signature-of-trap-error (V929 V930 V931) (let A (shen.newpv V930) (do (shen.incinfs) (unify! V929 (cons A (cons --> (cons (cons (cons exception (cons --> (cons A ()))) (cons --> (cons A ()))) ()))) V930 V931)))) (defun shen.type-signature-of-tuple? (V936 V937 V938) (let A (shen.newpv V937) (do (shen.incinfs) (unify! V936 (cons A (cons --> (cons boolean ()))) V937 V938)))) (defun shen.type-signature-of-undefmacro (V943 V944 V945) (do (shen.incinfs) (unify! V943 (cons symbol (cons --> (cons symbol ()))) V944 V945))) (defun shen.type-signature-of-union (V950 V951 V952) (let A (shen.newpv V951) (do (shen.incinfs) (unify! V950 (cons (cons list (cons A ())) (cons --> (cons (cons (cons list (cons A ())) (cons --> (cons (cons list (cons A ())) ()))) ()))) V951 V952)))) (defun shen.type-signature-of-unprofile (V957 V958 V959) (let A (shen.newpv V958) (let B (shen.newpv V958) (do (shen.incinfs) (unify! V957 (cons (cons A (cons --> (cons B ()))) (cons --> (cons (cons A (cons --> (cons B ()))) ()))) V958 V959))))) (defun shen.type-signature-of-untrack (V964 V965 V966) (do (shen.incinfs) (unify! V964 (cons symbol (cons --> (cons symbol ()))) V965 V966))) (defun shen.type-signature-of-unspecialise (V971 V972 V973) (do (shen.incinfs) (unify! V971 (cons symbol (cons --> (cons symbol ()))) V972 V973))) (defun shen.type-signature-of-variable? (V978 V979 V980) (let A (shen.newpv V979) (do (shen.incinfs) (unify! V978 (cons A (cons --> (cons boolean ()))) V979 V980)))) (defun shen.type-signature-of-vector? (V985 V986 V987) (let A (shen.newpv V986) (do (shen.incinfs) (unify! V985 (cons A (cons --> (cons boolean ()))) V986 V987)))) (defun shen.type-signature-of-version (V992 V993 V994) (do (shen.incinfs) (unify! V992 (cons --> (cons string ())) V993 V994))) (defun shen.type-signature-of-write-to-file (V999 V1000 V1001) (let A (shen.newpv V1000) (do (shen.incinfs) (unify! V999 (cons string (cons --> (cons (cons A (cons --> (cons A ()))) ()))) V1000 V1001)))) (defun shen.type-signature-of-write-byte (V1006 V1007 V1008) (do (shen.incinfs) (unify! V1006 (cons number (cons --> (cons (cons (cons stream (cons out ())) (cons --> (cons number ()))) ()))) V1007 V1008))) (defun shen.type-signature-of-y-or-n? (V1013 V1014 V1015) (do (shen.incinfs) (unify! V1013 (cons string (cons --> (cons boolean ()))) V1014 V1015))) (defun shen.type-signature-of-> (V1020 V1021 V1022) (do (shen.incinfs) (unify! V1020 (cons number (cons --> (cons (cons number (cons --> (cons boolean ()))) ()))) V1021 V1022))) (defun shen.type-signature-of-< (V1027 V1028 V1029) (do (shen.incinfs) (unify! V1027 (cons number (cons --> (cons (cons number (cons --> (cons boolean ()))) ()))) V1028 V1029))) (defun shen.type-signature-of->= (V1034 V1035 V1036) (do (shen.incinfs) (unify! V1034 (cons number (cons --> (cons (cons number (cons --> (cons boolean ()))) ()))) V1035 V1036))) (defun shen.type-signature-of-<= (V1041 V1042 V1043) (do (shen.incinfs) (unify! V1041 (cons number (cons --> (cons (cons number (cons --> (cons boolean ()))) ()))) V1042 V1043))) (defun shen.type-signature-of-= (V1048 V1049 V1050) (let A (shen.newpv V1049) (do (shen.incinfs) (unify! V1048 (cons A (cons --> (cons (cons A (cons --> (cons boolean ()))) ()))) V1049 V1050)))) (defun shen.type-signature-of-+ (V1055 V1056 V1057) (do (shen.incinfs) (unify! V1055 (cons number (cons --> (cons (cons number (cons --> (cons number ()))) ()))) V1056 V1057))) (defun shen.type-signature-of-/ (V1062 V1063 V1064) (do (shen.incinfs) (unify! V1062 (cons number (cons --> (cons (cons number (cons --> (cons number ()))) ()))) V1063 V1064))) (defun shen.type-signature-of-- (V1069 V1070 V1071) (do (shen.incinfs) (unify! V1069 (cons number (cons --> (cons (cons number (cons --> (cons number ()))) ()))) V1070 V1071))) (defun shen.type-signature-of-* (V1076 V1077 V1078) (do (shen.incinfs) (unify! V1076 (cons number (cons --> (cons (cons number (cons --> (cons number ()))) ()))) V1077 V1078))) (defun shen.type-signature-of-== (V1083 V1084 V1085) (let A (shen.newpv V1084) (let B (shen.newpv V1084) (do (shen.incinfs) (unify! V1083 (cons A (cons --> (cons (cons B (cons --> (cons boolean ()))) ()))) V1084 V1085))))) (for-each (/. Entry (set-lambda-form-entry Entry)) [[shen.type-signature-of-absvector? | (lambda V269 (lambda V270 (lambda V271 (shen.type-signature-of-absvector? V269 V270 V271))))] [shen.type-signature-of-adjoin | (lambda V276 (lambda V277 (lambda V278 (shen.type-signature-of-adjoin V276 V277 V278))))] [shen.type-signature-of-and | (lambda V283 (lambda V284 (lambda V285 (shen.type-signature-of-and V283 V284 V285))))] [shen.type-signature-of-shen.app | (lambda V290 (lambda V291 (lambda V292 (shen.type-signature-of-shen.app V290 V291 V292))))] [shen.type-signature-of-append | (lambda V297 (lambda V298 (lambda V299 (shen.type-signature-of-append V297 V298 V299))))] [shen.type-signature-of-arity | (lambda V304 (lambda V305 (lambda V306 (shen.type-signature-of-arity V304 V305 V306))))] [shen.type-signature-of-assoc | (lambda V311 (lambda V312 (lambda V313 (shen.type-signature-of-assoc V311 V312 V313))))] [shen.type-signature-of-boolean? | (lambda V318 (lambda V319 (lambda V320 (shen.type-signature-of-boolean? V318 V319 V320))))] [shen.type-signature-of-bound? | (lambda V325 (lambda V326 (lambda V327 (shen.type-signature-of-bound? V325 V326 V327))))] [shen.type-signature-of-cd | (lambda V332 (lambda V333 (lambda V334 (shen.type-signature-of-cd V332 V333 V334))))] [shen.type-signature-of-close | (lambda V339 (lambda V340 (lambda V341 (shen.type-signature-of-close V339 V340 V341))))] [shen.type-signature-of-cn | (lambda V346 (lambda V347 (lambda V348 (shen.type-signature-of-cn V346 V347 V348))))] [shen.type-signature-of-command-line | (lambda V353 (lambda V354 (lambda V355 (shen.type-signature-of-command-line V353 V354 V355))))] [shen.type-signature-of-compile | (lambda V360 (lambda V361 (lambda V362 (shen.type-signature-of-compile V360 V361 V362))))] [shen.type-signature-of-cons? | (lambda V367 (lambda V368 (lambda V369 (shen.type-signature-of-cons? V367 V368 V369))))] [shen.type-signature-of-destroy | (lambda V374 (lambda V375 (lambda V376 (shen.type-signature-of-destroy V374 V375 V376))))] [shen.type-signature-of-difference | (lambda V381 (lambda V382 (lambda V383 (shen.type-signature-of-difference V381 V382 V383))))] [shen.type-signature-of-do | (lambda V388 (lambda V389 (lambda V390 (shen.type-signature-of-do V388 V389 V390))))] [shen.type-signature-of-<e> | (lambda V395 (lambda V396 (lambda V397 (shen.type-signature-of-<e> V395 V396 V397))))] [shen.type-signature-of-<!> | (lambda V402 (lambda V403 (lambda V404 (shen.type-signature-of-<!> V402 V403 V404))))] [shen.type-signature-of-element? | (lambda V409 (lambda V410 (lambda V411 (shen.type-signature-of-element? V409 V410 V411))))] [shen.type-signature-of-empty? | (lambda V416 (lambda V417 (lambda V418 (shen.type-signature-of-empty? V416 V417 V418))))] [shen.type-signature-of-enable-type-theory | (lambda V423 (lambda V424 (lambda V425 (shen.type-signature-of-enable-type-theory V423 V424 V425))))] [shen.type-signature-of-external | (lambda V430 (lambda V431 (lambda V432 (shen.type-signature-of-external V430 V431 V432))))] [shen.type-signature-of-error-to-string | (lambda V437 (lambda V438 (lambda V439 (shen.type-signature-of-error-to-string V437 V438 V439))))] [shen.type-signature-of-explode | (lambda V444 (lambda V445 (lambda V446 (shen.type-signature-of-explode V444 V445 V446))))] [shen.type-signature-of-fail | (lambda V451 (lambda V452 (lambda V453 (shen.type-signature-of-fail V451 V452 V453))))] [shen.type-signature-of-fail-if | (lambda V458 (lambda V459 (lambda V460 (shen.type-signature-of-fail-if V458 V459 V460))))] [shen.type-signature-of-fix | (lambda V465 (lambda V466 (lambda V467 (shen.type-signature-of-fix V465 V466 V467))))] [shen.type-signature-of-freeze | (lambda V472 (lambda V473 (lambda V474 (shen.type-signature-of-freeze V472 V473 V474))))] [shen.type-signature-of-fst | (lambda V479 (lambda V480 (lambda V481 (shen.type-signature-of-fst V479 V480 V481))))] [shen.type-signature-of-function | (lambda V486 (lambda V487 (lambda V488 (shen.type-signature-of-function V486 V487 V488))))] [shen.type-signature-of-gensym | (lambda V493 (lambda V494 (lambda V495 (shen.type-signature-of-gensym V493 V494 V495))))] [shen.type-signature-of-<-vector | (lambda V500 (lambda V501 (lambda V502 (shen.type-signature-of-<-vector V500 V501 V502))))] [shen.type-signature-of-<-vector/or | (lambda V507 (lambda V508 (lambda V509 (shen.type-signature-of-<-vector/or V507 V508 V509))))] [shen.type-signature-of-vector-> | (lambda V514 (lambda V515 (lambda V516 (shen.type-signature-of-vector-> V514 V515 V516))))] [shen.type-signature-of-vector | (lambda V521 (lambda V522 (lambda V523 (shen.type-signature-of-vector V521 V522 V523))))] [shen.type-signature-of-dict | (lambda V528 (lambda V529 (lambda V530 (shen.type-signature-of-dict V528 V529 V530))))] [shen.type-signature-of-dict? | (lambda V535 (lambda V536 (lambda V537 (shen.type-signature-of-dict? V535 V536 V537))))] [shen.type-signature-of-dict-count | (lambda V542 (lambda V543 (lambda V544 (shen.type-signature-of-dict-count V542 V543 V544))))] [shen.type-signature-of-<-dict | (lambda V549 (lambda V550 (lambda V551 (shen.type-signature-of-<-dict V549 V550 V551))))] [shen.type-signature-of-<-dict/or | (lambda V556 (lambda V557 (lambda V558 (shen.type-signature-of-<-dict/or V556 V557 V558))))] [shen.type-signature-of-dict-> | (lambda V563 (lambda V564 (lambda V565 (shen.type-signature-of-dict-> V563 V564 V565))))] [shen.type-signature-of-dict-rm | (lambda V570 (lambda V571 (lambda V572 (shen.type-signature-of-dict-rm V570 V571 V572))))] [shen.type-signature-of-dict-fold | (lambda V577 (lambda V578 (lambda V579 (shen.type-signature-of-dict-fold V577 V578 V579))))] [shen.type-signature-of-dict-keys | (lambda V584 (lambda V585 (lambda V586 (shen.type-signature-of-dict-keys V584 V585 V586))))] [shen.type-signature-of-dict-values | (lambda V591 (lambda V592 (lambda V593 (shen.type-signature-of-dict-values V591 V592 V593))))] [shen.type-signature-of-exit | (lambda V598 (lambda V599 (lambda V600 (shen.type-signature-of-exit V598 V599 V600))))] [shen.type-signature-of-get-time | (lambda V605 (lambda V606 (lambda V607 (shen.type-signature-of-get-time V605 V606 V607))))] [shen.type-signature-of-hash | (lambda V612 (lambda V613 (lambda V614 (shen.type-signature-of-hash V612 V613 V614))))] [shen.type-signature-of-head | (lambda V619 (lambda V620 (lambda V621 (shen.type-signature-of-head V619 V620 V621))))] [shen.type-signature-of-hdv | (lambda V626 (lambda V627 (lambda V628 (shen.type-signature-of-hdv V626 V627 V628))))] [shen.type-signature-of-hdstr | (lambda V633 (lambda V634 (lambda V635 (shen.type-signature-of-hdstr V633 V634 V635))))] [shen.type-signature-of-if | (lambda V640 (lambda V641 (lambda V642 (shen.type-signature-of-if V640 V641 V642))))] [shen.type-signature-of-it | (lambda V647 (lambda V648 (lambda V649 (shen.type-signature-of-it V647 V648 V649))))] [shen.type-signature-of-implementation | (lambda V654 (lambda V655 (lambda V656 (shen.type-signature-of-implementation V654 V655 V656))))] [shen.type-signature-of-include | (lambda V661 (lambda V662 (lambda V663 (shen.type-signature-of-include V661 V662 V663))))] [shen.type-signature-of-include-all-but | (lambda V668 (lambda V669 (lambda V670 (shen.type-signature-of-include-all-but V668 V669 V670))))] [shen.type-signature-of-inferences | (lambda V675 (lambda V676 (lambda V677 (shen.type-signature-of-inferences V675 V676 V677))))] [shen.type-signature-of-shen.insert | (lambda V682 (lambda V683 (lambda V684 (shen.type-signature-of-shen.insert V682 V683 V684))))] [shen.type-signature-of-integer? | (lambda V689 (lambda V690 (lambda V691 (shen.type-signature-of-integer? V689 V690 V691))))] [shen.type-signature-of-internal | (lambda V696 (lambda V697 (lambda V698 (shen.type-signature-of-internal V696 V697 V698))))] [shen.type-signature-of-intersection | (lambda V703 (lambda V704 (lambda V705 (shen.type-signature-of-intersection V703 V704 V705))))] [shen.type-signature-of-kill | (lambda V710 (lambda V711 (lambda V712 (shen.type-signature-of-kill V710 V711 V712))))] [shen.type-signature-of-language | (lambda V717 (lambda V718 (lambda V719 (shen.type-signature-of-language V717 V718 V719))))] [shen.type-signature-of-length | (lambda V724 (lambda V725 (lambda V726 (shen.type-signature-of-length V724 V725 V726))))] [shen.type-signature-of-limit | (lambda V731 (lambda V732 (lambda V733 (shen.type-signature-of-limit V731 V732 V733))))] [shen.type-signature-of-load | (lambda V738 (lambda V739 (lambda V740 (shen.type-signature-of-load V738 V739 V740))))] [shen.type-signature-of-fold-left | (lambda V745 (lambda V746 (lambda V747 (shen.type-signature-of-fold-left V745 V746 V747))))] [shen.type-signature-of-fold-right | (lambda V752 (lambda V753 (lambda V754 (shen.type-signature-of-fold-right V752 V753 V754))))] [shen.type-signature-of-for-each | (lambda V759 (lambda V760 (lambda V761 (shen.type-signature-of-for-each V759 V760 V761))))] [shen.type-signature-of-map | (lambda V766 (lambda V767 (lambda V768 (shen.type-signature-of-map V766 V767 V768))))] [shen.type-signature-of-mapcan | (lambda V773 (lambda V774 (lambda V775 (shen.type-signature-of-mapcan V773 V774 V775))))] [shen.type-signature-of-filter | (lambda V780 (lambda V781 (lambda V782 (shen.type-signature-of-filter V780 V781 V782))))] [shen.type-signature-of-maxinferences | (lambda V787 (lambda V788 (lambda V789 (shen.type-signature-of-maxinferences V787 V788 V789))))] [shen.type-signature-of-n->string | (lambda V794 (lambda V795 (lambda V796 (shen.type-signature-of-n->string V794 V795 V796))))] [shen.type-signature-of-nl | (lambda V801 (lambda V802 (lambda V803 (shen.type-signature-of-nl V801 V802 V803))))] [shen.type-signature-of-not | (lambda V808 (lambda V809 (lambda V810 (shen.type-signature-of-not V808 V809 V810))))] [shen.type-signature-of-nth | (lambda V815 (lambda V816 (lambda V817 (shen.type-signature-of-nth V815 V816 V817))))] [shen.type-signature-of-number? | (lambda V822 (lambda V823 (lambda V824 (shen.type-signature-of-number? V822 V823 V824))))] [shen.type-signature-of-occurrences | (lambda V829 (lambda V830 (lambda V831 (shen.type-signature-of-occurrences V829 V830 V831))))] [shen.type-signature-of-occurs-check | (lambda V836 (lambda V837 (lambda V838 (shen.type-signature-of-occurs-check V836 V837 V838))))] [shen.type-signature-of-optimise | (lambda V843 (lambda V844 (lambda V845 (shen.type-signature-of-optimise V843 V844 V845))))] [shen.type-signature-of-or | (lambda V850 (lambda V851 (lambda V852 (shen.type-signature-of-or V850 V851 V852))))] [shen.type-signature-of-os | (lambda V857 (lambda V858 (lambda V859 (shen.type-signature-of-os V857 V858 V859))))] [shen.type-signature-of-package? | (lambda V864 (lambda V865 (lambda V866 (shen.type-signature-of-package? V864 V865 V866))))] [shen.type-signature-of-port | (lambda V871 (lambda V872 (lambda V873 (shen.type-signature-of-port V871 V872 V873))))] [shen.type-signature-of-porters | (lambda V878 (lambda V879 (lambda V880 (shen.type-signature-of-porters V878 V879 V880))))] [shen.type-signature-of-pos | (lambda V885 (lambda V886 (lambda V887 (shen.type-signature-of-pos V885 V886 V887))))] [shen.type-signature-of-pr | (lambda V892 (lambda V893 (lambda V894 (shen.type-signature-of-pr V892 V893 V894))))] [shen.type-signature-of-print | (lambda V899 (lambda V900 (lambda V901 (shen.type-signature-of-print V899 V900 V901))))] [shen.type-signature-of-profile | (lambda V906 (lambda V907 (lambda V908 (shen.type-signature-of-profile V906 V907 V908))))] [shen.type-signature-of-preclude | (lambda V913 (lambda V914 (lambda V915 (shen.type-signature-of-preclude V913 V914 V915))))] [shen.type-signature-of-shen.proc-nl | (lambda V920 (lambda V921 (lambda V922 (shen.type-signature-of-shen.proc-nl V920 V921 V922))))] [shen.type-signature-of-profile-results | (lambda V927 (lambda V928 (lambda V929 (shen.type-signature-of-profile-results V927 V928 V929))))] [shen.type-signature-of-protect | (lambda V934 (lambda V935 (lambda V936 (shen.type-signature-of-protect V934 V935 V936))))] [shen.type-signature-of-preclude-all-but | (lambda V941 (lambda V942 (lambda V943 (shen.type-signature-of-preclude-all-but V941 V942 V943))))] [shen.type-signature-of-shen.prhush | (lambda V948 (lambda V949 (lambda V950 (shen.type-signature-of-shen.prhush V948 V949 V950))))] [shen.type-signature-of-ps | (lambda V955 (lambda V956 (lambda V957 (shen.type-signature-of-ps V955 V956 V957))))] [shen.type-signature-of-read | (lambda V962 (lambda V963 (lambda V964 (shen.type-signature-of-read V962 V963 V964))))] [shen.type-signature-of-read-byte | (lambda V969 (lambda V970 (lambda V971 (shen.type-signature-of-read-byte V969 V970 V971))))] [shen.type-signature-of-read-char-code | (lambda V976 (lambda V977 (lambda V978 (shen.type-signature-of-read-char-code V976 V977 V978))))] [shen.type-signature-of-read-file-as-bytelist | (lambda V983 (lambda V984 (lambda V985 (shen.type-signature-of-read-file-as-bytelist V983 V984 V985))))] [shen.type-signature-of-read-file-as-charlist | (lambda V990 (lambda V991 (lambda V992 (shen.type-signature-of-read-file-as-charlist V990 V991 V992))))] [shen.type-signature-of-read-file-as-string | (lambda V997 (lambda V998 (lambda V999 (shen.type-signature-of-read-file-as-string V997 V998 V999))))] [shen.type-signature-of-read-file | (lambda V1004 (lambda V1005 (lambda V1006 (shen.type-signature-of-read-file V1004 V1005 V1006))))] [shen.type-signature-of-read-from-string | (lambda V1011 (lambda V1012 (lambda V1013 (shen.type-signature-of-read-from-string V1011 V1012 V1013))))] [shen.type-signature-of-release | (lambda V1018 (lambda V1019 (lambda V1020 (shen.type-signature-of-release V1018 V1019 V1020))))] [shen.type-signature-of-remove | (lambda V1025 (lambda V1026 (lambda V1027 (shen.type-signature-of-remove V1025 V1026 V1027))))] [shen.type-signature-of-reverse | (lambda V1032 (lambda V1033 (lambda V1034 (shen.type-signature-of-reverse V1032 V1033 V1034))))] [shen.type-signature-of-simple-error | (lambda V1039 (lambda V1040 (lambda V1041 (shen.type-signature-of-simple-error V1039 V1040 V1041))))] [shen.type-signature-of-snd | (lambda V1046 (lambda V1047 (lambda V1048 (shen.type-signature-of-snd V1046 V1047 V1048))))] [shen.type-signature-of-specialise | (lambda V1053 (lambda V1054 (lambda V1055 (shen.type-signature-of-specialise V1053 V1054 V1055))))] [shen.type-signature-of-spy | (lambda V1060 (lambda V1061 (lambda V1062 (shen.type-signature-of-spy V1060 V1061 V1062))))] [shen.type-signature-of-step | (lambda V1067 (lambda V1068 (lambda V1069 (shen.type-signature-of-step V1067 V1068 V1069))))] [shen.type-signature-of-stinput | (lambda V1074 (lambda V1075 (lambda V1076 (shen.type-signature-of-stinput V1074 V1075 V1076))))] [shen.type-signature-of-sterror | (lambda V1081 (lambda V1082 (lambda V1083 (shen.type-signature-of-sterror V1081 V1082 V1083))))] [shen.type-signature-of-stoutput | (lambda V1088 (lambda V1089 (lambda V1090 (shen.type-signature-of-stoutput V1088 V1089 V1090))))] [shen.type-signature-of-string? | (lambda V1095 (lambda V1096 (lambda V1097 (shen.type-signature-of-string? V1095 V1096 V1097))))] [shen.type-signature-of-str | (lambda V1102 (lambda V1103 (lambda V1104 (shen.type-signature-of-str V1102 V1103 V1104))))] [shen.type-signature-of-string->n | (lambda V1109 (lambda V1110 (lambda V1111 (shen.type-signature-of-string->n V1109 V1110 V1111))))] [shen.type-signature-of-string->symbol | (lambda V1116 (lambda V1117 (lambda V1118 (shen.type-signature-of-string->symbol V1116 V1117 V1118))))] [shen.type-signature-of-sum | (lambda V1123 (lambda V1124 (lambda V1125 (shen.type-signature-of-sum V1123 V1124 V1125))))] [shen.type-signature-of-symbol? | (lambda V1130 (lambda V1131 (lambda V1132 (shen.type-signature-of-symbol? V1130 V1131 V1132))))] [shen.type-signature-of-systemf | (lambda V1137 (lambda V1138 (lambda V1139 (shen.type-signature-of-systemf V1137 V1138 V1139))))] [shen.type-signature-of-tail | (lambda V1144 (lambda V1145 (lambda V1146 (shen.type-signature-of-tail V1144 V1145 V1146))))] [shen.type-signature-of-tlstr | (lambda V1151 (lambda V1152 (lambda V1153 (shen.type-signature-of-tlstr V1151 V1152 V1153))))] [shen.type-signature-of-tlv | (lambda V1158 (lambda V1159 (lambda V1160 (shen.type-signature-of-tlv V1158 V1159 V1160))))] [shen.type-signature-of-tc | (lambda V1165 (lambda V1166 (lambda V1167 (shen.type-signature-of-tc V1165 V1166 V1167))))] [shen.type-signature-of-tc? | (lambda V1172 (lambda V1173 (lambda V1174 (shen.type-signature-of-tc? V1172 V1173 V1174))))] [shen.type-signature-of-thaw | (lambda V1179 (lambda V1180 (lambda V1181 (shen.type-signature-of-thaw V1179 V1180 V1181))))] [shen.type-signature-of-track | (lambda V1186 (lambda V1187 (lambda V1188 (shen.type-signature-of-track V1186 V1187 V1188))))] [shen.type-signature-of-trap-error | (lambda V1193 (lambda V1194 (lambda V1195 (shen.type-signature-of-trap-error V1193 V1194 V1195))))] [shen.type-signature-of-tuple? | (lambda V1200 (lambda V1201 (lambda V1202 (shen.type-signature-of-tuple? V1200 V1201 V1202))))] [shen.type-signature-of-undefmacro | (lambda V1207 (lambda V1208 (lambda V1209 (shen.type-signature-of-undefmacro V1207 V1208 V1209))))] [shen.type-signature-of-union | (lambda V1214 (lambda V1215 (lambda V1216 (shen.type-signature-of-union V1214 V1215 V1216))))] [shen.type-signature-of-unprofile | (lambda V1221 (lambda V1222 (lambda V1223 (shen.type-signature-of-unprofile V1221 V1222 V1223))))] [shen.type-signature-of-untrack | (lambda V1228 (lambda V1229 (lambda V1230 (shen.type-signature-of-untrack V1228 V1229 V1230))))] [shen.type-signature-of-unspecialise | (lambda V1235 (lambda V1236 (lambda V1237 (shen.type-signature-of-unspecialise V1235 V1236 V1237))))] [shen.type-signature-of-variable? | (lambda V1242 (lambda V1243 (lambda V1244 (shen.type-signature-of-variable? V1242 V1243 V1244))))] [shen.type-signature-of-vector? | (lambda V1249 (lambda V1250 (lambda V1251 (shen.type-signature-of-vector? V1249 V1250 V1251))))] [shen.type-signature-of-version | (lambda V1256 (lambda V1257 (lambda V1258 (shen.type-signature-of-version V1256 V1257 V1258))))] [shen.type-signature-of-write-to-file | (lambda V1263 (lambda V1264 (lambda V1265 (shen.type-signature-of-write-to-file V1263 V1264 V1265))))] [shen.type-signature-of-write-byte | (lambda V1270 (lambda V1271 (lambda V1272 (shen.type-signature-of-write-byte V1270 V1271 V1272))))] [shen.type-signature-of-y-or-n? | (lambda V1277 (lambda V1278 (lambda V1279 (shen.type-signature-of-y-or-n? V1277 V1278 V1279))))] [shen.type-signature-of-> | (lambda V1284 (lambda V1285 (lambda V1286 (shen.type-signature-of-> V1284 V1285 V1286))))] [shen.type-signature-of-< | (lambda V1291 (lambda V1292 (lambda V1293 (shen.type-signature-of-< V1291 V1292 V1293))))] [shen.type-signature-of->= | (lambda V1298 (lambda V1299 (lambda V1300 (shen.type-signature-of->= V1298 V1299 V1300))))] [shen.type-signature-of-<= | (lambda V1305 (lambda V1306 (lambda V1307 (shen.type-signature-of-<= V1305 V1306 V1307))))] [shen.type-signature-of-= | (lambda V1312 (lambda V1313 (lambda V1314 (shen.type-signature-of-= V1312 V1313 V1314))))] [shen.type-signature-of-+ | (lambda V1319 (lambda V1320 (lambda V1321 (shen.type-signature-of-+ V1319 V1320 V1321))))] [shen.type-signature-of-/ | (lambda V1326 (lambda V1327 (lambda V1328 (shen.type-signature-of-/ V1326 V1327 V1328))))] [shen.type-signature-of-- | (lambda V1333 (lambda V1334 (lambda V1335 (shen.type-signature-of-- V1333 V1334 V1335))))] [shen.type-signature-of-* | (lambda V1340 (lambda V1341 (lambda V1342 (shen.type-signature-of-* V1340 V1341 V1342))))] [shen.type-signature-of-== | (lambda V1347 (lambda V1348 (lambda V1349 (shen.type-signature-of-== V1347 V1348 V1349))))]]))
Shen
5
otabat/shen-jvm
shen/src/shen-replace/types-replace.shen
[ "MIT" ]
# RUN: not llc -march=amdgcn -run-pass none -o /dev/null %s 2>&1 | FileCheck %s # CHECK: :8:45: incorrect register class for field # CHECK: scratchRSrcReg: '$vgpr0_vgpr1_vgpr2_vgpr3' --- name: wrong_reg_class_scratch_rsrc_reg machineFunctionInfo: scratchRSrcReg: '$vgpr0_vgpr1_vgpr2_vgpr3' body: | bb.0: S_ENDPGM ...
Mirah
4
medismailben/llvm-project
llvm/test/CodeGen/MIR/AMDGPU/mfi-scratch-rsrc-reg-reg-class.mir
[ "Apache-2.0" ]
size: 2048px 512px; dpi: 180; limit-x: 1404278100 1404299700; limit-y: 6000000 10000000; axes { position: bottom left; label-format-y: scientific(); label-format-x: datetime("%H:%M:%S"); label-placement-x: linear-align(1800); } lines { data-x: csv("test/testdata/measurement.csv" time); data-y: csv("test/testdata/measurement.csv" value1); color: #06c; } legend { position: top right; item { label: "Random Data"; color: #06c; } }
CLIPS
3
asmuth-archive/travistest
test/examples/charts_basic_demo_timeseries.clp
[ "Apache-2.0" ]
# Copyright 1999-2017 Gentoo Foundation # Distributed under the terms of the GNU General Public License v2 # $Id$ # @ECLASS: golang-single.eclass # @MAINTAINER: # Mauro Toffanin <[email protected]> # @AUTHOR: # Mauro Toffanin <[email protected]> # @BLURB: An eclass for GoLang packages not installed inside GOPATH/GOBIN. # @DESCRIPTION: # This eclass allows to install arbitrary packages written in GoLang which # don't support being installed inside the Go environment. # This mostly includes traditional packages (C/C++/GUI) embedding tools written # in GoLang, and GoLang packages that need to be compiled with GCC instead of # the standard Go interpreter. # # @EXAMPLE: # Typical ebuild using golang-single.eclass: # # @CODE # EAPI=5 # # GOLANG_PKG_IMPORTPATH="github.com/captObvious" # GOLANG_PKG_ARCHIVESUFFIX=".zip" # GOLANG_PKG_HAVE_TEST # inherit golang-single qt4-r2 # # DESCRIPTION="Foo bar application" # HOMEPAGE="http://example.org/foo/" # # LICENSE="MIT" # KEYWORDS="~amd64 ~x86" # SLOT="0" # IUSE="doc qt4" # # CDEPEND=" # qt4? ( # dev-qt/qtcore:4 # dev-qt/qtgui:4 # )" # RDEPEND="${CDEPEND} # !media-gfx/bar" # DEPEND="${CDEPEND} # doc? ( app-doc/doxygen )" # # DOCS=(AUTHORS ChangeLog README "Read me.txt" TODO) # # PATCHES=( # "${FILESDIR}/${P}-qt4.patch" # bug 123458 # "${FILESDIR}/${P}-as-needed.patch" # ) # # src_install() { # use doc && HTML_DOCS=("${BUILD_DIR}/apidocs/html/") # autotools-utils_src_install # if use examples; then # dobin "${BUILD_DIR}"/foo_example{1,2,3} \\ # || die 'dobin examples failed' # fi # } # # @CODE inherit golang-common EXPORT_FUNCTIONS src_prepare src_unpack src_configure src_compile src_install src_test if [[ -z ${_GOLANG_SINGLE_ECLASS} ]]; then _GOLANG_SINGLE_ECLASS=1 # This eclass uses GOLANG_PKG_IMPORTPATH to populate SRC_URI. SRC_URI="${SRC_URI:="https://${GOLANG_PKG_IMPORTPATH}/${GOLANG_PKG_NAME}/archive/${GOLANG_PKG_ARCHIVEPREFIX}${GOLANG_PKG_VERSION}${GOLANG_PKG_ARCHIVESUFFIX} -> ${P}${GOLANG_PKG_ARCHIVESUFFIX}"}" # This eclass uses GOLANG_PKG_DEPENDENCIES associative array to populate SRC_URI # with the required snapshots of the supplied GoLang dependencies. if [[ ${#GOLANG_PKG_DEPENDENCIES[@]} -gt 0 ]]; then for i in ${!GOLANG_PKG_DEPENDENCIES[@]} ; do # Collects all the tokens of the dependency. local -A DEPENDENCY=() while read -d $'\n' key value; do [[ -z ${key} ]] && continue DEPENDENCY[$key]="${value}" done <<-EOF $( _factorize_dependency_entities "${GOLANG_PKG_DEPENDENCIES[$i]}" ) EOF # Debug debug-print "${FUNCNAME}: DEPENDENCY = ${GOLANG_PKG_DEPENDENCIES[$i]}" debug-print "${FUNCNAME}: importpath = ${DEPENDENCY[importpath]}" debug-print "${FUNCNAME}: revision = ${DEPENDENCY[revision]}" # Downloads the archive. case ${DEPENDENCY[importpath]} in github*) SRC_URI+=" https://${DEPENDENCY[importpath]}/archive/${DEPENDENCY[revision]}${GOLANG_PKG_ARCHIVESUFFIX} -> ${DEPENDENCY[importpath]//\//-}-${DEPENDENCY[revision]}${GOLANG_PKG_ARCHIVESUFFIX}" ;; bitbucket*) SRC_URI+=" https://${DEPENDENCY[importpath]}/get/${DEPENDENCY[revision]}.zip -> ${DEPENDENCY[importpath]//\//-}-${DEPENDENCY[revision]}.zip" ;; code.google*) SRC_URI+=" https://${DEPENDENCY[project_name]}.googlecode.com/archive/${DEPENDENCY[revision]}.tar.gz -> ${DEPENDENCY[importpath]//\//-}-${DEPENDENCY[revision]}.tar.gz" ;; *) die "This eclass doesn't support '${DEPENDENCY[importpath]}'" ;; esac done fi # @FUNCTION: golang-single_src_unpack # @DESCRIPTION: # Unpack the source archive. golang-single_src_unpack() { debug-print-function ${FUNCNAME} "${@}" default # Creates S. mkdir -p "${S%/*}" || die # Moves main GoLang package from WORKDIR into GOPATH. if [[ "${GOLANG_PKG_IMPORTPATH}" != "${GOLANG_PKG_IMPORTPATH_ALIAS}" ]]; then local alias_abspath="${WORKDIR}/gopath/src/${GOLANG_PKG_IMPORTPATH_ALIAS}/${GOLANG_PKG_NAME}" mkdir -p "${alias_abspath%/*}" || die mv "${GOLANG_PKG_NAME}-${GOLANG_PKG_VERSION}" "${alias_abspath}"/ || die else mv "${GOLANG_PKG_NAME}-${GOLANG_PKG_VERSION}" "${S}"/ || die fi } # @FUNCTION: golang-single_src_prepare # @DESCRIPTION: # Prepare source code. golang-single_src_prepare() { debug-print-function ${FUNCNAME} "$@" # Sets up GoLang build environment. golang_setup golang-common_src_prepare } # @FUNCTION: golang-single_src_configure # @DESCRIPTION: # Configure the package. golang-single_src_configure() { debug-print-function ${FUNCNAME} "$@" golang-common_src_configure } # @FUNCTION: golang-single_src_compile # @DESCRIPTION: # Compiles the package. golang-single_src_compile() { debug-print-function ${FUNCNAME} "$@" golang-common_src_compile } # @FUNCTION: golang-single_src_install # @DESCRIPTION: # Installs binaries and documents from DOCS or HTML_DOCS arrays. golang-single_src_install() { debug-print-function ${FUNCNAME} "$@" golang-common_src_install } # @FUNCTION: golang-single_src_test # @DESCRIPTION: # Runs the unit tests for the main package. golang-single_src_test() { debug-print-function ${FUNCNAME} "$@" golang-common_src_test } fi
Gentoo Eclass
5
Siinamon/gentoo-overlay
eclass/golang-single.eclass
[ "MIT" ]
defmodule OnlyFailingTest do use ExUnit.Case test "fails", do: assert(System.get_env("PASS_FAILING_TESTS")) end
Elixir
4
doughsay/elixir
lib/mix/test/fixtures/test_failed/test/only_failing_test_failed.exs
[ "Apache-2.0" ]
# @expect="/nlist[@name="profile"]/long[@name="a"]=0 and /nlist[@name="profile"]/long[@name="value"]=0 and /nlist[@name="profile"]/long[@name="default"]=1" # @format=pan # object template value2; variable SOMETHING = dict("key", "abc"); "/a" = 0; "/value" = value("/a", 1); "/default" = value("/nopath", 1);
Pan
1
aka7/pan
panc/src/test/pan/Functionality/value/value2.pan
[ "Apache-2.0" ]
\documentclass[a4paper,superscriptaddress,11pt,shorttitle=template]{compositionalityarticle} \pdfoutput=1 \usepackage[utf8]{inputenc} \usepackage[english]{babel} \usepackage[T1]{fontenc} \usepackage{amsmath} \usepackage{hyperref} \usepackage{tikz} \usepackage{lipsum} \usepackage{upgreek} \usepackage{stmaryrd} \usepackage{xypic} \usepackage{pig} \ColourEpigram \newcommand{\D}[1]{\blue{\ensuremath{\mathsf{#1}}}} \newcommand{\C}[1]{\red{\ensuremath{\mathsf{#1}}}} \newcommand{\F}[1]{\green{\ensuremath{\mathsf{#1}}}} \newcommand{\V}[1]{\purple{\ensuremath{\mathit{#1}}}} \newcommand{\M}[1]{\black{\ensuremath{\mathrm{#1}}}} \newtheorem{theorem}{Theorem} \newtheorem{craft}[theorem]{Craft} \newtheorem{definition}[theorem]{Definition} \newtheorem{lemma}[theorem]{Lemma} %include lhs2TeX.fmt %include lhs2TeX.sty %include polycode.fmt \DeclareMathAlphabet{\mathkw}{OT1}{cmss}{bx}{n} %subst keyword a = "\mathkw{" a "}" %subst conid a = "\V{" a "}" %subst varid a = "\V{" a "}" %format inductive = "\mathkw{inductive}" %format constructor = "\mathkw{constructor}" %format pattern = "\mathkw{pattern}" %format rewrite = "\mathkw{rewrite}" %format syntax = "\mathkw{syntax}" %format forall = "\D{\forall}" %format . = "." %format sb0 = "_{\V{0}}" %format sb1 = "_{\V{1}}" %format sb2 = "_{\V{2}}" %format sb3 = "_{\V{3}}" %format sb01 = "_{\V{01}}" %format sb12 = "_{\V{12}}" %format sb23 = "_{\V{23}}" %format sb02 = "_{\V{02}}" %format sb13 = "_{\V{13}}" %format sb03 = "_{\V{03}}" %format sb012 = "_{\V{012}}" %format sb013 = "_{\V{013}}" %format sb023 = "_{\V{023}}" %format sb123 = "_{\V{123}}" %if False \begin{code} module extended where open import Agda.Primitive renaming (_βŠ”_ to _\-/_) \end{code} %endif %format \-/ = "\mathbin{\D{\sqcup}}" \begin{document} \title{CodeBruijn Programming, Categorically} \date{} \author{Conor Mc Bride} \email{conor@@strictlypositive.org} \homepage{http://strictlypositive.org} \orcid{0000-0003-1487-0886} %\thanks{} \affiliation{Mathematically Structured Programming, Computer \& Information Sciences, University of Strathclyde, Scotland} \maketitle \begin{abstract} I'll write this later when I know what I'm saying. \end{abstract} CodeBruijn (``co de Bruijn'') programming is a methodology for representing and manipulating syntax in a nameless way, like de Bruijn representation~\cite{deBruijn:dummies}, but making the opposite canonical choice for exposing the \emph{non}-use of variables. The essence of the idea is to restrict the \emph{scope} (which variables \emph{may} occur free) of a term to its \emph{support} (which variables \emph{do} occur free). Variables are expelled from scope at the roots of maximal subtrees in which they are not used, where de Bruijn representation keeps variables in scope from their binding sites all the way to the leaves, i.e., the minimal subtrees in which they are not used. The codeBruijn approach thus relies on the maintenance of subtle invariants, reminiscent of Kennaway and Sleep's `director strings' representation~\cite{DBLP:journals/ipl/KennawayS87}. Dependently typed programming languages such as Agda~\cite{DBLP:conf/afp/Norell08} readily taken on the task of minding that business for us. This paper shows how, and hopefully, why. The key structure at work is the semi-simplicial category on scopes, i.e., the category of order-preserving embeddings (colloquially, `thinnings') from one scope to another. From Bellegarde and Hook~\cite{bellegarde.hook:substitution.monad}, via Bird and Paterson~\cite{bird.paterson:debruijn.nested}, and Altenkirch and Reus~\cite{altenkirch.reus:monads.lambda}, it has become a commonplace to index types of terms by their scopes. Such types should really be thought of as `thinnables' --- \emph{functors} from the thinnings --- because thinnings act compositionally on terms. The operation of mapping a scope to its identity thinning induces a forgetful functor from thinnables to scope-indexed types. This forgetful functor has a celebrated right adjoint, amounting to abstraction over all scopes into which one's own embeds, which is the basis of Altenkirch, Hofmann and Streicher's Kripke-model construction which drives normalization by evaluation ~\cite{DBLP:conf/ctcs/AltenkirchHS95}. But, being a forgetful functor, one should ask after its \emph{left} adjoint. That exists, of course, and is the basis of codeBruijn programming: we define \emph{relevant} terms, indexed by \emph{support}, then make them \emph{freely} thinnable by attaching the thinning from support to scope at the root of the term. Further thinnings act by composition at the root, without traversing the term at all. This paper is written as a literate Agda implementation of a codeBruijn toolkit, structured categorically. I formalise the active categorical abstractions, given provocation from the task at hand. I have adopted something of a tutorial style, partly because there is some novelty in teaching category theory to functional programmers with examples which are not sets-and-functions, but mostly because I am teaching myself. I hope it is also a useful engagement with dependently typed programming, for category theorists. I shall certainly draw the \emph{diagrams} which drive the constructions. There will also be some transferable lessons about programming `craft' which I shall seek to draw out. %include DeBruijn.hide.lagda %if False \begin{code} open import DeBruijn \end{code} %endif %include Thinnings.hide.lagda %if False \begin{code} open import Thinnings \end{code} %endif %include Slime.hide.lagda %if False \begin{code} open import Slime \end{code} %endif %include Worry.hide.lagda %if False \begin{code} open import Worry \end{code} %endif %include Categories.hide.lagda %if False \begin{code} open import Categories \end{code} %endif %include Functors.hide.lagda %if False \begin{code} open import Functors \end{code} %endif %include Natural.hide.lagda %if False \begin{code} open import Natural \end{code} %endif \bibliographystyle{plain} \bibliography{EGTBS} \end{document}
Literate Agda
5
gallais/EGTBS
extended/extended.lagda
[ "BSD-3-Clause" ]
"""Hub.""" from pygti.gti import GTI, Auth class GTIHub: """GTI Hub.""" def __init__(self, host, username, password, session): """Initialize.""" self.host = host self.username = username self.password = password self.gti = GTI(Auth(session, self.username, self.password, self.host)) async def authenticate(self): """Test if we can authenticate with the host.""" return await self.gti.init()
Python
4
tbarbette/core
homeassistant/components/hvv_departures/hub.py
[ "Apache-2.0" ]