content
stringlengths 4
1.04M
| lang
stringclasses 358
values | score
int64 0
5
| repo_name
stringlengths 5
114
| repo_path
stringlengths 4
229
| repo_licenses
listlengths 1
8
|
---|---|---|---|---|---|
(defmacro iff (a b c)
`(if (== ,a 'false) ,c ,b))
(defmacro orr
((cons e es) `(iff ,e ,e (orr ,@es)))
(() `'false))
(defmacro andd
((cons e '()) `(iff ,e ,e 'false))
((cons e es) `(iff ,e (andd ,@es) 'false))
(() `'true))
| LFE | 4 | pzel/mkr | include/mkr-bool.lfe | [
"MIT"
] |
subroutine xsetun (lun)
c
c this routine resets the logical unit number for messages.
c
integer lun, mesflg, lunit
common /eh0001/ mesflg, lunit
c
if (lun .gt. 0) lunit = lun
return
c----------------------- end of subroutine xsetun ----------------------
end
| FORTRAN | 4 | Ennosigaeon/scipy | scipy/integrate/odepack/xsetun.f | [
"BSD-3-Clause"
] |
- return unless can_update_default_branch_protection?(group)
= render 'shared/default_branch_protection', f: f, selected_level: group.default_branch_protection
| Haml | 2 | glimmerhq/glimmerhq | app/views/groups/settings/_default_branch_protection.html.haml | [
"MIT"
] |
{
"config": {
"abort": {
"already_configured": "\uacc4\uc815\uc774 \uc774\ubbf8 \uad6c\uc131\ub418\uc5c8\uc2b5\ub2c8\ub2e4"
},
"error": {
"db_url_invalid": "\ub370\uc774\ud130\ubca0\uc774\uc2a4 URL\uc774 \uc798\ubabb\ub418\uc5c8\uc2b5\ub2c8\ub2e4.",
"query_invalid": "SQL \ucffc\ub9ac\uac00 \uc798\ubabb\ub418\uc5c8\uc2b5\ub2c8\ub2e4."
},
"step": {
"user": {
"data": {
"column": "\uc5f4",
"db_url": "\ub370\uc774\ud130\ubca0\uc774\uc2a4 URL",
"name": "\uc774\ub984",
"query": "\ucffc\ub9ac \uc120\ud0dd",
"unit_of_measurement": "\uce21\uc815 \ub2e8\uc704",
"value_template": "\uac12 \ud15c\ud50c\ub9bf"
},
"data_description": {
"column": "\ubc18\ud658\ub41c \ucffc\ub9ac\uac00 \uc0c1\ud0dc\ub85c \ud45c\uc2dc\ub418\ub3c4\ub85d \ud558\ub294 \uc5f4",
"db_url": "\ub370\uc774\ud130\ubca0\uc774\uc2a4 URL, \uae30\ubcf8 HA \ub370\uc774\ud130\ubca0\uc774\uc2a4\ub97c \uc0ac\uc6a9\ud558\ub824\uba74 \ube44\uc6cc \ub461\ub2c8\ub2e4.",
"name": "\ud1b5\ud569\uad6c\uc131\uc694\uc18c \ubc0f \uc13c\uc11c\uc5d0 \uc0ac\uc6a9\ub420 \uc774\ub984",
"query": "\uc2e4\ud589\ud560 \ucffc\ub9ac\ub294 'SELECT'\ub85c \uc2dc\uc791\ud574\uc57c \ud569\ub2c8\ub2e4.",
"unit_of_measurement": "\uce21\uc815 \ub2e8\uc704(\uc120\ud0dd \uc0ac\ud56d)",
"value_template": "\uac12 \ud15c\ud50c\ub9bf(\uc120\ud0dd \uc0ac\ud56d)"
}
}
}
},
"options": {
"error": {
"db_url_invalid": "\ub370\uc774\ud130\ubca0\uc774\uc2a4 URL\uc774 \uc798\ubabb\ub418\uc5c8\uc2b5\ub2c8\ub2e4."
},
"step": {
"init": {
"data": {
"name": "\uc774\ub984"
},
"data_description": {
"column": "\ubc18\ud658\ub41c \ucffc\ub9ac\uac00 \uc0c1\ud0dc\ub85c \ud45c\uc2dc\ub418\ub3c4\ub85d \ud558\ub294 \uc5f4",
"db_url": "\ub370\uc774\ud130\ubca0\uc774\uc2a4 URL, \uae30\ubcf8 HA \ub370\uc774\ud130\ubca0\uc774\uc2a4\ub97c \uc0ac\uc6a9\ud558\ub824\uba74 \ube44\uc6cc \ub461\ub2c8\ub2e4.",
"name": "\ud1b5\ud569\uad6c\uc131\uc694\uc18c \ubc0f \uc13c\uc11c\uc5d0 \uc0ac\uc6a9\ub420 \uc774\ub984",
"query": "\uc2e4\ud589\ud560 \ucffc\ub9ac\ub294 'SELECT'\ub85c \uc2dc\uc791\ud574\uc57c \ud569\ub2c8\ub2e4.",
"unit_of_measurement": "\uce21\uc815 \ub2e8\uc704(\uc120\ud0dd \uc0ac\ud56d)",
"value_template": "\uac12 \ud15c\ud50c\ub9bf(\uc120\ud0dd \uc0ac\ud56d)"
}
}
}
}
} | JSON | 2 | liangleslie/core | homeassistant/components/sql/translations/ko.json | [
"Apache-2.0"
] |
<?xml version='1.0' encoding='utf-8'?>
<?python
from KidKit.Examples.KidExamplePage import KidExamplePage
hook = KidExamplePage.writeContent
?>
<div style="text-align:center"
xmlns:py="http://purl.org/kid/ns#">
<?python
# Note that the above div element is the root element of this page,
# because it is embedded in the body of the KidExamplePage template.
# For a stand-alone page, the html element will be the root element.
# Also, note that this Python block is just inside the root element.
# That makes it "local-level", where the 'servlet' variable is available.
# Outside the root element, the servlet is not yet instantiated.
fields = servlet.request().fields()
name = fields.get('name') or 'stranger'
?>
<h1>Kid Form Demo</h1>
<p>Hello <strong py:content="name"/>, how are you?</p>
<form action="" method="get">
<p>Enter your name here:</p>
<p><input type="text" name="name"/></p>
<p><input type="submit" name="Submit" value="Submit"/></p>
</form>
</div>
| Genshi | 4 | PeaceWorksTechnologySolutions/w4py | KidKit/Examples/SimpleForm.kid | [
"MIT"
] |
# Copyright 1999-2015 Gentoo Foundation
# Distributed under the terms of the GNU General Public License v2
# @ECLASS: qmake-utils.eclass
# @MAINTAINER:
# [email protected]
# @AUTHOR:
# Davide Pesavento <[email protected]>
# @BLURB: Common functions for qmake-based packages.
# @DESCRIPTION:
# Utility eclass providing wrapper functions for Qt4 and Qt5 qmake.
#
# This eclass does not set any metadata variables nor export any phase
# functions. It can be inherited safely.
if [[ -z ${_QMAKE_UTILS_ECLASS} ]]; then
_QMAKE_UTILS_ECLASS=1
[[ ${EAPI:-0} == [012345] ]] && inherit eutils multilib
inherit estack toolchain-funcs
# @FUNCTION: qt4_get_bindir
# @DESCRIPTION:
# Echoes the directory where Qt4 binaries are installed.
# EPREFIX is already prepended to the returned path.
qt4_get_bindir() {
[[ ${EAPI:-0} == [0123456] ]] || die "${FUNCNAME[1]} is banned in EAPI 7 and later"
has "${EAPI:-0}" 0 1 2 && use !prefix && EPREFIX=
local qtbindir=${EPREFIX}$(qt4_get_libdir)/bin
if [[ -d ${qtbindir} ]]; then
echo ${qtbindir}
else
echo ${EPREFIX}/usr/bin
fi
}
# @FUNCTION: qt4_get_headerdir
# @DESCRIPTION:
# Echoes the directory where Qt4 headers are installed.
qt4_get_headerdir() {
[[ ${EAPI:-0} == [0123456] ]] || die "${FUNCNAME[1]} is banned in EAPI 7 and later"
echo /usr/include/qt4
}
# @FUNCTION: qt4_get_libdir
# @DESCRIPTION:
# Echoes the directory where Qt4 libraries are installed.
qt4_get_libdir() {
[[ ${EAPI:-0} == [0123456] ]] || die "${FUNCNAME[1]} is banned in EAPI 7 and later"
echo /usr/$(get_libdir)/qt4
}
# @FUNCTION: qt4_get_mkspecsdir
# @DESCRIPTION:
# Echoes the directory where Qt4 mkspecs are installed.
qt4_get_mkspecsdir() {
[[ ${EAPI:-0} == [0123456] ]] || die "${FUNCNAME[1]} is banned in EAPI 7 and later"
echo /usr/share/qt4/mkspecs
}
# @FUNCTION: qt4_get_plugindir
# @DESCRIPTION:
# Echoes the directory where Qt4 plugins are installed.
qt4_get_plugindir() {
[[ ${EAPI:-0} == [0123456] ]] || die "${FUNCNAME[1]} is banned in EAPI 7 and later"
echo $(qt4_get_libdir)/plugins
}
# @FUNCTION: qt5_get_bindir
# @DESCRIPTION:
# Echoes the directory where Qt5 binaries are installed.
# EPREFIX is already prepended to the returned path.
qt5_get_bindir() {
has "${EAPI:-0}" 0 1 2 && use !prefix && EPREFIX=
echo ${EPREFIX}$(qt5_get_libdir)/qt5/bin
}
# @FUNCTION: qt5_get_headerdir
# @DESCRIPTION:
# Echoes the directory where Qt5 headers are installed.
qt5_get_headerdir() {
echo /usr/include/qt5
}
# @FUNCTION: qt5_get_libdir
# @DESCRIPTION:
# Echoes the directory where Qt5 libraries are installed.
qt5_get_libdir() {
echo /usr/$(get_libdir)
}
# @FUNCTION: qt5_get_mkspecsdir
# @DESCRIPTION:
# Echoes the directory where Qt5 mkspecs are installed.
qt5_get_mkspecsdir() {
echo $(qt5_get_libdir)/qt5/mkspecs
}
# @FUNCTION: qt5_get_plugindir
# @DESCRIPTION:
# Echoes the directory where Qt5 plugins are installed.
qt5_get_plugindir() {
echo $(qt5_get_libdir)/qt5/plugins
}
# @FUNCTION: qmake-utils_find_pro_file
# @RETURN: zero or one qmake .pro file names
# @INTERNAL
# @DESCRIPTION:
# Outputs a project file name that can be passed to eqmake.
# 0 *.pro files found --> outputs null string;
# 1 *.pro file found --> outputs its name;
# 2 or more *.pro files found --> if "${PN}.pro" or
# "$(basename ${S}).pro" are there, outputs one of them.
qmake-utils_find_pro_file() {
local dir_name=$(basename "${S}")
# set nullglob to avoid expanding *.pro to the literal
# string "*.pro" when there are no matching files
eshopts_push -s nullglob
local pro_files=(*.pro)
eshopts_pop
case ${#pro_files[@]} in
0)
: ;;
1)
echo "${pro_files}"
;;
*)
for pro_file in "${pro_files[@]}"; do
if [[ ${pro_file%.pro} == ${dir_name} || ${pro_file%.pro} == ${PN} ]]; then
echo "${pro_file}"
break
fi
done
;;
esac
}
# @VARIABLE: EQMAKE4_EXCLUDE
# @DEFAULT_UNSET
# @DESCRIPTION:
# List of files to be excluded from eqmake4 CONFIG processing.
# Paths are relative to the current working directory (usually ${S}).
#
# Example: EQMAKE4_EXCLUDE="ignore/me.pro foo/*"
# @FUNCTION: eqmake4
# @USAGE: [project_file] [parameters to qmake]
# @DESCRIPTION:
# Wrapper for Qt4's qmake. If project_file is not specified, eqmake4 looks
# for one in the current directory (non-recursively). If multiple project
# files are found, then ${PN}.pro is used, if it exists, otherwise eqmake4
# will not be able to continue.
#
# All other arguments are appended unmodified to qmake command line.
#
# For recursive build systems, i.e. those based on the subdirs template,
# you should run eqmake4 on the top-level project file only, unless you
# have a valid reason to do otherwise. During the building, qmake will
# be automatically re-invoked with the right arguments on every directory
# specified inside the top-level project file.
eqmake4() {
debug-print-function ${FUNCNAME} "$@"
[[ ${EAPI:-0} == [0123456] ]] || die "${FUNCNAME[1]} is banned in EAPI 7 and later"
has "${EAPI:-0}" 0 1 2 && use !prefix && EPREFIX=
ebegin "Running qmake"
local qmake_args=("$@")
# Check if the project file name was passed as first argument. If not, look for candidates.
local regexp='.*\.pro'
if ! [[ ${1} =~ ${regexp} ]]; then
local project_file=$(qmake-utils_find_pro_file)
if [[ -z ${project_file} ]]; then
echo
eerror "No project files found in '${PWD}'"
eerror "This shouldn't happen - please send a bug report to https://bugs.gentoo.org/"
echo
die "eqmake4 failed"
fi
qmake_args+=("${project_file}")
fi
# Make sure the CONFIG variable is correctly set for both release and debug builds.
local config_add=release
local config_remove=debug
if in_iuse debug && use debug; then
config_add=debug
config_remove=release
fi
local awkscript='BEGIN {
printf "### eqmake4 was here ###\n" > file;
printf "CONFIG -= debug_and_release %s\n", remove >> file;
printf "CONFIG += %s\n\n", add >> file;
fixed=0;
}
/^[[:blank:]]*CONFIG[[:blank:]]*[\+\*]?=/ {
if (gsub("\\<((" remove ")|(debug_and_release))\\>", "") > 0) {
fixed=1;
}
}
/^[[:blank:]]*CONFIG[[:blank:]]*-=/ {
if (gsub("\\<" add "\\>", "") > 0) {
fixed=1;
}
}
{
print >> file;
}
END {
print fixed;
}'
[[ -n ${EQMAKE4_EXCLUDE} ]] && eshopts_push -o noglob
local file
while read file; do
local excl
for excl in ${EQMAKE4_EXCLUDE}; do
[[ ${file} == ${excl} ]] && continue 2
done
grep -q '^### eqmake4 was here ###$' "${file}" && continue
local retval=$({
rm -f "${file}" || echo FAIL
awk -v file="${file}" \
-v add=${config_add} \
-v remove=${config_remove} \
-- "${awkscript}" || echo FAIL
} < "${file}")
if [[ ${retval} == 1 ]]; then
einfo " - fixed CONFIG in ${file}"
elif [[ ${retval} != 0 ]]; then
eerror " - error while processing ${file}"
die "eqmake4 failed to process ${file}"
fi
done < <(find . -type f -name '*.pr[io]' -printf '%P\n' 2>/dev/null)
[[ -n ${EQMAKE4_EXCLUDE} ]] && eshopts_pop
"$(qt4_get_bindir)"/qmake \
-makefile \
QMAKE_AR="$(tc-getAR) cqs" \
QMAKE_CC="$(tc-getCC)" \
QMAKE_CXX="$(tc-getCXX)" \
QMAKE_LINK="$(tc-getCXX)" \
QMAKE_LINK_C="$(tc-getCC)" \
QMAKE_OBJCOPY="$(tc-getOBJCOPY)" \
QMAKE_RANLIB= \
QMAKE_STRIP= \
QMAKE_CFLAGS="${CFLAGS}" \
QMAKE_CFLAGS_RELEASE= \
QMAKE_CFLAGS_DEBUG= \
QMAKE_CXXFLAGS="${CXXFLAGS}" \
QMAKE_CXXFLAGS_RELEASE= \
QMAKE_CXXFLAGS_DEBUG= \
QMAKE_LFLAGS="${LDFLAGS}" \
QMAKE_LFLAGS_RELEASE= \
QMAKE_LFLAGS_DEBUG= \
QMAKE_LIBDIR_QT="${EPREFIX}$(qt4_get_libdir)" \
QMAKE_LIBDIR_X11="${EPREFIX}/usr/$(get_libdir)" \
QMAKE_LIBDIR_OPENGL="${EPREFIX}/usr/$(get_libdir)" \
"${qmake_args[@]}"
if ! eend $? ; then
echo
eerror "Running qmake has failed! (see above for details)"
eerror "This shouldn't happen - please send a bug report to https://bugs.gentoo.org/"
echo
die "eqmake4 failed"
fi
}
# @FUNCTION: eqmake5
# @USAGE: [arguments for qmake]
# @DESCRIPTION:
# Wrapper for Qt5's qmake. All arguments are passed to qmake.
#
# For recursive build systems, i.e. those based on the subdirs template,
# you should run eqmake5 on the top-level project file only, unless you
# have a valid reason to do otherwise. During the building, qmake will
# be automatically re-invoked with the right arguments on every directory
# specified inside the top-level project file.
eqmake5() {
debug-print-function ${FUNCNAME} "$@"
ebegin "Running qmake"
"$(qt5_get_bindir)"/qmake \
-makefile \
QMAKE_AR="$(tc-getAR) cqs" \
QMAKE_CC="$(tc-getCC)" \
QMAKE_LINK_C="$(tc-getCC)" \
QMAKE_LINK_C_SHLIB="$(tc-getCC)" \
QMAKE_CXX="$(tc-getCXX)" \
QMAKE_LINK="$(tc-getCXX)" \
QMAKE_LINK_SHLIB="$(tc-getCXX)" \
QMAKE_OBJCOPY="$(tc-getOBJCOPY)" \
QMAKE_RANLIB= \
QMAKE_STRIP= \
QMAKE_CFLAGS="${CFLAGS}" \
QMAKE_CFLAGS_RELEASE= \
QMAKE_CFLAGS_DEBUG= \
QMAKE_CXXFLAGS="${CXXFLAGS}" \
QMAKE_CXXFLAGS_RELEASE= \
QMAKE_CXXFLAGS_DEBUG= \
QMAKE_LFLAGS="${LDFLAGS}" \
QMAKE_LFLAGS_RELEASE= \
QMAKE_LFLAGS_DEBUG= \
"$@"
if ! eend $? ; then
echo
eerror "Running qmake has failed! (see above for details)"
eerror "This shouldn't happen - please send a bug report to https://bugs.gentoo.org/"
echo
die "eqmake5 failed"
fi
}
fi
| Gentoo Eclass | 5 | NighttimeDriver50000/Sabayon-Packages | local_overlay/eclass/qmake-utils.eclass | [
"MIT"
] |
prelude
constant int : Type.{1}
constant nat : Type.{1}
namespace int
constant plus : int → int → int
end int
namespace nat
constant plus : nat → nat → nat
end nat
open int nat
constants a b : int
#check plus a b
constant f : int → int → int
constant g : nat → nat → int
notation A `+`:65 B:65 := f A (g B B)
constant n : nat
#check a + n
| Lean | 4 | ericrbg/lean | tests/lean/run/t3.lean | [
"Apache-2.0"
] |
Red [
Title: "Red/System path! datatype test script"
Author: "Nenad Rakocevic & Peter W A Wood"
File: %char-test.red
Version: "0.1.0"
Tabs: 4
Rights: "Copyright (C) 2011-2015 Red Foundation. All rights reserved."
License: "BSD-3 - https://github.com/red/red/blob/origin/BSD-3-License.txt"
]
#include %../../../quick-test/quick-test.red
~~~start-file~~~ "path"
===start-group=== "block access"
blk: [a b c [d] e]
--test-- "blk-1"
--assert blk/1 = 'a
--assert blk/2 = 'b
--assert blk/3 = 'c
--assert blk/4 = [d]
--assert blk/4/1 = 'd
--test-- "blk-2"
blk/1: 99
--assert blk/1 = 99
blk/2: 'z
--assert blk/2 = 'z
blk/4/1: -1
--assert blk/4 = [-1]
--assert blk = [99 z c [-1] e]
--test-- "blk-3"
idx: 1
--assert blk/:idx = 99
--assert blk/4/:idx = -1
idx: 4
--assert blk/:idx/1 = -1
idx: 1
blk/:idx: 0
--assert blk/:idx = 0
--assert blk/1 = 0
--test-- "blk-4"
idx: 'z
--assert blk/:idx = 'c
--test-- "blk-5"
--assert blk/z = 'c
--test-- "blk-6"
blk: [4 5 6 ["o"]]
idx: 2
--assert blk/(1) = 4
--assert blk/(1 + 2) = 6
--assert blk/(idx) = 5
--test-- "blk-7"
--assert blk/(idx + 2)/(1) = "o"
--assert blk/(idx + 2)/(1)/(idx - 1) = #"o"
--test-- "blk-8"
blk/(1): 99
idx: 2
--assert blk/1 = 99
blk/(idx + 2)/(1)/(idx - 1): #"z"
--assert blk/4/1/1 = #"z"
--test-- "blk-9"
inc: func [a][a + 1]
--assert blk/(inc 1) = 5
===end-group===
===start-group=== "string access"
str: "abcde"
--test-- "str-1"
--assert str/1 = #"a"
--assert str/2 = #"b"
--assert str/3 = #"c"
--assert str/4 = #"d"
--test-- "str-2"
str/1: #"9"
--assert str/1 = #"9"
str/2: #"z"
--assert str/2 = #"z"
--assert str = "9zcde"
--test-- "str-3"
idx: 1
--assert str/:idx = #"9"
idx: 4
--assert str/:idx = #"d"
idx: 1
str/:idx: #"0"
--assert str/:idx = #"0"
--assert str/1 = #"0"
--test-- "str-4"
str: "456o"
idx: 2
--assert str/(1) = #"4"
--assert str/(1 + 2) = #"6"
--assert str/(idx) = #"5"
--test-- "str-5"
str/(1): #"9"
--assert str/1 = #"9"
idx: 2
str/(idx + 2): #"z"
--assert str/4 = #"z"
--test-- "str-6"
inc: func [a][a + 1]
--assert str/(inc 1) = #"5"
--test-- "str-7 - issue #1113"
s7-a: "abcz"
s7-b: 5
--assert none = s7-a/5
--assert none = s7-a/:s7-b
===end-group===
===start-group=== "issues"
--test-- "issue #1993"
s: object [v: object [a: none]]
live?: s/v/a: no
--assert live? == false
--assert s/v/a == false
do [
range: [0 0]
a: range/2: 1
--assert range = [0 1]
--assert a = 1
]
--test-- "issue #2525"
u: %a/b
--assert %a/b/1 = u/1
--assert %a/b/c/1 = u/c/1
u: %a/b
--assert %a/b/c/d = u/c/d
--assert error? try [u/c/d: 123]
face2525: object [size: 80x24]
min-size: 345x50
--assert min-size/x + 10 = 355
face2525/size/y: min-size/y + 10
--assert face2525/size/y = 60
--test-- "issue #3845"
u: ["b" ["c" ["d" 1]]]
--assert 1 = u/("b")/("c")/("d")
--test-- "issue #4910"
o4910: object [unset a: 'a]
--assert unset? get/any 'o4910/a
a4910: context [b: context [c: 123]]
--assert 123 = get 'a4910/b/c
--assert unset? get/any 'a4910/b/zz
--assert error? try [get 'a4910/zz/c]
===end-group===
~~~end-file~~~
| Red | 5 | GalenIvanov/red | tests/source/units/path-test.red | [
"BSL-1.0",
"BSD-3-Clause"
] |
0 reg32_t "dword"
1 code_t "proc*"
2 num32_t "int"
3 uint32_t "unsigned int"
4 num8_t "char"
3 uint32_t "size_t"
5 ptr(struct(0:num32_t,4:ptr(num8_t),8:ptr(num8_t),12:ptr(num8_t),16:ptr(num8_t),20:ptr(num8_t),24:ptr(num8_t),28:ptr(num8_t),32:ptr(num8_t),36:ptr(num8_t),40:ptr(num8_t),44:ptr(num8_t),48:ptr(struct(0:ptr(TOP),4:ptr(struct(0:num32_t,4:ptr(reg8_t),8:ptr(reg8_t),12:ptr(reg8_t),16:ptr(reg8_t),20:ptr(reg8_t),24:ptr(reg8_t),28:ptr(reg8_t),32:ptr(reg8_t),36:ptr(reg8_t),40:ptr(reg8_t),44:ptr(reg8_t),48:ptr(TOP),52:ptr(TOP),56:num32_t,60:num32_t,64:num32_t,68:uint16_t,70:int8_t,71:array(num8_t,1),72:ptr(TOP),76:num64_t,84:ptr(TOP),88:ptr(TOP),92:ptr(TOP),96:ptr(TOP),100:uint32_t,104:num32_t,108:array(num8_t,40))),8:num32_t)),52:ptr(struct(0:num32_t,4:ptr(num8_t),8:ptr(num8_t),12:ptr(num8_t),16:ptr(num8_t),20:ptr(num8_t),24:ptr(num8_t),28:ptr(num8_t),32:ptr(num8_t),36:ptr(num8_t),40:ptr(num8_t),44:ptr(num8_t),48:ptr(struct(0:ptr(TOP),4:ptr(TOP),8:num32_t)),52:ptr(TOP),56:num32_t,60:num32_t,64:num32_t,68:uint16_t,70:int8_t,71:array(num8_t,1),72:ptr(TOP),76:num64_t,84:ptr(TOP),88:ptr(TOP),92:ptr(TOP),96:ptr(TOP),100:uint32_t,104:num32_t,108:array(num8_t,40))),56:num32_t,60:num32_t,64:num32_t,68:uint16_t,70:int8_t,71:array(num8_t,1),72:ptr(TOP),76:num64_t,84:ptr(TOP),88:ptr(TOP),92:ptr(TOP),96:ptr(TOP),100:uint32_t,104:num32_t,108:array(num8_t,40))) "FILE*"
6 ptr(num8_t) "char*"
7 ptr(TOP) "void*"
8 ptr(struct(0:num32_t,4:num32_t)) "timeval*"
9 ptr(num32_t) "int[]"
10 union(ptr(num32_t),ptr(num32_t)) "Union_0"
11 ptr(struct(0:num32_t,4:num32_t,8:num32_t,12:num32_t,16:num32_t,20:num32_t,24:num32_t,28:num32_t,32:num32_t,36:num32_t,40:ptr(num8_t))) "tm*"
12 union(ptr(struct(0:num32_t,4:num32_t)),ptr(num32_t)) "Union_1"
13 ptr(array(reg8_t,12)) "unknown_96*"
14 ptr(ptr(num8_t)) "char**"
15 ptr(array(reg8_t,16)) "unknown_128*"
16 ptr(array(reg8_t,56)) "unknown_448*"
17 ptr(array(reg8_t,150)) "unknown_1200*"
18 ptr(struct(0:reg64_t,8:reg32_t)) "StructFrag_18*"
19 ptr(struct(0:num32_t,4:ptr(num8_t),8:ptr(num8_t),12:ptr(num8_t),16:ptr(num8_t),20:ptr(num8_t),24:ptr(num8_t),28:ptr(num8_t),32:ptr(num8_t),36:ptr(num8_t),40:ptr(num8_t),44:ptr(num8_t),48:ptr(struct(0:ptr(TOP),4:ptr(TOP),8:num32_t)),52:ptr(TOP),56:num32_t,60:num32_t,64:num32_t,68:uint16_t,70:int8_t,71:array(num8_t,1),72:ptr(TOP),76:num64_t,84:ptr(TOP),88:ptr(TOP),92:ptr(TOP),96:ptr(TOP),100:uint32_t,104:num32_t,108:array(num8_t,40))) "_IO_FILE*"
20 ptr(array(reg8_t,42)) "unknown_336*"
21 ptr(reg32_t) "dword*"
9 ptr(num32_t) "int*"
22 int32_t "signed int"
23 union(ptr(reg32_t),ptr(struct(0:num32_t,4:array(reg8_t,3),7:num8_t))) "Union_4"
6 ptr(num8_t) "char[]"
24 union(ptr(num8_t),ptr(ptr(struct()))) "Union_5"
24 union(ptr(num8_t),ptr(ptr(struct()))) "Union_6"
25 ptr(struct(0:num32_t,4:ptr(ptr(num8_t)),4294967292:reg32_t)) "Struct_7*"
26 ptr(struct(0:ptr(num8_t),4:num32_t,8:ptr(num32_t),12:num32_t)) "option*"
2 num32_t "__time_t"
27 ptr(struct(0:reg32_t,4:num32_t)) "StructFrag_11*"
28 array(reg8_t,3) "unknown_24"
29 ptr(struct(0:num32_t,4:array(reg8_t,3),7:num8_t)) "Struct_9*"
30 ptr(struct(0:num32_t,4:reg32_t)) "Struct_10*"
31 array(num8_t,1) "char[1]"
32 union(ptr(num32_t),ptr(num32_t),ptr(struct(0:num32_t,4:reg32_t))) "Union_3"
33 reg64_t "qword"
9 ptr(num32_t) "__time_t*"
34 ptr(struct(0:reg32_t,40:ptr(num8_t),44:ptr(num8_t))) "Struct_1*"
35 ptr(uint32_t) "size_t*"
36 ptr(struct(0:reg32_t,4:ptr(TOP))) "Struct_0*"
37 ptr(struct(0:num32_t,4:reg32_t,40:ptr(num8_t),44:ptr(num8_t))) "Struct_33*"
38 ptr(struct(0:array(reg8_t,18),18:num8_t)) "StructFrag_1*"
39 ptr(struct(0:array(reg8_t,3),3:num8_t)) "StructFrag_10*"
35 ptr(uint32_t) "unsigned int*"
40 reg16_t "word"
41 ptr(struct(0:ptr(num8_t),4:int32_t,8:int32_t,12:int32_t,16:int32_t,20:int32_t,24:int32_t,28:int32_t,32:num32_t,36:int32_t,40:int32_t,44:int32_t,48:int32_t,52:int32_t,56:int32_t,88:num8_t,92:int32_t,96:int32_t,100:int32_t,104:reg32_t,108:int32_t,112:int32_t)) "Struct_12*"
42 ptr(ptr(int32_t)) "__int32_t**"
43 ptr(ptr(uint16_t)) "unsigned short**"
44 ptr(int32_t) "signed int[]"
45 ptr(struct(0:ptr(num8_t),4:num32_t,8:num32_t)) "Struct_18*"
21 ptr(reg32_t) "dword[]"
46 ptr(struct(0:reg64_t,8:num8_t)) "StructFrag_21*"
47 ptr(ptr(TOP)) "void**"
48 ptr(struct(0:num32_t,4:uint32_t,40:ptr(num8_t),44:ptr(num8_t))) "Struct_36*"
49 ptr(struct(0:num32_t,40:ptr(num8_t),44:ptr(num8_t))) "Struct_35*"
50 ptr(reg16_t) "word[]"
51 ptr(ptr(struct())) "Struct_28**"
52 ptr(struct(0:array(reg8_t,123754),123754:reg32_t)) "StructFrag_13*"
53 ptr(struct(0:array(reg8_t,536870908),4294967292:reg32_t)) "StructFrag_14*"
24 union(ptr(num8_t),ptr(ptr(struct()))) "Union_7"
54 ptr(struct(0:array(reg8_t,58652),58652:reg32_t)) "StructFrag_16*"
55 ptr(struct(0:array(reg8_t,648),648:reg32_t)) "StructFrag_17*"
56 ptr(struct(0:uint32_t,4:ptr(TOP))) "Struct_34*"
44 ptr(int32_t) "__int32_t*"
57 ptr(uint16_t) "unsigned short*"
58 ptr(struct(0:reg64_t,8:uint32_t)) "StructFrag_22*"
59 array(reg8_t,4096) "unknown_32768"
60 array(reg8_t,135168) "unknown_1081344"
61 array(reg8_t,30) "unknown_240"
62 array(reg8_t,5) "unknown_40"
63 array(reg8_t,29) "unknown_232"
64 array(reg8_t,16) "unknown_128"
65 array(reg8_t,150) "unknown_1200"
66 array(reg8_t,98) "unknown_784"
67 array(reg8_t,40) "unknown_320"
68 array(reg8_t,43) "unknown_344"
69 array(reg8_t,21) "unknown_168"
70 array(reg8_t,74) "unknown_592"
71 array(reg8_t,54) "unknown_432"
72 array(reg8_t,14) "unknown_112"
73 array(reg8_t,53) "unknown_424"
74 array(reg8_t,23) "unknown_184"
75 array(reg8_t,10) "unknown_80"
76 array(reg8_t,7) "unknown_56"
77 array(reg8_t,68) "unknown_544"
78 array(reg8_t,32) "unknown_256"
79 array(reg8_t,25) "unknown_200"
80 array(reg8_t,58) "unknown_464"
81 array(reg8_t,13) "unknown_104"
82 array(reg8_t,70) "unknown_560"
83 array(reg8_t,51) "unknown_408"
84 array(reg8_t,24) "unknown_192"
85 array(reg8_t,26) "unknown_208"
86 array(reg8_t,17) "unknown_136"
87 array(reg8_t,79) "unknown_632"
88 array(reg8_t,80) "unknown_640"
89 array(reg8_t,47) "unknown_376"
90 array(reg8_t,28) "unknown_224"
91 array(reg8_t,66) "unknown_528"
92 array(reg8_t,44) "unknown_352"
93 array(reg8_t,57) "unknown_456"
94 array(reg8_t,65) "unknown_520"
95 array(reg8_t,20) "unknown_160"
96 array(reg8_t,50) "unknown_400"
97 array(reg8_t,41) "unknown_328"
98 array(reg8_t,62) "unknown_496"
99 array(reg8_t,6) "unknown_48"
100 array(reg8_t,52) "unknown_416"
101 array(reg8_t,9) "unknown_72"
102 array(reg8_t,778) "unknown_6224"
103 array(reg8_t,64) "unknown_512"
104 array(reg8_t,18) "unknown_144"
105 array(reg8_t,34) "unknown_272"
106 array(reg8_t,33) "unknown_264"
107 array(reg8_t,61) "unknown_488"
108 array(reg8_t,39) "unknown_312"
109 array(reg8_t,49) "unknown_392"
110 array(reg8_t,11) "unknown_88"
111 array(reg8_t,42) "unknown_336"
112 array(reg8_t,27) "unknown_216"
113 array(reg8_t,72) "unknown_576"
114 array(reg8_t,15) "unknown_120"
115 array(reg8_t,12) "unknown_96"
116 array(reg8_t,38) "unknown_304"
117 array(reg8_t,19) "unknown_152"
118 array(reg8_t,22) "unknown_176"
119 array(reg8_t,48) "unknown_384"
120 array(reg8_t,87) "unknown_696"
121 array(reg8_t,144) "unknown_1152"
122 array(reg8_t,35) "unknown_280"
123 array(reg8_t,118) "unknown_944"
124 array(reg8_t,37) "unknown_296"
125 array(reg8_t,77) "unknown_616"
126 array(reg8_t,119) "unknown_952"
127 array(reg8_t,91) "unknown_728"
128 array(reg8_t,93) "unknown_744"
129 array(reg8_t,202) "unknown_1616"
130 array(reg8_t,190) "unknown_1520"
131 array(reg8_t,67) "unknown_536"
132 array(reg8_t,85) "unknown_680"
133 array(reg8_t,46) "unknown_368"
134 array(reg8_t,71) "unknown_568"
135 array(reg8_t,76) "unknown_608"
136 array(reg8_t,63) "unknown_504"
137 array(reg8_t,81) "unknown_648"
138 array(reg8_t,95) "unknown_760"
139 array(reg8_t,102) "unknown_816"
140 array(reg8_t,90) "unknown_720"
141 array(reg8_t,31) "unknown_248"
142 array(reg8_t,96) "unknown_768"
143 array(reg8_t,89) "unknown_712"
144 array(reg8_t,123) "unknown_984"
145 array(reg8_t,84) "unknown_672"
146 array(reg8_t,82) "unknown_656"
147 array(reg8_t,94) "unknown_752"
148 array(reg8_t,45) "unknown_360"
149 array(reg8_t,73) "unknown_584"
150 array(reg8_t,59) "unknown_472"
151 array(reg8_t,56) "unknown_448"
152 array(reg8_t,125) "unknown_1000"
153 array(reg8_t,169) "unknown_1352"
154 array(reg8_t,36) "unknown_288"
155 array(reg8_t,103) "unknown_824"
156 array(reg8_t,78) "unknown_624"
157 array(reg8_t,216) "unknown_1728"
158 array(reg8_t,60) "unknown_480"
159 array(reg8_t,146) "unknown_1168"
160 array(reg8_t,195) "unknown_1560"
161 array(reg8_t,262) "unknown_2096"
162 array(reg8_t,120) "unknown_960"
163 array(reg8_t,141) "unknown_1128"
164 array(reg8_t,109) "unknown_872"
165 array(reg8_t,112) "unknown_896"
166 array(reg8_t,162) "unknown_1296"
167 array(reg8_t,176) "unknown_1408"
168 array(reg8_t,160) "unknown_1280"
169 array(reg8_t,83) "unknown_664"
170 array(reg8_t,108) "unknown_864"
171 array(reg8_t,147) "unknown_1176"
172 array(reg8_t,122) "unknown_976"
173 array(reg8_t,105) "unknown_840"
174 array(num8_t,24) "char[24]"
175 array(num8_t,23) "char[23]"
176 array(num8_t,10) "char[10]"
177 array(num8_t,4) "char[4]"
178 array(num8_t,11) "char[11]"
179 array(num8_t,8) "char[8]"
180 array(num8_t,16) "char[16]"
181 array(num8_t,17) "char[17]"
182 array(num8_t,15) "char[15]"
183 array(num8_t,5) "char[5]"
184 array(num8_t,39) "char[39]"
185 array(num8_t,90) "char[90]"
186 array(num8_t,71) "char[71]"
187 array(num8_t,75) "char[75]"
188 array(num8_t,434) "char[434]"
189 array(num8_t,210) "char[210]"
190 array(num8_t,479) "char[479]"
191 array(num8_t,45) "char[45]"
192 array(num8_t,54) "char[54]"
193 array(num8_t,131) "char[131]"
194 array(num8_t,212) "char[212]"
195 array(num8_t,173) "char[173]"
196 array(num8_t,165) "char[165]"
197 array(num8_t,91) "char[91]"
198 array(num8_t,142) "char[142]"
199 array(num8_t,303) "char[303]"
200 array(num8_t,106) "char[106]"
201 array(num8_t,246) "char[246]"
202 array(num8_t,159) "char[159]"
203 array(num8_t,328) "char[328]"
204 array(num8_t,240) "char[240]"
205 array(num8_t,334) "char[334]"
206 array(num8_t,69) "char[69]"
207 array(num8_t,65) "char[65]"
208 array(num8_t,87) "char[87]"
209 array(num8_t,34) "char[34]"
210 array(num8_t,63) "char[63]"
211 array(num8_t,145) "char[145]"
212 array(num8_t,9) "char[9]"
213 struct(0:ptr(num8_t),4:num32_t,8:ptr(num32_t),12:num32_t) "option"
214 array(reg8_t,208) "unknown_1664"
215 array(num8_t,14) "char[14]"
216 array(num8_t,25) "char[25]"
217 struct(0:num32_t,4:ptr(num8_t),8:ptr(num8_t),12:ptr(num8_t),16:ptr(num8_t),20:ptr(num8_t),24:ptr(num8_t),28:ptr(num8_t),32:ptr(num8_t),36:ptr(num8_t),40:ptr(num8_t),44:ptr(num8_t),48:ptr(struct(0:ptr(TOP),4:ptr(array(reg8_t,148)),8:num32_t)),52:ptr(array(reg8_t,148)),56:num32_t,60:num32_t,64:num32_t,68:uint16_t,70:int8_t,71:array(num8_t,1),72:ptr(TOP),76:num64_t,84:ptr(TOP),88:ptr(TOP),92:ptr(TOP),96:ptr(TOP),100:uint32_t,104:num32_t,108:array(num8_t,40)) "_IO_FILE"
218 ptr(struct(0:ptr(TOP),4:ptr(struct(0:num32_t,4:ptr(num8_t),8:ptr(num8_t),12:ptr(num8_t),16:ptr(num8_t),20:ptr(num8_t),24:ptr(num8_t),28:ptr(num8_t),32:ptr(num8_t),36:ptr(num8_t),40:ptr(num8_t),44:ptr(num8_t),48:ptr(TOP),52:ptr(TOP),56:num32_t,60:num32_t,64:num32_t,68:uint16_t,70:int8_t,71:array(num8_t,1),72:ptr(TOP),76:num64_t,84:ptr(TOP),88:ptr(TOP),92:ptr(TOP),96:ptr(TOP),100:uint32_t,104:num32_t,108:array(num8_t,40))),8:num32_t)) "_IO_marker*"
2 num32_t "__off_t"
219 uint16_t "unsigned short"
220 int8_t "signed char"
221 num64_t "__quad_t"
222 array(num8_t,6) "char[6]"
223 array(reg32_t,123) "dword[123]"
224 array(num8_t,3) "char[3]"
225 array(num8_t,2) "char[2]"
226 array(ptr(TOP),88) "void*[88]"
227 array(reg8_t,316) "unknown_2528"
228 array(reg8_t,572) "unknown_4576"
229 array(reg8_t,252) "unknown_2016"
230 array(reg8_t,188) "unknown_1504"
231 array(reg8_t,296) "unknown_2368"
232 array(reg8_t,128) "unknown_1024"
233 array(reg8_t,113) "unknown_904"
234 array(reg8_t,114) "unknown_912"
235 array(reg8_t,280) "unknown_2240"
236 array(num8_t,56) "char[56]"
237 array(reg32_t,9) "dword[9]"
238 array(reg32_t,127) "dword[127]"
239 array(reg32_t,34) "dword[34]"
240 array(num8_t,12) "char[12]"
241 array(num8_t,7) "char[7]"
242 array(num8_t,28) "char[28]"
243 array(num8_t,21) "char[21]"
244 array(num8_t,22) "char[22]"
245 array(num8_t,20) "char[20]"
246 array(num8_t,203) "char[203]"
247 array(num8_t,32) "char[32]"
248 array(num8_t,36) "char[36]"
249 array(num8_t,40) "char[40]"
250 array(num8_t,44) "char[44]"
251 array(num8_t,48) "char[48]"
252 array(num8_t,52) "char[52]"
253 array(num8_t,60) "char[60]"
254 array(num8_t,64) "char[64]"
255 array(ptr(TOP),10) "void*[10]"
256 array(num8_t,47) "char[47]"
257 array(num8_t,78) "char[78]"
258 array(reg8_t,788) "unknown_6304"
259 array(reg8_t,8688) "unknown_69504"
260 array(reg8_t,6192) "unknown_49536"
1 code_t "(void -?-> dword)*"
261 array(reg8_t,232) "unknown_1856"
262 ptr(struct(0:reg16_t,2:num8_t)) "StructFrag_0*"
263 array(reg8_t,256) "unknown_2048"
| BlitzBasic | 2 | matt-noonan/retypd-data | data/date.decls | [
"MIT"
] |
/*
* Copyright (c) 2021, the SerenityOS developers.
*
* SPDX-License-Identifier: BSD-2-Clause
*/
#include <LibTest/TestCase.h>
#include <assert.h> // FIXME: Remove when `_abort` is moved to <stdlib.h>
#include <signal.h>
#include <stdlib.h>
TEST_CASE(_abort)
{
EXPECT_CRASH("This should _abort", [] {
_abort();
return Test::Crash::Failure::DidNotCrash;
});
EXPECT_CRASH_WITH_SIGNAL("This should _abort with SIGILL signal", SIGILL, [] {
_abort();
return Test::Crash::Failure::DidNotCrash;
});
}
TEST_CASE(abort)
{
EXPECT_CRASH("This should abort", [] {
abort();
return Test::Crash::Failure::DidNotCrash;
});
EXPECT_CRASH_WITH_SIGNAL("This should abort with SIGABRT signal", SIGABRT, [] {
abort();
return Test::Crash::Failure::DidNotCrash;
});
}
| C++ | 4 | densogiaichned/serenity | Tests/LibC/TestAbort.cpp | [
"BSD-2-Clause"
] |
/*
* Copyright (c) 2009 Communication Group and Eislab at
* Lulea University of Technology
*
* Contact: Laurynas Riliskis, LTU
* Mail: [email protected]
* All rights reserved.
*
*
* Redistribution and use in source and binary forms, with or without
* modification, are permitted provided that the following conditions
* are met:
* - Redistributions of source code must retain the above copyright
* notice, this list of conditions and the following disclaimer.
* - Redistributions in binary form must reproduce the above copyright
* notice, this list of conditions and the following disclaimer in the
* documentation and/or other materials provided with the
* distribution.
* - Neither the name of Communication Group at Lulea University of Technology
* nor the names of its contributors may be used to endorse or promote
* products derived from this software without specific prior written permission.
*
* THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS
* ``AS IS'' AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT
* LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS
* FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL STANFORD
* UNIVERSITY OR ITS CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,
* INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
* (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR
* SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION)
* HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT,
* STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
* ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED
* OF THE POSSIBILITY OF SUCH DAMAGE.
*/
/**
* Generic pin access for pins on the P9 port. The PD9 register
* is locked by the PRC2 bit in the PRCR register so it needs
* to be unlocked before each access.
*
* @author Henrik Makitaavola <[email protected]>
*/
generic module HplM16c60GeneralIOPinPRC2P()
{
provides interface GeneralIO as IO;
uses interface GeneralIO as Wrap;
}
implementation
{
inline async command bool IO.get() { return call Wrap.get(); }
inline async command void IO.set() { call Wrap.set(); }
inline async command void IO.clr() { call Wrap.clr(); }
inline async command void IO.toggle() { call Wrap.toggle(); }
inline async command void IO.makeInput()
{
atomic
{
PRCR.BYTE = BIT2;
call Wrap.makeInput();
}
}
inline async command bool IO.isInput() { return call Wrap.isInput(); }
inline async command void IO.makeOutput()
{
atomic
{
PRCR.BYTE = BIT2;
call Wrap.makeOutput();
}
}
inline async command bool IO.isOutput() { return call Wrap.isOutput(); }
}
| nesC | 4 | mtaghiza/tinyos-main-1 | tos/chips/m16c60/pins/HplM16c60GeneralIOPinPRC2P.nc | [
"BSD-3-Clause"
] |
package com.baeldung.saml.config;
import java.io.IOException;
import java.util.ArrayList;
import java.util.List;
import org.springframework.beans.factory.annotation.Autowired;
import org.springframework.beans.factory.annotation.Qualifier;
import org.springframework.beans.factory.annotation.Value;
import org.springframework.context.annotation.Bean;
import org.springframework.context.annotation.Configuration;
import org.springframework.security.authentication.AuthenticationManager;
import org.springframework.security.config.annotation.authentication.builders.AuthenticationManagerBuilder;
import org.springframework.security.config.annotation.method.configuration.EnableGlobalMethodSecurity;
import org.springframework.security.config.annotation.web.builders.HttpSecurity;
import org.springframework.security.config.annotation.web.configuration.EnableWebSecurity;
import org.springframework.security.config.annotation.web.configuration.WebSecurityConfigurerAdapter;
import org.springframework.security.saml.*;
import org.springframework.security.saml.key.KeyManager;
import org.springframework.security.saml.metadata.*;
import org.springframework.security.web.DefaultSecurityFilterChain;
import org.springframework.security.web.FilterChainProxy;
import org.springframework.security.web.SecurityFilterChain;
import org.springframework.security.web.access.channel.ChannelProcessingFilter;
import org.springframework.security.web.authentication.SavedRequestAwareAuthenticationSuccessHandler;
import org.springframework.security.web.authentication.SimpleUrlAuthenticationFailureHandler;
import org.springframework.security.web.authentication.www.BasicAuthenticationFilter;
import org.springframework.security.web.csrf.CsrfFilter;
import org.springframework.security.web.util.matcher.AntPathRequestMatcher;
@Configuration
@EnableWebSecurity
@EnableGlobalMethodSecurity(securedEnabled = true)
public class WebSecurityConfig extends WebSecurityConfigurerAdapter {
@Value("${saml.sp}")
private String samlAudience;
@Autowired
@Qualifier("saml")
private SavedRequestAwareAuthenticationSuccessHandler samlAuthSuccessHandler;
@Autowired
@Qualifier("saml")
private SimpleUrlAuthenticationFailureHandler samlAuthFailureHandler;
@Autowired
private SAMLEntryPoint samlEntryPoint;
@Autowired
private SAMLLogoutFilter samlLogoutFilter;
@Autowired
private SAMLLogoutProcessingFilter samlLogoutProcessingFilter;
@Bean
public SAMLDiscovery samlDiscovery() {
SAMLDiscovery idpDiscovery = new SAMLDiscovery();
return idpDiscovery;
}
@Autowired
private SAMLAuthenticationProvider samlAuthenticationProvider;
@Autowired
private ExtendedMetadata extendedMetadata;
@Autowired
private KeyManager keyManager;
public MetadataGenerator metadataGenerator() {
MetadataGenerator metadataGenerator = new MetadataGenerator();
metadataGenerator.setEntityId(samlAudience);
metadataGenerator.setExtendedMetadata(extendedMetadata);
metadataGenerator.setIncludeDiscoveryExtension(false);
metadataGenerator.setKeyManager(keyManager);
return metadataGenerator;
}
@Bean
public SAMLProcessingFilter samlWebSSOProcessingFilter() throws Exception {
SAMLProcessingFilter samlWebSSOProcessingFilter = new SAMLProcessingFilter();
samlWebSSOProcessingFilter.setAuthenticationManager(authenticationManager());
samlWebSSOProcessingFilter.setAuthenticationSuccessHandler(samlAuthSuccessHandler);
samlWebSSOProcessingFilter.setAuthenticationFailureHandler(samlAuthFailureHandler);
return samlWebSSOProcessingFilter;
}
@Bean
public FilterChainProxy samlFilter() throws Exception {
List<SecurityFilterChain> chains = new ArrayList<>();
chains.add(new DefaultSecurityFilterChain(new AntPathRequestMatcher("/saml/SSO/**"),
samlWebSSOProcessingFilter()));
chains.add(new DefaultSecurityFilterChain(new AntPathRequestMatcher("/saml/discovery/**"),
samlDiscovery()));
chains.add(new DefaultSecurityFilterChain(new AntPathRequestMatcher("/saml/login/**"),
samlEntryPoint));
chains.add(new DefaultSecurityFilterChain(new AntPathRequestMatcher("/saml/logout/**"),
samlLogoutFilter));
chains.add(new DefaultSecurityFilterChain(new AntPathRequestMatcher("/saml/SingleLogout/**"),
samlLogoutProcessingFilter));
return new FilterChainProxy(chains);
}
@Bean
@Override
public AuthenticationManager authenticationManagerBean() throws Exception {
return super.authenticationManagerBean();
}
@Bean
public MetadataGeneratorFilter metadataGeneratorFilter() {
return new MetadataGeneratorFilter(metadataGenerator());
}
@Override
protected void configure(HttpSecurity http) throws Exception {
http
.csrf()
.disable();
http
.httpBasic()
.authenticationEntryPoint(samlEntryPoint);
http
.addFilterBefore(metadataGeneratorFilter(), ChannelProcessingFilter.class)
.addFilterAfter(samlFilter(), BasicAuthenticationFilter.class)
.addFilterBefore(samlFilter(), CsrfFilter.class);
http
.authorizeRequests()
.antMatchers("/").permitAll()
.anyRequest().authenticated();
http
.logout()
.addLogoutHandler((request, response, authentication) -> {
try {
response.sendRedirect("/saml/logout");
} catch (IOException e) {
e.printStackTrace();
}
});
}
@Override
protected void configure(AuthenticationManagerBuilder auth) throws Exception {
auth.authenticationProvider(samlAuthenticationProvider);
}
}
| Java | 4 | DBatOWL/tutorials | spring-security-modules/spring-security-saml/src/main/java/com/baeldung/saml/config/WebSecurityConfig.java | [
"MIT"
] |
function omf.cli.new
if test (count $argv) -ne 2
echo (omf::err)"Package type or name missing"(omf::off) >&2
return $OMF_MISSING_ARG
end
omf.packages.new $argv
end
| fish | 4 | codetriage-readme-bot/oh-my-fish | pkg/omf/functions/cli/omf.cli.new.fish | [
"MIT"
] |
move -5,0,0
r: map(wave(3000),0,1,20,50)
rotate r, 0,1,0
move 0,rand(-5,5),rand(-5,5)
DECAY: 0.005
particle 0.1
box 0.05
end | Cycript | 3 | marcinbiegun/creativecoding-sketches | Cyril/data/code_retro/4.cy | [
"MIT"
] |
/home/spinalvm/hdl/riscv-compliance/work//C.XOR.elf: file format elf32-littleriscv
Disassembly of section .text.init:
80000000 <_start>:
80000000: 0001 nop
80000002: 0001 nop
80000004: 0001 nop
80000006: 0001 nop
80000008: 0001 nop
8000000a: 0001 nop
8000000c: 0001 nop
8000000e: 0001 nop
80000010: 0001 nop
80000012: 0001 nop
80000014: 0001 nop
80000016: 0001 nop
80000018: 0001 nop
8000001a: 0001 nop
8000001c: 0001 nop
8000001e: 0001 nop
80000020: 0001 nop
80000022: 0001 nop
80000024: 0001 nop
80000026: 0001 nop
80000028: 0001 nop
8000002a: 0001 nop
8000002c: 0001 nop
8000002e: 0001 nop
80000030: 0001 nop
80000032: 0001 nop
80000034: 0001 nop
80000036: 0001 nop
80000038: 0001 nop
8000003a: 0001 nop
8000003c: 0001 nop
8000003e: 0001 nop
80000040: 0001 nop
80000042: 0001 nop
80000044: 0001 nop
80000046: 0001 nop
80000048: 0001 nop
8000004a: 0001 nop
8000004c: 0001 nop
8000004e: 0001 nop
80000050: 0001 nop
80000052: 0001 nop
80000054: 0001 nop
80000056: 0001 nop
80000058: 0001 nop
8000005a: 0001 nop
8000005c: 0001 nop
8000005e: 0001 nop
80000060: 0001 nop
80000062: 0001 nop
80000064: 0001 nop
80000066: 0001 nop
80000068: 0001 nop
8000006a: 0001 nop
8000006c: 0001 nop
8000006e: 0001 nop
80000070: 0001 nop
80000072: 0001 nop
80000074: 0001 nop
80000076: 0001 nop
80000078: 0001 nop
8000007a: 0001 nop
8000007c: 0001 nop
8000007e: 0001 nop
80000080: 0001 nop
80000082: 0001 nop
80000084: 0001 nop
80000086: 0001 nop
80000088: 0001 nop
8000008a: 0001 nop
8000008c: 0001 nop
8000008e: 0001 nop
80000090: 0001 nop
80000092: 0001 nop
80000094: 0001 nop
80000096: 0001 nop
80000098: 0001 nop
8000009a: 0001 nop
8000009c: 0001 nop
8000009e: 0001 nop
800000a0: 0001 nop
800000a2: 0001 nop
800000a4: 0001 nop
800000a6: 0001 nop
800000a8: 0001 nop
800000aa: 0001 nop
800000ac: 0001 nop
800000ae: 0001 nop
800000b0: 0001 nop
800000b2: 0001 nop
800000b4: 0001 nop
800000b6: 0001 nop
800000b8: 0001 nop
800000ba: 0001 nop
800000bc: 0001 nop
800000be: 0001 nop
800000c0: 0001 nop
800000c2: 0001 nop
800000c4: 0001 nop
800000c6: 0001 nop
800000c8: 0001 nop
800000ca: 0001 nop
800000cc: 0001 nop
800000ce: 0001 nop
800000d0: 0001 nop
800000d2: 0001 nop
800000d4: 0001 nop
800000d6: 0001 nop
800000d8: 0001 nop
800000da: 0001 nop
800000dc: 0001 nop
800000de: 0001 nop
800000e0: 0001 nop
800000e2: 0001 nop
800000e4: 0001 nop
800000e6: 0001 nop
800000e8: 0001 nop
800000ea: 0001 nop
800000ec: 0001 nop
800000ee: 00001117 auipc sp,0x1
800000f2: f1210113 addi sp,sp,-238 # 80001000 <codasip_signature_start>
800000f6: 4481 li s1,0
800000f8: 4401 li s0,0
800000fa: 8c25 xor s0,s0,s1
800000fc: c022 sw s0,0(sp)
800000fe: 4601 li a2,0
80000100: 4585 li a1,1
80000102: 8db1 xor a1,a1,a2
80000104: c22e sw a1,4(sp)
80000106: 4701 li a4,0
80000108: fff00693 li a3,-1
8000010c: 8eb9 xor a3,a3,a4
8000010e: c436 sw a3,8(sp)
80000110: 4401 li s0,0
80000112: 000087b7 lui a5,0x8
80000116: fff78793 addi a5,a5,-1 # 7fff <_start-0x7fff8001>
8000011a: 8fa1 xor a5,a5,s0
8000011c: c63e sw a5,12(sp)
8000011e: 4581 li a1,0
80000120: 64a1 lui s1,0x8
80000122: 8cad xor s1,s1,a1
80000124: c826 sw s1,16(sp)
80000126: 00001117 auipc sp,0x1
8000012a: eee10113 addi sp,sp,-274 # 80001014 <test_2_res>
8000012e: 4685 li a3,1
80000130: 4601 li a2,0
80000132: 8e35 xor a2,a2,a3
80000134: c032 sw a2,0(sp)
80000136: 4785 li a5,1
80000138: 4705 li a4,1
8000013a: 8f3d xor a4,a4,a5
8000013c: c23a sw a4,4(sp)
8000013e: 4485 li s1,1
80000140: fff00413 li s0,-1
80000144: 8c25 xor s0,s0,s1
80000146: c422 sw s0,8(sp)
80000148: 4605 li a2,1
8000014a: 000085b7 lui a1,0x8
8000014e: fff58593 addi a1,a1,-1 # 7fff <_start-0x7fff8001>
80000152: 8db1 xor a1,a1,a2
80000154: c62e sw a1,12(sp)
80000156: 4705 li a4,1
80000158: 66a1 lui a3,0x8
8000015a: 8eb9 xor a3,a3,a4
8000015c: c836 sw a3,16(sp)
8000015e: 00001117 auipc sp,0x1
80000162: eca10113 addi sp,sp,-310 # 80001028 <test_3_res>
80000166: fff00413 li s0,-1
8000016a: 4781 li a5,0
8000016c: 8fa1 xor a5,a5,s0
8000016e: c03e sw a5,0(sp)
80000170: fff00593 li a1,-1
80000174: 4485 li s1,1
80000176: 8cad xor s1,s1,a1
80000178: c226 sw s1,4(sp)
8000017a: fff00693 li a3,-1
8000017e: fff00613 li a2,-1
80000182: 8e35 xor a2,a2,a3
80000184: c432 sw a2,8(sp)
80000186: fff00793 li a5,-1
8000018a: 00008737 lui a4,0x8
8000018e: fff70713 addi a4,a4,-1 # 7fff <_start-0x7fff8001>
80000192: 8f3d xor a4,a4,a5
80000194: c63a sw a4,12(sp)
80000196: fff00493 li s1,-1
8000019a: 6421 lui s0,0x8
8000019c: 8c25 xor s0,s0,s1
8000019e: c822 sw s0,16(sp)
800001a0: 00001117 auipc sp,0x1
800001a4: e9c10113 addi sp,sp,-356 # 8000103c <test_4_res>
800001a8: 00008637 lui a2,0x8
800001ac: fff60613 addi a2,a2,-1 # 7fff <_start-0x7fff8001>
800001b0: 4581 li a1,0
800001b2: 8db1 xor a1,a1,a2
800001b4: c02e sw a1,0(sp)
800001b6: 00008737 lui a4,0x8
800001ba: fff70713 addi a4,a4,-1 # 7fff <_start-0x7fff8001>
800001be: 4685 li a3,1
800001c0: 8eb9 xor a3,a3,a4
800001c2: c236 sw a3,4(sp)
800001c4: 00008437 lui s0,0x8
800001c8: fff40413 addi s0,s0,-1 # 7fff <_start-0x7fff8001>
800001cc: fff00793 li a5,-1
800001d0: 8fa1 xor a5,a5,s0
800001d2: c43e sw a5,8(sp)
800001d4: 000085b7 lui a1,0x8
800001d8: fff58593 addi a1,a1,-1 # 7fff <_start-0x7fff8001>
800001dc: 000084b7 lui s1,0x8
800001e0: fff48493 addi s1,s1,-1 # 7fff <_start-0x7fff8001>
800001e4: 8cad xor s1,s1,a1
800001e6: c626 sw s1,12(sp)
800001e8: 000086b7 lui a3,0x8
800001ec: fff68693 addi a3,a3,-1 # 7fff <_start-0x7fff8001>
800001f0: 6621 lui a2,0x8
800001f2: 8e35 xor a2,a2,a3
800001f4: c832 sw a2,16(sp)
800001f6: 00001117 auipc sp,0x1
800001fa: e5a10113 addi sp,sp,-422 # 80001050 <test_5_res>
800001fe: 67a1 lui a5,0x8
80000200: 4701 li a4,0
80000202: 8f3d xor a4,a4,a5
80000204: c03a sw a4,0(sp)
80000206: 64a1 lui s1,0x8
80000208: 4405 li s0,1
8000020a: 8c25 xor s0,s0,s1
8000020c: c222 sw s0,4(sp)
8000020e: 6621 lui a2,0x8
80000210: fff00593 li a1,-1
80000214: 8db1 xor a1,a1,a2
80000216: c42e sw a1,8(sp)
80000218: 6721 lui a4,0x8
8000021a: 000086b7 lui a3,0x8
8000021e: fff68693 addi a3,a3,-1 # 7fff <_start-0x7fff8001>
80000222: 8eb9 xor a3,a3,a4
80000224: c636 sw a3,12(sp)
80000226: 6421 lui s0,0x8
80000228: 67a1 lui a5,0x8
8000022a: 8fa1 xor a5,a5,s0
8000022c: c83e sw a5,16(sp)
8000022e: 00001517 auipc a0,0x1
80000232: dd250513 addi a0,a0,-558 # 80001000 <codasip_signature_start>
80000236: 00001597 auipc a1,0x1
8000023a: e3a58593 addi a1,a1,-454 # 80001070 <_end>
8000023e: f0100637 lui a2,0xf0100
80000242: f2c60613 addi a2,a2,-212 # f00fff2c <_end+0x700feebc>
80000246 <complience_halt_loop>:
80000246: 00b50c63 beq a0,a1,8000025e <complience_halt_break>
8000024a: 4554 lw a3,12(a0)
8000024c: c214 sw a3,0(a2)
8000024e: 4514 lw a3,8(a0)
80000250: c214 sw a3,0(a2)
80000252: 4154 lw a3,4(a0)
80000254: c214 sw a3,0(a2)
80000256: 4114 lw a3,0(a0)
80000258: c214 sw a3,0(a2)
8000025a: 0541 addi a0,a0,16
8000025c: b7ed j 80000246 <complience_halt_loop>
8000025e <complience_halt_break>:
8000025e: f0100537 lui a0,0xf0100
80000262: f2050513 addi a0,a0,-224 # f00fff20 <_end+0x700feeb0>
80000266: 00052023 sw zero,0(a0)
...
Disassembly of section .data:
80001000 <codasip_signature_start>:
80001000: ffff 0xffff
80001002: ffff 0xffff
80001004: ffff 0xffff
80001006: ffff 0xffff
80001008: ffff 0xffff
8000100a: ffff 0xffff
8000100c: ffff 0xffff
8000100e: ffff 0xffff
80001010: ffff 0xffff
80001012: ffff 0xffff
80001014 <test_2_res>:
80001014: ffff 0xffff
80001016: ffff 0xffff
80001018: ffff 0xffff
8000101a: ffff 0xffff
8000101c: ffff 0xffff
8000101e: ffff 0xffff
80001020: ffff 0xffff
80001022: ffff 0xffff
80001024: ffff 0xffff
80001026: ffff 0xffff
80001028 <test_3_res>:
80001028: ffff 0xffff
8000102a: ffff 0xffff
8000102c: ffff 0xffff
8000102e: ffff 0xffff
80001030: ffff 0xffff
80001032: ffff 0xffff
80001034: ffff 0xffff
80001036: ffff 0xffff
80001038: ffff 0xffff
8000103a: ffff 0xffff
8000103c <test_4_res>:
8000103c: ffff 0xffff
8000103e: ffff 0xffff
80001040: ffff 0xffff
80001042: ffff 0xffff
80001044: ffff 0xffff
80001046: ffff 0xffff
80001048: ffff 0xffff
8000104a: ffff 0xffff
8000104c: ffff 0xffff
8000104e: ffff 0xffff
80001050 <test_5_res>:
80001050: ffff 0xffff
80001052: ffff 0xffff
80001054: ffff 0xffff
80001056: ffff 0xffff
80001058: ffff 0xffff
8000105a: ffff 0xffff
8000105c: ffff 0xffff
8000105e: ffff 0xffff
80001060: ffff 0xffff
80001062: ffff 0xffff
...
| ObjDump | 3 | cbrune/VexRiscv | src/test/resources/asm/C.XOR.elf.objdump | [
"MIT"
] |
// Copyright 2010-2014 RethinkDB, all rights reserved.
#ifndef RDB_PROTOCOL_SHARDS_HPP_
#define RDB_PROTOCOL_SHARDS_HPP_
#include <algorithm>
#include <limits>
#include <map>
#include <utility>
#include <vector>
#include "arch/runtime/coroutines.hpp"
#include "btree/keys.hpp"
#include "btree/types.hpp"
#include "containers/archive/stl_types.hpp"
#include "containers/archive/varint.hpp"
#include "containers/uuid.hpp"
#include "rdb_protocol/batching.hpp"
#include "rdb_protocol/configured_limits.hpp"
#include "rdb_protocol/datum.hpp"
#include "rdb_protocol/datum_utils.hpp"
#include "rdb_protocol/profile.hpp"
#include "rdb_protocol/wire_func.hpp"
#include "region/region.hpp"
#include "stl_utils.hpp"
enum class is_primary_t { NO, YES };
enum class require_sindexes_t { NO, YES};
ARCHIVE_PRIM_MAKE_RANGED_SERIALIZABLE(require_sindexes_t,
int8_t,
require_sindexes_t::NO,
require_sindexes_t::YES);
namespace ql {
template<class T>
T groups_to_batch(std::map<datum_t, T, optional_datum_less_t> *g) {
if (g->size() == 0) {
return T();
} else {
r_sanity_check(g->size() == 1 && !g->begin()->first.has());
return std::move(g->begin()->second);
}
}
// This stuff previously resided in the protocol, but has been broken out since
// we want to use this logic in multiple places.
typedef std::vector<ql::datum_t> datums_t;
typedef std::map<ql::datum_t, datums_t, optional_datum_less_t> groups_t;
struct rget_item_t {
rget_item_t() = default;
rget_item_t(store_key_t _key,
ql::datum_t _sindex_key,
ql::datum_t _data)
: key(std::move(_key)),
sindex_key(std::move(_sindex_key)),
data(std::move(_data)) { }
store_key_t key;
ql::datum_t sindex_key, data;
};
RDB_DECLARE_SERIALIZABLE(rget_item_t);
// `sindex_compare_t` may block if there are a large number of things being compared.
class sindex_compare_t {
public:
explicit sindex_compare_t(sorting_t _sorting)
: sorting(_sorting), iterations_since_last_yield(0) { }
bool operator()(const rget_item_t &l, const rget_item_t &r) {
r_sanity_check(l.sindex_key.has() && r.sindex_key.has());
++iterations_since_last_yield;
const size_t YIELD_INTERVAL = 10000;
if (iterations_since_last_yield % YIELD_INTERVAL == 0) {
coro_t::yield();
}
int cmp = l.sindex_key.cmp(r.sindex_key);
if (cmp == 0) {
return reversed(sorting)
? datum_t::extract_primary(l.key) > datum_t::extract_primary(r.key)
: datum_t::extract_primary(l.key) < datum_t::extract_primary(r.key);
} else {
return reversed(sorting)
? cmp > 0
: cmp < 0;
}
}
private:
sorting_t sorting;
size_t iterations_since_last_yield;
};
void debug_print(printf_buffer_t *, const rget_item_t &);
typedef std::vector<rget_item_t> raw_stream_t;
struct keyed_stream_t {
raw_stream_t stream;
store_key_t last_key;
};
RDB_DECLARE_SERIALIZABLE(keyed_stream_t);
struct stream_t {
// When we first construct a `stream_t`, it's always for a single shard.
stream_t(region_t region, store_key_t last_key)
: substreams{{
std::move(region),
keyed_stream_t{raw_stream_t(), std::move(last_key)}}} { }
explicit stream_t(std::map<region_t, keyed_stream_t> &&_substreams)
: substreams(std::move(_substreams)) { }
stream_t() { }
std::map<region_t, keyed_stream_t> substreams;
};
RDB_DECLARE_SERIALIZABLE(stream_t);
class optimizer_t {
public:
optimizer_t();
optimizer_t(const datum_t &_row,
const datum_t &_val);
void swap_if_other_better(optimizer_t *other,
bool (*beats)(const datum_t &val1, const datum_t &val2));
datum_t unpack(const char *name);
datum_t row, val;
};
template <cluster_version_t W>
void serialize_grouped(write_message_t *wm, const optimizer_t &o) {
serialize<W>(wm, o.row.has());
if (o.row.has()) {
r_sanity_check(o.val.has());
serialize<W>(wm, o.row);
serialize<W>(wm, o.val);
}
}
template <cluster_version_t W>
archive_result_t deserialize_grouped(read_stream_t *s, optimizer_t *o) {
archive_result_t res;
bool has;
res = deserialize<W>(s, &has);
if (bad(res)) { return res; }
if (has) {
res = deserialize<W>(s, &o->row);
if (bad(res)) { return res; }
res = deserialize<W>(s, &o->val);
if (bad(res)) { return res; }
}
return archive_result_t::SUCCESS;
}
// We write all of these serializations and deserializations explicitly because:
// * It stops people from inadvertently using a new `grouped_t<T>` without thinking.
// * Some grouped elements need specialized serialization.
template <cluster_version_t W>
void serialize_grouped(
write_message_t *wm, const datum_t &d) {
serialize<W>(wm, d.has());
if (d.has()) {
serialize<W>(wm, d);
}
}
template <cluster_version_t W>
void serialize_grouped(write_message_t *wm, uint64_t sz) {
serialize_varint_uint64(wm, sz);
}
template <cluster_version_t W>
void serialize_grouped(write_message_t *wm, double d) {
serialize<W>(wm, d);
}
template <cluster_version_t W>
void serialize_grouped(write_message_t *wm,
const std::pair<double, uint64_t> &p) {
serialize<W>(wm, p.first);
serialize_varint_uint64(wm, p.second);
}
template <cluster_version_t W>
void serialize_grouped(write_message_t *wm, const stream_t &sz) {
serialize<W>(wm, sz);
}
template <cluster_version_t W>
void serialize_grouped(write_message_t *wm, const datums_t &ds) {
serialize<W>(wm, ds);
}
template <cluster_version_t W>
archive_result_t deserialize_grouped(
read_stream_t *s, datum_t *d) {
bool has;
archive_result_t res = deserialize<W>(s, &has);
if (bad(res)) { return res; }
if (has) {
return deserialize<W>(s, d);
} else {
d->reset();
return archive_result_t::SUCCESS;
}
}
template <cluster_version_t W>
archive_result_t deserialize_grouped(read_stream_t *s, uint64_t *sz) {
return deserialize_varint_uint64(s, sz);
}
template <cluster_version_t W>
archive_result_t deserialize_grouped(read_stream_t *s, double *d) {
return deserialize<W>(s, d);
}
template <cluster_version_t W>
archive_result_t deserialize_grouped(read_stream_t *s,
std::pair<double, uint64_t> *p) {
archive_result_t res = deserialize<W>(s, &p->first);
if (bad(res)) { return res; }
return deserialize_varint_uint64(s, &p->second);
}
template <cluster_version_t W>
archive_result_t deserialize_grouped(read_stream_t *s, stream_t *sz) {
return deserialize<W>(s, sz);
}
template <cluster_version_t W>
archive_result_t deserialize_grouped(read_stream_t *s, datums_t *ds) {
return deserialize<W>(s, ds);
}
// This is basically a templated typedef with special serialization.
template<class T>
class grouped_t {
public:
// We assume > v1_13 ordering. We could get fancy and allow any
// ordering, but usage of grouped_t inside of secondary index functions is the
// only place where we'd want v1_13 ordering, so let's not bother.
grouped_t() : m(optional_datum_less_t()) { }
virtual ~grouped_t() { } // See grouped_data_t below.
template <cluster_version_t W>
friend
typename std::enable_if<W == cluster_version_t::CLUSTER, void>::type
serialize(write_message_t *wm, const grouped_t &g) {
serialize_varint_uint64(wm, g.m.size());
for (auto it = g.m.begin(); it != g.m.end(); ++it) {
serialize_grouped<W>(wm, it->first);
serialize_grouped<W>(wm, it->second);
}
}
template <cluster_version_t W>
friend
typename std::enable_if<W == cluster_version_t::CLUSTER, archive_result_t>::type
deserialize(read_stream_t *s, grouped_t *g) {
guarantee(g->m.empty());
uint64_t sz;
archive_result_t res = deserialize_varint_uint64(s, &sz);
if (bad(res)) { return res; }
if (sz > std::numeric_limits<size_t>::max()) {
return archive_result_t::RANGE_ERROR;
}
auto pos = g->m.begin();
for (uint64_t i = 0; i < sz; ++i) {
std::pair<datum_t, T> el;
res = deserialize_grouped<W>(s, &el.first);
if (bad(res)) { return res; }
res = deserialize_grouped<W>(s, &el.second);
if (bad(res)) { return res; }
pos = g->m.insert(pos, std::move(el));
}
return archive_result_t::SUCCESS;
}
// You're not allowed to use, in any way, the intrinsic ordering of the
// grouped_t. If you're processing its data into a parallel map, you're ok,
// since the parallel map provides its own ordering (that you specify). This
// way, we know it's OK for the map ordering to use any reql_version (instead of
// taking that as a parameter, which would be completely impracticable).
typename std::map<datum_t, T, optional_datum_less_t>::iterator begin() {
return m.begin();
}
typename std::map<datum_t, T, optional_datum_less_t>::iterator end() {
return m.end();
}
std::pair<typename std::map<datum_t, T, optional_datum_less_t>::iterator, bool>
insert(std::pair<datum_t, T> &&val) {
return m.insert(std::move(val));
}
void
erase(typename std::map<datum_t, T, optional_datum_less_t>::iterator pos) {
m.erase(pos);
}
size_t size() { return m.size(); }
void clear() { return m.clear(); }
T &operator[](const datum_t &k) { return m[k]; }
void swap(grouped_t<T> &other) { m.swap(other.m); }
std::map<datum_t, T, optional_datum_less_t> *get_underlying_map() {
return &m;
}
const std::map<datum_t, T, optional_datum_less_t> *get_underlying_map() const {
return &m;
}
private:
std::map<datum_t, T, optional_datum_less_t> m;
};
void debug_print(printf_buffer_t *buf, const keyed_stream_t &stream);
void debug_print(printf_buffer_t *buf, const stream_t &stream);
template <class T>
void debug_print(printf_buffer_t *buf, const grouped_t<T> &value) {
buf->appendf("grouped_t(");
debug_print(buf, *value.get_underlying_map());
buf->appendf(")");
}
namespace grouped_details {
template <class T>
class grouped_pair_compare_t {
public:
explicit grouped_pair_compare_t(reql_version_t _reql_version)
: reql_version(_reql_version) { }
bool operator()(const std::pair<datum_t, T> &a,
const std::pair<datum_t, T> &b) const {
// We know the keys are different, this is only used in
// iterate_ordered_by_version.
return a.first.compare_lt(reql_version, b.first);
}
private:
reql_version_t reql_version;
};
} // namespace grouped_details
// We need a separate class for this because inheriting from
// `slow_atomic_countable_t` deletes our copy constructor, but boost variants
// want us to have a copy constructor.
class grouped_data_t : public grouped_t<datum_t>,
public slow_atomic_countable_t<grouped_data_t> { };
typedef boost::variant<
grouped_t<uint64_t>, // Count.
grouped_t<double>, // Sum.
grouped_t<std::pair<double, uint64_t> >, // Avg.
grouped_t<ql::datum_t>, // Reduce (may be NULL)
grouped_t<optimizer_t>, // min, max
grouped_t<stream_t>, // No terminal.
exc_t // Don't re-order (we don't want this to initialize to an error.)
> result_t;
typedef boost::variant<map_wire_func_t,
group_wire_func_t,
filter_wire_func_t,
concatmap_wire_func_t,
distinct_wire_func_t,
zip_wire_func_t
> transform_variant_t;
class op_t {
public:
op_t() { }
virtual ~op_t() { }
virtual void operator()(env_t *env,
groups_t *groups,
// Returns a datum that might be null
const std::function<datum_t()> &lazy_sindex_val) = 0;
};
struct limit_read_t {
is_primary_t is_primary;
size_t n;
region_t shard;
store_key_t last_key;
sorting_t sorting;
std::vector<scoped_ptr_t<op_t> > *ops;
};
// Note that this is serializable because it goes in a serializable variant, but
// it is a runtime error to serialize it.
RDB_DECLARE_SERIALIZABLE(limit_read_t);
typedef boost::variant<count_wire_func_t,
sum_wire_func_t,
avg_wire_func_t,
min_wire_func_t,
max_wire_func_t,
reduce_wire_func_t,
limit_read_t
> terminal_variant_t;
class accumulator_t {
public:
accumulator_t();
virtual ~accumulator_t();
// May be overridden as an optimization (currently is for `count`).
virtual bool uses_val() { return true; }
virtual void stop_at_boundary(store_key_t &&) { }
virtual bool should_send_batch() = 0;
virtual continue_bool_t operator()(
env_t *env,
groups_t *groups,
const store_key_t &key,
// Returns a datum that might be null
const std::function<datum_t()> &lazy_sindex_val) = 0;
virtual void finish(continue_bool_t last_cb, result_t *out);
virtual void unshard(env_t *env, const std::vector<result_t *> &results) = 0;
protected:
void mark_finished();
private:
virtual void finish_impl(continue_bool_t last_cb, result_t *out) = 0;
bool finished;
};
class eager_acc_t {
public:
eager_acc_t() { }
virtual ~eager_acc_t() { }
virtual void operator()(env_t *env, groups_t *groups) = 0;
virtual void add_res(env_t *env, result_t *res, sorting_t sorting) = 0;
virtual scoped_ptr_t<val_t> finish_eager(
backtrace_id_t bt, bool is_grouped,
const ql::configured_limits_t &limits) = 0;
};
scoped_ptr_t<accumulator_t> make_append(region_t region,
store_key_t last_key,
sorting_t sorting,
batcher_t *batcher,
require_sindexes_t require_sindex_val);
scoped_ptr_t<accumulator_t> make_unsharding_append();
scoped_ptr_t<accumulator_t> make_terminal(const terminal_variant_t &t);
scoped_ptr_t<eager_acc_t> make_to_array();
scoped_ptr_t<eager_acc_t> make_eager_terminal(const terminal_variant_t &t);
scoped_ptr_t<op_t> make_op(const transform_variant_t &tv);
} // namespace ql
#endif // RDB_PROTOCOL_SHARDS_HPP_
| C++ | 4 | zadcha/rethinkdb | src/rdb_protocol/shards.hpp | [
"Apache-2.0"
] |
%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
%
% This file is part of Logtalk <https://logtalk.org/>
% Copyright (c) 2010, Victor Lagerkvist
% SPDX-License-Identifier: BSD-3-Clause
%
% Redistribution and use in source and binary forms, with or without
% modification, are permitted provided that the following conditions are met:
%
% * Redistributions of source code must retain the above copyright notice, this
% list of conditions and the following disclaimer.
%
% * Redistributions in binary form must reproduce the above copyright notice,
% this list of conditions and the following disclaimer in the documentation
% and/or other materials provided with the distribution.
%
% * Neither the name of the copyright holder nor the names of its
% contributors may be used to endorse or promote products derived from
% this software without specific prior written permission.
%
% THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
% AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE
% IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
% DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE
% FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL
% DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR
% SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER
% CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY,
% OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE
% OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
%
%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
:- object(magic).
:- info([
version is 1:0:0,
author is 'Ulf Nilsson. Ported to Logtalk and augmented with stratified negation by Victor Lagerkvist.',
date is 2010-06-13,
comment is 'Object encapsulating magic methods.'
]).
:- public(magicise/4).
:- mode(magicise(+term, +list, -term, -list), zero_or_one).
:- info(magicise/4, [
comment is 'Transform (Head :- Body) into a magic clause (NewHead :- NewBody).',
argnames is ['Head', 'Body', 'NewHead', 'NewBody']
]).
:- public(magic/2).
:- mode(magic(+callable, -callable), zero_or_one).
:- info(magic/2, [
comment is 'Prefix the predicate symbol of Old with magic_.',
argnames is ['Old', 'New']
]).
magicise(Head,Body,Head,[X|Body]) :-
magic(Head,X).
magicise(Head,Body,NewHead,[X|Left]) :-
magic(Head,X),
list::append(Left,[Y|_],Body),
Y \= {_},
magic_head(Y,NewHead).
magic_head(not(X), Y) :-
!,
magic_head(X, Y).
magic_head(X, Y) :-
magic(X, Y).
magic(X, Y) :-
nonvar(X),
X \= {_},
X =.. [F | Args],
atom_concat(magic_, F, G),
Y =.. [G | Args].
:- end_object.
| Logtalk | 5 | PaulBrownMagic/logtalk3 | contributions/verdi_neruda/magic.lgt | [
"Apache-2.0"
] |
// Licensed to the Apache Software Foundation(ASF) under one
// or more contributor license agreements.See the NOTICE file
// distributed with this work for additional information
// regarding copyright ownership.The ASF licenses this file
// to you under the Apache License, Version 2.0 (the
// "License"); you may not use this file except in compliance
// with the License. You may obtain a copy of the License at
//
// http://www.apache.org/licenses/LICENSE-2.0
//
// Unless required by applicable law or agreed to in writing,
// software distributed under the License is distributed on an
// "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY
// KIND, either express or implied. See the License for the
// specific language governing permissions and limitations
// under the License.
unit PerfTests;
interface
uses
Windows, Classes, SysUtils,
Thrift.Collections,
Thrift.Configuration,
Thrift.Test,
Thrift.Protocol,
Thrift.Protocol.JSON,
Thrift.Protocol.Compact,
Thrift.Transport,
Thrift.Stream,
ConsoleHelper,
TestConstants,
DataFactory;
type
TPerformanceTests = class
strict private
FTestdata : ICrazyNesting;
FMemBuffer : TMemoryStream;
FTransport : ITransport;
FConfig : IThriftConfiguration;
procedure ProtocolPeformanceTest;
procedure RunTest( const ptyp : TKnownProtocol; const layered : TLayeredTransport);
function GenericProtocolFactory(const ptyp : TKnownProtocol; const layered : TLayeredTransport; const forWrite : Boolean) : IProtocol;
function GetProtocolTransportName(const ptyp : TKnownProtocol; const layered : TLayeredTransport) : string;
public
class function Execute : Integer;
end;
implementation
// not available in all versions, so make sure we have this one imported
function IsDebuggerPresent: BOOL; stdcall; external KERNEL32 name 'IsDebuggerPresent';
class function TPerformanceTests.Execute : Integer;
var instance : TPerformanceTests;
begin
instance := TPerformanceTests.Create;
instance.ProtocolPeformanceTest;
// debug only
if IsDebuggerPresent then begin
Console.Write('Hit ENTER ...');
ReadLn;
end;
result := 0;
end;
procedure TPerformanceTests.ProtocolPeformanceTest;
var layered : TLayeredTransport;
begin
Console.WriteLine('Setting up for ProtocolPeformanceTest ...');
FTestdata := TestDataFactory.CreateCrazyNesting();
for layered := Low(TLayeredTransport) to High(TLayeredTransport) do begin
RunTest( TKnownProtocol.prot_Binary, layered);
RunTest( TKnownProtocol.prot_Compact, layered);
RunTest( TKnownProtocol.prot_JSON, layered);
end;
end;
procedure TPerformanceTests.RunTest( const ptyp : TKnownProtocol; const layered : TLayeredTransport);
var freq, start, stop : Int64;
proto : IProtocol;
restored : ICrazyNesting;
begin
QueryPerformanceFrequency( freq);
FConfig := TThriftConfigurationImpl.Create;
proto := GenericProtocolFactory( ptyp, layered, TRUE);
QueryPerformanceCounter( start);
FTestdata.Write(proto);
FTransport.Flush;
QueryPerformanceCounter( stop);
Console.WriteLine( Format('RunTest(%s): write = %d msec', [
GetProtocolTransportName(ptyp,layered),
Round(1000.0*(stop-start)/freq)
]));
restored := TCrazyNestingImpl.Create;
proto := GenericProtocolFactory( ptyp, layered, FALSE);
QueryPerformanceCounter( start);
restored.Read(proto);
QueryPerformanceCounter( stop);
Console.WriteLine( Format('RunTest(%s): read = %d msec', [
GetProtocolTransportName(ptyp,layered),
Round(1000.0*(stop-start)/freq)
]));
end;
function TPerformanceTests.GenericProtocolFactory(const ptyp : TKnownProtocol; const layered : TLayeredTransport; const forWrite : Boolean) : IProtocol;
var newBuf : TMemoryStream;
stream : IThriftStream;
trans : IStreamTransport;
const COPY_ENTIRE_STREAM = 0;
begin
// read happens after write here, so let's take over the written bytes
newBuf := TMemoryStream.Create;
if not forWrite then newBuf.CopyFrom( FMemBuffer, COPY_ENTIRE_STREAM);
FMemBuffer := newBuf;
FMemBuffer.Position := 0;
// layered transports anyone?
stream := TThriftStreamAdapterDelphi.Create( newBuf, TRUE);
if forWrite
then trans := TStreamTransportImpl.Create( nil, stream, FConfig)
else trans := TStreamTransportImpl.Create( stream, nil, FConfig);
case layered of
trns_Framed : FTransport := TFramedTransportImpl.Create( trans);
trns_Buffered : FTransport := TBufferedTransportImpl.Create( trans);
else
FTransport := trans;
end;
if not FTransport.IsOpen
then FTransport.Open;
case ptyp of
prot_Binary : result := TBinaryProtocolImpl.Create(trans);
prot_Compact : result := TCompactProtocolImpl.Create(trans);
prot_JSON : result := TJSONProtocolImpl.Create(trans);
else
ASSERT(FALSE);
end;
end;
function TPerformanceTests.GetProtocolTransportName(const ptyp : TKnownProtocol; const layered : TLayeredTransport) : string;
begin
case layered of
trns_Framed : result := ' + framed';
trns_Buffered : result := ' + buffered';
else
result := '';
end;
case ptyp of
prot_Binary : result := 'binary' + result;
prot_Compact : result := 'compact' + result;
prot_JSON : result := 'JSON' + result;
else
ASSERT(FALSE);
end;
end;
end.
| Pascal | 4 | Jimexist/thrift | lib/delphi/test/Performance/PerfTests.pas | [
"Apache-2.0"
] |
// Copyright 2012 The Go Authors. All rights reserved.
// Use of this source code is governed by a BSD-style
// license that can be found in the LICENSE file.
//go:build ppc64 || ppc64le
// +build ppc64 ppc64le
#include "textflag.h"
#include "funcdata.h"
#include "asm_ppc64x.h"
// The frames of each of the two functions below contain two locals, at offsets
// that are known to the runtime.
//
// The first local is a bool called retValid with a whole pointer-word reserved
// for it on the stack. The purpose of this word is so that the runtime knows
// whether the stack-allocated return space contains valid values for stack
// scanning.
//
// The second local is an abi.RegArgs value whose offset is also known to the
// runtime, so that a stack map for it can be constructed, since it contains
// pointers visible to the GC.
#define LOCAL_RETVALID 32+FIXED_FRAME
#define LOCAL_REGARGS 40+FIXED_FRAME
// The frame size of the functions below is
// 32 (args of callReflect) + 8 (bool + padding) + 296 (abi.RegArgs) = 336.
// makeFuncStub is the code half of the function returned by MakeFunc.
// See the comment on the declaration of makeFuncStub in makefunc.go
// for more details.
// No arg size here, runtime pulls arg map out of the func value.
TEXT ·makeFuncStub(SB),(NOSPLIT|WRAPPER),$336
NO_LOCAL_POINTERS
// NO_LOCAL_POINTERS is a lie. The stack map for the two locals in this
// frame is specially handled in the runtime. See the comment above LOCAL_RETVALID.
ADD $LOCAL_REGARGS, R1, R20
CALL runtime·spillArgs(SB)
MOVD R11, FIXED_FRAME+32(R1) // save R11
MOVD R11, FIXED_FRAME+0(R1) // arg for moveMakeFuncArgPtrs
MOVD R20, FIXED_FRAME+8(R1) // arg for local args
CALL ·moveMakeFuncArgPtrs(SB)
MOVD FIXED_FRAME+32(R1), R11 // restore R11 ctxt
MOVD R11, FIXED_FRAME+0(R1) // ctxt (arg0)
MOVD $argframe+0(FP), R3 // save arg to callArg
MOVD R3, FIXED_FRAME+8(R1) // frame (arg1)
ADD $LOCAL_RETVALID, R1, R3 // addr of return flag
MOVB R0, (R3) // clear flag
MOVD R3, FIXED_FRAME+16(R1) // addr retvalid (arg2)
ADD $LOCAL_REGARGS, R1, R3
MOVD R3, FIXED_FRAME+24(R1) // abiregargs (arg3)
BL ·callReflect(SB)
ADD $LOCAL_REGARGS, R1, R20 // set address of spill area
CALL runtime·unspillArgs(SB)
RET
// methodValueCall is the code half of the function returned by makeMethodValue.
// See the comment on the declaration of methodValueCall in makefunc.go
// for more details.
// No arg size here; runtime pulls arg map out of the func value.
TEXT ·methodValueCall(SB),(NOSPLIT|WRAPPER),$336
NO_LOCAL_POINTERS
// NO_LOCAL_POINTERS is a lie. The stack map for the two locals in this
// frame is specially handled in the runtime. See the comment above LOCAL_RETVALID.
ADD $LOCAL_REGARGS, R1, R20
CALL runtime·spillArgs(SB)
MOVD R11, FIXED_FRAME+0(R1) // arg0 ctxt
MOVD R11, FIXED_FRAME+32(R1) // save for later
MOVD R20, FIXED_FRAME+8(R1) // arg1 abiregargs
CALL ·moveMakeFuncArgPtrs(SB)
MOVD FIXED_FRAME+32(R1), R11 // restore ctxt
MOVD R11, FIXED_FRAME+0(R1) // set as arg0
MOVD $argframe+0(FP), R3 // frame pointer
MOVD R3, FIXED_FRAME+8(R1) // set as arg1
ADD $LOCAL_RETVALID, R1, R3
MOVB $0, (R3) // clear ret flag
MOVD R3, FIXED_FRAME+16(R1) // addr of return flag
ADD $LOCAL_REGARGS, R1, R3 // addr of abiregargs
MOVD R3, FIXED_FRAME+24(R1) // set as arg3
BL ·callMethod(SB)
ADD $LOCAL_REGARGS, R1, R20
CALL runtime·unspillArgs(SB)
RET
| GAS | 3 | rleungx/go | src/reflect/asm_ppc64x.s | [
"BSD-3-Clause"
] |
.bd-backers {
--spacing: 1.5rem;
padding-bottom: var(--spacing);
padding-top: var(--spacing);
}
.bd-backers-platforms {
@extend %bd-backers-section;
--spacing: 1.5rem;
padding: var(--spacing);
text-align: center;
}
.bd-backers-platform {
@extend %center;
.bd-backers-platform-logo {
@extend %center;
border-radius: $radius-large;
padding: var(--spacing);
transition-duration: $speed * 2;
transition-property: box-shadow;
&:hover {
box-shadow: 0 0 0 2px var(--color);
}
}
&.bd-is-patreon {
--color: var(--patreon);
grid-column: 2;
}
&.bd-is-github {
--color: var(--github);
grid-column: 3;
}
.bd-fat-button {
margin-top: 1em;
}
}
.bd-backers-heading {
@extend %center;
h2 {
background-color: var(--sponsor-light);
border-radius: $radius-large;
color: var(--sponsor);
font-size: 1em;
font-weight: $weight-semibold;
padding: 0.5em 1em;
}
}
.bd-backers-group {
@extend %bd-backers-section;
padding: var(--spacing);
text-align: center;
}
.bd-backers-list {
display: grid;
grid-gap: 1em;
&.bd-is-patreon {
grid-column: 2;
.icon-text {
color: $text-light;
font-size: 0.75em;
&.bd-is-twitter {
color: var(--twitter);
}
}
}
&.bd-is-github {
grid-column: 3;
}
}
.bd-tier {
align-items: center;
border-bottom: 2px solid $scheme-main-bis;
display: grid;
grid-gap: 1em;
padding: 1em;
}
.bd-tier-amount {
color: $text-strong;
font-size: 1.5em;
font-weight: $weight-semibold;
}
.bd-tier-frequency {
color: $text-light;
}
.bd-tiers-info {
--spacing: 1.5em;
background-color: $scheme-main-bis;
color: $text-light;
grid-gap: var(--spacing);
grid-template-columns: 1fr 1fr;
padding: var(--spacing);
strong {
color: currentColor;
}
p:not(:last-child) {
margin-bottom: 0.25em;
}
}
.bd-tiers-info-question {
justify-self: flex-end;
max-width: 15em;
}
.bd-tiers-info-answer {
max-width: 25em;
}
@include mobile {
.bd-backers-platform.bd-is-github {
margin-top: 3rem;
}
}
@include tablet {
%bd-backers-section {
display: grid;
grid-template-columns:
1fr calc(var(--container-width) / 2) calc(var(--container-width) / 2)
1fr;
}
.bd-backers {
background-image: linear-gradient(
90deg,
transparent calc(50% - 2px),
var(--sponsor-light) calc(50%),
transparent calc(50% + 2px)
);
}
.bd-tier {
grid-template-columns: 10em 8em 1fr;
}
.bd-tier-amount {
text-align: right;
}
.bd-tiers-info {
display: grid;
}
.bd-tiers-info-question {
text-align: right;
}
}
@include desktop {
.bd-backers,
.bd-tiers {
font-size: 1.125rem;
}
.bd-tiers-info {
--spacing: 3em;
}
}
@include widescreen {
.bd-backers,
.bd-tiers {
font-size: 1.25rem;
}
}
| SCSS | 4 | kalpitzeta/bulma | docs/_sass/pages/backers.scss | [
"MIT"
] |
a { value: 10\,x } | CSS | 0 | mengxy/swc | crates/swc_css_parser/tests/fixture/esbuild/misc/uxHrqNkMo_2PTuF8sIRQxA/input.css | [
"Apache-2.0"
] |
Library: unicode-data-generator
Files: library
ucd-constants
ucd-character
ucd-block
ucd-database
ucd-general-category
ucd-property
generate-names
unicode-data-generator
| Dylan | 4 | kryptine/opendylan | sources/app/unicode-data-generator/unicode-data-generator.lid | [
"BSD-2-Clause"
] |
export default {
warnings: [
{
code: 'css-unused-selector',
end: {
character: 111,
column: 21,
line: 8
},
frame: `
6: color: red;
7: }
8: a:global(.foo) > div {
^
9: color: red;
10: }
`,
message: 'Unused CSS selector "a:global(.foo) > div"',
pos: 91,
start: {
character: 91,
column: 1,
line: 8
}
}
]
};
| JavaScript | 4 | vatro/svelte | test/css/samples/global-with-child-combinator-2/_config.js | [
"MIT"
] |
#include "caffe2/operators/sin_op.h"
#include <algorithm>
#include <functional>
#include "caffe2/core/context_gpu.h"
namespace caffe2 {
namespace {
template <typename T>
__global__ void
SinGradientCUDAKernel(const int N, const T* dY, const T* X, T* dX) {
CUDA_1D_KERNEL_LOOP(i, N) {
#if __CUDA_ARCH__ >= 350
dX[i] = __ldg(dY + i) * cos(__ldg(X + i));
#else
dX[i] = dY[i] * cos(X[i]);
#endif
}
}
} // namespace
template <>
template <typename T>
bool SinGradientFunctor<CUDAContext>::Forward(
const std::vector<int>& X_dims,
const std::vector<int>& /* dY_dims */,
const T* X,
const T* dY,
T* dX,
CUDAContext* context) const {
const int size = std::accumulate(
X_dims.cbegin(), X_dims.cend(), 1, std::multiplies<int>());
SinGradientCUDAKernel<T>
<<<CAFFE_GET_BLOCKS(size),
CAFFE_CUDA_NUM_THREADS,
0,
context->cuda_stream()>>>(size, dY, X, dX);
C10_CUDA_KERNEL_LAUNCH_CHECK();
return true;
}
REGISTER_CUDA_OPERATOR(
Sin,
UnaryElementwiseOp<
TensorTypes<float>,
CUDAContext,
SinFunctor<CUDAContext>>);
REGISTER_CUDA_OPERATOR(
SinGradient,
BinaryElementwiseOp<
TensorTypes<float>,
CUDAContext,
SinGradientFunctor<CUDAContext>>);
} // namespace caffe2
| Cuda | 4 | Hacky-DH/pytorch | caffe2/operators/sin_op.cu | [
"Intel"
] |
/**
* @hint test component
*/
component {
/**
* @hint demo function validate
*/
public struct function validate( foo ) { //cflint ignore:ARG_TYPE_MISSING,ARG_DEFAULT_MISSING
// validation logic
if( isNull( arguments.foo ) ) {
arguments.foo = '';
}
return {
'foo' : arguments.foo,
};
}
}
| ColdFusion CFC | 4 | tonym128/CFLint | src/test/resources/com/cflint/tests/Ignores/args/test_497.cfc | [
"BSD-3-Clause"
] |
;; -*- lexical-binding: t; no-byte-compile: t; -*-
;;; lang/haskell/doctor.el
(assert! (or (not (featurep! +lsp))
(featurep! :tools lsp))
"This module requires (:tools lsp)")
(unless (executable-find "cabal")
(warn! "Couldn't find cabal. haskell-mode may have issues."))
(unless (executable-find "hoogle")
(warn! "Couldn't find hoogle. Documentation searching will not work."))
(unless (or (featurep! +lsp)
(executable-find "hlint"))
(warn! "Couldn't find hlint. Flycheck may have issues in haskell-mode.
Install it or enable +lsp."))
(when (and (featurep! :editor format)
(not (executable-find "brittany")))
(warn! "Couldn't find brittany. Code formatting will not work.
Install it or enable +lsp."))
(when (and (featurep! +lsp)
(not (executable-find "haskell-language-server-wrapper")))
(warn! "Couldn't find haskell-language-server."))
| Emacs Lisp | 3 | leezu/doom-emacs | modules/lang/haskell/doctor.el | [
"MIT"
] |
.video-modal {
height: calc(100vh - 20px);
}
@media (min-width: 768px) {
.video-modal {
width: 90%;
height: calc(100vh - 60px);
}
}
.video-modal .modal-content {
height: 100%;
display: flex;
flex-direction: column;
}
.video-modal-body {
flex-grow: 1;
display: flex;
flex-direction: column;
}
.video-modal iframe {
width: 100%;
margin-bottom: 0;
flex-grow: 1;
}
.video-modal p {
margin-bottom: 0;
}
| CSS | 4 | fcastillo-serempre/freeCodeCamp | client/src/templates/Challenges/components/video-modal.css | [
"BSD-3-Clause"
] |
package gw.specContrib.classes.enhancements.shadowingMore.javaVsGosu.fieldsVsProperties
enhancement Errant_ShadowingJavaFieldsWithPropertiesInEnhancements: Errant_JavaFieldsVsProperties {
//IDE-644
property get FieldInternal(): String {
return null
}
property get FieldPrivate(): String {
return null
}
property get FieldProtected(): String { //## issuekeys: ALREADY DEFINED
return null
}
property get FieldPublic(): String { //## issuekeys: ALREADY DEFINED
return null
}
//setters
property set FieldInternal(str1: String) {
}
property set FieldPrivate(str2: String) {
}
property set FieldProtected(str3: String) { //## issuekeys: ALREADY DEFINED
}
property set FieldPublic(str4: String) { //## issuekeys: ALREADY DEFINED
}
}
| Gosu | 3 | tcmoore32/sheer-madness | gosu-test/src/test/gosu/gw/specContrib/classes/enhancements/shadowingMore/javaVsGosu/fieldsVsProperties/Errant_ShadowingJavaFieldsWithPropertiesInEnhancements.gsx | [
"Apache-2.0"
] |
; inherits: cpp
[ "<<<" ">>>" ] @punctuation.bracket
[
"__local__"
"__shared__"
"__global__"
"__host__"
"__device__"
"__forceinline__"
"__restrict__"
"__launch_bounds__"
] @keyword
| Scheme | 2 | hmac/nvim-treesitter | queries/cuda/highlights.scm | [
"Apache-2.0"
] |
# INI with as many tricky parts as possible
# Most of them could not be used before 3.2
# This will be parsed with the following options
# delimiters = {'='}
# comment_prefixes = {'#'}
# allow_no_value = True
[DEFAULT]
go = %(interpolate)s
[strange]
values = that are indented # and end with hash comments
other = that do continue
in # and still have
other # comments mixed
lines # with the values
[corruption]
value = that is
actually still here
and holds all these weird newlines
# but not for the lines that are comments
nor the indentation
another value = # empty string
yet another # None!
[yeah, sections can be indented as well]
and that does not mean = anything
are they subsections = False
if you want subsections = use XML
lets use some Unicode = 片仮名
[another one!]
even if values are indented like this = seriously
yes, this still applies to = section "another one!"
this too = are there people with configurations broken as this?
beware, this is going to be a continuation
of the value for
key "this too"
even if it has a = character
this is still the continuation
your editor probably highlights it wrong
but that's life
# let's set this value so there is no error
# when getting all items for this section:
interpolate = anything will do
[no values here]
# but there's this `go` in DEFAULT
[tricky interpolation]
interpolate = do this
lets = %(go)s
[more interpolation]
interpolate = go shopping
lets = %(go)s
| Groff | 4 | shawwn/cpython | Lib/test/cfgparser.3 | [
"0BSD"
] |
;; CREDO model simulation
;; by Zvi Avraham <[email protected]>
;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
; Compound Interest formula
;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
;A = P*(1+r/n)^(n*t)
;A/P = (1+r/n)^(n*t)
;A/P = (1+r/365)^(365*1)
;A/P = (1+r/365)^365
;
;(A/P)^(1/365) = 1+r/365
;(A/P)^(1/365)-1 = r/365
;((A/P)^(1/365)-1)*365 = r
;
;
;P*(1+R) = P*(1+x)^365
;1+R = (1+x)^365
;
;(1+R)^(1/365) = 1+x
;(1+R)^(1/365)-1 = x
;x = (1+R)^(1/365)-1
;
;dailyInterest = (1 + annualInterest)^(1/365) - 1
;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
; Deriving daily probability to be slashed from annual probability to be slashed
;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
; P(slashed) + P(not_slashed) = 1
; P(not_slashed) = 1 - P(slashed)
;P(S_daily) - probability to be slashed per day
;P(S_annual) - probability to be slashed per year
;
;(1-P(S_daily))^365 = 1 - P(S_annual)
;1-P(S_daily) = (1 - P(S_annual))^(1/365)
;
;P(S_daily) = 1 - (1 - P(S_annual))^(1/365)
;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
; Model inputs
;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
;RRT% (Reserve Ratio Target) - 100,75,50,25,0
;BPs average annual ROI minus BP’s fees (in %) - 150,100,50,25,12,8,4
; TODO - market-direction
; TODO - investor1-strategy
;["market-direction" -2 -1 0 1 2]
;["investor1-investment-dai" 100000]
;["investor1-strategy" "random" "high-risk" "low-risk"]
;["market-direction" -1 0 1]
;["initial-rrt-pct" 100 75 50 25 0]
;["avg-bp-yield-minus-fees-pct" 4 8 12 25 50 100 150]
;["annual-prob-to-be-slashed-pct 0 0.25 1 3 5]
; at least 3 repetitions
; uncheck "measure on every step"
; 365 days in a year
; 365 * 24 = 8760 ; hours in a year
; 365 * 24 * 60 = 525600 ; minutes in a year
; TODO
; ask investor1 [set label "100K " set label-color white]
extensions [
cf
table
]
;; breeds - Agent Types
breed [investors investor ]
breed [depositors depositor]
breed [BPs BP ] ;; BPs - Block Producers
;; credos: are many-to-many links of type Investor -> BP,
;; each of them represent a loan taken by an investor from DCR and delegated to BP
directed-link-breed [credos credo]
depositors-own [
wallet-dai ; depositor's wallet on L1 in DAI
deposit-dai ; deposit in L2 in DAI
]
investors-own [
wallet-dai ; investor's wallet on L1 in DAI
deposit-dai ; deposit in L2 in DAI
; TODO - there is no capital-dai - only DET - DET-tokens
capital-dai ;
DET-tokens ; unstaked and unlocked DET received from initial sale and then maybe followup investments
;DET-tokens-staked ; DET tokens staked
;voting-related properties
suspended? ;
voted? ;
voted-to-change-RRT? ;
investor-strategy ;how investor allocates credos to BPs
investor-strategy-split-to-BPs ;between how many BPs to split credos?
]
; BPs - Block Producers
; In order to enable Alice and Bob to transact on L2, we must incentivize nodes to maintain and operate the sidechain. Their main incentive for producing a block is earning the transaction fees associated with that specific block in proportion to the size of their stake. This is how it works:
; 1. Miners stake W-DAI in L2 in order to participate in PrivaChain’s block production. They can obtain W-DAI by sending an equivalent amount of DAI to PrivaChain’s smart contract on L1.
; 2. The miner that produces the block receives its associated transaction fees.
; 3. The miner is incentivized to continue operating the sidechain, by producing blocks and winning the transaction fees. If the miner is unresponsive, its staked DAI is slashed.
BPs-own [
wallet-dai ; BP's wallet on L1 in DAI
deposit-dai ; deposit in L2 in DAI
; TODO - staking period ?
annual-staking-rewards-pct ; staking rewards in % paid by this BP
daily-staking-rewards-multiplier ; daily compounded staking rewards in 1+r (not %)
;management-fee-pct ; management fee in % taken by BP for their services
p-slashed-annual ; probability to be slashed per year
p-slashed-daily ; probability to be slashed [0..1) per day
BP-phase ; current BP phase
slashed-at ; when (at which tick) this BP was slashed
unslash-at ; when (at which tick) this BP will be unslashed
]
credos-own [
;end1 - investor agent who took this credo
;end2 - BP to which this credo is delegated
credo-phase ; current CREDO phase
credo-taken-at ; when (at which tick it was taken)
credo-due-at ; when (at which tick) need to be repaid
delete-after-repayment-at ; when to delete repaid credo link
DET-tokens-staked ; DET tokens staked on this credo
credos-dai ; loans given to holder of DET-tokens
interest-dai ; interest from delegated BPs
grace-period-due ; when (at which tick) grace period ends
]
globals [
; constants
TICKS-PER-DAY
TICKS-PER-YEAR
BLOCK-TIME-IN-TICKS
BLOCKS-PER-YEAR
; DCR colors
color-dcr-background
color-dcr-exsess-reserve
color-dcr-deposits
color-dcr-capital
; voting colors
color-investor-non-voting
color-investor-suspended
color-investor-voted-yes
color-investor-voted-no
dcr-viz-col1-dx ; DCR visualization width
dcr-viz-col2-dx ; DCR visualization width
BPs-hidden?
investor1-hidden?
DCR-phase
DCR-phase-init
DCR-phase-initial-DET-sale
DCR-phase-active
DCR-phase-vote-to-increase-RT
DCR-phase-vote-to-decrease-RT
DCR-phase-vote-to-cancel-decrease-RT
BP-phase-init
BP-phase-accumulating-stake
BP-phase-active
BP-phase-slashed
BP-slashing-period-days
credo-phase-no-loan ;no link
credo-phase-loan ;gray link
credo-phase-grace ;pink link
credo-phase-auction ;red link
credo-phase-collective-responsibility ;yellow link???
credo-phase-repaid ;green link
; limits
min-investment-dai
max-investment-dai
min-deposit-dai
max-deposit-dai
;TODO
; min-loan-dai
; max-loan-dai
min-annual-staking-rewards-pct
max-annual-staking-rewards-pct
; DET supply
DET-circulating-supply ;DET cicrculating supply
DET-for-investors ;DET supply divided between investors
DET-trading? ;Did DET token already started trading?
DET-usd-rate ;DET current exchange rate
DET-usd-current-delta ;DET current delta (used in random walk)
DET-slippage ;slippage when trading DET tokens, including commission/fees
DET-slippage-collective-responsibility
; voting-related
voted-to-lowering-RRT-at-tick ;Voted to decrease RT at tick
delayed-new-RRT-pct ;Delayed new decreased RT
sr-tab ; staking rewards table
investor-strategies ; ["random" "high-risk" "low-risk"]
investor1 ; a representative investor who's ROI we're measuring
;investor1-investment-dai
;investor1-strategy
;investor1-strategy-split-to-BPs
]
to set-constants
; constants
; hourly ticks
;set TICKS-PER-DAY 1
;set TICKS-PER-DAY 24 * 6
set TICKS-PER-DAY 24
set TICKS-PER-YEAR 365 * TICKS-PER-DAY
set BLOCK-TIME-IN-TICKS TICKS-PER-DAY
set BLOCKS-PER-YEAR 365 * (TICKS-PER-DAY / BLOCK-TIME-IN-TICKS)
set investor-strategies ["random" "high-risk" "low-risk"]
; DCR colors
set color-dcr-background black
set color-dcr-exsess-reserve gray
set color-dcr-deposits sky
set color-dcr-capital violet
; voting colors
set color-investor-non-voting gray
set color-investor-suspended yellow
set color-investor-voted-yes green
set color-investor-voted-no red
; DCR visualization bars' width
set dcr-viz-col1-dx 2
set dcr-viz-col2-dx 2
set BPs-hidden? false
set investor1-hidden? true ; init with true to avoid clicking button twice
; DCR phases
set DCR-phase-init 0
set DCR-phase-initial-DET-sale 1
set DCR-phase-active 2
set DCR-phase-vote-to-increase-RT 3
set DCR-phase-vote-to-decrease-RT 4
set DCR-phase-vote-to-cancel-decrease-RT 5
; BP phases
; set BP-phase-init 0
; set BP-phase-accumulating-stake 1
; set BP-phase-active 2
; set BP-phase-slashed 3
set BP-phase-init "init"
set BP-phase-accumulating-stake "accumulating"
set BP-phase-active "active"
set BP-phase-slashed "slashed"
; TODO - make a slider or input?
set BP-slashing-period-days 14
;credo phases
; set credo-phase-no-loan 0
; set credo-phase-loan 1
; set credo-phase-grace 2
; set credo-phase-auction 3
; set credo-phase-collective-responsibility 4
; set credo-phase-repaid 5
set credo-phase-no-loan "no-loan"
set credo-phase-loan "loan"
set credo-phase-grace "grace"
set credo-phase-auction "auction"
set credo-phase-collective-responsibility "collective-responsibility"
set credo-phase-repaid "repaid"
; limits
set min-investment-dai 1000 ; 1K DAI
set max-investment-dai 1000000 ; 1M DAI
set min-deposit-dai 0.01 ; 0.01 DAI
set max-deposit-dai 1000000000 ; 100M DAI
;TODO
; set min-loan-dai 100
; set max-loan-dai 1000000
set min-annual-staking-rewards-pct 0.1
set max-annual-staking-rewards-pct 300
set DET-slippage 0.05 ; 5% slippage for single credo liquidation
set DET-slippage-collective-responsibility 0.15 ; 15% slippage for collective responsibility
end
;"Livepeer" "Loki" "BOScoin" "OKCash" "PIVX" "Decred" "Dash" "Tezos" "Ethereum PoS" "Cardano"
to fill-sr-table
set sr-tab table:make
; 0 1 2 3 4 5 6 7
; name symbol $mktcap $last-price staking-yield% min-pos min-mn risk score%
table:put sr-tab "Livepeer" ["LPT" 62527107 6.03 155.47 1 40542 "moderate" 88.00 ]
table:put sr-tab "Loki" ["LOKI" 7071696 0.184 41.34 7295.56 32774 "moderate" 77.00 ]
table:put sr-tab "BOScoin" ["BOS" 11690519 0.0261 32.47 10000 40000 "very risky" 32.47 ] ; "not rated"
table:put sr-tab "OKCash" ["OK" 1114665 0.015 28.15 1 1 "very risky" 66.00 ]
table:put sr-tab "PIVX" ["PIVX" 47567118 0.803 12.61 1 10000 "stable" 77.00 ]
table:put sr-tab "Decred" ["DCR" 167408239 17.95 10.56 122.70568581 122.70568581 "stable" 90.75 ] ; no MN?
table:put sr-tab "Dash" ["DASH" 787843451 91.11 8.60 1000 1000 "very stable" 74.25 ]
table:put sr-tab "Tezos" ["XTZ" 356360531 0.454 7.73 1 10000 "stable" 82.50 ]
table:put sr-tab "Ethereum PoS" ["ETH" 17000257450 162.12 4.21 32 32 "moderate" 85.00 ] ; no MN, "not rated"
table:put sr-tab "Cardano" ["ADA" 1512872878 0.0485 3.70 10000 10000 "moderate" 85.00 ] ; no MN, "not rated"
end
;"very stable" 0.25
;"stable" 0.50
;"moderate" 2.00
;"risky" 5.00
;"very risky" 8.00
;"not rated" 2.00
; convert risk rating from string to percentage
to-report risk-to-prob-to-be-slashed-pct [risk]
report
(cf:ifelse-value
risk = "very stable" [0.25]
risk = "stable" [0.50]
risk = "moderate" [2.00]
risk = "risky" [5.00]
risk = "very risky" [8.00]
risk = "not rated" [2.00]
)
end
to setup-sr-table
fill-sr-table
ifelse pos-example = "Use average BP yield minus fees" [
set min-investor-stake-dai 1
set min-bp-stake-dai 10000
set staking-rewards-avg avg-bp-yield-minus-fees-pct
set staking-rewards-stddev precision (staking-rewards-avg * 0.1) 2 ; stddev=10%
] [
let row table:get sr-tab pos-example
;print (word "Predefined staking parameters: " row)
let last-price item 2 row
let sr item 3 row
let min-pos (item 4 row) * last-price
let min-mn (item 5 row) * last-price
let risk (item 6 row)
;let score (item 7 row) / 100
;TODO
set min-investor-stake-dai precision min-pos 2
set min-bp-stake-dai precision min-mn 2
set avg-bp-yield-minus-fees-pct sr
set staking-rewards-avg sr
set staking-rewards-stddev precision (sr * 0.1) 2 ; stddev=10%
set annual-prob-to-be-slashed-pct (risk-to-prob-to-be-slashed-pct risk)
]
end
to-report DCR-total
report DCR-capital + DCR-deposits
end
to-report DCR-capital
report sum [capital-dai] of investors
end
to-report DCR-deposits
; TODO: do we take into a/c deposits from BPs as part of DCR?
;report (sum [deposit-dai] of depositors)
;report (sum [deposit-dai] of depositors) + (sum [deposit-dai] of BPs) + (sum [deposit-dai] of investors)
report (sum [deposit-dai] of turtles)
end
; Reserve Ratio (RR)
to-report reserve-ratio
let deposits DCR-deposits
let reserves DCR-capital + deposits
let liabilities (sum [credos-dai] of credos) + deposits
if-else liabilities = 0 [
report 1 ; TODO
] [
report reserves / liabilities
]
end
;; ER = Capital + (100% - RR%)/100*Deposits
;; MaxCredos = StakedDETTokens/TotalDETTokens * ER
;; Excess Reserve (L) - maximum total credos that can be given to DET tokens holders
;to-report excess-reserve
; let reserves (sum [capital-dai + deposit-dai] of investors)
; let deposits-only (sum [deposit-dai] of investors)
; let RR reserve-ratio
; report (reserves - RR * deposits-only) / RR
;end
;; ER = Capital + (100% - RR%)/100*Deposits
to-report excess-reserve
report (DCR-capital + (100 - RRT-pct) / 100 * DCR-deposits)
end
;; total DET tokens investors have
to-report DET-held-by-investors
report sum [DET-tokens] of investors
end
to-report DET-staked
report sum [DET-tokens-staked] of credos
end
;; percentage of DET tokens staked
to-report DET-staked-pct
; TODO: QUESTION
;report DET-staked / DET-circulating-supply
report DET-staked / DET-for-investors
end
to-report total-interest-dai
;report (sum [credos-dai + interest-dai] of credos) + (sum [deposit-dai] of investors)
report (sum [interest-dai] of credos) + (sum [deposit-dai] of investors)
end
;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
to setup
clear-all
clear-all-plots
clear-output
repeat 15 [ print "" ]
;seed RNG with time
random-seed new-seed
set-constants
fill-sr-table
__change-topology false false
set-patch-size 14
;resize-world min-pxcor max-pxcor min-pycor max-pycor
resize-world -16 (16 + dcr-viz-col1-dx + dcr-viz-col2-dx) -16 16
set voted-to-lowering-RRT-at-tick 0
set delayed-new-RRT-pct 0
set DET-trading? false
set DET-usd-rate DET-initial-price-dai
set DET-usd-current-delta 0
;set DCR-phase DCR-phase-init
set DCR-phase DCR-phase-initial-DET-sale
set RRT-pct initial-RRT-pct
;; DET supply
set DET-circulating-supply DET-initial-supply
set DET-for-investors DET-circulating-supply * DET-investors-allocation-pct / 100
; validations
; stddev can't be larger than avg/3 (i.e. six signma)
validate-staking-rewards-sliders
;; set default shapes for breeds
set-default-shape depositors "person"
;set-default-shape investors "circle"
;set-default-shape investors "person business"
set-default-shape investors "person"
set-default-shape BPs "box"
create-depositors num-depositors [
set hidden? true
;set shape "person"
let x (random-float (max-pxcor - min-pxcor - 2 - dcr-viz-col1-dx - dcr-viz-col2-dx)) + min-pxcor
setxy x random-ycor
; 10K+/-1K
set wallet-dai (clamp-normal 1 100000 10000 1000)
set deposit-dai 0
]
create-investors num-investors [
set hidden? false
let x (random-float (max-pxcor - min-pxcor - 2 - dcr-viz-col1-dx - dcr-viz-col2-dx)) + min-pxcor
setxy x random-ycor
set color color-investor-non-voting
;set shape "circle"
; TODO
;set size (log (wallet-dai / (2 * 3.1415926)) 10) * 0.3
set size 1.5
; 100K+/-10K
set wallet-dai (clamp-normal 1000 1000000 100000 10000)
set deposit-dai 0
; TODO - there is no capital-dai - only DET - DET-tokens
set capital-dai 0
set DET-tokens 0
;set DET-tokens-staked 0
set suspended? false
set voted? false
set voted-to-change-RRT? false
set investor-strategy one-of investor-strategies
;set investor-strategy-split-to-BPs one-of [1 2 3]
set investor-strategy-split-to-BPs (random investor-strategy-split-to-BPs-max) + 1
]
; remember representative investor who's ROI we're measuring
set investor1 one-of investors
ask investor1 [
set color white
set size 2
set wallet-dai investor1-investment-dai
set investor-strategy investor1-strategy
set investor-strategy-split-to-BPs investor1-strategy-split-to-BPs
]
; set staking reward before creating BPs
setup-sr-table
create-BPs num-BPs [
set hidden? false
set color yellow
;set shape "box"
let x (random-float (max-pxcor - min-pxcor - 2 - dcr-viz-col1-dx - dcr-viz-col2-dx)) + min-pxcor
setxy x random-ycor
; 20K+/-2K
set wallet-dai (clamp-normal 1 200000 20000 2000)
set deposit-dai 0
set BP-phase BP-phase-init
;set BP-phase BP-phase-accumulating-stake
; choose random normally distributed staking rewards %
let sr (clamp-normal min-annual-staking-rewards-pct max-annual-staking-rewards-pct staking-rewards-avg staking-rewards-stddev)
set annual-staking-rewards-pct sr
set label (word (precision sr 1) "% ")
; risk-multiplier = this-BP-yield / avg-BP-yield
let risk-multiplier sr / staking-rewards-avg
;adjust min/max p
let p-slashed-annual-unadjusted-for-risk (clamp-normal 0.001 0.11 (annual-prob-to-be-slashed-pct / 100) (annual-prob-to-be-slashed-pct / 1000))
; P(slashing-this-BP) = risk-multiplier * P(slashing avg)
set p-slashed-annual (clamp 0.0 1.0 (p-slashed-annual-unadjusted-for-risk * risk-multiplier))
;;P(S_daily) = 1 - (1 - P(S_annual))^(1/365)
set p-slashed-daily (1 - (1 - p-slashed-annual) ^ (1 / BLOCKS-PER-YEAR))
;print (word "risk-multiplier: " risk-multiplier ", p-slashed-annual-unadjusted-for-risk: " p-slashed-annual-unadjusted-for-risk", p-slashed-annual: " p-slashed-annual )
;dailyInterest = (1 + annualInterest)^(1/365) - 1
;let sr (1 + annual-staking-rewards-pct / 100) ^ (1 / 365) - 1
set daily-staking-rewards-multiplier (1 + annual-staking-rewards-pct / 100) ^ (1 / BLOCKS-PER-YEAR)
]
; layout agents for nicer visualization
layout-circle investors 13
layout-circle BPs 8
; shift everyone to the left, to not overlap with DCR visualization
ask turtles with [breed = investors or breed = BPs] [
set heading -90
fd 3
]
reset-ticks
end
;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
;; main loop of the simulation
;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
to go
if ticks = 0 [
print "CREDO simulation started"
print (word "There are " num-investors " investors, " num-BPs " BPs and " num-depositors " depositors")
]
;TODO - need to handle bad credos
; if (ticks > 3 * TICKS-PER-YEAR) and (not any? credos) [
if (ticks > 4 * TICKS-PER-YEAR) [
clear-links
print "stopping simulation after 3 yrs"
stop
]
if ticks = 0 [
canvas-label "Inital RRT set to 100%"
set RRT-pct 100
;put-max-investments-and-deposits
]
if ticks = 2 * TICKS-PER-YEAR [
canvas-label "Changing RRT to 90%"
set RRT-pct 90
]
if ticks = 3 * TICKS-PER-YEAR [
canvas-label "Changing RRT to 75%"
set RRT-pct 75
]
if ticks = 25 * TICKS-PER-DAY [
canvas-label "Investors inject capital into DCR"
]
if (25 * TICKS-PER-DAY <= ticks) and (ticks < 195 * TICKS-PER-DAY) and (ticks mod 10 = 0) [
;investors-invest-loop
investors-invest
]
if ticks = 195 * TICKS-PER-DAY [
set DET-trading? true
canvas-label "Depositors make deposits into DCR"
]
if (195 * TICKS-PER-DAY <= ticks) and (ticks < 365 * TICKS-PER-DAY) and (ticks mod 10 = 0) [
;depositors-deposit-loop
depositors-deposit
]
if ticks = TICKS-PER-YEAR [
ask BPs [
set BP-phase BP-phase-accumulating-stake
]
canvas-label "Investors take loans and delegate them to BPs"
]
draw-DCR DCR-capital DCR-deposits excess-reserve
DET-update-price
; TODO - phases
; ;if ([DET-tokens-staked > 0] of investor1) and (investor1-total <= 0) [
; if ([any? my-out-credos] of investor1) and (investor1-total <= 0) [
; ;TODO: print investor1-ROI
; print (word "Investor1 ROI:" investor1-total)
; stop
; ]
if (ticks > TICKS-PER-YEAR) and (ticks mod BLOCK-TIME-IN-TICKS = 0) [
check-for-delayed-voting
BPs-pay-interest
investors-take-max-loans-prob 10;%
]
check-for-loans-due
tick
end
;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
;; utility reporters
;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
to-report clamp [min_ max_ x]
if x < min_ [ report min_ ]
if x > max_ [ report max_ ]
report x
end
to-report clamp-normal [min_ max_ avg_ stddev_]
if stddev_ > (avg_ / 3) [ set stddev_ (avg_ / 3) ]
let x (random-normal avg_ stddev_)
if x < min_ [ report min_ ]
if x > max_ [ report max_ ]
report x
end
;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
;; Agent: depositors
;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
; version of depositors-deposit, which runs until no more new deposits can be made
to depositors-deposit-loop
while [ sum [wallet-dai] of depositors > 0 ] [
depositors-deposit
]
end
to depositors-deposit
;pre-conditions
if deposit-stddev > deposit-avg / 3 [
;print (word "*** deposit-stddev > deposit-avg")
set deposit-stddev precision (deposit-avg / 3) 2
]
if num-depositors + num-BPs = 0 [ print "combined number of depositors and BPs is 0 - please increase!" stop ]
if deposit-avg = 0 [ print "Average deposit is 0 - please increase!" stop ]
if depositors-deposit-pct = 0 [ print "depositors-deposit-pct = 0 - please increase!" stop ]
; TODO: deposits are not related to the current DCR phase?
;ask turtles with [breed = depositors or breed = BPs or breed = investors] [
;ask turtles with [breed = depositors or breed = BPs] [
ask depositors [
if (random-float 1.0) < (depositors-deposit-pct / 100) [
let amt (clamp-normal min-deposit-dai max-deposit-dai deposit-avg deposit-stddev)
if amt > wallet-dai [
set amt wallet-dai
]
set wallet-dai wallet-dai - amt
set deposit-dai deposit-dai + amt
]
]
end
to depositors-withdraw
;pre-conditions
if deposit-stddev > deposit-avg / 3 [
;print (word "*** deposit-stddev > deposit-avg")
set deposit-stddev precision (deposit-avg / 3) 2
]
; TODO: withdrawals are not related to the current DCR phase?
; TODO - this may trigger various events in DCR
ask depositors [
if (random-float 1.0) < (depositors-withdraw-pct / 100) [
; TODO - maybe determine amount to withdraw based on the amount already deposited, instead of random distribution?
let amt (clamp-normal min-deposit-dai max-deposit-dai deposit-avg deposit-stddev)
if amt > deposit-dai [
set amt deposit-dai
]
set deposit-dai deposit-dai - amt
set wallet-dai wallet-dai + amt
]
]
; TODO - this may trigger various events in DCR
end
to depositors-withdraw-everything
; TODO: withdrawals are not related to the current DCR phase?
; TODO - this may trigger various events in DCR
ask depositors [
; NOTE: don't switch the order!
set wallet-dai wallet-dai + deposit-dai
set deposit-dai 0
]
; TODO - this may trigger various events in DCR
end
;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
;; Agent: investors
;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
; make maximum investments and deposits
to put-max-investments-and-deposits
investors-invest-loop
depositors-deposit-loop
set DET-trading? true
end
; version of investors-invest, which runs until no more new investments can be made
to investors-invest-loop
while [ sum [wallet-dai] of investors > 0 ] [
investors-invest
]
end
to investors-invest
;pre-conditions
if investment-stddev > investment-avg / 3 [
;print (word "*** investment-stddev > investment-avg")
set investment-stddev (precision (investment-avg / 3) 2)
]
;if DCR-phase = DCR-phase-open-to-investments and DCR-total < hard-cap [
ask investors [
;;TODO
;if self = investor1 [
; print who
;]
if (random-float 1.0) < (investors-invest-pct / 100) [
let amt (clamp-normal min-investment-dai max-investment-dai investment-avg investment-stddev)
if amt > wallet-dai [
set amt wallet-dai
]
set wallet-dai wallet-dai - amt
set capital-dai capital-dai + amt
;let DET-token-price 1.0 ; TODO
;set DET-tokens (investment-amt * DET-token-price)
; TODO - respect the cap
; TODO - check if this formula is wrong using DET supply graph
; TODO - which DET price to use for followup investments?
let new-DET-tokens (amt / DET-initial-price-dai)
set DET-tokens (DET-tokens + new-DET-tokens)
;set DET-for-investors (DET-for-investors - new-DET-tokens)
;set DET-circulating-supply (DET-circulating-supply + new-DET-tokens)
]
]
end
;to investors-take-loans
; ;pre-conditions
; if loan-stddev > loan-avg / 3 [
; ;print (word "*** loan-stddev > loan-avg")
; set loan-stddev (precision (loan-avg / 3) 2)
; ]
;
; ;if DCR-phase = DCR-phase-open-to-investments and DCR-total < hard-cap [
; ask investors [
; if (random-float 1.0) < (investors-take-loans-pct / 100) [
; let amt (clamp-normal min-loan-dai max-loan-dai loan-avg loan-stddev)
;
; if amt > DET-tokens [
; set amt DET-tokens
; ]
; set DET-tokens (DET-tokens - amt)
; set DET-tokens-staked (DET-tokens-staked + amt)
;
; ; TODO - check if there is enough ER
;
; ; TODO - convert DET tokens to pro-rata DAI
; set credos-dai amt
;
; ; delegate to BP
; ; TODO ...
; ]
; ]
;end
to investors-take-max-loans
investors-take-max-loans-prob investors-take-loans-pct
end
to investors-take-max-loans-prob [prob-take-loan-pct]
;if DCR-phase = DCR-phase-open-to-investments and DCR-total < hard-cap [
ask investors [
if ((random-float 1.0) < (prob-take-loan-pct / 100)) [
; if we still can take credos - i.e. any DET left
if (DET-tokens > 0) [
; how much credos can we take assuming we stake all our unstaked/unlocked DET tokens
let credos-dai_ excess-reserve * (DET-tokens / DET-circulating-supply)
let delegated-to-BPs []
let non-slashed-BPs BPs with [BP-phase = BP-phase-active or BP-phase = BP-phase-accumulating-stake]
let nBPs min (list investor-strategy-split-to-BPs (count non-slashed-BPs))
; TODO take into a/c minimum stake for investor
while [(nBPs > 0) and ((credos-dai_ / nBPs) < min-investor-stake-dai)] [
print (word "nBPs=" nBPs ", credos-dai_=" credos-dai_ ", credos-dai_/nBPs=" (credos-dai_ / nBPs))
set nBPs nBPs - 1
]
; min investor stake is too high!
if nBPs <= 0 [
print (word "min-investor-stake-dai (" min-investor-stake-dai ") is too high!")
stop
]
if investor-strategy = "random" [
; delegate to a random BP
set delegated-to-BPs n-of nBPs non-slashed-BPs
]
if investor-strategy = "high-risk" [
; delegate to the top paying BP (heighest percieved probability to be slashed)
set delegated-to-BPs max-n-of nBPs non-slashed-BPs [annual-staking-rewards-pct] ; TODO - MINUS management-fee-pct
]
if investor-strategy = "low-risk" [
; delegate to the most safe BP (least percieved probability to be slashed)
;set delegated-to-BPs min-n-of nBPs non-slashed-BPs [p-slashed-daily]
set delegated-to-BPs min-n-of nBPs non-slashed-BPs [annual-staking-rewards-pct] ; TODO - MINUS management-fee-pct
]
let nBPs-actual (count delegated-to-BPs)
let DET-tokens-before-staking DET-tokens
set DET-tokens 0
ask delegated-to-BPs [
;create directed link of breed credos from this investor to chosen delegated BP
;here myself is investor and self is BP
;create credo from myself/Investor to self/BP
let thickness_ ifelse-value (myself = investor1) [0.2] [0.1]
create-credo-from myself [
set thickness thickness_
; TODO - check if there is enough ER
; TODO - convert DET tokens to pro-rata DAI
set credo-phase credo-phase-loan
set credos-dai (credos-dai_ / nBPs-actual)
set DET-tokens-staked (DET-tokens-before-staking / nBPs-actual)
set credo-taken-at ticks
set credo-due-at ticks + max-loan-period-days * TICKS-PER-DAY
set interest-dai 0
]
]
]
]
]
end
;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
;; credos - find loans due pay
;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
to check-for-loans-due
ask credos [
if (credo-phase = credo-phase-repaid) and (ticks >= delete-after-repayment-at) [
;TODO
; return money to investor
let DET-tokens-staked2 DET-tokens-staked
let interest-dai2 interest-dai
ask end1 [
;print (word "*** repaid " DET-tokens-staked2 " " interest-dai2)
set DET-tokens DET-tokens + DET-tokens-staked2
;TODO
set deposit-dai deposit-dai + interest-dai2
]
set DET-tokens-staked 0
set interest-dai 0
die
]
if (credo-phase = credo-phase-loan) and (ticks >= credo-due-at) [
if-else (random-float 1.0) < (prob-loan-repayment-pct / 100) [
set credo-phase credo-phase-repaid
set color credo-phase-to-color credo-phase
set delete-after-repayment-at ticks + 14 * TICKS-PER-DAY
] [
set credo-phase credo-phase-grace
set color credo-phase-to-color credo-phase
set grace-period-due ticks + grace-period-days * TICKS-PER-DAY
]
]
if (credo-phase = credo-phase-grace) and (ticks >= grace-period-due) [
if-else (random-float 1.0) < (prob-repayment-grace-pct / 100) [
set credo-phase credo-phase-repaid
set color credo-phase-to-color credo-phase
set delete-after-repayment-at ticks + 14 * TICKS-PER-DAY
] [
set credo-phase credo-phase-auction
set color credo-phase-to-color credo-phase
]
]
if credo-phase = credo-phase-auction [
let DET-usd-rate-adjusted (DET-usd-rate * (1 + DET-slippage))
let max-collateral-dai DET-tokens-staked * DET-usd-rate-adjusted
if-else max-collateral-dai > credos-dai [
; sell DET tokens collateral
set DET-tokens-staked DET-tokens-staked - credos-dai / DET-usd-rate-adjusted
set credos-dai 0
print (word "Credo " self ": collateral " (precision DET-tokens-staked 2) " DET tokens were auctioned - loan fully repaid")
; switch credo to repaid phase
set credo-phase credo-phase-repaid
set color credo-phase-to-color credo-phase
set delete-after-repayment-at ticks + 14 * TICKS-PER-DAY
] [
; sell all collateral DET tokens and recover part of the credos loan
print (word "Credo " self ": collateral " (precision DET-tokens-staked 2) " DET tokens were auctioned - loan partially repaid")
set credos-dai credos-dai - max-collateral-dai
set DET-tokens-staked 0
; TODO - TEMPORARY - use repaid instead of collective responsibility
; switch credo to repaid phase
set credo-phase credo-phase-repaid
set color credo-phase-to-color credo-phase
set delete-after-repayment-at ticks + 14 * TICKS-PER-DAY
; set credo-phase credo-phase-collective-responsibility
; set color credo-phase-to-color credo-phase
; set delete-after-repayment-at ticks + 14 * TICKS-PER-DAY
]
]
if credo-phase = credo-phase-collective-responsibility [
; split the remaining credos-dai between all DET holders
let DET-usd-rate-adjusted (DET-usd-rate * (1 + DET-slippage-collective-responsibility))
let DET-tokens-to-sell (credos-dai / DET-usd-rate-adjusted)
let num-investors-with-DET (count other investors)
let total-DET-tokens-staked (sum [sum [DET-tokens-staked] of my-out-credos] of other investors)
if-else (num-investors-with-DET > 0) and (total-DET-tokens-staked * DET-usd-rate-adjusted <= credos-dai) [
let DET-to-forfeit-from-each-investor (DET-tokens-to-sell / num-investors-with-DET)
print (word "*** Collective Responsibility phase - forefeiting " DET-to-forfeit-from-each-investor " staked DET from each investor. Total " DET-tokens-to-sell " DET")
ask other investors [
let num-credos (count my-out-credos with [DET-tokens-staked > 0])
if num-credos > 0 [
let DET-to-forfeit-per-credo (DET-to-forfeit-from-each-investor / num-credos)
ask my-out-credos with [DET-tokens-staked > 0] [
set DET-tokens-staked (DET-tokens-staked - DET-to-forfeit-per-credo)
if DET-tokens-staked < 0 [
set DET-tokens-staked 0
]
]
]
]
set credo-phase credo-phase-repaid
set color credo-phase-to-color credo-phase
set delete-after-repayment-at ticks + 14 * TICKS-PER-DAY
] [
;TODO - NOT IMPLEMENTED
;TODO - liquidation?
print "*** DCR Liquidation phase"
]
]
]
end
;; convert credo phase to color
to-report credo-phase-to-color [phase]
report
(cf:ifelse-value
phase = credo-phase-repaid [green ]
phase = credo-phase-no-loan [black ]
phase = credo-phase-loan [gray ]
phase = credo-phase-grace [yellow]
phase = credo-phase-auction [red ]
phase = credo-phase-collective-responsibility [orange]
)
end
;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
;; BPs - Block Producers
;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
;; toggle show/hide BPs and credos links from Investors to BPs
to show-hide-BPs
set BPs-hidden? (not BPs-hidden?)
ask BPs [
set hidden? BPs-hidden?
ask my-in-credos [
set hidden? BPs-hidden?
]
]
;ask credos [
; set hidden? BPs-hidden?
;]
end
to show-hide-investor1
set investor1-hidden? (not investor1-hidden?)
ifelse investor1-hidden? [
; show all investors1, BPs and credos
ask investors [set hidden? false]
ask BPs [set hidden? false]
ask credos [set hidden? false]
] [
; first hide all investors1, BPs and credos
ask investors [set hidden? true]
ask BPs [set hidden? true]
ask credos [set hidden? true]
; then show only BPs and credos for investor1
ask investor1 [
set hidden? false
;all BPs this investor delegated credos to
ask out-credo-neighbors [set hidden? false]
;all credos taken and delegated by this investor to BPs
ask my-out-credos [set hidden? false]
]
]
end
to-report investor1-total
let total-dai 0
ask investor1 [
;all credos taken and delegated by this investor to BPs
set total-dai deposit-dai + (sum [interest-dai] of my-out-credos)
]
report total-dai
end
to-report investor1-ROI
let ROI [
ifelse-value (capital-dai = 0)
[0]
[(deposit-dai + (sum [interest-dai] of my-out-credos)) / capital-dai]
] of investor1
report precision (ROI * 100) 2
end
to-report investors-aggregated-ROI
let total-capital sum [capital-dai] of investors
let total-interest (sum [deposit-dai] of investors) + (sum [interest-dai] of credos)
let ROI ifelse-value (total-capital = 0) [0] [total-interest / total-capital]
report precision (ROI * 100) 2
end
;to test1
; ask credos [] ;all credos
;
; ask investors [
; ask out-credo-neighbors [] ;all BPs this investor delegated credos to
; ask my-out-credos [] ;all credos taken and delegated by this investor to BPs
; ]
;
; ask BPs [
; ask in-credo-neighbors [] ;all investors delegated credo to this BP
; ask my-in-credos [] ;all credos links delegated to this BP
; ]
;end
to validate-staking-rewards-sliders
; stddev can't be larger than third of the mean (i.e. 6 sigma)
if staking-rewards-stddev > staking-rewards-avg / 3 [
set staking-rewards-stddev (staking-rewards-avg / 3)
]
end
; TODO: interest -> staking rewards
to BPs-pay-interest
;validate-staking-rewards-sliders
ask BPs [
let stake-dai BPs-stake
; went below min stake requirement - switch to ACCUMULATING phase
(cf:ifelse
((BP-phase = BP-phase-accumulating-stake) and (stake-dai < min-bp-stake-dai)) [
set shape "box"
set color gray
]
((BP-phase = BP-phase-accumulating-stake) and (stake-dai >= min-bp-stake-dai)) [
set BP-phase BP-phase-active
set shape "box"
set color yellow
print (word "BP " who " accumulated stake of " (precision stake-dai 0) " DAI. Switching to ACTIVE - started reward payouts")
]
; check if need to be unslashed
((BP-phase = BP-phase-slashed) and (ticks > unslash-at) and (stake-dai < min-bp-stake-dai)) [
set shape "fire"
set color red
set BP-phase BP-phase-accumulating-stake
set slashed-at 0
set unslash-at 0
]
((BP-phase = BP-phase-slashed) and (ticks > unslash-at) and (stake-dai >= min-bp-stake-dai)) [
set shape "box"
set color yellow
set BP-phase BP-phase-active
set slashed-at 0
set unslash-at 0
]
; TODO - BP oscilating bug (maybe not a bug)
((BP-phase = BP-phase-active) and (stake-dai < min-bp-stake-dai)) [
set BP-phase BP-phase-accumulating-stake
set shape "box"
set color gray
print (word "BP " who " stake " (precision stake-dai 0) " DAI went below min requirement. Switched to ACCUMULATING - no reward payouts")
]
; if this BP is active, let's check if it's randomly slashed
(BP-phase = BP-phase-active) [
BP-active
]
)
]
end
to BP-active
; if this BP been slashed
if-else (random-float 1.0) < p-slashed-daily [
set shape "fire"
set color red
set BP-phase BP-phase-slashed
set slashed-at ticks
set unslash-at ticks + BP-slashing-period-days * TICKS-PER-DAY
let total-slashed deposit-dai
set deposit-dai 0
; let total-stake 0
; if-else staking-compounding? [
; set total-stake sum [credos-dai + interest-dai] of credos
; ] [
; set total-stake sum [credos-dai] of credos
; ]
;; ask all incoming credos links to this BP
ask my-in-credos [
set color (credo-phase-to-color credo-phase)
if-else staking-compounding? [
set total-slashed total-slashed + (credos-dai + interest-dai)
set credos-dai 0
set interest-dai 0
] [
set total-slashed total-slashed + credos-dai
set credos-dai 0
]
;die ; kill this credo link
]
ask my-in-credos [
;if credo-phase = credo-phase-collective-responsibility [
;if credo-phase = credo-phase-repaid or credo-phase = credo-phase-auction [
if credo-phase = credo-phase-repaid [
;TODO
die
]
]
print (word "BP " who " is slashed. Total stake lost: " (precision total-slashed 0) " DAI, # credo loans recalled: " count my-in-credos)
] [
; does this BP has a minimum stake?
; TODO - check that not slashed
let all-delegated-credos-dai sum [credos-dai + interest-dai] of my-in-credos
ifelse (deposit-dai + all-delegated-credos-dai) < min-bp-stake-dai [
set BP-phase BP-phase-accumulating-stake
;this BP has no minimum stake
set shape "box"
set color gray
] [
set BP-phase BP-phase-active
set shape "box"
set color yellow
let dsrm daily-staking-rewards-multiplier
;TODO
;set deposit-dai deposit-dai * dsrm
;; ask all incoming credos links to this BP
ask my-in-credos [
if-else staking-compounding? [
;compounding interest
set interest-dai interest-dai + (credos-dai + interest-dai) * (dsrm - 1)
] [
;non-compounding interest
set interest-dai interest-dai + credos-dai * (dsrm - 1)
]
]
]
]
end
;calculate stake of this BP
to-report BPs-stake
let all-credos-dai 0
;; my-in-credos - all incoming credos links to this BP
if-else staking-compounding? [
set all-credos-dai (sum [credos-dai + interest-dai] of my-in-credos)
] [
set all-credos-dai (sum [interest-dai] of my-in-credos)
]
report deposit-dai + all-credos-dai
end
; TODO - calculate total stake of BP
to-report total-BPs-stake
let all-credos-dai 0
;TODO
;ask BPs with [BP-phase != BP-phase-slashed] [
;ask BPs with [BP-phase = BP-phase-active or BP-phase = BP-phase-accumulating-stake] [
ask BPs [
;; my-in-credos - all incoming credos links to this BP
if-else staking-compounding? [
set all-credos-dai (sum [credos-dai + interest-dai] of my-in-credos)
] [
set all-credos-dai (sum [interest-dai] of my-in-credos)
]
]
report deposit-dai + all-credos-dai
end
;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
; canvas-label "Investors injected capital into DCR"
;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
to canvas-label [s]
;ask patch 0 15 [set plabel s]
ask patch 0 16 [set plabel s]
print s
end
;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
;; DCR visualization with 2 bars/columns
;;
;; +----------------+----------------+
;; | Deposits | |
;; +----------------+----------------+
;; | Capital | Excess Reserve |
;; +----------------+----------------+
;;
;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
to draw-DCR [capital_ deposits_ excess-reserve_]
; TODO
let total (capital_ + deposits_)
let my 1
if total > 0 [
set my (max-pycor - min-pycor + 1) / total
]
let y0 min-pycor
let y1 (y0 + my * capital_)
let y2 (y1 + my * deposits_)
let x1 (max-pxcor - dcr-viz-col1-dx - dcr-viz-col2-dx - 1)
let x2 (max-pxcor - dcr-viz-col2-dx)
; if ticks mod 10000 = 0 [
; print x1
; print x2
; print max-pxcor
; ]
;; DCR visualization - first column - bottoms up
ask patches with [ x1 <= pxcor and pxcor < x2 and y0 <= pycor and pycor < y1 ] [ set plabel "" set pcolor color-dcr-capital ]
ask patches with [ x1 <= pxcor and pxcor < x2 and y1 <= pycor and pycor < y2 ] [ set plabel "" set pcolor color-dcr-deposits ]
ask patches with [ pxcor = x1 + 1 and pycor = round(y0) and pcolor = color-dcr-capital ] [ set plabel "CAPITAL" ]
ask patches with [ pxcor = x1 + 1 and pycor = round(y1 + 1) and pcolor = color-dcr-deposits ] [ set plabel "DEPOSITS" ]
if-else excess-reserve_ != 0 [
let y3 (y0 + my * excess-reserve_)
;; DCR visualization - second column - bottoms up
ask patches with [ x2 <= pxcor and y0 <= pycor and pycor < y3 ] [ set plabel "" set pcolor color-dcr-exsess-reserve ]
ask patches with [ x2 <= pxcor and y0 <= pycor and pycor >= y3 ] [ set plabel "" set pcolor color-dcr-background ]
ask patches with [ pxcor = x2 + 1 and pycor = round(y0) and pcolor = color-dcr-exsess-reserve ] [ set plabel "ER" ]
] [
ask patches with [ x2 <= pxcor and y0 <= pycor ] [ set plabel "" set pcolor color-dcr-background ]
]
end
;;----------------------------------------------------
;; toggle DET token price trading on/off
;;----------------------------------------------------
to flip-DET-trading
set DET-trading? not DET-trading?
end
;;----------------------------------------------------
;; random walk for the token price
;;----------------------------------------------------
to DET-update-price
if DET-trading? [
let DET-usd-price-floor 0.01
if (random-float 1.0) < 0.1 [
set DET-usd-current-delta (0.5 - (random-float 1.0)) * 0.01 + market-dir-bias * 0.0001
]
set DET-usd-rate (DET-usd-rate + DET-usd-current-delta)
if DET-usd-rate < DET-usd-price-floor [
set DET-usd-rate DET-usd-price-floor
set DET-usd-current-delta (- DET-usd-current-delta)
]
]
end
;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
;; VOTING
;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
;; A simple majority (i.e. 50%) of voting power is required to increase the reserve target (RT%),
;; while a certain predefined supermajority (e.g. 70% of voting power) is required to decrease it
;; Passive DET tokens Holders are those who hold unstaked DET tokenss.
;; They’re denied voting power, in order to minimize the influence of speculators
;; who are not necessarily aligned with the DAO’s long term interests.
;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
to-report total-voting-power
report sum [DET-tokens] of investors with [not suspended? and voted?]
end
to-report total-voting-power-yes
report sum [DET-tokens] of investors with [not suspended? and voted? and voted-to-change-RRT?]
end
to-report total-voting-power-no
report sum [DET-tokens] of investors with [not suspended? and voted? and not voted-to-change-RRT?]
end
to-report spuermajority-voting-power
report (sum [DET-tokens] of investors with [not suspended? and voted?]) * supermajority-pct / 100
end
to check-for-delayed-voting
;TODO: or check DCR state
if voted-to-lowering-RRT-at-tick != 0 and
ticks > voted-to-lowering-RRT-at-tick + delay-for-lowering-RRT-days * TICKS-PER-DAY [
; TODO - it doesn't go into effect immediately
; only after delay-for-lowering-rt-days
; if not canceled by 50% during that time
; TODO: need to notify depositor agents - when RT lowered
set RRT-pct delayed-new-RRT-pct
;TODO: or change DCR state
set voted-to-lowering-RRT-at-tick 0
print (word "Delay period of " delay-for-lowering-RRT-days " days has ended. Supermajority of voting power voted FOR decreasing RRT to " RRT-pct "%")
]
end
to investors-vote
; TODO - use DCR voting FSM ?
if-else voted-to-lowering-RRT-at-tick != 0 [
print "Voting period in progress. Can't vote now."
] [
if-else new-RRT-pct = RRT-pct [
print "no change in RRT - no voting"
] [
investors-vote-round
let yes-s total-voting-power-yes
let no-s total-voting-power-no
let pct 0
if-else (yes-s + no-s) = 0 [
; TODO - probably related to voted? setting to false
print "WARNING: (yes-s + no-s) = 0 ln.531"
set pct 100
] [
set pct yes-s / (yes-s + no-s) * 100
]
if-else new-RRT-pct > RRT-pct [
; 50% required
if-else pct >= 50 [
set RRT-pct new-RRT-pct
print (word "majority of voting power voted FOR increasing RRT to " new-RRT-pct "%")
] [
print (word "majority of voting power voted AGAINST increasing RRT to " new-RRT-pct "%")
]
] [
; supermajoirty required
if-else pct >= supermajority-pct [
set voted-to-lowering-RRT-at-tick ticks
; TODO - it doesn't go into effect immediately
; only after delay-for-lowering-RRT-days
; if not canceled by 50% during that time
; TODO: need to notify depositor agents - when RRT lowered
set delayed-new-RRT-pct new-RRT-pct
;set RRT-pct new-RRT-pct
print (word "supermajority of voting power voted FOR decreasing RRT to " new-RRT-pct "%. Change delayed by " delay-for-lowering-RRT-days " days")
] [
print (word "supermajority of voting power voted AGAINST decreasing RRT to " new-RRT-pct "%")
]
]
]
]
end
to investors-vote-round
;ask investors with [ not suspended? ] [
ask investors [
if-else suspended? [
set color color-investor-suspended
] [
set color color-investor-non-voting
if (random-float 1.0) < (investors-active-pct / 100) [
if-else (random-float 1.0) < (investors-voted-for-pct / 100) [
set color color-investor-voted-yes
set voted? true
set voted-to-change-RRT? true
] [
set color color-investor-voted-no
set voted? true
set voted-to-change-RRT? false
]
]
]
]
end
to investors-vote-to-cancel-decreasing-rt
; TODO - check DCR state
if-else voted-to-lowering-RRT-at-tick = 0 [
print "No delayed votes to cancel"
] [
investors-vote-round
let yes-s total-voting-power-yes
let no-s total-voting-power-no
let pct 0
if-else (yes-s + no-s) = 0 [
; TODO - probably related to voted? setting to false
print "WARNING: (yes-s + no-s) = 0 ln.599"
set pct 100
] [
set pct yes-s / (yes-s + no-s) * 100
]
if-else pct >= 50 [
print (word "majority of voting power voted FOR canceling decreasing RRT to " delayed-new-RRT-pct "%")
set voted-to-lowering-RRT-at-tick 0
set delayed-new-RRT-pct 0
] [
print (word "majority of voting power voted AGAINST canceling decreasing RRT to " delayed-new-RRT-pct "%")
]
]
end
to clear-votes
ask investors [
if-else suspended? [
set color color-investor-suspended
] [
set color color-investor-non-voting
]
set voted? false
]
end
@#$#@#$#@
GRAPHICS-WINDOW
330
30
856
501
-1
-1
14.0
1
10
1
1
1
0
0
0
1
-16
20
-16
16
0
0
1
ticks
30.0
BUTTON
35
650
109
684
NIL
setup
NIL
1
T
OBSERVER
NIL
NIL
NIL
NIL
1
BUTTON
117
650
181
684
NIL
go
T
1
T
OBSERVER
NIL
NIL
NIL
NIL
1
SLIDER
27
240
260
273
num-investors
num-investors
0
1000
9.0
1
1
NIL
HORIZONTAL
TEXTBOX
22
10
224
40
CREDO simulation - 04/03/2019
12
0.0
1
PLOT
913
28
1202
203
DCR
time
DAI
0.0
10.0
0.0
10.0
true
true
"" ""
PENS
"ER" 1.0 0 -7500403 true "" "plot excess-reserve"
"deposits" 1.0 0 -13791810 true "" "plot DCR-deposits"
"capital" 1.0 0 -8630108 true "" "plot DCR-capital"
TEXTBOX
821
7
862
25
DCR
12
0.0
1
SLIDER
37
715
282
748
staking-rewards-avg
staking-rewards-avg
0
300
20.0
1
1
%
HORIZONTAL
PLOT
1435
30
1635
200
Reserve Ratio (RR)
time
RR
0.0
10.0
-1.5
1.5
false
false
"" ""
PENS
"default" 1.0 0 -16777216 true "" "plot reserve-ratio"
SLIDER
28
274
258
307
num-depositors
num-depositors
0
1000
200.0
1
1
NIL
HORIZONTAL
BUTTON
333
652
573
686
investors invest
ask investors [ investors-invest ]
NIL
1
T
OBSERVER
NIL
NIL
NIL
NIL
1
BUTTON
591
652
793
686
NIL
depositors-deposit
NIL
1
T
OBSERVER
NIL
NIL
NIL
NIL
1
BUTTON
810
770
1058
804
investors take max loans
investors-take-max-loans\n
NIL
1
T
OBSERVER
NIL
NIL
NIL
NIL
1
SLIDER
1435
585
1668
618
investors-voted-for-pct
investors-voted-for-pct
0
100
16.0
1
1
%
HORIZONTAL
BUTTON
1433
733
1567
767
NIL
investors-vote
NIL
1
T
OBSERVER
NIL
NIL
NIL
NIL
1
SLIDER
1436
548
1669
581
new-RRT-pct
new-RRT-pct
0
100
15.0
1
1
%
HORIZONTAL
TEXTBOX
332
8
647
34
Investors and BPs - investor1 is highlighted\n
12
0.0
1
SLIDER
1435
621
1670
654
investors-active-pct
investors-active-pct
0
100
16.0
1
1
%
HORIZONTAL
BUTTON
591
732
791
766
NIL
depositors-withdraw
NIL
1
T
OBSERVER
NIL
NIL
NIL
NIL
1
SLIDER
591
692
792
725
depositors-withdraw-pct
depositors-withdraw-pct
0
100
0.0
1
1
%
HORIZONTAL
SLIDER
591
546
793
579
depositors-deposit-pct
depositors-deposit-pct
0
100
1.0
1
1
%
HORIZONTAL
PLOT
1435
360
1635
510
voting power
time
votes
0.0
10.0
0.0
10.0
true
true
"" ""
PENS
"pro" 1.0 0 -13840069 true "" "plot total-voting-power-yes"
"cons" 1.0 0 -2674135 true "" "plot total-voting-power-no"
"SupMj" 1.0 0 -7500403 true "" "plot spuermajority-voting-power"
SLIDER
591
582
792
615
deposit-avg
deposit-avg
0
100000
5000.0
1000
1
DAI
HORIZONTAL
SLIDER
592
618
795
652
deposit-stddev
deposit-stddev
0
10000
1000.0
1
1
DAI
HORIZONTAL
SLIDER
868
28
901
504
RRT-pct
RRT-pct
0
100
75.0
1
1
%
VERTICAL
PLOT
1209
27
1415
203
RRT%
time
%
0.0
10.0
0.0
100.0
true
false
"" ""
PENS
"default" 1.0 0 -16777216 true "" "plot RRT-pct"
PLOT
961
361
1163
511
DET/USD price
time
USD
0.0
10.0
0.0
1.0
true
false
"" ""
PENS
"default" 1.0 0 -16777216 true "" "plot DET-usd-rate"
SLIDER
22
60
268
93
DET-initial-supply
DET-initial-supply
100000
10000000
100000.0
100000
1
DET
HORIZONTAL
SLIDER
25
175
273
208
DET-investors-allocation-pct
DET-investors-allocation-pct
0
100
14.0
1
1
%
HORIZONTAL
PLOT
1168
361
1368
511
% DET staked
time
%
0.0
10.0
0.0
10.0
true
false
"" ""
PENS
"default" 1.0 0 -16777216 true "" "plot DET-staked-pct"
PLOT
1435
205
1635
355
Investor's DET
time
DET
0.0
10.0
0.0
10.0
true
true
"" ""
PENS
"circ" 1.0 0 -16777216 true "" "plot DET-circulating-supply"
"invst" 1.0 0 -13791810 true "" "plot DET-held-by-investors"
BUTTON
1572
732
1670
766
NIL
clear-votes\n
NIL
1
T
OBSERVER
NIL
NIL
NIL
NIL
1
SLIDER
22
97
270
130
initial-investment-cap
initial-investment-cap
100000
10000000
100000.0
100000
1
USD
HORIZONTAL
TEXTBOX
40
693
148
711
Block Producers
12
0.0
1
SLIDER
25
136
271
169
DET-initial-price-dai
DET-initial-price-dai
0
100
10.0
0.01
1
DAI
HORIZONTAL
SLIDER
918
364
951
512
market-dir-bias
market-dir-bias
-2
2
1.0
1
1
NIL
VERTICAL
BUTTON
2635
739
2777
772
flip DET trading
flip-DET-trading
NIL
1
T
OBSERVER
NIL
NIL
NIL
NIL
1
SLIDER
1436
657
1668
690
supermajority-pct
supermajority-pct
50
100
0.0
1
1
%
HORIZONTAL
BUTTON
181
650
269
684
go-once
go
NIL
1
T
OBSERVER
NIL
NIL
NIL
NIL
1
SLIDER
811
545
1059
578
max-loan-period-days
max-loan-period-days
0
365
90.0
1
1
days
HORIZONTAL
SLIDER
812
582
1059
615
grace-period-days
grace-period-days
0
31
5.0
1
1
days
HORIZONTAL
TEXTBOX
36
36
209
64
#1 - DET Initial Sale
12
0.0
1
SLIDER
1433
693
1669
726
delay-for-lowering-rrt-days
delay-for-lowering-rrt-days
0
7
1.0
1
1
days
HORIZONTAL
BUTTON
1434
772
1666
805
vote-to-cancel-decreasing-rt
investors-vote-to-cancel-decreasing-rt\n
NIL
1
T
OBSERVER
NIL
NIL
NIL
NIL
1
SLIDER
29
310
261
343
num-BPs
num-BPs
0
100
6.0
1
1
NIL
HORIZONTAL
BUTTON
591
772
791
806
withdraw-everything
depositors-withdraw-everything
NIL
1
T
OBSERVER
NIL
NIL
NIL
NIL
1
SLIDER
334
547
571
580
investors-invest-pct
investors-invest-pct
0
100
1.0
1
1
%
HORIZONTAL
SLIDER
331
582
570
615
investment-avg
investment-avg
10000
1000000
100000.0
10000
1
DAI
HORIZONTAL
SLIDER
333
617
573
650
investment-stddev
investment-stddev
0
1000000
10000.0
1
1
DAI
HORIZONTAL
TEXTBOX
30
219
237
238
Number of agents of each type\n
12
0.0
0
TEXTBOX
337
521
502
551
DCR Capital injection
12
0.0
1
TEXTBOX
596
520
783
548
Deposits & Withdrawals\n
12
0.0
1
TEXTBOX
1450
523
1566
542
Voting
12
0.0
1
TEXTBOX
816
519
932
537
Loans
12
0.0
1
SLIDER
811
616
1059
649
investors-take-loans-pct
investors-take-loans-pct
0
100
1.0
1
1
%
HORIZONTAL
BUTTON
37
910
185
944
NIL
BPs-pay-interest\n
NIL
1
T
OBSERVER
NIL
NIL
NIL
NIL
1
SLIDER
32
564
302
597
annual-prob-to-be-slashed-pct
annual-prob-to-be-slashed-pct
0
10
3.0
0.5
1
%
HORIZONTAL
SLIDER
37
750
282
783
staking-rewards-stddev
staking-rewards-stddev
0
100
2.0
1.00
1
%
HORIZONTAL
PLOT
960
210
1164
360
Aggregated ROI (%)
time
%
0.0
10.0
0.0
10.0
true
false
"" ""
PENS
"default" 1.0 0 -16777216 true "" "plot investors-aggregated-ROI"
SWITCH
36
872
259
905
staking-compounding?
staking-compounding?
0
1
-1000
BUTTON
191
910
304
945
NIL
show-hide-BPs
NIL
1
T
OBSERVER
NIL
NIL
NIL
NIL
1
SLIDER
37
787
281
820
min-bp-stake-dai
min-bp-stake-dai
0
300000
10000.0
100
1
DAI
HORIZONTAL
BUTTON
437
812
714
846
NIL
put-max-investments-and-deposits
NIL
1
T
OBSERVER
NIL
NIL
NIL
NIL
1
CHOOSER
32
481
299
526
PoS-example
PoS-example
"Use average BP yield minus fees" "Livepeer" "Loki" "BOScoin" "OKCash" "PIVX" "Decred" "Dash" "Tezos" "Ethereum PoS" "Cardano"
0
SLIDER
37
823
297
856
min-investor-stake-dai
min-investor-stake-dai
0.01
1000000
1.0
1
1
DAI
HORIZONTAL
SLIDER
32
608
264
641
initial-RRT-pct
initial-RRT-pct
0
100
100.0
1
1
%
HORIZONTAL
PLOT
1167
208
1367
358
ROI - Investor #1 (%)
time
%
0.0
10.0
0.0
10.0
true
false
"" ""
PENS
"default" 1.0 0 -16777216 true "" "plot investor1-ROI"
SLIDER
32
530
301
563
avg-bp-yield-minus-fees-pct
avg-bp-yield-minus-fees-pct
0
200
20.0
1
1
%
HORIZONTAL
CHOOSER
30
390
290
435
investor1-strategy
investor1-strategy
"random" "high-risk" "low-risk"
0
SLIDER
30
355
290
388
investor1-investment-dai
investor1-investment-dai
0
1000000
100000.0
10000
1
DAI
HORIZONTAL
BUTTON
2630
801
2786
835
NIL
inspect investor1
NIL
1
T
OBSERVER
NIL
NIL
NIL
NIL
1
SLIDER
30
435
282
468
investor1-strategy-split-to-BPs
investor1-strategy-split-to-BPs
1
5
3.0
1
1
NIL
HORIZONTAL
SLIDER
811
652
1061
685
investor-strategy-split-to-BPs-max
investor-strategy-split-to-BPs-max
1
5
3.0
1
1
NIL
HORIZONTAL
BUTTON
2630
841
2805
875
NIL
show-hide-investor1
NIL
1
T
OBSERVER
NIL
NIL
NIL
NIL
1
SLIDER
810
693
1062
726
prob-loan-repayment-pct
prob-loan-repayment-pct
0
100
90.0
1
1
%
HORIZONTAL
SLIDER
810
730
1060
763
prob-repayment-grace-pct
prob-repayment-grace-pct
0
100
80.0
1
1
%
HORIZONTAL
@#$#@#$#@
## WHAT IS IT?
CREDO DCR (Decentralized Credit Reserve) simulation.
## HOW IT WORKS
(what rules the agents use to create the overall behavior of the model)
## HOW TO USE IT
(how to use the model, including a description of each of the items in the Interface tab)
## THINGS TO NOTICE
(suggested things for the user to notice while running the model)
## THINGS TO TRY
(suggested things for the user to try to do (move sliders, switches, etc.) with the model)
## EXTENDING THE MODEL
(suggested things to add or change in the Code tab to make the model more complicated, detailed, accurate, etc.)
## NETLOGO FEATURES
(interesting or unusual features of NetLogo that the model uses, particularly in the Code tab; or where workarounds were needed for missing features)
## RELATED MODELS
(models in the NetLogo Models Library and elsewhere which are of related interest)
## CREDITS AND REFERENCES
(a reference to the model's URL on the web if it has one, as well as any other necessary credits, citations, and links)
@#$#@#$#@
default
true
0
Polygon -7500403 true true 150 5 40 250 150 205 260 250
airplane
true
0
Polygon -7500403 true true 150 0 135 15 120 60 120 105 15 165 15 195 120 180 135 240 105 270 120 285 150 270 180 285 210 270 165 240 180 180 285 195 285 165 180 105 180 60 165 15
arrow
true
0
Polygon -7500403 true true 150 0 0 150 105 150 105 293 195 293 195 150 300 150
box
false
0
Polygon -7500403 true true 150 285 285 225 285 75 150 135
Polygon -7500403 true true 150 135 15 75 150 15 285 75
Polygon -7500403 true true 15 75 15 225 150 285 150 135
Line -16777216 false 150 285 150 135
Line -16777216 false 150 135 15 75
Line -16777216 false 150 135 285 75
bug
true
0
Circle -7500403 true true 96 182 108
Circle -7500403 true true 110 127 80
Circle -7500403 true true 110 75 80
Line -7500403 true 150 100 80 30
Line -7500403 true 150 100 220 30
butterfly
true
0
Polygon -7500403 true true 150 165 209 199 225 225 225 255 195 270 165 255 150 240
Polygon -7500403 true true 150 165 89 198 75 225 75 255 105 270 135 255 150 240
Polygon -7500403 true true 139 148 100 105 55 90 25 90 10 105 10 135 25 180 40 195 85 194 139 163
Polygon -7500403 true true 162 150 200 105 245 90 275 90 290 105 290 135 275 180 260 195 215 195 162 165
Polygon -16777216 true false 150 255 135 225 120 150 135 120 150 105 165 120 180 150 165 225
Circle -16777216 true false 135 90 30
Line -16777216 false 150 105 195 60
Line -16777216 false 150 105 105 60
car
false
0
Polygon -7500403 true true 300 180 279 164 261 144 240 135 226 132 213 106 203 84 185 63 159 50 135 50 75 60 0 150 0 165 0 225 300 225 300 180
Circle -16777216 true false 180 180 90
Circle -16777216 true false 30 180 90
Polygon -16777216 true false 162 80 132 78 134 135 209 135 194 105 189 96 180 89
Circle -7500403 true true 47 195 58
Circle -7500403 true true 195 195 58
circle
false
0
Circle -7500403 true true 0 0 300
circle 2
false
0
Circle -7500403 true true 0 0 300
Circle -16777216 true false 30 30 240
cow
false
0
Polygon -7500403 true true 200 193 197 249 179 249 177 196 166 187 140 189 93 191 78 179 72 211 49 209 48 181 37 149 25 120 25 89 45 72 103 84 179 75 198 76 252 64 272 81 293 103 285 121 255 121 242 118 224 167
Polygon -7500403 true true 73 210 86 251 62 249 48 208
Polygon -7500403 true true 25 114 16 195 9 204 23 213 25 200 39 123
cylinder
false
0
Circle -7500403 true true 0 0 300
dot
false
0
Circle -7500403 true true 90 90 120
face happy
false
0
Circle -7500403 true true 8 8 285
Circle -16777216 true false 60 75 60
Circle -16777216 true false 180 75 60
Polygon -16777216 true false 150 255 90 239 62 213 47 191 67 179 90 203 109 218 150 225 192 218 210 203 227 181 251 194 236 217 212 240
face neutral
false
0
Circle -7500403 true true 8 7 285
Circle -16777216 true false 60 75 60
Circle -16777216 true false 180 75 60
Rectangle -16777216 true false 60 195 240 225
face sad
false
0
Circle -7500403 true true 8 8 285
Circle -16777216 true false 60 75 60
Circle -16777216 true false 180 75 60
Polygon -16777216 true false 150 168 90 184 62 210 47 232 67 244 90 220 109 205 150 198 192 205 210 220 227 242 251 229 236 206 212 183
fire
false
0
Polygon -7500403 true true 151 286 134 282 103 282 59 248 40 210 32 157 37 108 68 146 71 109 83 72 111 27 127 55 148 11 167 41 180 112 195 57 217 91 226 126 227 203 256 156 256 201 238 263 213 278 183 281
Polygon -955883 true false 126 284 91 251 85 212 91 168 103 132 118 153 125 181 135 141 151 96 185 161 195 203 193 253 164 286
Polygon -2674135 true false 155 284 172 268 172 243 162 224 148 201 130 233 131 260 135 282
fish
false
0
Polygon -1 true false 44 131 21 87 15 86 0 120 15 150 0 180 13 214 20 212 45 166
Polygon -1 true false 135 195 119 235 95 218 76 210 46 204 60 165
Polygon -1 true false 75 45 83 77 71 103 86 114 166 78 135 60
Polygon -7500403 true true 30 136 151 77 226 81 280 119 292 146 292 160 287 170 270 195 195 210 151 212 30 166
Circle -16777216 true false 215 106 30
flag
false
0
Rectangle -7500403 true true 60 15 75 300
Polygon -7500403 true true 90 150 270 90 90 30
Line -7500403 true 75 135 90 135
Line -7500403 true 75 45 90 45
flower
false
0
Polygon -10899396 true false 135 120 165 165 180 210 180 240 150 300 165 300 195 240 195 195 165 135
Circle -7500403 true true 85 132 38
Circle -7500403 true true 130 147 38
Circle -7500403 true true 192 85 38
Circle -7500403 true true 85 40 38
Circle -7500403 true true 177 40 38
Circle -7500403 true true 177 132 38
Circle -7500403 true true 70 85 38
Circle -7500403 true true 130 25 38
Circle -7500403 true true 96 51 108
Circle -16777216 true false 113 68 74
Polygon -10899396 true false 189 233 219 188 249 173 279 188 234 218
Polygon -10899396 true false 180 255 150 210 105 210 75 240 135 240
house
false
0
Rectangle -7500403 true true 45 120 255 285
Rectangle -16777216 true false 120 210 180 285
Polygon -7500403 true true 15 120 150 15 285 120
Line -16777216 false 30 120 270 120
leaf
false
0
Polygon -7500403 true true 150 210 135 195 120 210 60 210 30 195 60 180 60 165 15 135 30 120 15 105 40 104 45 90 60 90 90 105 105 120 120 120 105 60 120 60 135 30 150 15 165 30 180 60 195 60 180 120 195 120 210 105 240 90 255 90 263 104 285 105 270 120 285 135 240 165 240 180 270 195 240 210 180 210 165 195
Polygon -7500403 true true 135 195 135 240 120 255 105 255 105 285 135 285 165 240 165 195
line
true
0
Line -7500403 true 150 0 150 300
line half
true
0
Line -7500403 true 150 0 150 150
pentagon
false
0
Polygon -7500403 true true 150 15 15 120 60 285 240 285 285 120
person
false
0
Circle -7500403 true true 110 5 80
Polygon -7500403 true true 105 90 120 195 90 285 105 300 135 300 150 225 165 300 195 300 210 285 180 195 195 90
Rectangle -7500403 true true 127 79 172 94
Polygon -7500403 true true 195 90 240 150 225 180 165 105
Polygon -7500403 true true 105 90 60 150 75 180 135 105
person business
false
0
Rectangle -1 true false 120 90 180 180
Polygon -13345367 true false 135 90 150 105 135 180 150 195 165 180 150 105 165 90
Polygon -7500403 true true 120 90 105 90 60 195 90 210 116 154 120 195 90 285 105 300 135 300 150 225 165 300 195 300 210 285 180 195 183 153 210 210 240 195 195 90 180 90 150 165
Circle -7500403 true true 110 5 80
Rectangle -7500403 true true 127 76 172 91
Line -16777216 false 172 90 161 94
Line -16777216 false 128 90 139 94
Polygon -13345367 true false 195 225 195 300 270 270 270 195
Rectangle -13791810 true false 180 225 195 300
Polygon -14835848 true false 180 226 195 226 270 196 255 196
Polygon -13345367 true false 209 202 209 216 244 202 243 188
Line -16777216 false 180 90 150 165
Line -16777216 false 120 90 150 165
plant
false
0
Rectangle -7500403 true true 135 90 165 300
Polygon -7500403 true true 135 255 90 210 45 195 75 255 135 285
Polygon -7500403 true true 165 255 210 210 255 195 225 255 165 285
Polygon -7500403 true true 135 180 90 135 45 120 75 180 135 210
Polygon -7500403 true true 165 180 165 210 225 180 255 120 210 135
Polygon -7500403 true true 135 105 90 60 45 45 75 105 135 135
Polygon -7500403 true true 165 105 165 135 225 105 255 45 210 60
Polygon -7500403 true true 135 90 120 45 150 15 180 45 165 90
sheep
false
15
Circle -1 true true 203 65 88
Circle -1 true true 70 65 162
Circle -1 true true 150 105 120
Polygon -7500403 true false 218 120 240 165 255 165 278 120
Circle -7500403 true false 214 72 67
Rectangle -1 true true 164 223 179 298
Polygon -1 true true 45 285 30 285 30 240 15 195 45 210
Circle -1 true true 3 83 150
Rectangle -1 true true 65 221 80 296
Polygon -1 true true 195 285 210 285 210 240 240 210 195 210
Polygon -7500403 true false 276 85 285 105 302 99 294 83
Polygon -7500403 true false 219 85 210 105 193 99 201 83
square
false
0
Rectangle -7500403 true true 30 30 270 270
square 2
false
0
Rectangle -7500403 true true 30 30 270 270
Rectangle -16777216 true false 60 60 240 240
star
false
0
Polygon -7500403 true true 151 1 185 108 298 108 207 175 242 282 151 216 59 282 94 175 3 108 116 108
target
false
0
Circle -7500403 true true 0 0 300
Circle -16777216 true false 30 30 240
Circle -7500403 true true 60 60 180
Circle -16777216 true false 90 90 120
Circle -7500403 true true 120 120 60
tree
false
0
Circle -7500403 true true 118 3 94
Rectangle -6459832 true false 120 195 180 300
Circle -7500403 true true 65 21 108
Circle -7500403 true true 116 41 127
Circle -7500403 true true 45 90 120
Circle -7500403 true true 104 74 152
triangle
false
0
Polygon -7500403 true true 150 30 15 255 285 255
triangle 2
false
0
Polygon -7500403 true true 150 30 15 255 285 255
Polygon -16777216 true false 151 99 225 223 75 224
truck
false
0
Rectangle -7500403 true true 4 45 195 187
Polygon -7500403 true true 296 193 296 150 259 134 244 104 208 104 207 194
Rectangle -1 true false 195 60 195 105
Polygon -16777216 true false 238 112 252 141 219 141 218 112
Circle -16777216 true false 234 174 42
Rectangle -7500403 true true 181 185 214 194
Circle -16777216 true false 144 174 42
Circle -16777216 true false 24 174 42
Circle -7500403 false true 24 174 42
Circle -7500403 false true 144 174 42
Circle -7500403 false true 234 174 42
turtle
true
0
Polygon -10899396 true false 215 204 240 233 246 254 228 266 215 252 193 210
Polygon -10899396 true false 195 90 225 75 245 75 260 89 269 108 261 124 240 105 225 105 210 105
Polygon -10899396 true false 105 90 75 75 55 75 40 89 31 108 39 124 60 105 75 105 90 105
Polygon -10899396 true false 132 85 134 64 107 51 108 17 150 2 192 18 192 52 169 65 172 87
Polygon -10899396 true false 85 204 60 233 54 254 72 266 85 252 107 210
Polygon -7500403 true true 119 75 179 75 209 101 224 135 220 225 175 261 128 261 81 224 74 135 88 99
wheel
false
0
Circle -7500403 true true 3 3 294
Circle -16777216 true false 30 30 240
Line -7500403 true 150 285 150 15
Line -7500403 true 15 150 285 150
Circle -7500403 true true 120 120 60
Line -7500403 true 216 40 79 269
Line -7500403 true 40 84 269 221
Line -7500403 true 40 216 269 79
Line -7500403 true 84 40 221 269
wolf
false
0
Polygon -16777216 true false 253 133 245 131 245 133
Polygon -7500403 true true 2 194 13 197 30 191 38 193 38 205 20 226 20 257 27 265 38 266 40 260 31 253 31 230 60 206 68 198 75 209 66 228 65 243 82 261 84 268 100 267 103 261 77 239 79 231 100 207 98 196 119 201 143 202 160 195 166 210 172 213 173 238 167 251 160 248 154 265 169 264 178 247 186 240 198 260 200 271 217 271 219 262 207 258 195 230 192 198 210 184 227 164 242 144 259 145 284 151 277 141 293 140 299 134 297 127 273 119 270 105
Polygon -7500403 true true -1 195 14 180 36 166 40 153 53 140 82 131 134 133 159 126 188 115 227 108 236 102 238 98 268 86 269 92 281 87 269 103 269 113
x
false
0
Polygon -7500403 true true 270 75 225 30 30 225 75 270
Polygon -7500403 true true 30 75 75 30 270 225 225 270
@#$#@#$#@
NetLogo 6.0.4
@#$#@#$#@
@#$#@#$#@
@#$#@#$#@
@#$#@#$#@
@#$#@#$#@
default
0.0
-0.2 0 0.0 1.0
0.0 1 1.0 0.0
0.2 0 0.0 1.0
link direction
true
0
Line -7500403 true 150 150 90 180
Line -7500403 true 150 150 210 180
@#$#@#$#@
1
@#$#@#$#@
| NetLogo | 5 | tabookey/credo | credo.nlogo | [
"MIT"
] |
package de.jflex.testcase.six_digit_unicode_escape;
%%
%unicode
%%
"one two three\UFFFFFFabc" { }
[^] { }
| JFlex | 3 | WeDoSoftware/jflex | javatests/de/jflex/testcase/six_digit_unicode_escape/SixDigitUnicodeEscape-f-2.flex | [
"BSD-3-Clause"
] |
$macro forsameclt(action,clt) \
$label loop0
$if "a%1"=="a" $goto loop1
if(sameas(&clt,'%1'), &action(%1)); \
$shift
$goto loop0
$label loop1
; | GAMS | 3 | witch-team/witchmodel | algo/forsameclt.gms | [
"Apache-2.0"
] |
test_that("unreferenced observers are garbage collected", {
vals_removed <- FALSE
obs_removed <- FALSE
vals <- reactiveValues(A=1)
obs <- observe({ vals$A })
# These are called when the objects are garbage-collected
reg.finalizer(.subset2(vals,'impl'), function(e) vals_removed <<- TRUE)
reg.finalizer(obs, function(e) obs_removed <<- TRUE)
flushReact()
# Removing this reference to obs doesn't delete it because vals still has a
# reference to it
rm(obs)
invisible(gc())
expect_equal(c(vals_removed, obs_removed), c(FALSE, FALSE))
# Updating vals$A and flushing won't make obs go away because it creates a new
# context, and vals$A's context tracks obs's context as a dependent
vals$A <- 2
flushReact()
invisible(gc())
expect_equal(c(vals_removed, obs_removed), c(FALSE, FALSE))
# Removing vals will result in vals and obs being garbage collected since
# there are no other references to them
rm(vals)
invisible(gc())
expect_equal(c(vals_removed, obs_removed), c(TRUE, TRUE))
})
test_that("suspended observers are garbage collected", {
vals_removed <- FALSE
obs_removed <- FALSE
vals <- reactiveValues(A=1)
obs <- observe({ vals$A })
# These are called when the objects are garbage-collected
reg.finalizer(.subset2(vals,'impl'), function(e) vals_removed <<- TRUE)
reg.finalizer(obs, function(e) obs_removed <<- TRUE)
flushReact()
vals$A <- 2
flushReact()
invisible(gc())
# Simply suspending and removing our reference to obs doesn't result in GC,
# because vals's context still has a reference to obs's context, as a dependent
obs$suspend()
rm(obs)
invisible(gc())
expect_equal(c(vals_removed, obs_removed), c(FALSE, FALSE))
# Next time we update vals$A and flush, there's no more reference to obs
vals$A <- 3
flushReact()
invisible(gc())
expect_equal(c(vals_removed, obs_removed), c(FALSE, TRUE))
# Deleting vals should work immediately now
rm(vals)
invisible(gc()) # Removes vals object
expect_equal(c(vals_removed, obs_removed), c(TRUE, TRUE))
})
| R | 5 | d-morrison/shiny | tests/testthat/test-gc.r | [
"Apache-2.0"
] |
<?xml version="1.0"?>
<rdf:RDF xmlns="http://purl.obolibrary.org/obo/disdriv.owl/"
xml:base="http://purl.obolibrary.org/obo/disdriv.owl/"
xmlns:rdf="http://www.w3.org/1999/02/22-rdf-syntax-ns#"
xmlns:terms="http://purl.org/dc/terms/"
xmlns:owl="http://www.w3.org/2002/07/owl#"
xmlns:oboInOwl="http://www.geneontology.org/formats/oboInOwl#"
xmlns:xml="http://www.w3.org/XML/1998/namespace"
xmlns:xsd="http://www.w3.org/2001/XMLSchema#"
xmlns:rdfs="http://www.w3.org/2000/01/rdf-schema#"
xmlns:obo="http://purl.obolibrary.org/obo/"
xmlns:dc="http://purl.org/dc/elements/1.1/">
<owl:Ontology rdf:about="http://purl.obolibrary.org/obo/disdriv.owl">
<owl:versionIRI rdf:resource="http://purl.obolibrary.org/obo/disdriv/releases/2021-06-04/disdriv.owl"/>
<terms:license rdf:resource="https://creativecommons.org/publicdomain/zero/1.0/"/>
<dc:title>Disease Drivers</dc:title>
<dc:description>Drivers of human diseases including environmental, maternal and social exposures.</dc:description>
</owl:Ontology>
<!--
///////////////////////////////////////////////////////////////////////////////////////
//
// Annotation properties
//
///////////////////////////////////////////////////////////////////////////////////////
-->
<!-- http://purl.obolibrary.org/obo/IAO_0000115 -->
<owl:AnnotationProperty rdf:about="http://purl.obolibrary.org/obo/IAO_0000115">
<obo:IAO_0000115>The official definition.</obo:IAO_0000115>
<rdfs:label>definition</rdfs:label>
</owl:AnnotationProperty>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>The official definition.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:http://purl.obolibrary.org/obo/iao.owl</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.org/dc/elements/1.1/description -->
<owl:AnnotationProperty rdf:about="http://purl.org/dc/elements/1.1/description">
<obo:IAO_0000115>Description of the ontology.</obo:IAO_0000115>
<rdfs:label>description</rdfs:label>
</owl:AnnotationProperty>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.org/dc/elements/1.1/description"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>Description of the ontology.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:http://purl.org/dc/elements/1.1/description</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.org/dc/elements/1.1/title -->
<owl:AnnotationProperty rdf:about="http://purl.org/dc/elements/1.1/title">
<obo:IAO_0000115>Title of the ontology.</obo:IAO_0000115>
<rdfs:label>title</rdfs:label>
</owl:AnnotationProperty>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.org/dc/elements/1.1/title"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>Title of the ontology.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:http://purl.org/dc/elements/1.1/title</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.org/dc/terms/license -->
<owl:AnnotationProperty rdf:about="http://purl.org/dc/terms/license">
<obo:IAO_0000115>License of the ontology.</obo:IAO_0000115>
<rdfs:label>license</rdfs:label>
</owl:AnnotationProperty>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.org/dc/terms/license"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>License of the ontology.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:http://purl.org/dc/terms/license</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://www.geneontology.org/formats/oboInOwl#hasDbXref -->
<owl:AnnotationProperty rdf:about="http://www.geneontology.org/formats/oboInOwl#hasDbXref">
<obo:IAO_0000115>Reference database or publication source.</obo:IAO_0000115>
<rdfs:label>database_cross_reference</rdfs:label>
</owl:AnnotationProperty>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://www.geneontology.org/formats/oboInOwl#hasDbXref"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>Reference database or publication source.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:http://www.geneontology.org/formats/oboInOwl#hasDbXref</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://www.geneontology.org/formats/oboInOwl#hasExactSynonym -->
<owl:AnnotationProperty rdf:about="http://www.geneontology.org/formats/oboInOwl#hasExactSynonym">
<obo:IAO_0000115>Synonym of the term.</obo:IAO_0000115>
<rdfs:label>has exact synonym</rdfs:label>
</owl:AnnotationProperty>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://www.geneontology.org/formats/oboInOwl#hasExactSynonym"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>Synonym of the term.</owl:annotatedTarget>
<rdfs:comment>url:http://www.geneontology.org/formats/oboInOwl#hasExactSynonym</rdfs:comment>
</owl:Axiom>
<!-- http://www.geneontology.org/formats/oboInOwl#id -->
<owl:AnnotationProperty rdf:about="http://www.geneontology.org/formats/oboInOwl#id">
<obo:IAO_0000115>An identifier for an individual entity.</obo:IAO_0000115>
<rdfs:label>id</rdfs:label>
</owl:AnnotationProperty>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://www.geneontology.org/formats/oboInOwl#id"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>An identifier for an individual entity.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:http://purl.obolibrary.org/obo/IAO_0020000</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://www.w3.org/2000/01/rdf-schema#label -->
<owl:AnnotationProperty rdf:about="http://www.w3.org/2000/01/rdf-schema#label">
<obo:IAO_0000115>A human readable name for this class.</obo:IAO_0000115>
<rdfs:label>label</rdfs:label>
</owl:AnnotationProperty>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://www.w3.org/2000/01/rdf-schema#label"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>A human readable name for this class.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:http://www.w3.org/2000/01/rdf-schema#label</oboInOwl:hasDbXref>
</owl:Axiom>
<!--
///////////////////////////////////////////////////////////////////////////////////////
//
// Object Properties
//
///////////////////////////////////////////////////////////////////////////////////////
-->
<!-- http://purl.obolibrary.org/obo/BFO_0000050 -->
<owl:ObjectProperty rdf:about="http://purl.obolibrary.org/obo/BFO_0000050">
<rdfs:label>part of</rdfs:label>
</owl:ObjectProperty>
<!-- http://purl.obolibrary.org/obo/BFO_0000051 -->
<owl:ObjectProperty rdf:about="http://purl.obolibrary.org/obo/BFO_0000051">
<rdfs:label>has part</rdfs:label>
</owl:ObjectProperty>
<!-- http://purl.obolibrary.org/obo/RO_0000087 -->
<owl:ObjectProperty rdf:about="http://purl.obolibrary.org/obo/RO_0000087">
<rdfs:label>has role</rdfs:label>
</owl:ObjectProperty>
<!--
///////////////////////////////////////////////////////////////////////////////////////
//
// Classes
//
///////////////////////////////////////////////////////////////////////////////////////
-->
<!-- http://purl.obolibrary.org/obo/CHEBI_134063 -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/CHEBI_134063">
<rdfs:subClassOf rdf:resource="http://purl.obolibrary.org/obo/CHEBI_79314"/>
<obo:IAO_0000115>A bromoalkane consisting of cyclododecane bearing six bromo substituents at positions 1, 2, 5, 6, 9 and 10.</obo:IAO_0000115>
<oboInOwl:hasExactSynonym>hexabromocyclododecane</oboInOwl:hasExactSynonym>
<oboInOwl:id>CHEBI:134063</oboInOwl:id>
<rdfs:label>1,2,5,6,9,10-hexabromocyclododecane</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/CHEBI_134063"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>A bromoalkane consisting of cyclododecane bearing six bromo substituents at positions 1, 2, 5, 6, 9 and 10.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://en.wikipedia.org/wiki/Hexabromocyclododecane</oboInOwl:hasDbXref>
<oboInOwl:hasDbXref>url:https://www.niehs.nih.gov/health/topics/agents/flame_retardants/index.cfm</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/CHEBI_138015 -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/CHEBI_138015">
<owl:equivalentClass>
<owl:Restriction>
<owl:onProperty rdf:resource="http://purl.obolibrary.org/obo/RO_0000087"/>
<owl:someValuesFrom rdf:resource="http://purl.obolibrary.org/obo/CHEBI_138015"/>
</owl:Restriction>
</owl:equivalentClass>
<rdfs:subClassOf>
<owl:Restriction>
<owl:onProperty rdf:resource="http://purl.obolibrary.org/obo/RO_0000087"/>
<owl:someValuesFrom rdf:resource="http://purl.obolibrary.org/obo/ExO_0000006"/>
</owl:Restriction>
</rdfs:subClassOf>
<obo:IAO_0000115>Any compound that can disrupt the functions of the endocrine (hormone) system.</obo:IAO_0000115>
<oboInOwl:id>CHEBI:138015</oboInOwl:id>
<rdfs:label>endochrine disruptor</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/CHEBI_138015"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>Any compound that can disrupt the functions of the endocrine (hormone) system.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://www.niehs.nih.gov/health/topics/agents/endocrine/index.cfm</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/CHEBI_15956 -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/CHEBI_15956">
<rdfs:subClassOf>
<owl:Restriction>
<owl:onProperty rdf:resource="http://purl.obolibrary.org/obo/RO_0000087"/>
<owl:someValuesFrom rdf:resource="http://purl.obolibrary.org/obo/NCIT_C157938"/>
</owl:Restriction>
</rdfs:subClassOf>
<obo:IAO_0000115>An organic heterobicyclic compound that consists of 2-oxohexahydro-1H-thieno[3,4-d]imidazole having a valeric acid substituent attached to the tetrahydrothiophene ring.</obo:IAO_0000115>
<oboInOwl:id>CHEBI:15956</oboInOwl:id>
<rdfs:label>biotin</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/CHEBI_15956"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>An organic heterobicyclic compound that consists of 2-oxohexahydro-1H-thieno[3,4-d]imidazole having a valeric acid substituent attached to the tetrahydrothiophene ring.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://en.wikipedia.org/wiki/Biotin</oboInOwl:hasDbXref>
<oboInOwl:hasDbXref>url:https://www.ncbi.nlm.nih.gov/books/NBK547751/</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/CHEBI_164200 -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/CHEBI_164200">
<rdfs:subClassOf rdf:resource="http://purl.obolibrary.org/obo/CHEBI_138015"/>
<obo:IAO_0000115>An aromatic ether that is phenol which is substituted at C-5 by a chloro group and at C-2 by a 2,4-dichlorophenoxy group. It is widely used as a preservative and antimicrobial agent in personal care products such as soaps, skin creams, toothpaste and deodorants as well as in household items such as plastic chopping boards, sports equipment and shoes.</obo:IAO_0000115>
<oboInOwl:id>CHEBI:164200</oboInOwl:id>
<rdfs:label>triclosan</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/CHEBI_164200"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>An aromatic ether that is phenol which is substituted at C-5 by a chloro group and at C-2 by a 2,4-dichlorophenoxy group. It is widely used as a preservative and antimicrobial agent in personal care products such as soaps, skin creams, toothpaste and deodorants as well as in household items such as plastic chopping boards, sports equipment and shoes.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://en.wikipedia.org/wiki/Triclosan</oboInOwl:hasDbXref>
<oboInOwl:hasDbXref>url:https://www.niehs.nih.gov/health/topics/agents/endocrine/index.cfm</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/CHEBI_16716 -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/CHEBI_16716">
<rdfs:subClassOf>
<owl:Restriction>
<owl:onProperty rdf:resource="http://purl.obolibrary.org/obo/RO_0000087"/>
<owl:someValuesFrom rdf:resource="http://purl.obolibrary.org/obo/ExO_0000006"/>
</owl:Restriction>
</rdfs:subClassOf>
<obo:IAO_0000115>A six-carbon aromatic annulene in which each carbon atom donates one of its two 2p electrons into a delocalised pi system. A toxic, flammable liquid byproduct of coal distillation, it is used as an industrial solvent. Benzene is a carcinogen that also damages bone marrow and the central nervous system.</obo:IAO_0000115>
<oboInOwl:hasDbXref>NCIT:C302</oboInOwl:hasDbXref>
<oboInOwl:id>CHEBI:16716</oboInOwl:id>
<rdfs:label>benzene</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/CHEBI_16716"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>A six-carbon aromatic annulene in which each carbon atom donates one of its two 2p electrons into a delocalised pi system. A toxic, flammable liquid byproduct of coal distillation, it is used as an industrial solvent. Benzene is a carcinogen that also damages bone marrow and the central nervous system.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://www.ncbi.nlm.nih.gov/pmc/articles/PMC3271273/</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/CHEBI_16842 -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/CHEBI_16842">
<rdfs:subClassOf>
<owl:Restriction>
<owl:onProperty rdf:resource="http://purl.obolibrary.org/obo/RO_0000087"/>
<owl:someValuesFrom rdf:resource="http://purl.obolibrary.org/obo/ExO_0000006"/>
</owl:Restriction>
</rdfs:subClassOf>
<obo:IAO_0000115>An aldehyde resulting from the formal oxidation of methanol.</obo:IAO_0000115>
<oboInOwl:id>CHEBI:16842</oboInOwl:id>
<rdfs:label>formaldehyde</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/CHEBI_16842"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>An aldehyde resulting from the formal oxidation of methanol.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://en.wikipedia.org/wiki/Formaldehyde</oboInOwl:hasDbXref>
<oboInOwl:hasDbXref>url:https://www.niehs.nih.gov/health/topics/agents/formaldehyde/index.cfm</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/CHEBI_172368 -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/CHEBI_172368">
<rdfs:subClassOf rdf:resource="http://purl.obolibrary.org/obo/CHEBI_79314"/>
<obo:IAO_0000115>A flame retardant that is brominated flame retardant, contain bromine and are the most abundantly used flame retardants. Used in many consumer goods, including electronics, furniture, building materials, etc. and have been linked to endocrine disruption among other effects.</obo:IAO_0000115>
<oboInOwl:id>CHEBI:172368</oboInOwl:id>
<rdfs:label>brominated flame retardant</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/CHEBI_172368"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>A flame retardant that is brominated flame retardant, contain bromine and are the most abundantly used flame retardants. Used in many consumer goods, including electronics, furniture, building materials, etc. and have been linked to endocrine disruption among other effects.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://www.niehs.nih.gov/health/topics/agents/flame_retardants/index.cfm</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/CHEBI_172390 -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/CHEBI_172390">
<rdfs:subClassOf>
<owl:Restriction>
<owl:onProperty rdf:resource="http://purl.obolibrary.org/obo/RO_0000087"/>
<owl:someValuesFrom rdf:resource="http://purl.obolibrary.org/obo/ExO_0000006"/>
</owl:Restriction>
</rdfs:subClassOf>
<obo:IAO_0000115>A hydroxyether which contains both an ether and alcohol functional groups. It is one of the most versatile classes of organic solvents which are commonly used in paints, cleaners, adhesives, pharmaceuticals and cosmetics.</obo:IAO_0000115>
<oboInOwl:id>CHEBI:172390</oboInOwl:id>
<rdfs:label>glycol ether</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/CHEBI_172390"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>A hydroxyether which contains both an ether and alcohol functional groups. It is one of the most versatile classes of organic solvents which are commonly used in paints, cleaners, adhesives, pharmaceuticals and cosmetics.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://en.wikipedia.org/wiki/Glycol_ethers</oboInOwl:hasDbXref>
<oboInOwl:hasDbXref>url:https://www.cdc.gov/niosh/docs/83-112/default.html</oboInOwl:hasDbXref>
<oboInOwl:hasDbXref>url:https://www.epa.gov/sites/production/files/2016-09/documents/glycol-ethers.pdf</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/CHEBI_172397 -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/CHEBI_172397">
<rdfs:subClassOf rdf:resource="http://purl.obolibrary.org/obo/CHEBI_138015"/>
<obo:IAO_0000115>An endocrine disruptor that is perfluoroalkyl substance, used widely in industrial applications, such as firefighting foams and non-stick pan, paper, and textile coatings.</obo:IAO_0000115>
<oboInOwl:id>CHEBI:172397</oboInOwl:id>
<rdfs:label>perfluoroalkyl substance</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/CHEBI_172397"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>An endocrine disruptor that is perfluoroalkyl substance, used widely in industrial applications, such as firefighting foams and non-stick pan, paper, and textile coatings.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://www.niehs.nih.gov/health/topics/agents/endocrine/index.cfm</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/CHEBI_172406 -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/CHEBI_172406">
<rdfs:subClassOf rdf:resource="http://purl.obolibrary.org/obo/CHEBI_138015"/>
<obo:IAO_0000115>An endocrine disruptor that is polyfluoroalkyl substance, used widely in industrial applications, such as firefighting foams and non-stick pan, paper, and textile coatings.</obo:IAO_0000115>
<oboInOwl:id>CHEBI:172406</oboInOwl:id>
<rdfs:label>polyfluoroalkyl substance</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/CHEBI_172406"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>An endocrine disruptor that is polyfluoroalkyl substance, used widely in industrial applications, such as firefighting foams and non-stick pan, paper, and textile coatings.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://www.niehs.nih.gov/health/topics/agents/endocrine/index.cfm</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/CHEBI_17245 -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/CHEBI_17245">
<rdfs:subClassOf>
<owl:Restriction>
<owl:onProperty rdf:resource="http://purl.obolibrary.org/obo/RO_0000087"/>
<owl:someValuesFrom rdf:resource="http://purl.obolibrary.org/obo/CHEBI_64909"/>
</owl:Restriction>
</rdfs:subClassOf>
<obo:IAO_0000115>A one-carbon compound in which the carbon is joined only to a single oxygen. It is a colourless, odourless, tasteless, toxic gas.</obo:IAO_0000115>
<oboInOwl:id>CHEBI:17245</oboInOwl:id>
<rdfs:label>carbon monoxide</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/CHEBI_17245"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>A one-carbon compound in which the carbon is joined only to a single oxygen. It is a colourless, odourless, tasteless, toxic gas.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://medlineplus.gov/carbonmonoxidepoisoning.html</oboInOwl:hasDbXref>
<oboInOwl:hasDbXref>url:https://www.cdc.gov/co/faqs.htm</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/CHEBI_17514 -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/CHEBI_17514">
<rdfs:subClassOf>
<owl:Restriction>
<owl:onProperty rdf:resource="http://purl.obolibrary.org/obo/RO_0000087"/>
<owl:someValuesFrom rdf:resource="http://purl.obolibrary.org/obo/CHEBI_64909"/>
</owl:Restriction>
</rdfs:subClassOf>
<obo:IAO_0000115>A pseudohalide anion that is the conjugate base of hydrogen cyanide.</obo:IAO_0000115>
<oboInOwl:id>CHEBI:17514</oboInOwl:id>
<rdfs:label>cyanide</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/CHEBI_17514"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>A pseudohalide anion that is the conjugate base of hydrogen cyanide.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://emergency.cdc.gov/agent/cyanide/basics/facts.asp</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/CHEBI_17578 -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/CHEBI_17578">
<rdfs:subClassOf>
<owl:Restriction>
<owl:onProperty rdf:resource="http://purl.obolibrary.org/obo/RO_0000087"/>
<owl:someValuesFrom rdf:resource="http://purl.obolibrary.org/obo/NCIT_C45790"/>
</owl:Restriction>
</rdfs:subClassOf>
<obo:IAO_0000115>The simplest member of the class toluenes consisting of a benzene core which bears a single methyl substituent.</obo:IAO_0000115>
<oboInOwl:id>CHEBI:17578</oboInOwl:id>
<rdfs:label>toluene</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/CHEBI_17578"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>The simplest member of the class toluenes consisting of a benzene core which bears a single methyl substituent.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://www.ncbi.nlm.nih.gov/pmc/articles/PMC4539858/</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/CHEBI_22977 -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/CHEBI_22977">
<rdfs:subClassOf rdf:resource="http://purl.obolibrary.org/obo/CHEBI_5631"/>
<obo:IAO_0000115>A heavy metal that is cadmium, a soft, malleable, bluish white metal found in zinc ores.</obo:IAO_0000115>
<oboInOwl:id>CHEBI:22977</oboInOwl:id>
<rdfs:label>cadmium</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/CHEBI_22977"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>A heavy metal that is cadmium, a soft, malleable, bluish white metal found in zinc ores.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://www.osha.gov/cadmium</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/CHEBI_24527 -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/CHEBI_24527">
<rdfs:subClassOf>
<owl:Restriction>
<owl:onProperty rdf:resource="http://purl.obolibrary.org/obo/RO_0000087"/>
<owl:someValuesFrom rdf:resource="http://purl.obolibrary.org/obo/CHEBI_64909"/>
</owl:Restriction>
</rdfs:subClassOf>
<obo:IAO_0000115>A substance used to destroy plant pests.</obo:IAO_0000115>
<oboInOwl:id>CHEBI:24527</oboInOwl:id>
<rdfs:label>herbicide</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/CHEBI_24527"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>A substance used to destroy plant pests.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://medlineplus.gov/ency/article/002838.htm</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/CHEBI_25016 -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/CHEBI_25016">
<rdfs:subClassOf rdf:resource="http://purl.obolibrary.org/obo/CHEBI_5631"/>
<obo:IAO_0000115>A heavy metal that is lead, is found primarily in old paint, old paint dust, contaminated soil or contaminated drinking water.</obo:IAO_0000115>
<oboInOwl:id>CHEBI:25016</oboInOwl:id>
<rdfs:label>lead</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/CHEBI_25016"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>A heavy metal that is lead, is found primarily in old paint, old paint dust, contaminated soil or contaminated drinking water.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://www.niehs.nih.gov/health/topics/agents/lead/index.cfm</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/CHEBI_25195 -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/CHEBI_25195">
<rdfs:subClassOf rdf:resource="http://purl.obolibrary.org/obo/CHEBI_5631"/>
<obo:IAO_0000115>A heavy metal that is mercury, is toxic to living organisms, is an odorless, shiny, silver-white liquid that is commonly used in thermometers, barometers and fluorescent light bulbs.</obo:IAO_0000115>
<oboInOwl:id>CHEBI:25195</oboInOwl:id>
<rdfs:label>mercury</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/CHEBI_25195"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>A heavy metal that is mercury, is toxic to living organisms, is an odorless, shiny, silver-white liquid that is commonly used in thermometers, barometers and fluorescent light bulbs.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://www.niehs.nih.gov/health/topics/agents/mercury/index.cfm</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/CHEBI_25708 -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/CHEBI_25708">
<obo:IAO_0000115>A flame retardant that is organophosphate flame retardant (OPFR).</obo:IAO_0000115>
<oboInOwl:id>CHEBI:25708</oboInOwl:id>
<rdfs:label>obsolete organophosphate flame retardant</rdfs:label>
<owl:deprecated rdf:datatype="http://www.w3.org/2001/XMLSchema#boolean">true</owl:deprecated>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/CHEBI_25708"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>A flame retardant that is organophosphate flame retardant (OPFR).</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://www.niehs.nih.gov/health/topics/agents/flame_retardants/index.cfm</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/CHEBI_25944 -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/CHEBI_25944">
<rdfs:subClassOf>
<owl:Restriction>
<owl:onProperty rdf:resource="http://purl.obolibrary.org/obo/RO_0000087"/>
<owl:someValuesFrom rdf:resource="http://purl.obolibrary.org/obo/CHEBI_64909"/>
</owl:Restriction>
</rdfs:subClassOf>
<obo:IAO_0000115>Strictly, a substance intended to kill pests. In common usage, any substance used for controlling, preventing, or destroying animal, microbiological or plant pests.</obo:IAO_0000115>
<oboInOwl:id>CHEBI:25944</oboInOwl:id>
<rdfs:label>pesticide</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/CHEBI_25944"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>Strictly, a substance intended to kill pests. In common usage, any substance used for controlling, preventing, or destroying animal, microbiological or plant pests.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://www.niehs.nih.gov/health/topics/agents/pesticides/index.cfm</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/CHEBI_26092 -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/CHEBI_26092">
<rdfs:subClassOf rdf:resource="http://purl.obolibrary.org/obo/CHEBI_138015"/>
<obo:IAO_0000115>An endocrine disruptor that is phthalate, used to make plastics more flexible, they are also found in some food packaging, cosmetics, children’s toys, and medical devices. A family of benzene derivatives that are formed by esterification of phthalic acid. Phthalates are added to increase the flexibility and softness of commercial plastics, which are incorporated into a wide variety of consumer goods. Ingested phthalates may exhibit estrogenic or antiandrogenic effects or they may act as endocrine disruptors.</obo:IAO_0000115>
<oboInOwl:hasDbXref>NCIT:C152072</oboInOwl:hasDbXref>
<oboInOwl:id>CHEBI:26092</oboInOwl:id>
<rdfs:label>phthalate</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/CHEBI_26092"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>An endocrine disruptor that is phthalate, used to make plastics more flexible, they are also found in some food packaging, cosmetics, children’s toys, and medical devices. A family of benzene derivatives that are formed by esterification of phthalic acid. Phthalates are added to increase the flexibility and softness of commercial plastics, which are incorporated into a wide variety of consumer goods. Ingested phthalates may exhibit estrogenic or antiandrogenic effects or they may act as endocrine disruptors.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://www.niehs.nih.gov/health/topics/agents/endocrine/index.cfm</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/CHEBI_27026 -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/CHEBI_27026">
<owl:equivalentClass>
<owl:Restriction>
<owl:onProperty rdf:resource="http://purl.obolibrary.org/obo/RO_0000087"/>
<owl:someValuesFrom rdf:resource="http://purl.obolibrary.org/obo/CHEBI_27026"/>
</owl:Restriction>
</owl:equivalentClass>
<rdfs:subClassOf>
<owl:Restriction>
<owl:onProperty rdf:resource="http://purl.obolibrary.org/obo/RO_0000087"/>
<owl:someValuesFrom rdf:resource="http://purl.obolibrary.org/obo/ExO_0000005"/>
</owl:Restriction>
</rdfs:subClassOf>
<obo:IAO_0000115>Poisonous substance produced by a biological organism such as a microbe, animal or plant.</obo:IAO_0000115>
<oboInOwl:id>CHEBI:27026</oboInOwl:id>
<rdfs:label>toxin</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/CHEBI_27026"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>Poisonous substance produced by a biological organism such as a microbe, animal or plant.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://en.wikipedia.org/wiki/Toxin</oboInOwl:hasDbXref>
<oboInOwl:hasDbXref>url:https://www.ncbi.nlm.nih.gov/pmc/articles/PMC6429496/</oboInOwl:hasDbXref>
<oboInOwl:hasDbXref>url:https://www.osha.gov/biological-agents</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/CHEBI_27563 -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/CHEBI_27563">
<rdfs:subClassOf rdf:resource="http://purl.obolibrary.org/obo/CHEBI_5631"/>
<obo:IAO_0000115>A heavy metal that is arsenic, a heavy metal that is poisonous at low concentrations.</obo:IAO_0000115>
<oboInOwl:hasDbXref>NCIT:C28131</oboInOwl:hasDbXref>
<oboInOwl:id>CHEBI:27563</oboInOwl:id>
<rdfs:label>arsenic</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/CHEBI_27563"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>A heavy metal that is arsenic, a heavy metal that is poisonous at low concentrations.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://www.niehs.nih.gov/health/topics/agents/arsenic/index.cfm</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/CHEBI_28073 -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/CHEBI_28073">
<rdfs:subClassOf rdf:resource="http://purl.obolibrary.org/obo/CHEBI_5631"/>
<obo:IAO_0000115>A heavy metal that is chromium, a chromium group element atom that has atomic number 24.</obo:IAO_0000115>
<oboInOwl:id>CHEBI:28073</oboInOwl:id>
<rdfs:label>chromium</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/CHEBI_28073"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>A heavy metal that is chromium, a chromium group element atom that has atomic number 24.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://en.wikipedia.org/wiki/Chromium</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/CHEBI_2861 -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/CHEBI_2861">
<rdfs:subClassOf>
<owl:Restriction>
<owl:onProperty rdf:resource="http://purl.obolibrary.org/obo/RO_0000087"/>
<owl:someValuesFrom rdf:resource="http://purl.obolibrary.org/obo/ExO_0000006"/>
</owl:Restriction>
</rdfs:subClassOf>
<obo:IAO_0000115>A member of the class of acrylamides that results from the formal condensation of acrylic acid with ammonia.</obo:IAO_0000115>
<oboInOwl:hasDbXref>DRON:00723948</oboInOwl:hasDbXref>
<oboInOwl:hasDbXref>NCIT:C44329</oboInOwl:hasDbXref>
<oboInOwl:hasDbXref>OMIT:0020033</oboInOwl:hasDbXref>
<oboInOwl:id>CHEBI:28619</oboInOwl:id>
<rdfs:label>acrylamide</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/CHEBI_2861"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>A member of the class of acrylamides that results from the formal condensation of acrylic acid with ammonia.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://www.niehs.nih.gov/health/topics/agents/acrylamide/index.cfm</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/CHEBI_30440 -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/CHEBI_30440">
<rdfs:subClassOf rdf:resource="http://purl.obolibrary.org/obo/CHEBI_5631"/>
<obo:IAO_0000115>A metallic element first identified and named from the brilliant green line in its flame spectrum (from Greek thetaalphalambdalambdaomicronsigma, a green shoot).</obo:IAO_0000115>
<oboInOwl:id>CHEBI:30440</oboInOwl:id>
<rdfs:label>thallium</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/CHEBI_30440"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>A metallic element first identified and named from the brilliant green line in its flame spectrum (from Greek thetaalphalambdalambdaomicronsigma, a green shoot).</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://en.wikipedia.org/wiki/Thallium</oboInOwl:hasDbXref>
<oboInOwl:hasDbXref>url:https://www.cdc.gov/niosh/ershdb/emergencyresponsecard_29750026.html</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/CHEBI_30785 -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/CHEBI_30785">
<rdfs:subClassOf>
<owl:Restriction>
<owl:onProperty rdf:resource="http://purl.obolibrary.org/obo/RO_0000087"/>
<owl:someValuesFrom rdf:resource="http://purl.obolibrary.org/obo/CHEBI_64909"/>
</owl:Restriction>
</rdfs:subClassOf>
<obo:IAO_0000115>A poison that is methylmercury.</obo:IAO_0000115>
<oboInOwl:id>CHEBI:30785</oboInOwl:id>
<rdfs:label>methylmercury</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/CHEBI_30785"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>A poison that is methylmercury.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://www.epa.gov/mercury/how-people-are-exposed-mercury#methylmercury</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/CHEBI_30879 -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/CHEBI_30879">
<rdfs:subClassOf>
<owl:Restriction>
<owl:onProperty rdf:resource="http://purl.obolibrary.org/obo/RO_0000087"/>
<owl:someValuesFrom rdf:resource="http://purl.obolibrary.org/obo/ExO_0000006"/>
</owl:Restriction>
</rdfs:subClassOf>
<obo:IAO_0000115>A compound in which a hydroxy group, ‒OH, is attached to a saturated carbon atom.</obo:IAO_0000115>
<oboInOwl:hasDbXref>NCIT:C2190</oboInOwl:hasDbXref>
<oboInOwl:id>CHEBI:30879</oboInOwl:id>
<rdfs:label>alcohol</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/CHEBI_30879"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>A compound in which a hydroxy group, ‒OH, is attached to a saturated carbon atom.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://www.niaaa.nih.gov/alcohol-health/overview-alcohol-consumption/moderate-binge-drinking</oboInOwl:hasDbXref>
<oboInOwl:hasDbXref>url:https://www.niaaa.nih.gov/publications/brochures-and-fact-sheets/fetal-alcohol-exposure</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/CHEBI_32588 -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/CHEBI_32588">
<rdfs:subClassOf rdf:resource="http://purl.obolibrary.org/obo/CHEBI_64909"/>
<rdfs:subClassOf>
<owl:Restriction>
<owl:onProperty rdf:resource="http://purl.obolibrary.org/obo/RO_0000087"/>
<owl:someValuesFrom rdf:resource="http://purl.obolibrary.org/obo/CHEBI_64909"/>
</owl:Restriction>
</rdfs:subClassOf>
<obo:IAO_0000115>A metal chloride salt with a K(+) counterion.</obo:IAO_0000115>
<oboInOwl:id>CHEBI:32588</oboInOwl:id>
<rdfs:label>potassium chloride</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/CHEBI_32588"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>A metal chloride salt with a K(+) counterion.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://pubchem.ncbi.nlm.nih.gov/compound/Potassium-chloride</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/CHEBI_33216 -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/CHEBI_33216">
<rdfs:subClassOf rdf:resource="http://purl.obolibrary.org/obo/CHEBI_138015"/>
<obo:IAO_0000115>A bisphenol that is 4,4'-methanediyldiphenol in which the methylene hydrogens are replaced by two methyl groups.</obo:IAO_0000115>
<oboInOwl:id>CHEBI:33216</oboInOwl:id>
<rdfs:label>bisphenol A</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/CHEBI_33216"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>A bisphenol that is 4,4'-methanediyldiphenol in which the methylene hydrogens are replaced by two methyl groups.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://en.wikipedia.org/wiki/Bisphenol_A</oboInOwl:hasDbXref>
<oboInOwl:hasDbXref>url:https://www.niehs.nih.gov/health/topics/agents/sya-bpa/index.cfm</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/CHEBI_33217 -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/CHEBI_33217">
<rdfs:subClassOf rdf:resource="http://purl.obolibrary.org/obo/CHEBI_79314"/>
<obo:IAO_0000115>A bromobisphenol that is 4,4'-methanediyldiphenol in which the methylene hydrogens are replaced by two methyl groups and the phenyl rings are substituted by bromo groups at positions 2, 2', 6 and 6'. It is a brominated flame retardant.</obo:IAO_0000115>
<oboInOwl:hasExactSynonym>tetrabromobisphenol A</oboInOwl:hasExactSynonym>
<oboInOwl:id>CHEBI:33217</oboInOwl:id>
<rdfs:label>3,3',5,5'-tetrabromobisphenol A</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/CHEBI_33217"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>A bromobisphenol that is 4,4'-methanediyldiphenol in which the methylene hydrogens are replaced by two methyl groups and the phenyl rings are substituted by bromo groups at positions 2, 2', 6 and 6'. It is a brominated flame retardant.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://www.niehs.nih.gov/health/topics/agents/flame_retardants/index.cfm</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/CHEBI_33229 -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/CHEBI_33229">
<owl:equivalentClass>
<owl:Restriction>
<owl:onProperty rdf:resource="http://purl.obolibrary.org/obo/RO_0000087"/>
<owl:someValuesFrom rdf:resource="http://purl.obolibrary.org/obo/CHEBI_33229"/>
</owl:Restriction>
</owl:equivalentClass>
<rdfs:subClassOf rdf:resource="http://purl.obolibrary.org/obo/NCIT_C157938"/>
<obo:IAO_0000115>A biochemical role played by any micronutrient that is an organic compound. Vitamins are present in foods in small amounts and are essential to normal metabolism and biochemical functions, usually as coenzymes.</obo:IAO_0000115>
<oboInOwl:id>CHEBI:33229</oboInOwl:id>
<rdfs:label>vitamin</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/CHEBI_33229"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>A biochemical role played by any micronutrient that is an organic compound. Vitamins are present in foods in small amounts and are essential to normal metabolism and biochemical functions, usually as coenzymes.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://en.wikipedia.org/wiki/Vitamin</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/CHEBI_35446 -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/CHEBI_35446">
<rdfs:subClassOf rdf:resource="http://purl.obolibrary.org/obo/CHEBI_138015"/>
<obo:IAO_0000115>A chloroarene that consists of a biphenyl skeleton substituted by one or more chloro groups.</obo:IAO_0000115>
<oboInOwl:id>CHEBI:35446</oboInOwl:id>
<rdfs:label>chlorobiphenyl</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/CHEBI_35446"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>A chloroarene that consists of a biphenyl skeleton substituted by one or more chloro groups.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://www.niehs.nih.gov/health/topics/agents/endocrine/index.cfm</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/CHEBI_41922 -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/CHEBI_41922">
<rdfs:subClassOf>
<owl:Restriction>
<owl:onProperty rdf:resource="http://purl.obolibrary.org/obo/RO_0000087"/>
<owl:someValuesFrom rdf:resource="http://purl.obolibrary.org/obo/ExO_0000006"/>
</owl:Restriction>
</rdfs:subClassOf>
<obo:IAO_0000115>An olefinic compound that is trans-hex-3-ene in which the hydrogens at positions 3 and 4 have been replaced by p-hydroxyphenyl groups.</obo:IAO_0000115>
<oboInOwl:id>CHEBI:41922</oboInOwl:id>
<rdfs:label>diethylstilbestrol</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/CHEBI_41922"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>An olefinic compound that is trans-hex-3-ene in which the hydrogens at positions 3 and 4 have been replaced by p-hydroxyphenyl groups.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://en.wikipedia.org/wiki/Diethylstilbestrol</oboInOwl:hasDbXref>
<oboInOwl:hasDbXref>url:https://www.cdc.gov/des/consumers/about/index.html</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/CHEBI_46661 -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/CHEBI_46661">
<rdfs:subClassOf>
<owl:Restriction>
<owl:onProperty rdf:resource="http://purl.obolibrary.org/obo/RO_0000087"/>
<owl:someValuesFrom rdf:resource="http://purl.obolibrary.org/obo/ExO_0000006"/>
</owl:Restriction>
</rdfs:subClassOf>
<obo:IAO_0000115>Fibrous incombustible mineral composed of magnesium and calcium silicates with or without other elements.</obo:IAO_0000115>
<oboInOwl:hasDbXref>NCIT:C284</oboInOwl:hasDbXref>
<oboInOwl:id>CHEBI:46661</oboInOwl:id>
<rdfs:label>asbestos</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/CHEBI_46661"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>Fibrous incombustible mineral composed of magnesium and calcium silicates with or without other elements.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://medlineplus.gov/asbestos.html</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/CHEBI_49706 -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/CHEBI_49706">
<rdfs:subClassOf rdf:resource="http://purl.obolibrary.org/obo/CHEBI_138015"/>
<obo:IAO_0000115>A monovalent inorganic anion obtained by deprotonation of perchloric acid.</obo:IAO_0000115>
<oboInOwl:id>CHEBI:49706</oboInOwl:id>
<rdfs:label>percholrate</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/CHEBI_49706"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>A monovalent inorganic anion obtained by deprotonation of perchloric acid.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://www.niehs.nih.gov/health/topics/agents/endocrine/index.cfm</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/CHEBI_50904 -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/CHEBI_50904">
<owl:equivalentClass>
<owl:Restriction>
<owl:onProperty rdf:resource="http://purl.obolibrary.org/obo/RO_0000087"/>
<owl:someValuesFrom rdf:resource="http://purl.obolibrary.org/obo/CHEBI_50904"/>
</owl:Restriction>
</owl:equivalentClass>
<rdfs:subClassOf>
<owl:Restriction>
<owl:onProperty rdf:resource="http://purl.obolibrary.org/obo/RO_0000087"/>
<owl:someValuesFrom rdf:resource="http://purl.obolibrary.org/obo/ExO_0000005"/>
</owl:Restriction>
</rdfs:subClassOf>
<obo:IAO_0000115>A chemical compound, or part thereof, which causes the onset of an allergic reaction by interacting with any of the molecular pathways involved in an allergy.</obo:IAO_0000115>
<oboInOwl:id>CHEBI:50904</oboInOwl:id>
<rdfs:label>allergen</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/CHEBI_50904"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>A chemical compound, or part thereof, which causes the onset of an allergic reaction by interacting with any of the molecular pathways involved in an allergy.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://www.niehs.nih.gov/health/topics/agents/allergens/</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/CHEBI_5631 -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/CHEBI_5631">
<rdfs:subClassOf>
<owl:Restriction>
<owl:onProperty rdf:resource="http://purl.obolibrary.org/obo/RO_0000087"/>
<owl:someValuesFrom rdf:resource="http://purl.obolibrary.org/obo/CHEBI_64909"/>
</owl:Restriction>
</rdfs:subClassOf>
<obo:IAO_0000115>Any metal that is characterized by its rather high atomic mass and density. Although typically occurring in low concentrations, they can be found all throughout the Earth's crust (Commonly, a density of at least 5 g cm(3) is used to define a heavy metal and to differentiate it from other, ''light'' metals).</obo:IAO_0000115>
<oboInOwl:hasDbXref>OMIT:0019292</oboInOwl:hasDbXref>
<oboInOwl:id>CHEBI:5631</oboInOwl:id>
<rdfs:label>heavy metal</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/CHEBI_5631"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>Any metal that is characterized by its rather high atomic mass and density. Although typically occurring in low concentrations, they can be found all throughout the Earth's crust (Commonly, a density of at least 5 g cm(3) is used to define a heavy metal and to differentiate it from other, ''light'' metals).</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://en.wikipedia.org/wiki/Heavy_metals</oboInOwl:hasDbXref>
<oboInOwl:hasDbXref>url:https://www.lenntech.com/processes/heavy/heavy-metals/heavy-metals.htm#ixzz6s7SaXlej</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/CHEBI_64909 -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/CHEBI_64909">
<owl:equivalentClass>
<owl:Restriction>
<owl:onProperty rdf:resource="http://purl.obolibrary.org/obo/RO_0000087"/>
<owl:someValuesFrom rdf:resource="http://purl.obolibrary.org/obo/CHEBI_64909"/>
</owl:Restriction>
</owl:equivalentClass>
<rdfs:subClassOf>
<owl:Restriction>
<owl:onProperty rdf:resource="http://purl.obolibrary.org/obo/RO_0000087"/>
<owl:someValuesFrom rdf:resource="http://purl.obolibrary.org/obo/ExO_0000006"/>
</owl:Restriction>
</rdfs:subClassOf>
<obo:IAO_0000115>Any substance that causes disturbance to organisms by chemical reaction or other activity on the molecular scale, when a sufficient quantity is absorbed by the organism.</obo:IAO_0000115>
<oboInOwl:id>CHEBI:64909</oboInOwl:id>
<rdfs:label>poison</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/CHEBI_64909"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>Any substance that causes disturbance to organisms by chemical reaction or other activity on the molecular scale, when a sufficient quantity is absorbed by the organism.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://en.wikipedia.org/wiki/Poison</oboInOwl:hasDbXref>
<oboInOwl:hasDbXref>url:https://medlineplus.gov/poisoning.html</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/CHEBI_76989 -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/CHEBI_76989">
<rdfs:subClassOf rdf:resource="http://purl.obolibrary.org/obo/CHEBI_138015"/>
<obo:IAO_0000115>Any compound produced by a plant that happens to have estrogenic activity.</obo:IAO_0000115>
<oboInOwl:id>CHEBI:76989</oboInOwl:id>
<rdfs:label>phytoestrogen</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/CHEBI_76989"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>Any compound produced by a plant that happens to have estrogenic activity.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://en.wikipedia.org/wiki/Phytoestrogen</oboInOwl:hasDbXref>
<oboInOwl:hasDbXref>url:https://www.niehs.nih.gov/health/topics/agents/endocrine/index.cfm</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/CHEBI_79314 -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/CHEBI_79314">
<owl:equivalentClass>
<owl:Restriction>
<owl:onProperty rdf:resource="http://purl.obolibrary.org/obo/RO_0000087"/>
<owl:someValuesFrom rdf:resource="http://purl.obolibrary.org/obo/CHEBI_79314"/>
</owl:Restriction>
</owl:equivalentClass>
<rdfs:subClassOf>
<owl:Restriction>
<owl:onProperty rdf:resource="http://purl.obolibrary.org/obo/RO_0000087"/>
<owl:someValuesFrom rdf:resource="http://purl.obolibrary.org/obo/ExO_0000006"/>
</owl:Restriction>
</rdfs:subClassOf>
<obo:IAO_0000115>Any compound that is added to manufactured materials to inhibit, suppress, or delay the production of flames and so prevent the spread of fire.</obo:IAO_0000115>
<oboInOwl:id>CHEBI:79314</oboInOwl:id>
<rdfs:label>flame retardant</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/CHEBI_79314"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>Any compound that is added to manufactured materials to inhibit, suppress, or delay the production of flames and so prevent the spread of fire.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://www.niehs.nih.gov/health/topics/agents/flame_retardants/index.cfm</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/DRON_00020118 -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/DRON_00020118">
<rdfs:subClassOf>
<owl:Restriction>
<owl:onProperty rdf:resource="http://purl.obolibrary.org/obo/RO_0000087"/>
<owl:someValuesFrom rdf:resource="http://purl.obolibrary.org/obo/CHEBI_50904"/>
</owl:Restriction>
</rdfs:subClassOf>
<obo:IAO_0000115>An allergen that is an American house dust mite, microscopic, insect-like pests that commonly live in house dust. They feed on flakes of dead skin, or dander, that are shed by people and pets. These tiny creatures are a big source of allergens and can worsen allergies and asthma. Dust mites can live in mattresses, bedding, upholstered furniture, carpets, and curtains in your home.</obo:IAO_0000115>
<oboInOwl:hasDbXref>OMIT:0022964</oboInOwl:hasDbXref>
<oboInOwl:hasExactSynonym>Dermatophagoides farinae</oboInOwl:hasExactSynonym>
<oboInOwl:id>NCBITaxon:6954</oboInOwl:id>
<rdfs:label>American house dust mite</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/DRON_00020118"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>An allergen that is an American house dust mite, microscopic, insect-like pests that commonly live in house dust. They feed on flakes of dead skin, or dander, that are shed by people and pets. These tiny creatures are a big source of allergens and can worsen allergies and asthma. Dust mites can live in mattresses, bedding, upholstered furniture, carpets, and curtains in your home.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://www.niehs.nih.gov/health/topics/agents/allergens/dustmites/index.cfm</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/ENVO_00002003 -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/ENVO_00002003">
<owl:equivalentClass>
<owl:Restriction>
<owl:onProperty rdf:resource="http://purl.obolibrary.org/obo/RO_0000087"/>
<owl:someValuesFrom rdf:resource="http://purl.obolibrary.org/obo/ENVO_00002003"/>
</owl:Restriction>
</owl:equivalentClass>
<rdfs:subClassOf>
<owl:Restriction>
<owl:onProperty rdf:resource="http://purl.obolibrary.org/obo/RO_0000087"/>
<owl:someValuesFrom rdf:resource="http://purl.obolibrary.org/obo/CHEBI_50904"/>
</owl:Restriction>
</rdfs:subClassOf>
<obo:IAO_0000115>An excreta material which is composed primarily of feces, an excreta consisting of waste products expelled from an animal's digestive tract through the anus (or cloaca) during defecation.</obo:IAO_0000115>
<oboInOwl:id>ENVO:00002003</oboInOwl:id>
<rdfs:label>fecal material</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/ENVO_00002003"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>An excreta material which is composed primarily of feces, an excreta consisting of waste products expelled from an animal's digestive tract through the anus (or cloaca) during defecation.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://en.wikipedia.org/wiki/Feces</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/ENVO_01000677 -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/ENVO_01000677">
<rdfs:subClassOf>
<owl:Restriction>
<owl:onProperty rdf:resource="http://purl.obolibrary.org/obo/RO_0000087"/>
<owl:someValuesFrom rdf:resource="http://purl.obolibrary.org/obo/ExO_0000007"/>
</owl:Restriction>
</rdfs:subClassOf>
<obo:IAO_0000115>An earthquake is a process during which energy is released in an astronomical body's crust, causing the displacement of crustal parts and the generation of seismic waves.</obo:IAO_0000115>
<oboInOwl:id>ENVO:01000677</oboInOwl:id>
<rdfs:label>earthquake</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/ENVO_01000677"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>An earthquake is a process during which energy is released in an astronomical body's crust, causing the displacement of crustal parts and the generation of seismic waves.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://en.wikipedia.org/wiki/Earthquake</oboInOwl:hasDbXref>
<oboInOwl:hasDbXref>url:https://www.usgs.gov/natural-hazards/earthquake-hazards/earthquakes</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/ENVO_01000689 -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/ENVO_01000689">
<rdfs:subClassOf>
<owl:Restriction>
<owl:onProperty rdf:resource="http://purl.obolibrary.org/obo/RO_0000087"/>
<owl:someValuesFrom rdf:resource="http://purl.obolibrary.org/obo/ExO_0000007"/>
</owl:Restriction>
</rdfs:subClassOf>
<obo:IAO_0000115>A tsunami is a process during which a series of waves is generated in a water body, typically an ocean or large lake, by the displacement of a large volume of water. Earthquakes, volcanic eruptions and other underwater explosions (including detonations of underwater nuclear devices), landslides, glacier calvings, meteorite impacts and other disturbances above or below water all have the potential to generate a tsunami.</obo:IAO_0000115>
<oboInOwl:id>ENVO:01000689</oboInOwl:id>
<rdfs:label>tsunami</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/ENVO_01000689"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>A tsunami is a process during which a series of waves is generated in a water body, typically an ocean or large lake, by the displacement of a large volume of water. Earthquakes, volcanic eruptions and other underwater explosions (including detonations of underwater nuclear devices), landslides, glacier calvings, meteorite impacts and other disturbances above or below water all have the potential to generate a tsunami.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://en.wikipedia.org/wiki/Tsunami</oboInOwl:hasDbXref>
<oboInOwl:hasDbXref>url:https://oceanservice.noaa.gov/facts/tsunami.html</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/ENVO_01000786 -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/ENVO_01000786">
<rdfs:subClassOf>
<owl:Restriction>
<owl:onProperty rdf:resource="http://purl.obolibrary.org/obo/RO_0000087"/>
<owl:someValuesFrom rdf:resource="http://purl.obolibrary.org/obo/ExO_0000007"/>
</owl:Restriction>
</rdfs:subClassOf>
<obo:IAO_0000115>A fire is a process whereby rapid and exothermic oxidation of a material through a combustion process releases heat, light, and other products.</obo:IAO_0000115>
<obo:IAO_0000115>url:https://www.fs.fed.us/rm/pubs_int/int_gtr336.pdf</obo:IAO_0000115>
<oboInOwl:id>ENVO:01000786</oboInOwl:id>
<rdfs:label>fire</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/ENVO_01000786"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>url:https://www.fs.fed.us/rm/pubs_int/int_gtr336.pdf</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:http://www.eionet.europa.eu/gemet/en/concept/3212</oboInOwl:hasDbXref>
<oboInOwl:hasDbXref>url:https://en.wikipedia.org/wiki/Fire</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/ENVO_01000878 -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/ENVO_01000878">
<rdfs:subClassOf>
<owl:Restriction>
<owl:onProperty rdf:resource="http://purl.obolibrary.org/obo/RO_0000087"/>
<owl:someValuesFrom rdf:resource="http://purl.obolibrary.org/obo/ExO_0000007"/>
</owl:Restriction>
</rdfs:subClassOf>
<obo:IAO_0000115>An atmospheric storm which occurs in cumulonimbus clouds and during which lightning and thunder occur.</obo:IAO_0000115>
<oboInOwl:id>ENVO:01000878</oboInOwl:id>
<rdfs:label>thunderstorm</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/ENVO_01000878"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>An atmospheric storm which occurs in cumulonimbus clouds and during which lightning and thunder occur.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:http://scienceline.ucsb.edu/getkey.php?key=781</oboInOwl:hasDbXref>
<oboInOwl:hasDbXref>url:https://www.ready.gov/thunderstorms-lightning</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/ENVO_01001023 -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/ENVO_01001023">
<owl:equivalentClass>
<owl:Restriction>
<owl:onProperty rdf:resource="http://purl.obolibrary.org/obo/RO_0000087"/>
<owl:someValuesFrom rdf:resource="http://purl.obolibrary.org/obo/ENVO_01001023"/>
</owl:Restriction>
</owl:equivalentClass>
<rdfs:subClassOf>
<owl:Restriction>
<owl:onProperty rdf:resource="http://purl.obolibrary.org/obo/RO_0000087"/>
<owl:someValuesFrom rdf:resource="http://purl.obolibrary.org/obo/ExO_0000008"/>
</owl:Restriction>
</rdfs:subClassOf>
<obo:IAO_0000115>A process during which energy is emitted or transmitted in the form of waves or particles through space or a material medium.</obo:IAO_0000115>
<oboInOwl:hasDbXref>NPO:1731</oboInOwl:hasDbXref>
<oboInOwl:id>ENVO:01001023</oboInOwl:id>
<rdfs:label>radiation</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/ENVO_01001023"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>A process during which energy is emitted or transmitted in the form of waves or particles through space or a material medium.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://en.wikipedia.org/wiki/Radiation</oboInOwl:hasDbXref>
<oboInOwl:hasDbXref>url:https://medlineplus.gov/radiationexposure.html</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/ENVO_01001297 -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/ENVO_01001297">
<rdfs:subClassOf>
<owl:Restriction>
<owl:onProperty rdf:resource="http://purl.obolibrary.org/obo/RO_0000087"/>
<owl:someValuesFrom rdf:resource="http://purl.obolibrary.org/obo/ExO_0000007"/>
</owl:Restriction>
</rdfs:subClassOf>
<obo:IAO_0000115>An atmospheric storm during which a large mass of atmospheric gas rotates around a centre of low atmospheric pressure, generating high winds which spiral towards that centre.</obo:IAO_0000115>
<oboInOwl:id>ENVO:01001297</oboInOwl:id>
<rdfs:label>cyclone</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/ENVO_01001297"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>An atmospheric storm during which a large mass of atmospheric gas rotates around a centre of low atmospheric pressure, generating high winds which spiral towards that centre.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://en.wikipedia.org/wiki/Cyclone</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/ENVO_01001482 -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/ENVO_01001482">
<rdfs:subClassOf>
<owl:Restriction>
<owl:onProperty rdf:resource="http://purl.obolibrary.org/obo/RO_0000087"/>
<owl:someValuesFrom rdf:resource="http://purl.obolibrary.org/obo/ExO_0000007"/>
</owl:Restriction>
</rdfs:subClassOf>
<obo:IAO_0000115>A tornado is an aeroform consisting of at least one rapidly rotating column of air that is in contact with both the surface of an astronomical body and pendant from within or beneath a cumulonimbus or cumulus cloud.</obo:IAO_0000115>
<oboInOwl:id>ENVO:01001482</oboInOwl:id>
<rdfs:label>tornado</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/ENVO_01001482"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>A tornado is an aeroform consisting of at least one rapidly rotating column of air that is in contact with both the surface of an astronomical body and pendant from within or beneath a cumulonimbus or cumulus cloud.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://en.wikipedia.org/wiki/Tornado</oboInOwl:hasDbXref>
<oboInOwl:hasDbXref>url:https://www.nssl.noaa.gov/education/svrwx101/tornadoes/</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/ENVO_2000004 -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/ENVO_2000004">
<rdfs:subClassOf>
<owl:Restriction>
<owl:onProperty rdf:resource="http://purl.obolibrary.org/obo/RO_0000087"/>
<owl:someValuesFrom rdf:resource="http://purl.obolibrary.org/obo/ExO_0000006"/>
</owl:Restriction>
</rdfs:subClassOf>
<obo:IAO_0000115>A feature that arises from a rapid increase or accumulation in the population of algae (typically microscopic) in an aquatic system.</obo:IAO_0000115>
<oboInOwl:hasDbXref>ENVO:02500018</oboInOwl:hasDbXref>
<oboInOwl:id>ENVO:2000004</oboInOwl:id>
<rdfs:label>algal bloom</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/ENVO_2000004"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>A feature that arises from a rapid increase or accumulation in the population of algae (typically microscopic) in an aquatic system.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://en.wikipedia.org/wiki/Algal_bloom</oboInOwl:hasDbXref>
<oboInOwl:hasDbXref>url:https://www.niehs.nih.gov/health/topics/agents/algal-blooms/index.cfm</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/ExO_0000000 -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/ExO_0000000">
<obo:IAO_0000115>An agent, stimulus, activity, or event that causes stress or tension on an organism and interacts with an exposure receptor during an exposure event.</obo:IAO_0000115>
<oboInOwl:id>ExO:0000000</oboInOwl:id>
<rdfs:label>exposure stressor</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/ExO_0000000"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>An agent, stimulus, activity, or event that causes stress or tension on an organism and interacts with an exposure receptor during an exposure event.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://pubmed.ncbi.nlm.nih.gov/29351546/</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/ExO_0000005 -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/ExO_0000005">
<owl:equivalentClass>
<owl:Restriction>
<owl:onProperty rdf:resource="http://purl.obolibrary.org/obo/RO_0000087"/>
<owl:someValuesFrom rdf:resource="http://purl.obolibrary.org/obo/ExO_0000005"/>
</owl:Restriction>
</owl:equivalentClass>
<rdfs:subClassOf rdf:resource="http://purl.obolibrary.org/obo/ExO_0000000"/>
<obo:IAO_0000115>An agent of biological origin.</obo:IAO_0000115>
<oboInOwl:id>ExO:0000005</oboInOwl:id>
<rdfs:label>biological agent</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/ExO_0000005"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>An agent of biological origin.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://pubmed.ncbi.nlm.nih.gov/29351546/</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/ExO_0000006 -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/ExO_0000006">
<owl:equivalentClass>
<owl:Restriction>
<owl:onProperty rdf:resource="http://purl.obolibrary.org/obo/RO_0000087"/>
<owl:someValuesFrom rdf:resource="http://purl.obolibrary.org/obo/ExO_0000006"/>
</owl:Restriction>
</owl:equivalentClass>
<rdfs:subClassOf rdf:resource="http://purl.obolibrary.org/obo/ExO_0000000"/>
<obo:IAO_0000115>An agent of chemical origin.</obo:IAO_0000115>
<oboInOwl:id>ExO:0000006</oboInOwl:id>
<rdfs:label>chemical agent</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/ExO_0000006"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>An agent of chemical origin.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://pubmed.ncbi.nlm.nih.gov/29351546/</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/ExO_0000007 -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/ExO_0000007">
<owl:equivalentClass>
<owl:Restriction>
<owl:onProperty rdf:resource="http://purl.obolibrary.org/obo/RO_0000087"/>
<owl:someValuesFrom rdf:resource="http://purl.obolibrary.org/obo/ExO_0000007"/>
</owl:Restriction>
</owl:equivalentClass>
<rdfs:subClassOf rdf:resource="http://purl.obolibrary.org/obo/ExO_0000000"/>
<obo:IAO_0000115>An exposure stressor that is a change in the distributions, abundance and relations of organisms and their interactions with the environment.</obo:IAO_0000115>
<oboInOwl:id>ExO:0000007</oboInOwl:id>
<rdfs:label>ecological perturbations</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/ExO_0000007"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>An exposure stressor that is a change in the distributions, abundance and relations of organisms and their interactions with the environment.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://pubmed.ncbi.nlm.nih.gov/29351546/</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/ExO_0000008 -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/ExO_0000008">
<owl:equivalentClass>
<owl:Restriction>
<owl:onProperty rdf:resource="http://purl.obolibrary.org/obo/RO_0000087"/>
<owl:someValuesFrom rdf:resource="http://purl.obolibrary.org/obo/ExO_0000008"/>
</owl:Restriction>
</owl:equivalentClass>
<rdfs:subClassOf rdf:resource="http://purl.obolibrary.org/obo/ExO_0000000"/>
<obo:IAO_0000115>An agent as a source of energy that may cause injury or disease (e.g., noise, vibration, radiation, temperature extremes).</obo:IAO_0000115>
<oboInOwl:id>ExO:0000008</oboInOwl:id>
<rdfs:label>physical agent</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/ExO_0000008"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>An agent as a source of energy that may cause injury or disease (e.g., noise, vibration, radiation, temperature extremes).</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://pubmed.ncbi.nlm.nih.gov/29351546/</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/ExO_0000009 -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/ExO_0000009">
<owl:equivalentClass>
<owl:Restriction>
<owl:onProperty rdf:resource="http://purl.obolibrary.org/obo/RO_0000087"/>
<owl:someValuesFrom rdf:resource="http://purl.obolibrary.org/obo/ExO_0000009"/>
</owl:Restriction>
</owl:equivalentClass>
<rdfs:subClassOf rdf:resource="http://purl.obolibrary.org/obo/ExO_0000000"/>
<obo:IAO_0000115>An agent that interferes with one's psychological development in and interaction with a social environment.</obo:IAO_0000115>
<oboInOwl:id>ExO:0000009</oboInOwl:id>
<rdfs:label>psychosocial agent</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/ExO_0000009"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>An agent that interferes with one's psychological development in and interaction with a social environment.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://pubmed.ncbi.nlm.nih.gov/29351546/</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/ExO_0000013 -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/ExO_0000013">
<rdfs:subClassOf>
<owl:Restriction>
<owl:onProperty rdf:resource="http://purl.obolibrary.org/obo/RO_0000087"/>
<owl:someValuesFrom rdf:resource="http://purl.obolibrary.org/obo/ExO_0000007"/>
</owl:Restriction>
</rdfs:subClassOf>
<obo:IAO_0000115>An ecological perturbation that is acidic water, usually pH 2.5 to 4.5, which poisons the ecosystem and adversely affects plants, fishes, and mammals. It is caused by industrial pollutants, mainly sulfur oxides and nitrogen oxides, emitted into the atmosphere and returning to earth in the form of acidic rain water.</obo:IAO_0000115>
<oboInOwl:hasDbXref>ENVO:03000127</oboInOwl:hasDbXref>
<oboInOwl:hasDbXref>OMIT:0016047</oboInOwl:hasDbXref>
<oboInOwl:id>ExO:0000013</oboInOwl:id>
<rdfs:label>acid rain</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/ExO_0000013"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>An ecological perturbation that is acidic water, usually pH 2.5 to 4.5, which poisons the ecosystem and adversely affects plants, fishes, and mammals. It is caused by industrial pollutants, mainly sulfur oxides and nitrogen oxides, emitted into the atmosphere and returning to earth in the form of acidic rain water.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://pubmed.ncbi.nlm.nih.gov/29351546/</oboInOwl:hasDbXref>
<oboInOwl:hasDbXref>url:https://www.epa.gov/acidrain/what-acid-rain</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/ExO_0000014 -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/ExO_0000014">
<rdfs:subClassOf>
<owl:Restriction>
<owl:onProperty rdf:resource="http://purl.obolibrary.org/obo/RO_0000087"/>
<owl:someValuesFrom rdf:resource="http://purl.obolibrary.org/obo/ExO_0000007"/>
</owl:Restriction>
</rdfs:subClassOf>
<obo:IAO_0000115>An ecological pertubation that is any significant change in measures of climate (such as temperature, precipitation, or wind) lasting for an extended period (decades or longer). It may result from natural factors such as changes in the sun's intensity, natural processes within the climate system such as changes in ocean circulation, or human activities.</obo:IAO_0000115>
<oboInOwl:id>ExO:0000014</oboInOwl:id>
<rdfs:label>climate change</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/ExO_0000014"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>An ecological pertubation that is any significant change in measures of climate (such as temperature, precipitation, or wind) lasting for an extended period (decades or longer). It may result from natural factors such as changes in the sun's intensity, natural processes within the climate system such as changes in ocean circulation, or human activities.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://pubmed.ncbi.nlm.nih.gov/29351546/</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/ExO_0000015 -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/ExO_0000015">
<rdfs:subClassOf>
<owl:Restriction>
<owl:onProperty rdf:resource="http://purl.obolibrary.org/obo/RO_0000087"/>
<owl:someValuesFrom rdf:resource="http://purl.obolibrary.org/obo/ExO_0000009"/>
</owl:Restriction>
</rdfs:subClassOf>
<obo:IAO_0000115>A psychosocial agent that is a situation in which the level of living of an individual, family, or group is below the standard of the community. It is often related to a specific income level.</obo:IAO_0000115>
<oboInOwl:id>ExO:0000015</oboInOwl:id>
<rdfs:label>poverty</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/ExO_0000015"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>A psychosocial agent that is a situation in which the level of living of an individual, family, or group is below the standard of the community. It is often related to a specific income level.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://en.wikipedia.org/wiki/Poverty</oboInOwl:hasDbXref>
<oboInOwl:hasDbXref>url:https://www.un.org/en/global-issues/ending-poverty</oboInOwl:hasDbXref>
<oboInOwl:hasDbXref>url:https://www.worldbank.org/en/topic/poverty/overview</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/FOODON_03420279 -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/FOODON_03420279">
<rdfs:subClassOf>
<owl:Restriction>
<owl:onProperty rdf:resource="http://purl.obolibrary.org/obo/RO_0000087"/>
<owl:someValuesFrom rdf:resource="http://purl.obolibrary.org/obo/CHEBI_50904"/>
</owl:Restriction>
</rdfs:subClassOf>
<obo:IAO_0000115>The male genetic material of a seed plant contained in the anther, usually appearing as fine grains or dust.</obo:IAO_0000115>
<oboInOwl:hasDbXref>BTO:0001097</oboInOwl:hasDbXref>
<oboInOwl:hasDbXref>NCIT:C79660</oboInOwl:hasDbXref>
<oboInOwl:hasDbXref>OMIT:0012028</oboInOwl:hasDbXref>
<oboInOwl:hasDbXref>PO:0025281</oboInOwl:hasDbXref>
<oboInOwl:id>NCIT:C79660</oboInOwl:id>
<rdfs:label>pollen</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/FOODON_03420279"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>The male genetic material of a seed plant contained in the anther, usually appearing as fine grains or dust.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://www.niehs.nih.gov/health/topics/agents/allergens/pollen/index.cfm</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/GSSO_001616 -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/GSSO_001616">
<rdfs:subClassOf>
<owl:Restriction>
<owl:onProperty rdf:resource="http://purl.obolibrary.org/obo/RO_0000087"/>
<owl:someValuesFrom rdf:resource="http://purl.obolibrary.org/obo/ExO_0000009"/>
</owl:Restriction>
</rdfs:subClassOf>
<obo:IAO_0000115>A psychosocial agent that is healthcare disparitiy (access to healthcare), the timely use of personal health services to achieve the best health outcomes.</obo:IAO_0000115>
<oboInOwl:id>GSSO:001616</oboInOwl:id>
<rdfs:label>healthcare disparity</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/GSSO_001616"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>A psychosocial agent that is healthcare disparitiy (access to healthcare), the timely use of personal health services to achieve the best health outcomes.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://www.healthypeople.gov/2020/topics-objectives/topic/Access-to-Health-Services</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/HP_0011967 -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/HP_0011967">
<rdfs:subClassOf rdf:resource="http://purl.obolibrary.org/obo/NCIT_C157938"/>
<obo:IAO_0000115>An element with atomic symbol Cu, atomic number 29, and atomic weight 63.</obo:IAO_0000115>
<oboInOwl:hasExactSynonym>copper deficiency</oboInOwl:hasExactSynonym>
<oboInOwl:id>NCIT:C391</oboInOwl:id>
<rdfs:label>copper</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/HP_0011967"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>An element with atomic symbol Cu, atomic number 29, and atomic weight 63.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://www.ncbi.nlm.nih.gov/pmc/articles/PMC5637704/</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/HP_0100497 -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/HP_0100497">
<rdfs:subClassOf>
<owl:Restriction>
<owl:onProperty rdf:resource="http://purl.obolibrary.org/obo/RO_0000087"/>
<owl:someValuesFrom rdf:resource="http://purl.obolibrary.org/obo/NCIT_C157938"/>
</owl:Restriction>
</rdfs:subClassOf>
<obo:IAO_0000115>A water-soluble vitamin belonging to the vitamin B family, which occurs in many animal and plant tissues, with antihyperlipidemic activity. Niacin is converted to its active form niacinamide, which is a component of the coenzymes nicotinamide adenine dinucleotide (NAD) and its phosphate form, NADP. These coenzymes play an important role in tissue respiration and in glycogen, lipid, amino acid, protein, and purine metabolism. Although the exact mechanism of action by which niacin lowers cholesterol is not fully understood, it may act by inhibiting the synthesis of very low density lipoproteins (VLDL), inhibiting the release of free fatty acids from adipose tissue, increasing lipoprotein lipase activity, and reducing the hepatic synthesis of VLDL-C and LDL-C.</obo:IAO_0000115>
<oboInOwl:hasExactSynonym>vitamin B3</oboInOwl:hasExactSynonym>
<oboInOwl:id>NCIT:C689</oboInOwl:id>
<rdfs:label>niacin</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/HP_0100497"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>A water-soluble vitamin belonging to the vitamin B family, which occurs in many animal and plant tissues, with antihyperlipidemic activity. Niacin is converted to its active form niacinamide, which is a component of the coenzymes nicotinamide adenine dinucleotide (NAD) and its phosphate form, NADP. These coenzymes play an important role in tissue respiration and in glycogen, lipid, amino acid, protein, and purine metabolism. Although the exact mechanism of action by which niacin lowers cholesterol is not fully understood, it may act by inhibiting the synthesis of very low density lipoproteins (VLDL), inhibiting the release of free fatty acids from adipose tissue, increasing lipoprotein lipase activity, and reducing the hepatic synthesis of VLDL-C and LDL-C.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://www.ncbi.nlm.nih.gov/books/NBK557728/</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/HP_0200118 -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/HP_0200118">
<rdfs:subClassOf>
<owl:Restriction>
<owl:onProperty rdf:resource="http://purl.obolibrary.org/obo/RO_0000087"/>
<owl:someValuesFrom rdf:resource="http://purl.obolibrary.org/obo/CHEBI_33229"/>
</owl:Restriction>
</rdfs:subClassOf>
<obo:IAO_0000115>An essential nutrient and natural water-soluble vitamin of the B-complex family that must combine with an intrinsic factor for absorption by the intestine, Vitamin B12 (cyanocobalamin) is necessary for hematopoiesis, neural metabolism, DNA and RNA production, and carbohydrate, fat, and protein metabolism. B12 improves iron functions in the metabolic cycle and assists folic acid in choline synthesis. B12 metabolism is interconnected with that of folic acid. Vitamin B12 deficiency causes pernicious anemia, megaloblastic anemia, and neurologic lesions.</obo:IAO_0000115>
<oboInOwl:hasDbXref>NCIT:C939</oboInOwl:hasDbXref>
<oboInOwl:id>FOODON:03413761</oboInOwl:id>
<rdfs:label>vitamin B12</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/HP_0200118"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>An essential nutrient and natural water-soluble vitamin of the B-complex family that must combine with an intrinsic factor for absorption by the intestine, Vitamin B12 (cyanocobalamin) is necessary for hematopoiesis, neural metabolism, DNA and RNA production, and carbohydrate, fat, and protein metabolism. B12 improves iron functions in the metabolic cycle and assists folic acid in choline synthesis. B12 metabolism is interconnected with that of folic acid. Vitamin B12 deficiency causes pernicious anemia, megaloblastic anemia, and neurologic lesions.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://www.merckmanuals.com/home/disorders-of-nutrition/vitamins/vitamin-b12-deficiency</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/NCBITaxon_6956 -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/NCBITaxon_6956">
<rdfs:subClassOf>
<owl:Restriction>
<owl:onProperty rdf:resource="http://purl.obolibrary.org/obo/RO_0000087"/>
<owl:someValuesFrom rdf:resource="http://purl.obolibrary.org/obo/CHEBI_50904"/>
</owl:Restriction>
</rdfs:subClassOf>
<obo:IAO_0000115>An allergen that is an European house dust mite, microscopic, insect-like pests that commonly live in house dust. They feed on flakes of dead skin, or dander, that are shed by people and pets. These tiny creatures are a big source of allergens and can worsen allergies and asthma. Dust mites can live in mattresses, bedding, upholstered furniture, carpets, and curtains in your home.</obo:IAO_0000115>
<oboInOwl:id>NCBITaxon:6956</oboInOwl:id>
<rdfs:label>European house dust mite</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/NCBITaxon_6956"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>An allergen that is an European house dust mite, microscopic, insect-like pests that commonly live in house dust. They feed on flakes of dead skin, or dander, that are shed by people and pets. These tiny creatures are a big source of allergens and can worsen allergies and asthma. Dust mites can live in mattresses, bedding, upholstered furniture, carpets, and curtains in your home.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://www.niehs.nih.gov/health/topics/agents/allergens/dustmites/index.cfm</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/NCIT_C125231 -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/NCIT_C125231">
<rdfs:subClassOf>
<owl:Restriction>
<owl:onProperty rdf:resource="http://purl.obolibrary.org/obo/RO_0000087"/>
<owl:someValuesFrom rdf:resource="http://purl.obolibrary.org/obo/CHEBI_79314"/>
</owl:Restriction>
</rdfs:subClassOf>
<obo:IAO_0000115>A mixture of synthetic solids, containing aromatic rings connected by an ether bond and containing one or more bromine atoms, that is virtually insoluble in water but is soluble in fat and various organic solvents and have been used in industrial applications as flame retardants. These compounds are being phased out of industrial use because of their environmental persistence and potential hormone-disrupting effects in humans. The primary routes of potential human exposure to polybrominated diphenyl ethers (PBDEs) are ingestion, inhalation, and dermal contact either for personnel associated with the manufacture or recycling of PDBEs or for people exposed to domestic products containing these chemicals.</obo:IAO_0000115>
<oboInOwl:id>NCIT:C125231</oboInOwl:id>
<rdfs:label>polybrominated diphenyl ether</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/NCIT_C125231"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>A mixture of synthetic solids, containing aromatic rings connected by an ether bond and containing one or more bromine atoms, that is virtually insoluble in water but is soluble in fat and various organic solvents and have been used in industrial applications as flame retardants. These compounds are being phased out of industrial use because of their environmental persistence and potential hormone-disrupting effects in humans. The primary routes of potential human exposure to polybrominated diphenyl ethers (PBDEs) are ingestion, inhalation, and dermal contact either for personnel associated with the manufacture or recycling of PDBEs or for people exposed to domestic products containing these chemicals.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://www.niehs.nih.gov/health/topics/agents/flame_retardants/index.cfm</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/NCIT_C133278 -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/NCIT_C133278">
<rdfs:subClassOf rdf:resource="http://purl.obolibrary.org/obo/NCIT_C81304"/>
<obo:IAO_0000115>Platelets collected from a single donor and suspended in a specified volume of original plasma.</obo:IAO_0000115>
<oboInOwl:id>NCIT:C133278</oboInOwl:id>
<rdfs:label>platelets product</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/NCIT_C133278"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>Platelets collected from a single donor and suspended in a specified volume of original plasma.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://www.ncbi.nlm.nih.gov/books/NBK539826/</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/NCIT_C133280 -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/NCIT_C133280">
<rdfs:subClassOf rdf:resource="http://purl.obolibrary.org/obo/NCIT_C81304"/>
<obo:IAO_0000115>Red blood cells remaining after separating plasma from human blood, or collected by apheresis.</obo:IAO_0000115>
<oboInOwl:id>NCIT:C133280</oboInOwl:id>
<rdfs:label>red blood cells product</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/NCIT_C133280"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>Red blood cells remaining after separating plasma from human blood, or collected by apheresis.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://www.ncbi.nlm.nih.gov/books/NBK539826/</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/NCIT_C14329 -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/NCIT_C14329">
<rdfs:subClassOf>
<owl:Restriction>
<owl:onProperty rdf:resource="http://purl.obolibrary.org/obo/RO_0000087"/>
<owl:someValuesFrom rdf:resource="http://purl.obolibrary.org/obo/ExO_0000005"/>
</owl:Restriction>
</rdfs:subClassOf>
<obo:IAO_0000115>A biological agent that is a microorganism, including bacteria, viruses, or fungi. A microscopic organism. The term microorganism may refer to a prokaryote or eukaryote, and may be a unicellular or multicellular organism. All taxonomic kingdoms contain microorganisms.</obo:IAO_0000115>
<oboInOwl:id>NCIT:C14329</oboInOwl:id>
<rdfs:label>microorganism</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/NCIT_C14329"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>A biological agent that is a microorganism, including bacteria, viruses, or fungi. A microscopic organism. The term microorganism may refer to a prokaryote or eukaryote, and may be a unicellular or multicellular organism. All taxonomic kingdoms contain microorganisms.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://www.osha.gov/biological-agents</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/NCIT_C15222 -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/NCIT_C15222">
<rdfs:subClassOf>
<owl:Restriction>
<owl:onProperty rdf:resource="http://purl.obolibrary.org/obo/RO_0000087"/>
<owl:someValuesFrom rdf:resource="http://purl.obolibrary.org/obo/ExO_0000009"/>
</owl:Restriction>
</rdfs:subClassOf>
<obo:IAO_0000115>A psychosocial agent that is diet.</obo:IAO_0000115>
<oboInOwl:id>NCIT:C15222</oboInOwl:id>
<rdfs:label>diet</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/NCIT_C15222"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>A psychosocial agent that is diet.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://www.karger.com/Article/FullText/493399</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/NCIT_C154329 -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/NCIT_C154329">
<rdfs:subClassOf>
<owl:Restriction>
<owl:onProperty rdf:resource="http://purl.obolibrary.org/obo/RO_0000087"/>
<owl:someValuesFrom rdf:resource="http://purl.obolibrary.org/obo/OMIT_0008624"/>
</owl:Restriction>
</rdfs:subClassOf>
<obo:IAO_0000115>Smoke from burning tobacco (cigarettes, cigars, or pipes) and exhaled by a smoker. Tobacco smoke contains nicotine, a stimulant, and other biologically active chemicals having carcinogenic properties.</obo:IAO_0000115>
<oboInOwl:hasExactSynonym>environmental tobacco smoke</oboInOwl:hasExactSynonym>
<oboInOwl:id>NCIT:C829</oboInOwl:id>
<rdfs:label>tobacco smoke</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/NCIT_C154329"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>Smoke from burning tobacco (cigarettes, cigars, or pipes) and exhaled by a smoker. Tobacco smoke contains nicotine, a stimulant, and other biologically active chemicals having carcinogenic properties.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://www.cdc.gov/tobacco/data_statistics/fact_sheets/health_effects/effects_cig_smoking/index.htm</oboInOwl:hasDbXref>
<oboInOwl:hasDbXref>url:https://www.ncbi.nlm.nih.gov/pubmed/26043318</oboInOwl:hasDbXref>
<oboInOwl:hasDbXref>url:https://www.niehs.nih.gov/health/topics/agents/allergens/smoke/index.cfm</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/NCIT_C157895 -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/NCIT_C157895">
<rdfs:subClassOf rdf:resource="http://purl.obolibrary.org/obo/NCIT_C157938"/>
<obo:IAO_0000115>An element that is necessary for the body to make thyroid hormone. It is found in shellfish and iodized salt.</obo:IAO_0000115>
<oboInOwl:hasDbXref>CHEBI:24859</oboInOwl:hasDbXref>
<oboInOwl:id>NCIT:C594</oboInOwl:id>
<rdfs:label>iodine</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/NCIT_C157895"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>An element that is necessary for the body to make thyroid hormone. It is found in shellfish and iodized salt.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://ods.od.nih.gov/factsheets/Iodine-HealthProfessional/</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/NCIT_C157938 -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/NCIT_C157938">
<owl:equivalentClass>
<owl:Restriction>
<owl:onProperty rdf:resource="http://purl.obolibrary.org/obo/RO_0000087"/>
<owl:someValuesFrom rdf:resource="http://purl.obolibrary.org/obo/NCIT_C157938"/>
</owl:Restriction>
</owl:equivalentClass>
<rdfs:subClassOf>
<owl:Restriction>
<owl:onProperty rdf:resource="http://purl.obolibrary.org/obo/RO_0000087"/>
<owl:someValuesFrom rdf:resource="http://www.ebi.ac.uk/efo/EFO_0005878"/>
</owl:Restriction>
</rdfs:subClassOf>
<obo:IAO_0000115>A nutrient is a food component that an organism uses to survive and grow.</obo:IAO_0000115>
<oboInOwl:hasDbXref>NCIT:C157938</oboInOwl:hasDbXref>
<oboInOwl:id>CHEBI:33284</oboInOwl:id>
<rdfs:label>nutrient</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/NCIT_C157938"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>A nutrient is a food component that an organism uses to survive and grow.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://www.who.int/health-topics/micronutrients</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/NCIT_C158814 -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/NCIT_C158814">
<rdfs:subClassOf rdf:resource="http://purl.obolibrary.org/obo/NCIT_C157938"/>
<obo:IAO_0000115>An important mineral the body needs to make hemoglobin, a substance in the blood that carries oxygen from the lungs to tissues throughout the body. Iron is also an important part of many other proteins and enzymes needed by the body for normal growth and development. It is found in red meat, fish, poultry, lentils, beans, and foods with iron added, such as cereal.</obo:IAO_0000115>
<oboInOwl:hasDbXref>CHEBI:18248</oboInOwl:hasDbXref>
<oboInOwl:id>NCIT:C598</oboInOwl:id>
<rdfs:label>iron</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/NCIT_C158814"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>An important mineral the body needs to make hemoglobin, a substance in the blood that carries oxygen from the lungs to tissues throughout the body. Iron is also an important part of many other proteins and enzymes needed by the body for normal growth and development. It is found in red meat, fish, poultry, lentils, beans, and foods with iron added, such as cereal.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://www.betterhealth.vic.gov.au/health/conditionsandtreatments/iron-deficiency-adults</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/NCIT_C16795 -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/NCIT_C16795">
<rdfs:subClassOf>
<owl:Restriction>
<owl:onProperty rdf:resource="http://purl.obolibrary.org/obo/RO_0000087"/>
<owl:someValuesFrom rdf:resource="http://purl.obolibrary.org/obo/ExO_0000009"/>
</owl:Restriction>
</rdfs:subClassOf>
<obo:IAO_0000115>A psychosocial agent that is lifestyle.</obo:IAO_0000115>
<oboInOwl:id>NCIT:C16795</oboInOwl:id>
<rdfs:label>lifestyle</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/NCIT_C16795"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>A psychosocial agent that is lifestyle.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://bmcgeriatr.biomedcentral.com/articles/10.1186/s12877-018-0982-1</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/NCIT_C1698 -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/NCIT_C1698">
<rdfs:subClassOf>
<owl:Restriction>
<owl:onProperty rdf:resource="http://purl.obolibrary.org/obo/RO_0000087"/>
<owl:someValuesFrom rdf:resource="http://purl.obolibrary.org/obo/ENVO_01001023"/>
</owl:Restriction>
</rdfs:subClassOf>
<obo:IAO_0000115>A radiation that is p32, a radioactive isotope of phosphorus.</obo:IAO_0000115>
<oboInOwl:id>NCIT:C1698</oboInOwl:id>
<rdfs:label>p32</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/NCIT_C1698"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>A radiation that is p32, a radioactive isotope of phosphorus.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://pubchem.ncbi.nlm.nih.gov/compound/Phosphorus-32</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/NCIT_C26415 -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/NCIT_C26415">
<rdfs:subClassOf>
<owl:Restriction>
<owl:onProperty rdf:resource="http://purl.obolibrary.org/obo/RO_0000087"/>
<owl:someValuesFrom rdf:resource="http://purl.obolibrary.org/obo/ENVO_00002003"/>
</owl:Restriction>
</rdfs:subClassOf>
<obo:IAO_0000115>The material discharged from the bowel during defecation. It consists of undigested food, intestinal mucus, epithelial cells, and bacteria.</obo:IAO_0000115>
<oboInOwl:id>NCIT:C13234</oboInOwl:id>
<rdfs:label>feces</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/NCIT_C26415"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>The material discharged from the bowel during defecation. It consists of undigested food, intestinal mucus, epithelial cells, and bacteria.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://nchh.org/information-and-evidence/learn-about-healthy-housing/health-hazards-prevention-and-solutions/rodents/</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/NCIT_C26696 -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/NCIT_C26696">
<rdfs:subClassOf>
<owl:Restriction>
<owl:onProperty rdf:resource="http://purl.obolibrary.org/obo/RO_0000087"/>
<owl:someValuesFrom rdf:resource="http://purl.obolibrary.org/obo/ExO_0000009"/>
</owl:Restriction>
</rdfs:subClassOf>
<obo:IAO_0000115>A psychosocial agent that is emothional anxiety, characterized by feelings of tension, worried thoughts and physical changes like increased blood pressure.</obo:IAO_0000115>
<oboInOwl:id>NCIT:C26696</oboInOwl:id>
<rdfs:label>emotional anxiety</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/NCIT_C26696"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>A psychosocial agent that is emothional anxiety, characterized by feelings of tension, worried thoughts and physical changes like increased blood pressure.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://www.apa.org/topics/anxiety</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/NCIT_C28266 -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/NCIT_C28266">
<rdfs:subClassOf>
<owl:Restriction>
<owl:onProperty rdf:resource="http://purl.obolibrary.org/obo/RO_0000087"/>
<owl:someValuesFrom rdf:resource="http://purl.obolibrary.org/obo/CHEBI_50904"/>
</owl:Restriction>
</rdfs:subClassOf>
<obo:IAO_0000115>A very large group of microscopic fungi that live on plant or animal matter. Most are filamentous organisms and produce spores that can be air-, water-, or insect-borne.</obo:IAO_0000115>
<oboInOwl:id>NCIT:C28266</oboInOwl:id>
<rdfs:label>mold</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/NCIT_C28266"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>A very large group of microscopic fungi that live on plant or animal matter. Most are filamentous organisms and produce spores that can be air-, water-, or insect-borne.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://www.niehs.nih.gov/health/topics/agents/mold/index.cfm</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/NCIT_C34418 -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/NCIT_C34418">
<rdfs:subClassOf>
<owl:Restriction>
<owl:onProperty rdf:resource="http://purl.obolibrary.org/obo/RO_0000087"/>
<owl:someValuesFrom rdf:resource="http://purl.obolibrary.org/obo/CHEBI_33229"/>
</owl:Restriction>
</rdfs:subClassOf>
<obo:IAO_0000115>A heat-labile and water-soluble essential vitamin, belonging to the vitamin B family, with antioxidant, erythropoietic, mood modulating, and glucose-regulating activities. Thiamine reacts with adenosine triphosphate (ATP) to form an active coenzyme, thiamine pyrophosphate. Thiamine pyrophosphate is necessary for the actions of pyruvate dehydrogenase and alpha-ketoglutarate in carbohydrate metabolism and for the actions of transketolase, an enzyme that plays an important role in the pentose phosphate pathway. Thiamine plays a key role in intracellular glucose metabolism and may inhibit the action of glucose and insulin on arterial smooth muscle cell proliferation. Thiamine may also protect against lead toxicity by inhibiting lead-induced lipid peroxidation.</obo:IAO_0000115>
<oboInOwl:hasDbXref>NCIT:C874</oboInOwl:hasDbXref>
<oboInOwl:hasExactSynonym>vitamin B1</oboInOwl:hasExactSynonym>
<oboInOwl:id>CHEBI:26948</oboInOwl:id>
<rdfs:label>thiamine</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/NCIT_C34418"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>A heat-labile and water-soluble essential vitamin, belonging to the vitamin B family, with antioxidant, erythropoietic, mood modulating, and glucose-regulating activities. Thiamine reacts with adenosine triphosphate (ATP) to form an active coenzyme, thiamine pyrophosphate. Thiamine pyrophosphate is necessary for the actions of pyruvate dehydrogenase and alpha-ketoglutarate in carbohydrate metabolism and for the actions of transketolase, an enzyme that plays an important role in the pentose phosphate pathway. Thiamine plays a key role in intracellular glucose metabolism and may inhibit the action of glucose and insulin on arterial smooth muscle cell proliferation. Thiamine may also protect against lead toxicity by inhibiting lead-induced lipid peroxidation.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://www.ncbi.nlm.nih.gov/books/NBK537204/</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/NCIT_C35010 -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/NCIT_C35010">
<rdfs:subClassOf>
<owl:Restriction>
<owl:onProperty rdf:resource="http://purl.obolibrary.org/obo/RO_0000087"/>
<owl:someValuesFrom rdf:resource="http://purl.obolibrary.org/obo/CHEBI_33229"/>
</owl:Restriction>
</rdfs:subClassOf>
<obo:IAO_0000115>Class of water-soluble antioxidant vitamins which are cofactors in the biosynthesis of collagen, carnitine, and neurotransmitters, and can quench a variety of reactive oxygen and nitrogen species. A nutrient that the body needs in small amounts to function and stay healthy. Vitamin C helps fight infections, heal wounds, and keep tissues healthy. It is an antioxidant that helps prevent cell damage caused by free radicals (highly reactive chemicals). Vitamin C is found in all fruits and vegetables, especially citrus fruits, strawberries, cantaloupe, green peppers, tomatoes, broccoli, leafy greens, and potatoes. It is water-soluble (can dissolve in water) and must be taken in every day. Vitamin C is being studied in the prevention and treatment of some types of cancer.</obo:IAO_0000115>
<oboInOwl:hasDbXref>CHEBI:21241</oboInOwl:hasDbXref>
<oboInOwl:id>NCIT:C35010</oboInOwl:id>
<rdfs:label>vitamin C</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/NCIT_C35010"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>Class of water-soluble antioxidant vitamins which are cofactors in the biosynthesis of collagen, carnitine, and neurotransmitters, and can quench a variety of reactive oxygen and nitrogen species. A nutrient that the body needs in small amounts to function and stay healthy. Vitamin C helps fight infections, heal wounds, and keep tissues healthy. It is an antioxidant that helps prevent cell damage caused by free radicals (highly reactive chemicals). Vitamin C is found in all fruits and vegetables, especially citrus fruits, strawberries, cantaloupe, green peppers, tomatoes, broccoli, leafy greens, and potatoes. It is water-soluble (can dissolve in water) and must be taken in every day. Vitamin C is being studied in the prevention and treatment of some types of cancer.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://www.ncbi.nlm.nih.gov/books/NBK493187/</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/NCIT_C35041 -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/NCIT_C35041">
<rdfs:subClassOf>
<owl:Restriction>
<owl:onProperty rdf:resource="http://purl.obolibrary.org/obo/RO_0000087"/>
<owl:someValuesFrom rdf:resource="http://purl.obolibrary.org/obo/ExO_0000009"/>
</owl:Restriction>
</rdfs:subClassOf>
<obo:IAO_0000115>The negative mental, emotional, and physical reactions that occur when environmental stressors are perceived as exceeding the individual's adaptive capacities.</obo:IAO_0000115>
<oboInOwl:id>NCIT:C35041</oboInOwl:id>
<rdfs:label>stress</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/NCIT_C35041"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>The negative mental, emotional, and physical reactions that occur when environmental stressors are perceived as exceeding the individual's adaptive capacities.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://medlineplus.gov/ency/article/003211.htm</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/NCIT_C38452 -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/NCIT_C38452">
<rdfs:subClassOf>
<owl:Restriction>
<owl:onProperty rdf:resource="http://purl.obolibrary.org/obo/RO_0000087"/>
<owl:someValuesFrom rdf:resource="http://purl.obolibrary.org/obo/ExO_0000009"/>
</owl:Restriction>
</rdfs:subClassOf>
<obo:IAO_0000115>A psychosocial agent that is peer pressure.</obo:IAO_0000115>
<oboInOwl:id>NCIT:C38452</oboInOwl:id>
<rdfs:label>peer pressure</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/NCIT_C38452"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>A psychosocial agent that is peer pressure.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://en.wikipedia.org/wiki/Peer_pressure</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/NCIT_C39773 -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/NCIT_C39773">
<rdfs:subClassOf>
<owl:Restriction>
<owl:onProperty rdf:resource="http://purl.obolibrary.org/obo/RO_0000087"/>
<owl:someValuesFrom rdf:resource="http://purl.obolibrary.org/obo/ExO_0000008"/>
</owl:Restriction>
</rdfs:subClassOf>
<obo:IAO_0000115>20-60 minutes of exercise which elevates your heart rate to 80-90% of your maximum heart rate performed at least 3-4 times per week.</obo:IAO_0000115>
<oboInOwl:hasExactSynonym>strenuous physical exercise</oboInOwl:hasExactSynonym>
<oboInOwl:id>NCIT:C39773</oboInOwl:id>
<rdfs:label>strenuous exercise</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/NCIT_C39773"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>20-60 minutes of exercise which elevates your heart rate to 80-90% of your maximum heart rate performed at least 3-4 times per week.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://www.cdc.gov/nccdphp/dnpa/physical/pdf/pa_intensity_table_2_1.pdf</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/NCIT_C44357 -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/NCIT_C44357">
<rdfs:subClassOf>
<owl:Restriction>
<owl:onProperty rdf:resource="http://purl.obolibrary.org/obo/RO_0000087"/>
<owl:someValuesFrom rdf:resource="http://purl.obolibrary.org/obo/ExO_0000006"/>
</owl:Restriction>
</rdfs:subClassOf>
<obo:IAO_0000115>A highly corrosive, inorganic substance that contains the metallic element chromium in its positive-6 valence state. Chromium hexavalent compounds are used in stainless steel production, in chrome plating, in the manufacture of dyes and pigments, in leather tanning, in wood preservation and are used as corrosion inhibitors. Inhalation exposure of these compounds mainly affects the respiratory tract causing shortness of breath, nasal itching, asthma, coughing, bronchitis and pneumonia, but can also affect the liver, kidneys, gastrointestinal tract and the immune system. Chromium hexavalent compounds are carcinogenic in humans and are associated with an increased risk of developing lung cancer and cancer of the sinonasal cavity.</obo:IAO_0000115>
<oboInOwl:id>NCIT:C44357</oboInOwl:id>
<rdfs:label>chromium hexavalent compound</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/NCIT_C44357"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>A highly corrosive, inorganic substance that contains the metallic element chromium in its positive-6 valence state. Chromium hexavalent compounds are used in stainless steel production, in chrome plating, in the manufacture of dyes and pigments, in leather tanning, in wood preservation and are used as corrosion inhibitors. Inhalation exposure of these compounds mainly affects the respiratory tract causing shortness of breath, nasal itching, asthma, coughing, bronchitis and pneumonia, but can also affect the liver, kidneys, gastrointestinal tract and the immune system. Chromium hexavalent compounds are carcinogenic in humans and are associated with an increased risk of developing lung cancer and cancer of the sinonasal cavity.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://www.niehs.nih.gov/health/topics/agents/hex-chromium/index.cfm</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/NCIT_C45783 -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/NCIT_C45783">
<rdfs:subClassOf>
<owl:Restriction>
<owl:onProperty rdf:resource="http://purl.obolibrary.org/obo/RO_0000087"/>
<owl:someValuesFrom rdf:resource="http://purl.obolibrary.org/obo/ExO_0000008"/>
</owl:Restriction>
</rdfs:subClassOf>
<obo:IAO_0000115>A physical agent that is noise.</obo:IAO_0000115>
<oboInOwl:id>NCIT:C45783</oboInOwl:id>
<rdfs:label>noise</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/NCIT_C45783"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>A physical agent that is noise.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://medlineplus.gov/noise.html</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/NCIT_C45790 -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/NCIT_C45790">
<owl:equivalentClass>
<owl:Restriction>
<owl:onProperty rdf:resource="http://purl.obolibrary.org/obo/RO_0000087"/>
<owl:someValuesFrom rdf:resource="http://purl.obolibrary.org/obo/NCIT_C45790"/>
</owl:Restriction>
</owl:equivalentClass>
<rdfs:subClassOf>
<owl:Restriction>
<owl:onProperty rdf:resource="http://purl.obolibrary.org/obo/RO_0000087"/>
<owl:someValuesFrom rdf:resource="http://purl.obolibrary.org/obo/ExO_0000006"/>
</owl:Restriction>
</rdfs:subClassOf>
<obo:IAO_0000115>A liquid that dissolves or that is capable of dissolving; the component of a solution that is present in greater amount.</obo:IAO_0000115>
<oboInOwl:id>NCIT:C45790</oboInOwl:id>
<rdfs:label>solvent</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/NCIT_C45790"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>A liquid that dissolves or that is capable of dissolving; the component of a solution that is present in greater amount.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://www.chemicalsafetyfacts.org/solvents/</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/NCIT_C800 -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/NCIT_C800">
<rdfs:subClassOf>
<owl:Restriction>
<owl:onProperty rdf:resource="http://purl.obolibrary.org/obo/RO_0000087"/>
<owl:someValuesFrom rdf:resource="http://purl.obolibrary.org/obo/ENVO_01001023"/>
</owl:Restriction>
</rdfs:subClassOf>
<obo:IAO_0000115>An element with atomic symbol Rn, atomic number 86, and 222.0.</obo:IAO_0000115>
<oboInOwl:id>NCIT:C800</oboInOwl:id>
<rdfs:label>radon</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/NCIT_C800"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>An element with atomic symbol Rn, atomic number 86, and 222.0.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://www.niehs.nih.gov/health/topics/agents/radon/index.cfm</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/NCIT_C809 -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/NCIT_C809">
<rdfs:subClassOf>
<owl:Restriction>
<owl:onProperty rdf:resource="http://purl.obolibrary.org/obo/RO_0000087"/>
<owl:someValuesFrom rdf:resource="http://purl.obolibrary.org/obo/CHEBI_27026"/>
</owl:Restriction>
</rdfs:subClassOf>
<obo:IAO_0000115>A phytotoxin and lectin comprised of a homodimer of ricin toxin A and B chains derived from the seeds of Ricinus communis, the castor oil plant, with protein synthesis inhibitory activity and extremely high cytotoxicity. Following ingestion, inhalation or injection of ricin, the B chain binds to complex carbohydrates containing either terminal N-acetylgalactosamine or beta-1,4-linked galactose residues on the surface of cells. Subsequently, the ricin A/B heterodimer is internalized and undergoes retrograde transport to the endoplasmic reticulum (ER). In the ER, the A chain, which has enzymatic activity that is sterically hindered by the B chain, is proteolytically released from the heterodimer by protein disulfide isomerase. In the ER, the free A chain has N-glycosidase activity that cleaves 28S rRNA. This enzymatic cleavage disrupts the ribosome, halts protein synthesis, and can lead to cell death. The extreme cytotoxicity of ricin makes it an attractive candidate for artificial fusion with binding proteins to create cell-type-specific toxins.</obo:IAO_0000115>
<oboInOwl:hasDbXref>OMIT:0013190</oboInOwl:hasDbXref>
<oboInOwl:id>NCIT:C809</oboInOwl:id>
<rdfs:label>ricin toxin</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/NCIT_C809"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>A phytotoxin and lectin comprised of a homodimer of ricin toxin A and B chains derived from the seeds of Ricinus communis, the castor oil plant, with protein synthesis inhibitory activity and extremely high cytotoxicity. Following ingestion, inhalation or injection of ricin, the B chain binds to complex carbohydrates containing either terminal N-acetylgalactosamine or beta-1,4-linked galactose residues on the surface of cells. Subsequently, the ricin A/B heterodimer is internalized and undergoes retrograde transport to the endoplasmic reticulum (ER). In the ER, the A chain, which has enzymatic activity that is sterically hindered by the B chain, is proteolytically released from the heterodimer by protein disulfide isomerase. In the ER, the free A chain has N-glycosidase activity that cleaves 28S rRNA. This enzymatic cleavage disrupts the ribosome, halts protein synthesis, and can lead to cell death. The extreme cytotoxicity of ricin makes it an attractive candidate for artificial fusion with binding proteins to create cell-type-specific toxins.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://www.osha.gov/ricin</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/NCIT_C81304 -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/NCIT_C81304">
<rdfs:subClassOf>
<owl:Restriction>
<owl:onProperty rdf:resource="http://purl.obolibrary.org/obo/RO_0000087"/>
<owl:someValuesFrom rdf:resource="http://purl.obolibrary.org/obo/ExO_0000005"/>
</owl:Restriction>
</rdfs:subClassOf>
<obo:IAO_0000115>Any natural or synthetic composition that replicates the structure or function of a native component of blood.</obo:IAO_0000115>
<oboInOwl:id>NCIT:C81304</oboInOwl:id>
<rdfs:label>blood product</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/NCIT_C81304"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>Any natural or synthetic composition that replicates the structure or function of a native component of blood.</owl:annotatedTarget>
<rdfs:comment>url:https://www.ncbi.nlm.nih.gov/books/NBK539826/</rdfs:comment>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/NCIT_C825 -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/NCIT_C825">
<rdfs:subClassOf rdf:resource="http://purl.obolibrary.org/obo/NCIT_C157938"/>
<obo:IAO_0000115>A nonmetallic chemical element found in trace amounts in human body. Selenium primarily occurs in vivo as selenocompounds, mostly selenoproteins such as glutathione peroxidase and thioredoxin reductase (enzymes responsible for detoxification). Alone or in combination with Vitamin E, selenocompounds act as antioxidants. These agents scavenge free radicals; prevent blood clots by inhibiting platelet aggregation; strengthen the immune system; and have been shown, in some instances, to inhibit chromosomal damage and mutations. Exhibiting chemopreventive activity, selenocompounds also inhibit the induction of protein kinase C.</obo:IAO_0000115>
<oboInOwl:hasDbXref>CHEBI:27568</oboInOwl:hasDbXref>
<oboInOwl:id>NCIT:C825</oboInOwl:id>
<rdfs:label>selenium</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/NCIT_C825"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>A nonmetallic chemical element found in trace amounts in human body. Selenium primarily occurs in vivo as selenocompounds, mostly selenoproteins such as glutathione peroxidase and thioredoxin reductase (enzymes responsible for detoxification). Alone or in combination with Vitamin E, selenocompounds act as antioxidants. These agents scavenge free radicals; prevent blood clots by inhibiting platelet aggregation; strengthen the immune system; and have been shown, in some instances, to inhibit chromosomal damage and mutations. Exhibiting chemopreventive activity, selenocompounds also inhibit the induction of protein kinase C.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://www.ncbi.nlm.nih.gov/books/NBK482260/</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/NCIT_C85220 -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/NCIT_C85220">
<rdfs:subClassOf>
<owl:Restriction>
<owl:onProperty rdf:resource="http://purl.obolibrary.org/obo/RO_0000087"/>
<owl:someValuesFrom rdf:resource="http://purl.obolibrary.org/obo/CHEBI_33229"/>
</owl:Restriction>
</rdfs:subClassOf>
<obo:IAO_0000115>A group of fat-soluble retinoids produced via metabolism of provitamin A carotenoids. Vitamin A is involved in immune function, vision, reproduction, and cellular communication.</obo:IAO_0000115>
<oboInOwl:id>CHEBI:12777</oboInOwl:id>
<rdfs:label>vitamin A</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/NCIT_C85220"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>A group of fat-soluble retinoids produced via metabolism of provitamin A carotenoids. Vitamin A is involved in immune function, vision, reproduction, and cellular communication.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://dermnetnz.org/topics/vitamin-a-deficiency/</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/NCIT_C85221 -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/NCIT_C85221">
<rdfs:subClassOf>
<owl:Restriction>
<owl:onProperty rdf:resource="http://purl.obolibrary.org/obo/RO_0000087"/>
<owl:someValuesFrom rdf:resource="http://purl.obolibrary.org/obo/CHEBI_33229"/>
</owl:Restriction>
</rdfs:subClassOf>
<obo:IAO_0000115></obo:IAO_0000115>
<obo:IAO_0000115>A group of water-soluble vitamins essential for metabolism and normal physiological functions. B6 vitamins, including pyridoxine, pyridoxal, and pyridoxamine, are converted in vivo to pyridoxal phosphate, a cofactor necessary for the synthesis of amino acids, neurotransmitters, and sphingolipids. More than 100 enzymes involved in protein metabolism require vitamin B6 as a cofactor. Vitamin B6 is essential to red blood cell, nervous system, and immune systems functions and helps maintain normal blood glucose levels. Vitamin B6 is found in a wide variety of foods including cereals, beans, meat, poultry, fish, and some fruits and vegetables.</obo:IAO_0000115>
<oboInOwl:hasDbXref>CHEBI:27306</oboInOwl:hasDbXref>
<oboInOwl:hasExactSynonym>pyridoxine deficiency</oboInOwl:hasExactSynonym>
<oboInOwl:id>NCIT:C85221</oboInOwl:id>
<rdfs:label>vitamin B6</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/NCIT_C85221"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>A group of water-soluble vitamins essential for metabolism and normal physiological functions. B6 vitamins, including pyridoxine, pyridoxal, and pyridoxamine, are converted in vivo to pyridoxal phosphate, a cofactor necessary for the synthesis of amino acids, neurotransmitters, and sphingolipids. More than 100 enzymes involved in protein metabolism require vitamin B6 as a cofactor. Vitamin B6 is essential to red blood cell, nervous system, and immune systems functions and helps maintain normal blood glucose levels. Vitamin B6 is found in a wide variety of foods including cereals, beans, meat, poultry, fish, and some fruits and vegetables.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://www.ncbi.nlm.nih.gov/books/NBK557436/</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/NCIT_C93214 -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/NCIT_C93214">
<rdfs:subClassOf>
<owl:Restriction>
<owl:onProperty rdf:resource="http://purl.obolibrary.org/obo/RO_0000087"/>
<owl:someValuesFrom rdf:resource="http://purl.obolibrary.org/obo/ExO_0000009"/>
</owl:Restriction>
</rdfs:subClassOf>
<obo:IAO_0000115>An act of aggression between individuals.</obo:IAO_0000115>
<oboInOwl:id>NCIT:C93214</oboInOwl:id>
<rdfs:label>violence</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/NCIT_C93214"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>An act of aggression between individuals.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://www.apa.org/topics/violence</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/NCIT_C99108 -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/NCIT_C99108">
<rdfs:subClassOf>
<owl:Restriction>
<owl:onProperty rdf:resource="http://purl.obolibrary.org/obo/RO_0000087"/>
<owl:someValuesFrom rdf:resource="http://purl.obolibrary.org/obo/CHEBI_33229"/>
</owl:Restriction>
</rdfs:subClassOf>
<obo:IAO_0000115>The term "vitamin K" refers to a group of chemically similar fat-soluble compounds called naphthoquinones: vitamin K1 (phytonadione) is found in plants and is the primary source of vitamin K for humans through dietary consumption, vitamin K2 compounds (menaquinones) are made by bacteria in the human gut, and vitamin K3 (menadione) is a water-soluble preparation available for adults only. Vitamin K is necessary for the liver to produce the coagulation factors II, VII, IX, and X, as well as the clotting factors protein C, protein S, and protein Z; vitamin K deficiency can result in deficiencies of these coagulation factors and excess bleeding. An injection of vitamin K is routinely given to newborn infants to prevent vitamin K deficiency bleeding, also known as hemorrhagic disease of the newborn. Vitamin K deficiency is rare in adults but may result from chronic malnutrition or an inability to absorb dietary vitamins.</obo:IAO_0000115>
<oboInOwl:hasDbXref>CHEBI:28384</oboInOwl:hasDbXref>
<oboInOwl:id>NCIT:C943</oboInOwl:id>
<rdfs:label>vitamin K</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/NCIT_C99108"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>The term "vitamin K" refers to a group of chemically similar fat-soluble compounds called naphthoquinones: vitamin K1 (phytonadione) is found in plants and is the primary source of vitamin K for humans through dietary consumption, vitamin K2 compounds (menaquinones) are made by bacteria in the human gut, and vitamin K3 (menadione) is a water-soluble preparation available for adults only. Vitamin K is necessary for the liver to produce the coagulation factors II, VII, IX, and X, as well as the clotting factors protein C, protein S, and protein Z; vitamin K deficiency can result in deficiencies of these coagulation factors and excess bleeding. An injection of vitamin K is routinely given to newborn infants to prevent vitamin K deficiency bleeding, also known as hemorrhagic disease of the newborn. Vitamin K deficiency is rare in adults but may result from chronic malnutrition or an inability to absorb dietary vitamins.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://www.ncbi.nlm.nih.gov/books/NBK536983/</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/OMIT_0001823 -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/OMIT_0001823">
<rdfs:subClassOf>
<owl:Restriction>
<owl:onProperty rdf:resource="http://purl.obolibrary.org/obo/RO_0000087"/>
<owl:someValuesFrom rdf:resource="http://purl.obolibrary.org/obo/ExO_0000006"/>
</owl:Restriction>
</rdfs:subClassOf>
<obo:IAO_0000115>A chemical agent that is air pollution, that is composed of a mixture of chemical and particulate matter pollutants in outdoor air, including but not limited to carbon monoxide, ozone, sulfur dixoide, nitrogen dioxide, lead, and fine partiuculate matter. Vehicle emissions, fuel oils and natural gas to heat homes, by-products of manufacturing and power generation, particularly coal-fueled power plants, and fumes from chemical production are the primary sources of human-made air pollution. A pollution process during which particulates or other contaminants are released into a portion of air.</obo:IAO_0000115>
<oboInOwl:hasDbXref>ENVO:02500037</oboInOwl:hasDbXref>
<oboInOwl:id>OMIT:0001823</oboInOwl:id>
<rdfs:label>air pollution</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/OMIT_0001823"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>A chemical agent that is air pollution, that is composed of a mixture of chemical and particulate matter pollutants in outdoor air, including but not limited to carbon monoxide, ozone, sulfur dixoide, nitrogen dioxide, lead, and fine partiuculate matter. Vehicle emissions, fuel oils and natural gas to heat homes, by-products of manufacturing and power generation, particularly coal-fueled power plants, and fumes from chemical production are the primary sources of human-made air pollution. A pollution process during which particulates or other contaminants are released into a portion of air.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://www.epa.gov/clean-air-act-overview/air-pollution-current-and-future-challenges</oboInOwl:hasDbXref>
<oboInOwl:hasDbXref>url:https://www.niehs.nih.gov/health/topics/agents/air-pollution/index.cfm</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/OMIT_0004116 -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/OMIT_0004116">
<rdfs:subClassOf rdf:resource="http://purl.obolibrary.org/obo/NCIT_C157938"/>
<obo:IAO_0000115>A choline that is the parent compound of the cholines class, consisting of ethanolamine having three methyl substituents attached to the amino function.</obo:IAO_0000115>
<oboInOwl:id>CHEBI:15354</oboInOwl:id>
<rdfs:label>choline</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/OMIT_0004116"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>A choline that is the parent compound of the cholines class, consisting of ethanolamine having three methyl substituents attached to the amino function.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://ods.od.nih.gov/factsheets/Choline-HealthProfessional/</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/OMIT_0004368 -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/OMIT_0004368">
<rdfs:subClassOf>
<owl:Restriction>
<owl:onProperty rdf:resource="http://purl.obolibrary.org/obo/RO_0000087"/>
<owl:someValuesFrom rdf:resource="http://purl.obolibrary.org/obo/CHEBI_50904"/>
</owl:Restriction>
</rdfs:subClassOf>
<obo:IAO_0000115>An allergen that is a cockroach, a source of indoor allergens.</obo:IAO_0000115>
<oboInOwl:hasDbXref>NCBITaxon:85823</oboInOwl:hasDbXref>
<oboInOwl:id>OMIT:0004368</oboInOwl:id>
<rdfs:label>cockroaches</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/OMIT_0004368"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>An allergen that is a cockroach, a source of indoor allergens.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://www.niehs.nih.gov/health/topics/agents/allergens/dustmites/index.cfm</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/OMIT_0005411 -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/OMIT_0005411">
<rdfs:subClassOf>
<owl:Restriction>
<owl:onProperty rdf:resource="http://purl.obolibrary.org/obo/RO_0000087"/>
<owl:someValuesFrom rdf:resource="http://purl.obolibrary.org/obo/CHEBI_138015"/>
</owl:Restriction>
</rdfs:subClassOf>
<obo:IAO_0000115>An endocrine disruptor that is dioxin, are mainly byproducts of industrial practices. They are produced through a variety of incineration processes, including improper municipal waste incineration and burning of trash, and can be released into the air during natural processes, such as forest fires and volcanoes.</obo:IAO_0000115>
<oboInOwl:hasDbXref>NCIT:C442</oboInOwl:hasDbXref>
<oboInOwl:id>OMIT:0005411</oboInOwl:id>
<rdfs:label>dioxin</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/OMIT_0005411"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>An endocrine disruptor that is dioxin, are mainly byproducts of industrial practices. They are produced through a variety of incineration processes, including improper municipal waste incineration and burning of trash, and can be released into the air during natural processes, such as forest fires and volcanoes.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://www.niehs.nih.gov/health/topics/agents/dioxins/index.cfm</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/OMIT_0006701 -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/OMIT_0006701">
<rdfs:subClassOf>
<owl:Restriction>
<owl:onProperty rdf:resource="http://purl.obolibrary.org/obo/RO_0000087"/>
<owl:someValuesFrom rdf:resource="http://purl.obolibrary.org/obo/NCIT_C157938"/>
</owl:Restriction>
</rdfs:subClassOf>
<obo:IAO_0000115>An N-acyl-amino acid that is a form of the water-soluble vitamin B9. Its biologically active forms (tetrahydrofolate and others) are essential for nucleotide biosynthesis and homocysteine remethylation.</obo:IAO_0000115>
<oboInOwl:id>CHEBI:27470</oboInOwl:id>
<rdfs:label>folic acid</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/OMIT_0006701"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>An N-acyl-amino acid that is a form of the water-soluble vitamin B9. Its biologically active forms (tetrahydrofolate and others) are essential for nucleotide biosynthesis and homocysteine remethylation.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://www.ncbi.nlm.nih.gov/books/NBK535377/</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/OMIT_0006714 -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/OMIT_0006714">
<rdfs:subClassOf rdf:resource="http://purl.obolibrary.org/obo/NCIT_C15222"/>
<obo:IAO_0000115>A diet that is a food deprevation (severe caloric deprivation).</obo:IAO_0000115>
<oboInOwl:id>OMIT:0006714</oboInOwl:id>
<rdfs:label>food deprevation</rdfs:label>
</owl:Class>
<!-- http://purl.obolibrary.org/obo/OMIT_0008624 -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/OMIT_0008624">
<owl:equivalentClass>
<owl:Restriction>
<owl:onProperty rdf:resource="http://purl.obolibrary.org/obo/RO_0000087"/>
<owl:someValuesFrom rdf:resource="http://purl.obolibrary.org/obo/OMIT_0008624"/>
</owl:Restriction>
</owl:equivalentClass>
<rdfs:subClassOf>
<owl:Restriction>
<owl:onProperty rdf:resource="http://purl.obolibrary.org/obo/RO_0000087"/>
<owl:someValuesFrom rdf:resource="http://purl.obolibrary.org/obo/ExO_0000006"/>
</owl:Restriction>
</rdfs:subClassOf>
<obo:IAO_0000115>A chemical agent that is an irritant, substances that can trigger allergy- and asthma-like reactions upon exposure.</obo:IAO_0000115>
<oboInOwl:id>OMIT:0008624</oboInOwl:id>
<rdfs:label>irritant</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/OMIT_0008624"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>A chemical agent that is an irritant, substances that can trigger allergy- and asthma-like reactions upon exposure.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://www.niehs.nih.gov/health/topics/agents/allergens/index.cfm</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/OMIT_0013171 -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/OMIT_0013171">
<rdfs:subClassOf>
<owl:Restriction>
<owl:onProperty rdf:resource="http://purl.obolibrary.org/obo/RO_0000087"/>
<owl:someValuesFrom rdf:resource="http://purl.obolibrary.org/obo/CHEBI_33229"/>
</owl:Restriction>
</rdfs:subClassOf>
<obo:IAO_0000115>D-Ribitol in which the hydroxy group at position 5 is substituted by a 7,8-dimethyl-2,4-dioxo-3,4-dihydrobenzo[g]pteridin-10(2H)-yl moiety. It is a nutritional factor found in milk, eggs, malted barley, liver, kidney, heart, and leafy vegetables, but the richest natural source is yeast. The free form occurs only in the retina of the eye, in whey, and in urine; its principal forms in tissues and cells are as flavin mononucleotide and flavin-adenine dinucleotide.</obo:IAO_0000115>
<oboInOwl:hasExactSynonym>vitamin B2</oboInOwl:hasExactSynonym>
<oboInOwl:id>CHEBI:17015</oboInOwl:id>
<rdfs:label>riboflavin</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/OMIT_0013171"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>D-Ribitol in which the hydroxy group at position 5 is substituted by a 7,8-dimethyl-2,4-dioxo-3,4-dihydrobenzo[g]pteridin-10(2H)-yl moiety. It is a nutritional factor found in milk, eggs, malted barley, liver, kidney, heart, and leafy vegetables, but the richest natural source is yeast. The free form occurs only in the retina of the eye, in whey, and in urine; its principal forms in tissues and cells are as flavin mononucleotide and flavin-adenine dinucleotide.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://www.ncbi.nlm.nih.gov/books/NBK525977/</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/OMIT_0026343 -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/OMIT_0026343">
<rdfs:subClassOf>
<owl:Restriction>
<owl:onProperty rdf:resource="http://purl.obolibrary.org/obo/RO_0000087"/>
<owl:someValuesFrom rdf:resource="http://purl.obolibrary.org/obo/ExO_0000006"/>
</owl:Restriction>
</rdfs:subClassOf>
<obo:IAO_0000115>A chemical agent that is volatile organic compound (VOC).</obo:IAO_0000115>
<oboInOwl:id>OMIT:0026343</oboInOwl:id>
<rdfs:label>volatile organic compound</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/OMIT_0026343"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>A chemical agent that is volatile organic compound (VOC).</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://www.epa.gov/indoor-air-quality-iaq/volatile-organic-compounds-impact-indoor-air-quality</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/OMIT_0026512 -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/OMIT_0026512">
<rdfs:subClassOf>
<owl:Restriction>
<owl:onProperty rdf:resource="http://purl.obolibrary.org/obo/RO_0000087"/>
<owl:someValuesFrom rdf:resource="http://purl.obolibrary.org/obo/ExO_0000008"/>
</owl:Restriction>
</rdfs:subClassOf>
<obo:IAO_0000115>A physical agent that is extreme heat. Temperatures that hover 10 degrees or more above the average high temperature for the region and last for several weeks.</obo:IAO_0000115>
<oboInOwl:id>OMIT:0026512</oboInOwl:id>
<rdfs:label>extreme heat</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/OMIT_0026512"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>A physical agent that is extreme heat. Temperatures that hover 10 degrees or more above the average high temperature for the region and last for several weeks.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://www.cdc.gov/disasters/extremeheat/index.html</oboInOwl:hasDbXref>
<oboInOwl:hasDbXref>url:https://www.washington.edu/uwem/preparedness/know-your-hazards/extreme-heat/</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/OMIT_0028282 -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/OMIT_0028282">
<rdfs:subClassOf>
<owl:Restriction>
<owl:onProperty rdf:resource="http://purl.obolibrary.org/obo/RO_0000087"/>
<owl:someValuesFrom rdf:resource="http://purl.obolibrary.org/obo/CHEBI_50904"/>
</owl:Restriction>
</rdfs:subClassOf>
<obo:IAO_0000115>An allergen that is dander, may trigger allergy symptoms like sneezing or red, itchy eyes. Pet dander, the source of allergens, is composed of tiny, even microscopic, proteins from flecks of skin shed by pets.</obo:IAO_0000115>
<oboInOwl:id>OMIT:0028282</oboInOwl:id>
<rdfs:label>dander</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/OMIT_0028282"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>An allergen that is dander, may trigger allergy symptoms like sneezing or red, itchy eyes. Pet dander, the source of allergens, is composed of tiny, even microscopic, proteins from flecks of skin shed by pets.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://www.niehs.nih.gov/health/topics/agents/allergens/pets/index.cfm</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/ZECO_0000214 -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/ZECO_0000214">
<rdfs:subClassOf>
<owl:Restriction>
<owl:onProperty rdf:resource="http://purl.obolibrary.org/obo/RO_0000087"/>
<owl:someValuesFrom rdf:resource="http://purl.obolibrary.org/obo/ExO_0000008"/>
</owl:Restriction>
</rdfs:subClassOf>
<obo:IAO_0000115>Electromagnetic radiation with a wavelength from 10 nm to 400nm. Long-wavelength ultraviolet radiation can cause chemical reactions and causes many substances to glow or fluoresce.</obo:IAO_0000115>
<oboInOwl:id>ZECO:0000214</oboInOwl:id>
<rdfs:label>ultraviolet light</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/ZECO_0000214"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>Electromagnetic radiation with a wavelength from 10 nm to 400nm. Long-wavelength ultraviolet radiation can cause chemical reactions and causes many substances to glow or fluoresce.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://en.wikipedia.org/wiki/Ultraviolet</oboInOwl:hasDbXref>
<oboInOwl:hasDbXref>url:https://www.cancer.org/cancer/cancer-causes/radiation-exposure/uv-radiation.html</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/CHEBI_10033/ -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/CHEBI_10033/">
<rdfs:subClassOf>
<owl:Restriction>
<owl:onProperty rdf:resource="http://purl.obolibrary.org/obo/RO_0000087"/>
<owl:someValuesFrom rdf:resource="http://purl.obolibrary.org/obo/ExO_0000006"/>
</owl:Restriction>
</rdfs:subClassOf>
<obo:IAO_0000115>A racemate comprising equal amounts of (R)- and (S)-warfarin. Extensively used as both an anticoagulant drug and as a pesticide against rats and mice.</obo:IAO_0000115>
<oboInOwl:id>CHEBI:10033</oboInOwl:id>
<rdfs:label>warfarin</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/CHEBI_10033/"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>A racemate comprising equal amounts of (R)- and (S)-warfarin. Extensively used as both an anticoagulant drug and as a pesticide against rats and mice.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://en.wikipedia.org/wiki/Warfarin</oboInOwl:hasDbXref>
<oboInOwl:hasDbXref>url:https://www.cdc.gov/niosh/npg/npgd0665.html</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/CHEBI_22271/ -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/CHEBI_22271/">
<rdfs:subClassOf>
<owl:Restriction>
<owl:onProperty rdf:resource="http://purl.obolibrary.org/obo/RO_0000087"/>
<owl:someValuesFrom rdf:resource="http://purl.obolibrary.org/obo/CHEBI_27026"/>
</owl:Restriction>
</rdfs:subClassOf>
<rdfs:subClassOf>
<owl:Restriction>
<owl:onProperty rdf:resource="http://purl.obolibrary.org/obo/RO_0000087"/>
<owl:someValuesFrom rdf:resource="http://purl.obolibrary.org/obo/ExO_0000006"/>
</owl:Restriction>
</rdfs:subClassOf>
<obo:IAO_0000115>Any of a group of related and highly toxic secondary metabolites (mycotoxins) whose main structural feature is a fused coumarin-bis(dihydrofuran) ring system and which are produced by strains of the moulds Aspergillus flavus or A. parasiticus, together with further metabolites of these mycotoxins.</obo:IAO_0000115>
<oboInOwl:id>CHEBI:22271</oboInOwl:id>
<rdfs:label>aflatoxin</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/CHEBI_22271/"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>Any of a group of related and highly toxic secondary metabolites (mycotoxins) whose main structural feature is a fused coumarin-bis(dihydrofuran) ring system and which are produced by strains of the moulds Aspergillus flavus or A. parasiticus, together with further metabolites of these mycotoxins.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://en.wikipedia.org/wiki/Aflatoxin</oboInOwl:hasDbXref>
<oboInOwl:hasDbXref>url:https://www.cancer.gov/about-cancer/causes-prevention/risk/substances/aflatoxins</oboInOwl:hasDbXref>
<oboInOwl:hasDbXref>url:https://www.fda.gov/animal-veterinary/animal-health-literacy/aflatoxin-poisoning-pets</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/CHEBI_27452/ -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/CHEBI_27452/">
<rdfs:subClassOf>
<owl:Restriction>
<owl:onProperty rdf:resource="http://purl.obolibrary.org/obo/RO_0000087"/>
<owl:someValuesFrom rdf:resource="http://purl.obolibrary.org/obo/ExO_0000006"/>
</owl:Restriction>
</rdfs:subClassOf>
<obo:IAO_0000115>A vinylarene that is benzene carrying a vinyl group. It has been isolated from the benzoin resin produced by Styrax species.</obo:IAO_0000115>
<oboInOwl:id>CHEBI:27452</oboInOwl:id>
<rdfs:label>styrene</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/CHEBI_27452/"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>A vinylarene that is benzene carrying a vinyl group. It has been isolated from the benzoin resin produced by Styrax species.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://www.niehs.nih.gov/health/topics/agents/styrene/index.cfm</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/CHEBI_3387/ -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/CHEBI_3387/">
<rdfs:subClassOf>
<owl:Restriction>
<owl:onProperty rdf:resource="http://purl.obolibrary.org/obo/RO_0000087"/>
<owl:someValuesFrom rdf:resource="http://purl.obolibrary.org/obo/ExO_0000006"/>
</owl:Restriction>
</rdfs:subClassOf>
<obo:IAO_0000115>A dibenzoazepine that is 5H-dibenzo[b,f]azepine carrying a carbamoyl substituent at the azepine nitrogen, used as an anticonvulsant.</obo:IAO_0000115>
<oboInOwl:id>CHEBI:3387</oboInOwl:id>
<rdfs:label>carbamazepine</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/CHEBI_3387/"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>A dibenzoazepine that is 5H-dibenzo[b,f]azepine carrying a carbamoyl substituent at the azepine nitrogen, used as an anticonvulsant.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://en.wikipedia.org/wiki/Carbamazepine</oboInOwl:hasDbXref>
<oboInOwl:hasDbXref>url:https://pubmed.ncbi.nlm.nih.gov/26329145/</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/CHEBI_77853/ -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/CHEBI_77853/">
<rdfs:subClassOf>
<owl:Restriction>
<owl:onProperty rdf:resource="http://purl.obolibrary.org/obo/RO_0000087"/>
<owl:someValuesFrom rdf:resource="http://purl.obolibrary.org/obo/ExO_0000006"/>
</owl:Restriction>
</rdfs:subClassOf>
<obo:IAO_0000115>Any environmental contaminant that is resistant to environmental degradation through photolytic, biological or chemical processes. Such substances can have significant impact on health and the environment, as they persist in the environment, bioaccumulate in animal tissue and so biomagnify in food chains.</obo:IAO_0000115>
<oboInOwl:id>CHEBI:77853</oboInOwl:id>
<rdfs:label>persistent organic pollutant</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/CHEBI_77853/"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>Any environmental contaminant that is resistant to environmental degradation through photolytic, biological or chemical processes. Such substances can have significant impact on health and the environment, as they persist in the environment, bioaccumulate in animal tissue and so biomagnify in food chains.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://www.epa.gov/international-cooperation/persistent-organic-pollutants-global-issue-global-response</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/CHEBI_8107/ -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/CHEBI_8107/">
<rdfs:subClassOf>
<owl:Restriction>
<owl:onProperty rdf:resource="http://purl.obolibrary.org/obo/RO_0000087"/>
<owl:someValuesFrom rdf:resource="http://purl.obolibrary.org/obo/ExO_0000006"/>
</owl:Restriction>
</rdfs:subClassOf>
<obo:IAO_0000115>A imidazolidine-2,4-dione that consists of hydantoin bearing two phenyl substituents at position 5.</obo:IAO_0000115>
<oboInOwl:hasExactSynonym>dilantin</oboInOwl:hasExactSynonym>
<oboInOwl:id>CHEBI:8107</oboInOwl:id>
<rdfs:label>phenytoin</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/CHEBI_8107/"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>A imidazolidine-2,4-dione that consists of hydantoin bearing two phenyl substituents at position 5.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://medlineplus.gov/druginfo/meds/a682022.html</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/FOBI_0001/food -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/FOBI_0001/food">
<rdfs:subClassOf>
<owl:Restriction>
<owl:onProperty rdf:resource="http://purl.obolibrary.org/obo/RO_0000087"/>
<owl:someValuesFrom rdf:resource="http://purl.obolibrary.org/obo/ExO_0000005"/>
</owl:Restriction>
</rdfs:subClassOf>
<obo:IAO_0000115>A biological agent that is foods, specifically contaminated food or beverages.</obo:IAO_0000115>
<oboInOwl:id>FOBI:0001</oboInOwl:id>
<rdfs:label>food</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/FOBI_0001/food"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>A biological agent that is foods, specifically contaminated food or beverages.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://www.osha.gov/foodborne-disease</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/NCIT_C133266/ -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/NCIT_C133266/">
<rdfs:subClassOf rdf:resource="http://purl.obolibrary.org/obo/NCIT_C81304"/>
<obo:IAO_0000115>The fluid (noncellular) portion of the circulating blood, as distinguished from the serum that is the fluid portion of the blood.</obo:IAO_0000115>
<oboInOwl:id>NCIT:C133266</oboInOwl:id>
<rdfs:label>plasma product</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/NCIT_C133266/"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>The fluid (noncellular) portion of the circulating blood, as distinguished from the serum that is the fluid portion of the blood.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://www.ncbi.nlm.nih.gov/books/NBK539826/</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/NCIT_C163032/ -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/NCIT_C163032/">
<rdfs:subClassOf>
<owl:Restriction>
<owl:onProperty rdf:resource="http://purl.obolibrary.org/obo/RO_0000087"/>
<owl:someValuesFrom rdf:resource="http://purl.obolibrary.org/obo/CHEBI_27026"/>
</owl:Restriction>
</rdfs:subClassOf>
<obo:IAO_0000115>A biological toxin that is botulinum toxin, caused by a toxin made by a bacterium called Clostridium botulinum.</obo:IAO_0000115>
<oboInOwl:hasDbXref>FBbi:00000465</oboInOwl:hasDbXref>
<oboInOwl:id>NCIT:C163032</oboInOwl:id>
<rdfs:label>botulinum toxin</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/NCIT_C163032/"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>A biological toxin that is botulinum toxin, caused by a toxin made by a bacterium called Clostridium botulinum.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://www.osha.gov/botulism</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/NCIT_C2834/ -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/NCIT_C2834/">
<rdfs:subClassOf>
<owl:Restriction>
<owl:onProperty rdf:resource="http://purl.obolibrary.org/obo/RO_0000087"/>
<owl:someValuesFrom rdf:resource="http://purl.obolibrary.org/obo/ExO_0000006"/>
</owl:Restriction>
</rdfs:subClassOf>
<obo:IAO_0000115>The acetate ester of a fat-soluble vitamin with potent antioxidant properties. Considered essential for the stabilization of biological membranes (especially those with high amounts of polyunsaturated fatty acid), vitamin E is a generic name for a group of compounds known as tocopherols and tocotrienols (tocols). This agent is a potent peroxyl radical scavenger and inhibits noncompetitively cyclooxygenase activity in many tissues, resulting in a decrease in prostaglandin production. Vitamin E also inhibits angiogenesis and tumor dormancy through suppressing vascular endothelial growth factor (VEGF) gene transcription.</obo:IAO_0000115>
<oboInOwl:id>NCIT:C2834</oboInOwl:id>
<rdfs:label>vitamin E acetate</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/NCIT_C2834/"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>The acetate ester of a fat-soluble vitamin with potent antioxidant properties. Considered essential for the stabilization of biological membranes (especially those with high amounts of polyunsaturated fatty acid), vitamin E is a generic name for a group of compounds known as tocopherols and tocotrienols (tocols). This agent is a potent peroxyl radical scavenger and inhibits noncompetitively cyclooxygenase activity in many tissues, resulting in a decrease in prostaglandin production. Vitamin E also inhibits angiogenesis and tumor dormancy through suppressing vascular endothelial growth factor (VEGF) gene transcription.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://www.nejm.org/doi/full/10.1056/NEJMoa1916433</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/NCIT_C55826/ -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/NCIT_C55826/">
<rdfs:subClassOf>
<owl:Restriction>
<owl:onProperty rdf:resource="http://purl.obolibrary.org/obo/RO_0000087"/>
<owl:someValuesFrom rdf:resource="http://purl.obolibrary.org/obo/ExO_0000006"/>
</owl:Restriction>
</rdfs:subClassOf>
<obo:IAO_0000115>A substance added to plastics or other materials to make or keep them soft or pliable.</obo:IAO_0000115>
<oboInOwl:id>NCIT:C55826</oboInOwl:id>
<rdfs:label>plasticizer</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/NCIT_C55826/"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>A substance added to plastics or other materials to make or keep them soft or pliable.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://en.wikipedia.org/wiki/Plasticizer</oboInOwl:hasDbXref>
<oboInOwl:hasDbXref>url:https://polymer-additives.specialchem.com/selection-guide/plasticizers</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/NCIT_C603/ -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/NCIT_C603/">
<rdfs:subClassOf>
<owl:Restriction>
<owl:onProperty rdf:resource="http://purl.obolibrary.org/obo/RO_0000087"/>
<owl:someValuesFrom rdf:resource="http://purl.obolibrary.org/obo/ExO_0000006"/>
</owl:Restriction>
</rdfs:subClassOf>
<obo:IAO_0000115>A chemical agent that is accutane (retinoic acid), a medication primarily used to treat severe acne.</obo:IAO_0000115>
<oboInOwl:hasExactSynonym>Isotretinoin</oboInOwl:hasExactSynonym>
<oboInOwl:id>NCIT:C603</oboInOwl:id>
<rdfs:label>accutane</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/NCIT_C603/"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>A chemical agent that is accutane (retinoic acid), a medication primarily used to treat severe acne.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://www.accessdata.fda.gov/drugsatfda_docs/label/2008/018662s059lbl.pdf</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://purl.obolibrary.org/obo/NCIT_C870/ -->
<owl:Class rdf:about="http://purl.obolibrary.org/obo/NCIT_C870/">
<rdfs:subClassOf>
<owl:Restriction>
<owl:onProperty rdf:resource="http://purl.obolibrary.org/obo/RO_0000087"/>
<owl:someValuesFrom rdf:resource="http://purl.obolibrary.org/obo/ExO_0000006"/>
</owl:Restriction>
</rdfs:subClassOf>
<obo:IAO_0000115>A synthetic derivative of glutamic acid (alpha-phthalimido-glutarimide) with teratogenic, immunomodulatory, anti-inflammatory and anti-angiogenic properties. Thalidomide acts primarily by inhibiting both the production of tumor necrosis factor alpha (TNF-alpha) in stimulated peripheral monocytes and the activities of interleukins and interferons. This agent also inhibits polymorphonuclear chemotaxis and monocyte phagocytosis. In addition, thalidomide inhibits pro-angiogenic factors such as vascular endothelial growth factor (VEGF) and basic fibroblast growth factor (bFGF), thereby inhibiting angiogenesis.</obo:IAO_0000115>
<oboInOwl:id>NCIT:C870</oboInOwl:id>
<rdfs:label>thalidomide</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://purl.obolibrary.org/obo/NCIT_C870/"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>A synthetic derivative of glutamic acid (alpha-phthalimido-glutarimide) with teratogenic, immunomodulatory, anti-inflammatory and anti-angiogenic properties. Thalidomide acts primarily by inhibiting both the production of tumor necrosis factor alpha (TNF-alpha) in stimulated peripheral monocytes and the activities of interleukins and interferons. This agent also inhibits polymorphonuclear chemotaxis and monocyte phagocytosis. In addition, thalidomide inhibits pro-angiogenic factors such as vascular endothelial growth factor (VEGF) and basic fibroblast growth factor (bFGF), thereby inhibiting angiogenesis.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://en.wikipedia.org/wiki/Thalidomide</oboInOwl:hasDbXref>
<oboInOwl:hasDbXref>url:https://medlineplus.gov/druginfo/meds/a699032.html</oboInOwl:hasDbXref>
<oboInOwl:hasDbXref>url:https://pubmed.ncbi.nlm.nih.gov/21507989/</oboInOwl:hasDbXref>
</owl:Axiom>
<!-- http://www.ebi.ac.uk/efo/EFO_0005878 -->
<owl:Class rdf:about="http://www.ebi.ac.uk/efo/EFO_0005878">
<owl:equivalentClass>
<owl:Restriction>
<owl:onProperty rdf:resource="http://purl.obolibrary.org/obo/RO_0000087"/>
<owl:someValuesFrom rdf:resource="http://www.ebi.ac.uk/efo/EFO_0005878"/>
</owl:Restriction>
</owl:equivalentClass>
<rdfs:subClassOf>
<owl:Restriction>
<owl:onProperty rdf:resource="http://purl.obolibrary.org/obo/RO_0000087"/>
<owl:someValuesFrom rdf:resource="http://purl.obolibrary.org/obo/ExO_0000006"/>
</owl:Restriction>
</rdfs:subClassOf>
<obo:IAO_0000115>In medicine, a shortage of a substance (such as a vitamin or mineral) needed by the body.</obo:IAO_0000115>
<oboInOwl:id>NCIT:C94372</oboInOwl:id>
<rdfs:label>deficiency</rdfs:label>
</owl:Class>
<owl:Axiom>
<owl:annotatedSource rdf:resource="http://www.ebi.ac.uk/efo/EFO_0005878"/>
<owl:annotatedProperty rdf:resource="http://purl.obolibrary.org/obo/IAO_0000115"/>
<owl:annotatedTarget>In medicine, a shortage of a substance (such as a vitamin or mineral) needed by the body.</owl:annotatedTarget>
<oboInOwl:hasDbXref>url:https://en.wikipedia.org/wiki/Vitamin_deficiency</oboInOwl:hasDbXref>
</owl:Axiom>
</rdf:RDF>
<!-- Generated by the OWL API (version 4.2.6.20160910-2108) https://github.com/owlcs/owlapi -->
| Web Ontology Language | 5 | cthoyt/HumanDiseaseOntology | src/ontology/imports/disease_drivers.owl | [
"CC0-1.0"
] |
[{
"@id": "foo:bar-id",
"@type": ["foo:bar-type"],
"foo:bar": [{"@value": "is an absolute iri property"}],
"http://schema.org/term": [{"@value": "is schema.org/term"}],
"http://schema.org/:fish": [{"@value": "is schema.org/:fish"}]
}] | JSONLD | 3 | donbowman/rdflib | test/jsonld/1.1/expand/0118-out.jsonld | [
"BSD-3-Clause"
] |
--TEST--
curl_strerror basic test
--EXTENSIONS--
curl
--FILE--
<?php
var_dump(strtolower(curl_strerror(CURLE_OK)));
var_dump(strtolower(curl_strerror(CURLE_UNSUPPORTED_PROTOCOL)));
var_dump(strtolower(curl_strerror(-1)));
?>
--EXPECT--
string(8) "no error"
string(20) "unsupported protocol"
string(13) "unknown error"
| PHP | 3 | NathanFreeman/php-src | ext/curl/tests/curl_strerror_001.phpt | [
"PHP-3.01"
] |
/* Copyright 2019 The TensorFlow Authors. All Rights Reserved.
Licensed under the Apache License, Version 2.0 (the "License");
you may not use this file except in compliance with the License.
You may obtain a copy of the License at
http://www.apache.org/licenses/LICENSE-2.0
Unless required by applicable law or agreed to in writing, software
distributed under the License is distributed on an "AS IS" BASIS,
WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
See the License for the specific language governing permissions and
limitations under the License.
==============================================================================*/
#include "tensorflow/compiler/tf2xla/xla_op_kernel.h"
#include "tensorflow/compiler/tf2xla/xla_op_registry.h"
#include "tensorflow/compiler/xla/client/lib/slicing.h"
#include "tensorflow/compiler/xla/client/lib/tridiagonal.h"
#include "tensorflow/core/framework/node_def_util.h"
#include "tensorflow/core/lib/core/errors.h"
#include "tensorflow/core/platform/errors.h"
namespace tensorflow {
namespace {
class TridiagonalSolveOp : public XlaOpKernel {
public:
explicit TridiagonalSolveOp(OpKernelConstruction* ctx) : XlaOpKernel(ctx) {}
void Compile(XlaOpKernelContext* ctx) override {
auto diagonals = ctx->Input(0);
auto rhs = ctx->Input(1);
bool partial_pivoting = false;
OP_REQUIRES_OK(ctx,
GetNodeAttr(def(), "partial_pivoting", &partial_pivoting));
if (partial_pivoting) {
ctx->SetStatus(errors::Unimplemented(
"Current implementation does not yet support pivoting."));
return;
}
auto result = xla::tridiagonal::TridiagonalSolver(xla::tridiagonal::kThomas,
diagonals, rhs);
if (!result.ok()) {
ctx->SetStatus(result.status());
return;
}
ctx->SetOutput(0, result.ValueOrDie());
}
};
REGISTER_XLA_OP(Name("TridiagonalSolve").TypeConstraint("T", kFloatTypes),
TridiagonalSolveOp);
} // namespace
} // namespace tensorflow
| C++ | 4 | EricRemmerswaal/tensorflow | tensorflow/compiler/tf2xla/kernels/tridiagonal_ops.cc | [
"Apache-2.0"
] |
(* ****** ****** *)
#include
"share/atspre_staload.hats"
#include
"share/atspre_staload_libats_ML.hats"
(* ****** ****** *)
(*
fun
echo() = let
fun
loop(xs: stream_vt(string)): void =
(
case+ !xs of
| ~stream_vt_nil() => ()
| ~stream_vt_cons(x, xs) => (println!(x); loop(xs))
)
in
loop(streamize_fileref_line(stdin_ref))
end // end of [echo]
*)
(* ****** ****** *)
fun
tally(): int = let
fun
loop
(xs: stream_vt(string), res: int): int =
(
case+ !xs of
| ~stream_vt_nil() => res
| ~stream_vt_cons(x, xs) =>
let
val () =
if isneqz(x) then prompt()
in
//
// HX-2018-01-06:
// [g0string2int] converts a given string into
// the int it represents
//
loop(xs, res+g0string2int(x))
end
) (* end of [loop] *)
and
prompt(): void =
println!
("Please input more or type Ctrl-D:")
in
println!("Please input one integer:");
loop(streamize_fileref_line(stdin_ref), 0)
end // end of [tally]
(* ****** ****** *)
implement
main0() = () where
{
val S0 = tally()
val () = println!("The tally of the input integers equals ", S0)
}
(* ****** ****** *)
(* end of [ReadFromSTDIN.dats] *)
| ATS | 5 | ats-lang/ATS-CodeBook | RECIPE/ReadFromSTDIN/ReadFromSTDIN.dats | [
"MIT"
] |
<h1>${message}</h1> | FreeMarker | 0 | ClemensElflein/javalin | javalin/src/test/resources/templates/freemarker/test.ftl | [
"Apache-2.0"
] |
#![allow(unused_assignments)]
// expect-exit-status-101
fn might_panic(should_panic: bool) {
if should_panic {
println!("panicking...");
panic!("panics");
} else {
println!("Don't Panic");
}
}
fn main() -> Result<(), u8> {
let mut countdown = 10;
while countdown > 0 {
if countdown == 1 {
might_panic(true);
} else if countdown < 5 {
might_panic(false);
}
countdown -= 1;
}
Ok(())
}
// Notes:
// 1. Compare this program and its coverage results to those of the similar tests `abort.rs` and
// `try_error_result.rs`.
// 2. Since the `panic_unwind.rs` test is allowed to unwind, it is also allowed to execute the
// normal program exit cleanup, including writing out the current values of the coverage
// counters.
| Rust | 4 | mbc-git/rust | src/test/run-make-fulldeps/coverage/panic_unwind.rs | [
"ECL-2.0",
"Apache-2.0",
"MIT-0",
"MIT"
] |
// build-pass
#![feature(generic_const_exprs)]
#![allow(incomplete_features)]
pub trait TraitWithConst {
const SOME_CONST: usize;
}
pub trait OtherTrait: TraitWithConst {
fn some_fn(self) -> [u8 ; <Self as TraitWithConst>::SOME_CONST];
}
impl TraitWithConst for f32 {
const SOME_CONST: usize = 32;
}
impl OtherTrait for f32 {
fn some_fn(self) -> [u8 ; <Self as TraitWithConst>::SOME_CONST] {
[0; 32]
}
}
fn main() {}
| Rust | 3 | ohno418/rust | src/test/ui/const-generics/issues/issue-87470.rs | [
"ECL-2.0",
"Apache-2.0",
"MIT-0",
"MIT"
] |
@import "./other.scss";
body {
color: red;
}
| CSS | 3 | idanilt/parcel | packages/core/integration-tests/test/integration/sourcemap-sass-imported/style.css | [
"MIT"
] |
FROM anapsix/alpine-java:8_server-jre_unlimited
MAINTAINER [email protected]
RUN ln -sf /usr/share/zoneinfo/Asia/Shanghai /etc/localtime
RUN mkdir -p /jeecg-boot/config/jeecg/
WORKDIR /jeecg-boot
EXPOSE 8080
ADD ./src/main/resources/jeecg ./config/jeecg
ADD ./target/jeecg-boot-module-system-3.0.jar ./
CMD sleep 60;java -Djava.security.egd=file:/dev/./urandom -jar jeecg-boot-module-system-3.0.jar | Dockerfile | 4 | SongFuKangM/jeecg-boot | jeecg-boot/jeecg-boot-module-system/Dockerfile | [
"Apache-2.0"
] |
# Test a VM relative jump with an offset larger then 32767 instructions.
import macros
static:
var a = 0
macro foo(): untyped =
let s = newStmtList()
for i in 1..6554:
s.add nnkCommand.newTree(ident("inc"), ident("a"))
quote do:
if true:
`s`
foo()
| Nimrod | 3 | JohnAD/Nim | tests/vm/tfarjump.nim | [
"MIT"
] |
.style {
grid-template-columns: minmax(auto, lorem);
}
| CSS | 0 | vjpr/swc | css/parser/tests/errors/rome/invalid/grid/minmax/.incorrect-ident/input.css | [
"Apache-2.0",
"MIT"
] |
// Tic Tac Toe AI with Minimax Algorithm
// The Coding Train / Daniel Shiffman
// https://thecodingtrain.com/CodingChallenges/154-tic-tac-toe-minimax.html
// https://youtu.be/I64-UTORVfU
// https://editor.p5js.org/codingtrain/sketches/0zyUhZdJD
// Ported to Processing4 by Spencer Stith <github.com/spencerstith>
import java.util.HashMap;
void bestMove() {
// AI to make its turn
int bestScore = Integer.MIN_VALUE;
int[] move = {0, 0};
for (int i = 0; i < 3; i++) {
for (int j = 0; j < 3; j++) {
// Is the spot available?
if (board[i][j] == (char)0) {
board[i][j] = ai;
int score = minimax(board, 0, false);
board[i][j] = (char)0;
if (score > bestScore) {
bestScore = score;
move[0] = i;
move[1] = j;
}
}
}
}
board[move[0]][move[1]] = ai;
currentPlayerIsHuman = true;
}
int minimax(char[][] board, int depth, boolean isMaximizing) {
char result = checkWinner();
if (result != 'n') {
return scores.get(result);
}
if (isMaximizing) {
int bestScore = Integer.MIN_VALUE;
for (int i = 0; i < 3; i++) {
for (int j = 0; j < 3; j++) {
// Is the spot available?
if (board[i][j] == (char)0) {
board[i][j] = ai;
int score = minimax(board, depth + 1, false);
board[i][j] = (char)0;
bestScore = max(score, bestScore);
}
}
}
return bestScore;
} else {
int bestScore = Integer.MAX_VALUE;
for (int i = 0; i < 3; i++) {
for (int j = 0; j < 3; j++) {
// Is the spot available?
if (board[i][j] == (char)0) {
board[i][j] = human;
int score = minimax(board, depth + 1, true);
board[i][j] = (char)0;
bestScore = min(score, bestScore);
}
}
}
return bestScore;
}
}
| Processing | 5 | vinnyI-afk/website | CodingChallenges/CC_154_Tic_Tac_Toe_Minimax/Processing/CC_154_Tic_Tac_Toe_Minimax/Minimax.pde | [
"MIT"
] |
// @strict: true
// @target: esnext
class Test1<T> {
get foo(): T { return null as any }
set foo(s: T | undefined ) {
}
}
const s = new Test1<string>();
s.foo = undefined;
s.foo = "hello";
s.foo = 42;
| TypeScript | 3 | monciego/TypeScript | tests/cases/compiler/divergentAccessorsTypes2.ts | [
"Apache-2.0"
] |
function omf.packages.run_hook -a path hook
set -l hook_script "$path/hooks/$hook.fish"
set package (basename $path)
if test -e "$hook_script"
pushd $path
source "$hook_script"
set -l code $status
popd
return $code
end
end
| fish | 4 | codetriage-readme-bot/oh-my-fish | pkg/omf/functions/packages/omf.packages.run_hook.fish | [
"MIT"
] |
#! /bin/tcsh -f
#set versiontag = 'v2'
set versiontag = $1
cmsRun SiPixelTemplateDBObjectUploader_cfg.py 0 $versiontag
cmsRun SiPixelTemplateDBObjectUploader_cfg.py 2 $versiontag
cmsRun SiPixelTemplateDBObjectUploader_cfg.py 3 $versiontag
cmsRun SiPixelTemplateDBObjectUploader_cfg.py 3.5 $versiontag
cmsRun SiPixelTemplateDBObjectUploader_cfg.py 3.8 $versiontag
cmsRun SiPixelTemplateDBObjectUploader_cfg.py 4 $versiontag
cmsRun SiPixelTemplateDBObjectReader_cfg.py 0 $versiontag
cmsRun SiPixelTemplateDBObjectReader_cfg.py 2 $versiontag
cmsRun SiPixelTemplateDBObjectReader_cfg.py 3 $versiontag
cmsRun SiPixelTemplateDBObjectReader_cfg.py 3.5 $versiontag
cmsRun SiPixelTemplateDBObjectReader_cfg.py 3.8 $versiontag
cmsRun SiPixelTemplateDBObjectReader_cfg.py 4 $versiontag
| Tcsh | 2 | ckamtsikis/cmssw | CondTools/SiPixel/test/makeAllTemplates.csh | [
"Apache-2.0"
] |
public protocol P {}
public struct HasUnavailableConformance {}
@available(*, unavailable)
extension HasUnavailableConformance : P {}
public struct HasConditionallyAvailableConformance {}
@available(macOS 100, *)
extension HasConditionallyAvailableConformance : P {}
public struct HasAlwaysAvailableConformance {}
extension HasAlwaysAvailableConformance : P {}
| Swift | 3 | gandhi56/swift | test/Sema/Inputs/conformance_availability_overlapping_other.swift | [
"Apache-2.0"
] |
# Also used by idlelib.test_idle.test_config.
[Foo Bar]
foo=newbar
| Groff | 0 | shawwn/cpython | Lib/test/cfgparser.1 | [
"0BSD"
] |
"""
using
"""
import Boo.Lang.Compiler
import Boo.Lang.Compiler.Ast
macro printParentMacroName:
parentName = printParentMacroName.GetAncestor[of MacroStatement]().Name.ToString()
yield [| print $parentName |]
using null:
printParentMacroName
| Boo | 2 | popcatalin81/boo | tests/testcases/macros/macro-expansion-order-1.boo | [
"BSD-3-Clause"
] |
sleep X
t app appmode photo_burst
sleep 1
t app burst_settings 30-2
sleep 1
t app button shutter PR
sleep 9
t app appmode photo_burst
d.\autoexec.ash
REBOOT yes
| AGS Script | 2 | waltersgrey/autoexechack | BurstHacks/BurstLoop/30-2/Hero3PlusBlack/autoexec.ash | [
"MIT"
] |
/*
* All data present in IS2Media can be accessed like so:
*
* var thingYouWant = IS2Media.<insert_thing_here>();
*
* You will need to setup IS2Media with a function to call whenever data is updated by InfoStats 2,
* along with a second optional function to call when media changes position in playback.
* To do so, in the first function that you've defined at either <body onload="firstFunction()"> or at
* the bottom of your <body> tag before </body>, you'll want to call the following function:
*
* IS2Media.init(<function_to_call_when_data_updates>, <function_to_call_when_playback_changes>);
*
* Where <function_to_call_when_data_updates> and <function_to_call_when_playback_changes> are typed without
* the usual () following it.
*
* As stated, the second function is optional, so if you don't need to be notified when media changes the
* amount of time that has elapsed on the track, pass null here.
*
* For further documentation on the data provided here, make sure to check the IS2 documentation found at
* http://incendo.ws/projects/InfoStats2/Classes/IS2Media.html
* Each IS2 function used in this script is documented there.
*/
var IS2Media = {
// Setup
init: function(mediaChangedCallback, timeElapsedChangedCallback) {
[IS2Media registerForNowPlayingNotificationsWithIdentifier:widgetIdentifier andCallback:^ void () {
mediaCallback();
}];
if (timeElapsedChangedCallback) { // Allow being falsey for second operand.
[IS2Media registerForTimeInformationWithIdentifier:widgetIdentifier andCallback:^ void () {
timeElapsedChangedCallback();
}];
}
},
// helper function, don't call manually unless you really know what you're doing.
onunload: function() {
[IS2Media unregisterForNotificationsWithIdentifier:widgetIdentifier];
[IS2Media unregisterForTimeInformationWithIdentifier:widgetIdentifier];
},
// Controls
play: function() {
[IS2Media play];
},
pause: function() {
[IS2Media pause];
},
previousTrack: function() {
[IS2Media skipToPreviousTrack];
},
nextTrack: function() {
[IS2Media skipToNextTrack];
},
setVolume: function(percentage, showHUD) {
[IS2Media setVolume:percentage withVolumeHUD:showHUD];
},
// Data access
getTrackTitle: function() {
return [IS2Media currentTrackTitle];
},
getTrackArtist: function() {
return [IS2Media currentTrackArtist];
},
getTrackAlbum: function() {
return [IS2Media currentTrackAlbum];
},
getTrackArtworkBase64String: function() {
return [IS2Media currentTrackArtworkBase64];
},
getTrackLength: function() {
return [IS2Media currentTrackLength];
},
getTrackElapsedTime: function() {
return [IS2Media elapsedTrackLength];
},
getTrackNumber: function() {
return [IS2Media trackNumber];
},
getTrackCountInAlbum: function() {
return [IS2Media totalTrackCount];
},
// State of controls
getNowPlayingAppIdentifier: function() {
return [IS2Media currentPlayingAppIdentifier];
},
getIsPlaying: function() {
return [IS2Media isPlaying];
},
getIsShuffleEnabled: function() {
return [IS2Media shuffleEnabled];
},
getIsPlayingFromItunesRadio: function() {
return [IS2Media iTunesRadioPlaying];
},
getIsTrackAvailable: function() {
return [IS2Media hasMedia];
},
getVolumeLevel: function() {
return [IS2Media getVolume];
}
}; | Cycript | 5 | Matchstic/InfoStats2 | Scripts/Media/IS2Media.cy | [
"BSD-2-Clause"
] |
CR_TEST_LIST_BEGIN()
CR_TEST(return_version)
CR_TEST(static_local_state_int)
CR_TEST(static_global_state_int)
CR_TEST(heap_data_alloc)
CR_TEST(heap_data_free)
CR_TEST(crash_load)
CR_TEST(crash_update)
CR_TEST(crash_unload)
CR_TEST_LIST_END() | Logos | 2 | v-borg/cr | tests/test_basic.x | [
"MIT"
] |
syntax = "proto3";
package proto_example;
import public "orders/service.proto";
| Protocol Buffer | 3 | SuperHuangXu/nest | integration/microservices/src/grpc-advanced/proto/root.proto | [
"MIT"
] |
// run-pass
use std::mem;
// Univariant C-like enum
#[repr(i32)]
enum Univariant {
X = 17
}
#[repr(u16)]
enum UnivariantWithoutDescr {
Y
}
#[repr(u8)]
enum UnivariantWithData {
Z(u8),
}
pub fn main() {
{
assert_eq!(4, mem::size_of::<Univariant>());
assert_eq!(17, Univariant::X as i32);
let enums: &[Univariant] =
&[Univariant::X, Univariant::X, Univariant::X];
let ints: &[i32] = unsafe { mem::transmute(enums) };
// check it has the same memory layout as i32
assert_eq!(&[17, 17, 17], ints);
}
{
assert_eq!(2, mem::size_of::<UnivariantWithoutDescr>());
let descr = UnivariantWithoutDescr::Y as u16;
let enums: &[UnivariantWithoutDescr] =
&[UnivariantWithoutDescr::Y, UnivariantWithoutDescr::Y, UnivariantWithoutDescr::Y];
let ints: &[u16] = unsafe { mem::transmute(enums) };
// check it has the same memory layout as u16
assert_eq!(&[descr, descr, descr], ints);
}
{
assert_eq!(2, mem::size_of::<UnivariantWithData>());
match UnivariantWithData::Z(4) {
UnivariantWithData::Z(x) => assert_eq!(x, 4),
}
}
}
| Rust | 4 | Eric-Arellano/rust | src/test/ui/structs-enums/enum-univariant-repr.rs | [
"ECL-2.0",
"Apache-2.0",
"MIT-0",
"MIT"
] |
<!DOCTYPE html>
<html>
<head>
<script type="text/javascript" src="/node_modules/jquery/dist/jquery.js"></script>
</head>
<body>
<button id="request">request</button>
<div id="result"></div>
<script type="text/javascript">
$(function(){
$("button#request").click(function(){
$.ajax({
method: "POST",
url: "/post-only",
data: JSON.stringify({client: 'data'})
})
.then((data) => {
$('#result').text(data)
})
})
})
</script>
</body>
</html>
| HTML | 4 | justinforbes/cypress | packages/driver/cypress/fixtures/request.html | [
"MIT"
] |
= Documentation for Path Class Methods Feature
The path class methods feature allows for calling the *_path and *_url
methods directly on the class, as opposed to an instance of the class.
In order for the *_url methods to be used, you must use the base_url
configuration so that determining the base URL doesn't depend on the
submitted request, as the request will not be set when using the
class method. Failure to do this will probably result in a NoMethodError
being raised.
| RDoc | 2 | loranger32/rodauth | doc/path_class_methods.rdoc | [
"MIT"
] |
(module go racket
(module defs typed/racket
(provide (all-defined-out))
(: neg-flonum Negative-Flonum)
(: pos-flonum Positive-Flonum)
(: non-neg-flonum Nonnegative-Flonum)
(: non-pos-flonum Nonpositive-Flonum)
(: neg-ineact-real Negative-Inexact-Real)
(: pos-ineact-real Positive-Inexact-Real)
(: non-neg-ineact-real Nonnegative-Inexact-Real)
(: non-pos-ineact-real Nonpositive-Inexact-Real)
(: neg-real Negative-Real)
(: pos-real Positive-Real)
(: non-neg-real Nonnegative-Real)
(: non-pos-real Nonpositive-Real)
(define neg-flonum +nan.0)
(define pos-flonum +nan.0)
(define non-neg-flonum +nan.0)
(define non-pos-flonum +nan.0)
(define neg-ineact-real +nan.0)
(define pos-ineact-real +nan.0)
(define non-neg-ineact-real +nan.0)
(define non-pos-ineact-real +nan.0)
(define neg-real +nan.0)
(define pos-real +nan.0)
(define non-neg-real +nan.0)
(define non-pos-real +nan.0)
;; extra tests for zeroes
(: non-neg-flonum+0 Nonnegative-Flonum)
(: non-pos-flonum+0 Nonpositive-Flonum)
(: non-neg-flonum-0 Nonnegative-Flonum)
(: non-pos-flonum-0 Nonpositive-Flonum)
(: non-neg-ineact-real+0 Nonnegative-Inexact-Real)
(: non-pos-ineact-real+0 Nonpositive-Inexact-Real)
(: non-neg-ineact-real-0 Nonnegative-Inexact-Real)
(: non-pos-ineact-real-0 Nonpositive-Inexact-Real)
(: non-neg-real+0 Nonnegative-Real)
(: non-pos-real+0 Nonpositive-Real)
(: non-neg-real-0 Nonnegative-Real)
(: non-pos-real-0 Nonpositive-Real)
(define non-neg-flonum+0 0.0)
(define non-pos-flonum+0 0.0)
(define non-neg-flonum-0 -0.0)
(define non-pos-flonum-0 -0.0)
(define non-neg-ineact-real+0 0.0)
(define non-pos-ineact-real+0 0.0)
(define non-neg-ineact-real-0 -0.0)
(define non-pos-ineact-real-0 -0.0)
(define non-neg-real+0 0.0)
(define non-pos-real+0 0.0)
(define non-neg-real-0 -0.0)
(define non-pos-real-0 -0.0)
)
(require 'defs)
neg-flonum
pos-flonum
non-neg-flonum
non-pos-flonum
neg-ineact-real
pos-ineact-real
non-neg-ineact-real
non-pos-ineact-real
neg-real
pos-real
non-neg-real
non-pos-real
non-neg-flonum+0
non-pos-flonum+0
non-neg-flonum-0
non-pos-flonum-0
non-neg-ineact-real+0
non-pos-ineact-real+0
non-neg-ineact-real-0
non-pos-ineact-real-0
non-neg-real+0
non-pos-real+0
non-neg-real-0
non-pos-real-0
#reader tests/racket/maybe-single
(module single-defs typed/racket
(provide (all-defined-out))
(define-syntax (:: stx)
(syntax-case stx ()
[(_ id t)
(if (single-flonum-available?)
#'(: id t)
#'(: id Flonum))]))
(:: neg-single-flonum Negative-Single-Flonum)
(:: pos-single-flonum Positive-Single-Flonum)
(:: non-neg-single-flonum Nonnegative-Single-Flonum)
(:: non-pos-single-flonum Nonpositive-Single-Flonum)
(define neg-single-flonum +nan.f)
(define pos-single-flonum +nan.f)
(define non-neg-single-flonum +nan.f)
(define non-pos-single-flonum +nan.f)
(:: non-neg-single-flonum+0 Nonnegative-Single-Flonum)
(:: non-pos-single-flonum+0 Nonpositive-Single-Flonum)
(:: non-neg-single-flonum-0 Nonnegative-Single-Flonum)
(:: non-pos-single-flonum-0 Nonpositive-Single-Flonum)
(define non-neg-single-flonum+0 0.0f0)
(define non-pos-single-flonum+0 0.0f0)
(define non-neg-single-flonum-0 -0.0f0)
(define non-pos-single-flonum-0 -0.0f0)
)
(module single-use racket
(require (submod ".." single-defs))
neg-single-flonum
pos-single-flonum
non-neg-single-flonum
non-pos-single-flonum
non-neg-single-flonum+0
non-pos-single-flonum+0
non-neg-single-flonum-0
non-pos-single-flonum-0
)
(module ext-defs typed/racket
(provide (all-defined-out))
(define-syntax (:: stx)
(syntax-case stx ()
[(_ id t)
(if (single-flonum-available?)
#'(: id t)
#'(: id ExtFlonum))]))
(:: neg-extflonum Negative-ExtFlonum)
(:: pos-extflonum Positive-ExtFlonum)
(:: non-neg-extflonum Nonnegative-ExtFlonum)
(:: non-pos-extflonum Nonpositive-ExtFlonum)
(define neg-extflonum +nan.t)
(define pos-extflonum +nan.t)
(define non-neg-extflonum +nan.t)
(define non-pos-extflonum +nan.t)
(:: non-neg-extflonum+0 Nonnegative-ExtFlonum)
(:: non-pos-extflonum+0 Nonpositive-ExtFlonum)
(:: non-neg-extflonum-0 Nonnegative-ExtFlonum)
(:: non-pos-extflonum-0 Nonpositive-ExtFlonum)
(define non-neg-extflonum+0 0.0t0)
(define non-pos-extflonum+0 0.0t0)
(define non-neg-extflonum-0 -0.0t0)
(define non-pos-extflonum-0 -0.0t0))
(module ext-use racket
(require (submod ".." ext-defs))
neg-extflonum
pos-extflonum
non-neg-extflonum
non-pos-extflonum
non-neg-extflonum+0
non-pos-extflonum+0
non-neg-extflonum-0
non-pos-extflonum-0)
(require (submod "." ext-use))
(require (submod "." single-use))
)
(require 'go)
| Racket | 5 | SnapCracklePopGone/typed-racket | typed-racket-test/succeed/pr13464.rktl | [
"Apache-2.0",
"MIT"
] |
(function(utils) {
utils.constants = {
APPID: NSBundle.mainBundle.bundleIdentifier, //id
APPPATH: NSBundle.mainBundle.bundlePath, //资源路径
APPHOME: NSHomeDirectory(), //沙盒
APPDOC: NSSearchPathForDirectoriesInDomains(NSDocumentDirectory, NSUserDomainMask, YES)[0],
APPLIBRARY: NSSearchPathForDirectoriesInDomains(NSLibraryDirectory, NSUserDomainMask, YES)[0],
APPCACHE: NSSearchPathForDirectoriesInDomains(NSCachesDirectory, NSUserDomainMask, YES)[0]
};
utils.pviews = function(){
return UIApp.keyWindow.recursiveDescription().toString(); //打印视图层次
};
utils.pvcs = function(){ //打印当前控制器
return UIWindow.keyWindow().rootViewController._printHierarchy().toString();
};
utils.rp = function(target){//打印响应者 nextResponder
var result = "" + target.toString();
while(target.nextResponder){
result += "\n" + target.nextResponder.toString();
target = target.nextResponder;
}
return result;
};
utils.pactions = function(target){ //打印actionsForTarget;
var result = '';
var objs = target.allTargets.allObjects();
for(var i = 0; i < objs.length; i++){
var actions = [target actionsForTarget:objs[i] forControlEvent:0];
result += objs[i] + " " + [actions componentsJoinedByString:@","];
}
return result;
}
utils.loadFramework = function (target) { //加载资源路径
var h="/System/Library/",t="Frameworks/"+target+".framework";
return [[NSBundle bundleWithPath:h+t]||
[NSBundle bundleWithPath:h+"Private"+t] load];
}
utils.tryPrintIvars = function tryPrintIvars(a){ //打印属性 或者*实例对象
var x={};
for(i in *a)
{
try{ x[i] = (*a)[i]; } catch(e){}
}
return x;
}
utils.printMethods = function printMethods(className, isa) { //打印方法,第一个传类对象字符串,第二个可不传。
var count = new new Type("I");
var classObj = (isa != undefined) ? objc_getClass(className)->isa :
objc_getClass(className);
var methods = class_copyMethodList(classObj, count);
var methodsArray = [];
for(var i = 0; i < *count; i++) {
var method = methods[i];
methodsArray.push({selector:method_getName(method),
implementation:method_getImplementation(method)});
}
free(methods);
return methodsArray;
}
for(var k in utils.constants) { //引入时打印对象变量
Cycript.all[k] = utils.constants[k];
}
for(var k in utils) {//引入时打印对象方法
if(utils.hasOwnProperty(k)) {
var f = utils[k];
if(typeof f === 'function') {
Cycript.all[k] = f;
}
}
}
})(exports);
| Cycript | 4 | smola/language-dataset | data/github.com/blaceman/myRe/df1c6856db145f16c0277f14726c3986388874dc/cy/myMd.cy | [
"MIT"
] |
#world {
line-width: 20% + 2px;
}
| CartoCSS | 0 | nimix/carto | test/errorhandling/bad_op.mss | [
"Apache-2.0"
] |
source "../tests/includes/init-tests.tcl"
test "Create a cluster with two single-node shards" {
create_cluster 2 0
}
test "Cluster should start ok" {
assert_cluster_state ok
}
proc number_of_peers {id} {
expr [llength [get_cluster_nodes $id]] - 1
}
proc number_of_links {id} {
llength [get_cluster_links $id]
}
test "Each node has two links with each peer" {
foreach_redis_id id {
# Assert that from point of view of each node, there are two links for
# each peer. It might take a while for cluster to stabilize so wait up
# to 5 seconds.
wait_for_condition 50 100 {
[number_of_peers $id]*2 == [number_of_links $id]
} else {
assert_equal [expr [number_of_peers $id]*2] [number_of_links $id]
}
set nodes [get_cluster_nodes $id]
set links [get_cluster_links $id]
# For each peer there should be exactly one
# link "to" it and one link "from" it.
foreach n $nodes {
if {[has_flag $n myself]} continue
set peer [dict get $n id]
set to 0
set from 0
foreach l $links {
if {[dict get $l node] eq $peer} {
if {[dict get $l dir] eq "to"} {
incr to
} elseif {[dict get $l dir] eq "from"} {
incr from
}
}
}
assert {$to eq 1}
assert {$from eq 1}
}
}
}
set primary1_id 0
set primary2_id 1
set primary1 [Rn $primary1_id]
set primary2 [Rn $primary2_id]
test "Disconnect link when send buffer limit reached" {
# On primary1, set timeout to 1 hour so links won't get disconnected due to timeouts
set oldtimeout [lindex [$primary1 CONFIG get cluster-node-timeout] 1]
$primary1 CONFIG set cluster-node-timeout [expr 60*60*1000]
# Get primary1's links with primary2
set primary2_name [dict get [get_myself $primary2_id] id]
set orig_link_p1_to_p2 [get_link_to_peer $primary1_id $primary2_name]
set orig_link_p1_from_p2 [get_link_from_peer $primary1_id $primary2_name]
# On primary1, set cluster link send buffer limit to 256KB, which is large enough to not be
# overflowed by regular gossip messages but also small enough that it doesn't take too much
# memory to overflow it. If it is set too high, Redis may get OOM killed by kernel before this
# limit is overflowed in some RAM-limited test environments.
set oldlimit [lindex [$primary1 CONFIG get cluster-link-sendbuf-limit] 1]
$primary1 CONFIG set cluster-link-sendbuf-limit [expr 256*1024]
assert {[get_info_field [$primary1 cluster info] total_cluster_links_buffer_limit_exceeded] eq 0}
# To manufacture an ever-growing send buffer from primary1 to primary2,
# make primary2 unresponsive.
set primary2_pid [get_instance_attrib redis $primary2_id pid]
exec kill -SIGSTOP $primary2_pid
# On primary1, send 128KB Pubsub messages in a loop until the send buffer of the link from
# primary1 to primary2 exceeds buffer limit therefore be dropped.
# For the send buffer to grow, we need to first exhaust TCP send buffer of primary1 and TCP
# receive buffer of primary2 first. The sizes of these two buffers vary by OS, but 100 128KB
# messages should be sufficient.
set i 0
wait_for_condition 100 0 {
[catch {incr i} e] == 0 &&
[catch {$primary1 publish channel [prepare_value [expr 128*1024]]} e] == 0 &&
[catch {after 500} e] == 0 &&
[get_info_field [$primary1 cluster info] total_cluster_links_buffer_limit_exceeded] >= 1
} else {
fail "Cluster link not freed as expected"
}
puts -nonewline "$i 128KB messages needed to overflow 256KB buffer limit. "
# A new link to primary2 should have been recreated
set new_link_p1_to_p2 [get_link_to_peer $primary1_id $primary2_name]
assert {[dict get $new_link_p1_to_p2 create_time] > [dict get $orig_link_p1_to_p2 create_time]}
# Link from primary2 should not be affected
set same_link_p1_from_p2 [get_link_from_peer $primary1_id $primary2_name]
assert {[dict get $same_link_p1_from_p2 create_time] eq [dict get $orig_link_p1_from_p2 create_time]}
# Revive primary2
exec kill -SIGCONT $primary2_pid
# Reset configs on primary1 so config changes don't leak out to other tests
$primary1 CONFIG set cluster-node-timeout $oldtimeout
$primary1 CONFIG set cluster-link-sendbuf-limit $oldlimit
}
| Tcl | 5 | hpdic/redis | tests/cluster/tests/24-links.tcl | [
"BSD-3-Clause"
] |
class Main {
static function doStuff(options:{a:Float, b:String}) {}
static function main () {
doStuff({
}
} | Haxe | 1 | Alan-love/haxe | tests/misc/projects/Issue3907/Main.hx | [
"MIT"
] |
{Utils, React, Actions} = require 'nylas-exports'
{ParticipantsTextField} = require 'nylas-component-kit'
PGPKeyStore = require './pgp-key-store'
EmailPopover = require './email-popover'
Identity = require './identity'
kb = require './keybase'
_ = require 'underscore'
module.exports =
class KeybaseUser extends React.Component
@displayName: 'KeybaseUserProfile'
@propTypes:
profile: React.PropTypes.instanceOf(Identity).isRequired
actionButton: React.PropTypes.node
displayEmailList: React.PropTypes.bool
@defaultProps:
actionButton: false
displayEmailList: true
constructor: (props) ->
super(props)
componentDidMount: ->
PGPKeyStore.getKeybaseData(@props.profile)
_addEmail: (email) =>
PGPKeyStore.addAddressToKey(@props.profile, email)
_addEmailClick: (event) =>
popoverTarget = event.target.getBoundingClientRect()
Actions.openPopover(
<EmailPopover profile={@props.profile} onPopoverDone={ @_popoverDone } />,
{originRect: popoverTarget, direction: 'left'}
)
_popoverDone: (addresses, identity) =>
if addresses.length < 1
# no email addresses added, noop
return
else
_.each(addresses, (address) =>
@_addEmail(address))
_removeEmail: (email) =>
PGPKeyStore.removeAddressFromKey(@props.profile, email)
render: =>
{profile} = @props
keybaseDetails = <div className="details"></div>
if profile.keybase_profile?
keybase = profile.keybase_profile
# profile picture
if keybase.thumbnail?
picture = <img className="user-picture" src={ keybase.thumbnail }/>
else
hue = Utils.hueForString("Keybase")
bgColor = "hsl(#{hue}, 50%, 45%)"
abv = "K"
picture = <div className="default-profile-image" style={{backgroundColor: bgColor}}>{abv}</div>
# full name
if keybase.components.full_name?.val?
fullname = keybase.components.full_name.val
else
fullname = username
username = false
# link to keybase profile
keybase_url = "keybase.io/#{keybase.components.username.val}"
if keybase_url.length > 25
keybase_string = keybase_url.slice(0, 23).concat('...')
else
keybase_string = keybase_url
username = <a href="https://#{keybase_url}">{keybase_string}</a>
# TODO: potentially display confirmation on keybase-user objects
###
possible_profiles = ["twitter", "github", "coinbase"]
profiles = _.map(possible_profiles, (possible) =>
if keybase.components[possible]?.val?
# TODO icon instead of weird "service: username" text
return (<span key={ possible }><b>{ possible }</b>: { keybase.components[possible].val }</span>)
)
profiles = _.reject(profiles, (profile) -> profile is undefined)
profiles = _.map(profiles, (profile) ->
return <span key={ profile.key }>{ profile } </span>)
profileList = (<span>{ profiles }</span>)
###
keybaseDetails = (<div className="details">
<div className="profile-name">
{ fullname }
</div>
<div className="profile-username">
{ username }
</div>
</div>)
else
# if no keybase profile, default image is based on email address
hue = Utils.hueForString(@props.profile.addresses[0])
bgColor = "hsl(#{hue}, 50%, 45%)"
abv = @props.profile.addresses[0][0].toUpperCase()
picture = <div className="default-profile-image" style={{backgroundColor: bgColor}}>{abv}</div>
# email addresses
if profile.addresses?.length > 0
emails = _.map(profile.addresses, (email) =>
# TODO make that remove button not terrible
return <li key={ email }>{ email } <small><a onClick={ => @_removeEmail(email) }>(X)</a></small></li>)
emailList = (<ul> { emails }
<a ref="addEmail" onClick={ @_addEmailClick }>+ Add Email</a>
</ul>)
emailListDiv = (<div className="email-list">
<ul>
{ emailList }
</ul>
</div>)
<div className="keybase-profile">
<div className="profile-photo-wrap">
<div className="profile-photo">
{ picture }
</div>
</div>
{ keybaseDetails }
{if @props.displayEmailList then emailListDiv}
{ @props.actionButton }
</div>
| CoffeeScript | 5 | cnheider/nylas-mail | packages/client-app/internal_packages/keybase/lib/keybase-user.cjsx | [
"MIT"
] |
#profile START;
s = 0;
m = {5,1};
for (k=0; k<250000; k=k+1)
{
s = s + k;
t = Random (0,5);
m [t] = m [t] + 1;
}
#profile PAUSE;
s2 = 0;
for (k=1; k<10000; k=k+1)
{
s2 = s2+1/k;
}
#profile _hyphy_profile_dump;
stats = _hyphy_profile_dump["STATS"];
_profile_summer = {1,Rows(stats)};
_profile_summer = _profile_summer["1"] * stats;
_instructions = _hyphy_profile_dump["INSTRUCTION"];
_indices = _hyphy_profile_dump["INSTRUCTION INDEX"];
fprintf (stdout, "\nTotal run time (seconds) : ", Format(_profile_summer[1]/1000000,15,6),
"\nTotal number of steps : ", Format(_profile_summer[0],15,0), "\n\n");
for (k=0; k<Columns(_instructions); k=k+1)
{
fprintf (stdout, Format (_indices[k],6,0), " : ", _instructions[k], "\n\tCall count: ", stats[k][0],
"\n\tTime (seconds): ", stats[k][1]/1000000, "\n");
} | Brainfuck | 3 | JavascriptID/sourcerer-app | src/test/resources/samples/langs/HyPhy/profile_test.bf | [
"MIT"
] |
//
// AUTO-GENERATED FILE, DO NOT MODIFY!
//
import 'package:built_collection/built_collection.dart';
import 'package:openapi/src/model/deprecated_object.dart';
import 'package:built_value/built_value.dart';
import 'package:built_value/serializer.dart';
part 'object_with_deprecated_fields.g.dart';
/// ObjectWithDeprecatedFields
///
/// Properties:
/// * [uuid]
/// * [id]
/// * [deprecatedRef]
/// * [bars]
abstract class ObjectWithDeprecatedFields implements Built<ObjectWithDeprecatedFields, ObjectWithDeprecatedFieldsBuilder> {
@BuiltValueField(wireName: r'uuid')
String? get uuid;
@BuiltValueField(wireName: r'id')
num? get id;
@BuiltValueField(wireName: r'deprecatedRef')
DeprecatedObject? get deprecatedRef;
@BuiltValueField(wireName: r'bars')
BuiltList<String>? get bars;
ObjectWithDeprecatedFields._();
@BuiltValueHook(initializeBuilder: true)
static void _defaults(ObjectWithDeprecatedFieldsBuilder b) => b;
factory ObjectWithDeprecatedFields([void updates(ObjectWithDeprecatedFieldsBuilder b)]) = _$ObjectWithDeprecatedFields;
@BuiltValueSerializer(custom: true)
static Serializer<ObjectWithDeprecatedFields> get serializer => _$ObjectWithDeprecatedFieldsSerializer();
}
class _$ObjectWithDeprecatedFieldsSerializer implements StructuredSerializer<ObjectWithDeprecatedFields> {
@override
final Iterable<Type> types = const [ObjectWithDeprecatedFields, _$ObjectWithDeprecatedFields];
@override
final String wireName = r'ObjectWithDeprecatedFields';
@override
Iterable<Object?> serialize(Serializers serializers, ObjectWithDeprecatedFields object,
{FullType specifiedType = FullType.unspecified}) {
final result = <Object?>[];
if (object.uuid != null) {
result
..add(r'uuid')
..add(serializers.serialize(object.uuid,
specifiedType: const FullType(String)));
}
if (object.id != null) {
result
..add(r'id')
..add(serializers.serialize(object.id,
specifiedType: const FullType(num)));
}
if (object.deprecatedRef != null) {
result
..add(r'deprecatedRef')
..add(serializers.serialize(object.deprecatedRef,
specifiedType: const FullType(DeprecatedObject)));
}
if (object.bars != null) {
result
..add(r'bars')
..add(serializers.serialize(object.bars,
specifiedType: const FullType(BuiltList, [FullType(String)])));
}
return result;
}
@override
ObjectWithDeprecatedFields deserialize(Serializers serializers, Iterable<Object?> serialized,
{FullType specifiedType = FullType.unspecified}) {
final result = ObjectWithDeprecatedFieldsBuilder();
final iterator = serialized.iterator;
while (iterator.moveNext()) {
final key = iterator.current as String;
iterator.moveNext();
final Object? value = iterator.current;
switch (key) {
case r'uuid':
final valueDes = serializers.deserialize(value,
specifiedType: const FullType(String)) as String;
result.uuid = valueDes;
break;
case r'id':
final valueDes = serializers.deserialize(value,
specifiedType: const FullType(num)) as num;
result.id = valueDes;
break;
case r'deprecatedRef':
final valueDes = serializers.deserialize(value,
specifiedType: const FullType(DeprecatedObject)) as DeprecatedObject;
result.deprecatedRef.replace(valueDes);
break;
case r'bars':
final valueDes = serializers.deserialize(value,
specifiedType: const FullType(BuiltList, [FullType(String)])) as BuiltList<String>;
result.bars.replace(valueDes);
break;
}
}
return result.build();
}
}
| Dart | 5 | JigarJoshi/openapi-generator | samples/openapi3/client/petstore/dart-dio-next/petstore_client_lib_fake/lib/src/model/object_with_deprecated_fields.dart | [
"Apache-2.0"
] |
create table precise_types (
a BIGINT UNSIGNED NOT NULL,
b BIGINT NOT NULL,
c DECIMAL(21,1) NOT NULL,
d DOUBLE NOT NULL
);
| SQL | 3 | cuishuang/tidb | br/tests/lightning_various_types/data/vt.precise_types-schema.sql | [
"Apache-2.0"
] |
CREATE TABLE "public"."t2" ("created_at" timestamptz NOT NULL DEFAULT now(), "id" serial NOT NULL, PRIMARY KEY ("id") );
| SQL | 2 | gh-oss-contributor/graphql-engine-1 | cli/commands/testdata/config-v2-test-project/migrations/1620138146208_create_table_public_t2/up.sql | [
"Apache-2.0",
"MIT"
] |
' Licensed to the .NET Foundation under one or more agreements.
' The .NET Foundation licenses this file to you under the MIT license.
' See the LICENSE file in the project root for more information.
Imports Microsoft.CodeAnalysis.Text
Imports Microsoft.CodeAnalysis.VisualBasic.Symbols
Imports Microsoft.CodeAnalysis.VisualBasic.Syntax
Namespace Microsoft.CodeAnalysis.VisualBasic.Symbols
''' <summary>
''' Information decoded from well-known custom attributes applied on a method.
''' </summary>
Friend Class MethodWellKnownAttributeData
Inherits CommonMethodWellKnownAttributeData
Public Sub New()
MyBase.New(preserveSigFirstWriteWins:=True)
End Sub
#Region "STAThreadAttribute"
Private _hasSTAThreadAttribute As Boolean = False
Friend Property HasSTAThreadAttribute As Boolean
Get
VerifySealed(expected:=True)
Return Me._hasSTAThreadAttribute
End Get
Set(value As Boolean)
VerifySealed(expected:=False)
Me._hasSTAThreadAttribute = value
SetDataStored()
End Set
End Property
#End Region
#Region "MTAThreadAttribute"
Private _hasMTAThreadAttribute As Boolean = False
Friend Property HasMTAThreadAttribute As Boolean
Get
VerifySealed(expected:=True)
Return Me._hasMTAThreadAttribute
End Get
Set(value As Boolean)
VerifySealed(expected:=False)
Me._hasMTAThreadAttribute = value
SetDataStored()
End Set
End Property
#End Region
#Region "DebuggerHiddenAttribute"
Private _isPropertyAccessorWithDebuggerHiddenAttribute As Boolean = False
Friend Property IsPropertyAccessorWithDebuggerHiddenAttribute As Boolean
Get
VerifySealed(expected:=True)
Return Me._isPropertyAccessorWithDebuggerHiddenAttribute
End Get
Set(value As Boolean)
VerifySealed(expected:=False)
Me._isPropertyAccessorWithDebuggerHiddenAttribute = value
SetDataStored()
End Set
End Property
#End Region
End Class
End Namespace
| Visual Basic | 4 | ffMathy/roslyn | src/Compilers/VisualBasic/Portable/Symbols/Attributes/WellKnownAttributeData/MethodWellKnownAttributeData.vb | [
"MIT"
] |
import "./with-nested";
import(/* webpackPreload: 1, webpackChunkName: "preloaded" */ "./preloaded");
setTimeout(() => {
import(/* webpackChunkName: "normal" */"./normal");
}, 500);
| JavaScript | 3 | 1shenxi/webpack | test/statsCases/preload/index.js | [
"MIT"
] |
export { default } from '@date-io/luxon';
| TypeScript | 0 | good-gym/material-ui | packages/material-ui-lab/src/AdapterLuxon/index.ts | [
"MIT"
] |
<style type="text/css">
body { background: navy; color: yellow; }
</style>
<style lang="postcss">
body { background: navy; color: yellow; }
</style>
| HTML | 2 | fuelingtheweb/prettier | tests/html_css/postcss.html | [
"MIT"
] |
FROM functions/alpine:latest
ENV fprocess "/bin/cat"
| Dockerfile | 1 | lihaiswu/faas | sample-functions/echo/Dockerfile | [
"MIT"
] |
// run-fail
// error-pattern:attempt to calculate the remainder with a divisor of zero
// ignore-emscripten no processes
#[allow(unconditional_panic)]
fn main() {
let y = 0;
let _z = 1 % y;
}
| Rust | 2 | Eric-Arellano/rust | src/test/ui/numbers-arithmetic/mod-zero.rs | [
"ECL-2.0",
"Apache-2.0",
"MIT-0",
"MIT"
] |
// 功能:Hello World
// 目的:演示单模块项目组织方式
package Hello; // 包名: Hello。每个.bsv文件内只能有1个同名包
module mkTb(); // 模块名: mkTb
rule hello; // rule 名: hello
$display("Hello World!"); // 就像 Verilog 的 $display 那样,
// 该语句不参与综合, 只是在仿真时打印
$finish; // 仿真程序退出
endrule
endmodule
endpackage
| Bluespec | 4 | Xiefengshang/BSV_Tutorial_cn | src/1.Hello/Hello.bsv | [
"MIT"
] |
// Daniel Shiffman
// http://codingtra.in
// http://patreon.com/codingtrain
// Video: https://youtu.be/hbgDqyy8bIw
Segment tentacle;
void setup() {
size(600, 400);
Segment current = new Segment(300, 200, 10, 0);
for (int i = 0; i < 20; i++) {
Segment next = new Segment(current, 10, i);
current.child = next;
current = next;
}
tentacle = current;
}
void draw() {
background(51);
tentacle.follow(mouseX, mouseY);
tentacle.update();
tentacle.show();
Segment next = tentacle.parent;
while (next != null) {
next.follow();
next.update();
next.show();
next = next.parent;
}
}
| Processing | 4 | aerinkayne/website | CodingChallenges/CC_064.2_InverseKinematics/Processing/CC_064_2_InverseKinematics/CC_064_2_InverseKinematics.pde | [
"MIT"
] |
=pod
=head1 NAME
EVP_sha3_224,
EVP_sha3_256,
EVP_sha3_384,
EVP_sha3_512,
EVP_shake128,
EVP_shake256
- SHA-3 For EVP
=head1 SYNOPSIS
#include <openssl/evp.h>
const EVP_MD *EVP_sha3_224(void);
const EVP_MD *EVP_sha3_256(void);
const EVP_MD *EVP_sha3_384(void);
const EVP_MD *EVP_sha3_512(void);
const EVP_MD *EVP_shake128(void);
const EVP_MD *EVP_shake256(void);
=head1 DESCRIPTION
SHA-3 (Secure Hash Algorithm 3) is a family of cryptographic hash functions
standardized in NIST FIPS 202, first published in 2015. It is based on the
Keccak algorithm.
=over 4
=item EVP_sha3_224(),
EVP_sha3_256(),
EVP_sha3_384(),
EVP_sha3_512()
The SHA-3 SHA-3-224, SHA-3-256, SHA-3-384, and SHA-3-512 algorithms
respectively. They produce 224, 256, 384 and 512 bits of output from a given
input.
=item EVP_shake128(),
EVP_shake256()
The SHAKE-128 and SHAKE-256 Extendable Output Functions (XOF) that can generate
a variable hash length.
Specifically, B<EVP_shake128> provides an overall security of 128 bits, while
B<EVP_shake256> provides that of 256 bits.
=back
=head1 RETURN VALUES
These functions return a B<EVP_MD> structure that contains the
implementation of the symmetric cipher. See L<EVP_MD_meth_new(3)> for
details of the B<EVP_MD> structure.
=head1 CONFORMING TO
NIST FIPS 202.
=head1 SEE ALSO
L<evp(7)>,
L<EVP_DigestInit(3)>
=head1 COPYRIGHT
Copyright 2017 The OpenSSL Project Authors. All Rights Reserved.
Licensed under the Apache License 2.0 (the "License"). You may not use
this file except in compliance with the License. You can obtain a copy
in the file LICENSE in the source distribution or at
L<https://www.openssl.org/source/license.html>.
=cut
| Pod | 4 | pmesnier/openssl | doc/man3/EVP_sha3_224.pod | [
"Apache-2.0"
] |
#Region ;**** Directives created by AutoIt3Wrapper_GUI ****
#AutoIt3Wrapper_Icon=..\..\code\favicon.ico
#AutoIt3Wrapper_Outfile=script\streamkeyshelper.exe
#AutoIt3Wrapper_Change2CUI=y
#AutoIt3Wrapper_Res_Comment=A helper application for StreamKeysQuantum Firefox extension. 100% Open source, see github
#AutoIt3Wrapper_Res_Description=A helper application for StreamKeysQuantum Firefox extension. 100% Open source, see github
#AutoIt3Wrapper_Res_Fileversion=1.1.180
#AutoIt3Wrapper_Res_ProductName=Stream Keys Quantum Global Command Support Helper
#AutoIt3Wrapper_Res_ProductVersion=1.1.180
#AutoIt3Wrapper_Res_CompanyName=efprojects.com
#AutoIt3Wrapper_Res_LegalCopyright=(c) Egor Aristov, 2018-2020
#AutoIt3Wrapper_Res_LegalTradeMarks=(c) efprojects.com
#EndRegion ;**** Directives created by AutoIt3Wrapper_GUI ****
; StreamKeys-Quantum Firefox Extension helper by Egor3f
; Global Command Support
; version 0.2
#include <TrayConstants.au3>
#include <Array.au3>
Global $commandConfig = IniReadSection("config.ini", "commands")
Global $commandsLength = $commandConfig[0][0]
Opt("TrayAutoPause", 0)
Opt("TrayMenuMode", 1)
Const $activeMessage = "SKQ Active — press to disable temporarily (to use other music/movie programs)"
Const $inactiveMessage = "SKQ Disabled — press to enable again"
$toggleMenuItem = TrayCreateItem($activeMessage)
TrayCreateItem("")
$exitMenuItem = TrayCreateItem("Exit Stream Keys Quantum GCS Helper")
TraySetState($TRAY_ICONSTATE_SHOW)
TrayItemSetState($toggleMenuItem, $TRAY_CHECKED)
$enabled = True
Const $SpecialCommands = ["_toggle"]
Func setHotkeys($toEnable=True, $toDisableSpecial=False)
For $i = 1 to $commandsLength
If $toEnable Then
HotKeySet($commandConfig[$i][1], "processCommand")
Else
If _ArraySearch($SpecialCommands, $commandConfig[$i][0]) < 0 Or $toDisableSpecial Then
HotKeySet($commandConfig[$i][1])
EndIf
EndIf
Next
EndFunc
Func processCommand()
Dim $command = @HotKeyPressed
For $i = 1 to $commandsLength
If $command == $commandConfig[$i][1] Then
If $commandConfig[$i][0] == "_toggle" Then
$enabled = Not $enabled
setHotkeys($enabled)
TrayItemSetState($toggleMenuItem, $enabled ? $TRAY_CHECKED : $TRAY_UNCHECKED)
TrayItemSetText($toggleMenuItem, $enabled ? $activeMessage : $inactiveMessage)
Else
sendFirefoxMessage($commandConfig[$i][0])
EndIf
ExitLoop
EndIf
Next
EndFunc
Func sendFirefoxMessage($cm)
Dim $json = '"' & $cm & '"'
Dim $len = StringLen($json)
ConsoleWrite(Binary($len))
ConsoleWrite($json)
EndFunc
Func updateKeymap($keymap)
setHotKeys(False, True)
Dim $kvArray = StringSplit($keymap, "\u001e", 1)
Global $commandsLength = $kvArray[0]
Global $commandConfig[$commandsLength + 1][2]
$commandConfig[0][0] = $commandsLength
For $i = 1 To $commandsLength
Dim $kvSplit = StringSplit($kvArray[$i], "\u001f", 1)
$commandConfig[$i][0] = StringStripWS($kvSplit[1], 8)
$commandConfig[$i][1] = StringStripWS($kvSplit[2], 8)
Next
; _ArrayDisplay($commandConfig)
IniWriteSection("config.ini", "commands", $commandConfig)
setHotkeys(True)
EndFunc
setHotkeys()
Global $newDataSize = 0
Global $newData = ""
While 1
Switch TrayGetMsg()
Case $toggleMenuItem
$enabled = Not $enabled
setHotkeys($enabled)
TrayItemSetState($toggleMenuItem, $enabled ? $TRAY_CHECKED : $TRAY_UNCHECKED)
TrayItemSetText($toggleMenuItem, $enabled ? $activeMessage : $inactiveMessage)
Case $exitMenuItem
ExitLoop
EndSwitch
Dim $dataFromBrowser = ConsoleRead()
If @extended > 0 Then
If StringLen($newData) == 0 Then
Dim $packetSizeString = StringLeft($dataFromBrowser, 4)
$packetSizeString = StringReverse($packetSizeString) ; Little endian
$newDataSize = Dec(StringMid(Binary($packetSizeString), 3, 8), 1)
If $newDataSize <= 0 Then
ContinueLoop
EndIf
$newData &= StringMid($dataFromBrowser, 5)
If StringLen($newData) >= $newDataSize Then
updateKeymap(StringMid($newData, 2, StringLen($newData) - 2))
$newData = ""
$newDataSize = 0
EndIf
Else
$newData &= $dataFromBrowser
EndIf
EndIf
WEnd
| AutoIt | 5 | Egor3f/streamkeys-quantum | SKQGlobalCommandSupport/win32au3/main.au3 | [
"MIT"
] |
terraform {
required_providers {
kubernetes = {
source = "hashicorp/kubernetes"
version = "2.3.2"
}
}
}
# Use standard kubectl environment to get connection details
provider "kubernetes" {
config_context = var.k8s_config_context
config_path = var.k8s_config_path
} | HCL | 4 | DBatOWL/tutorials | kubernetes/k8s-admission-controller/src/test/terraform/providers.tf | [
"MIT"
] |
[38;2;248;248;242mcflags [0m[38;2;249;38;114m=[0m[38;2;248;248;242m -Wall[0m
[38;2;249;38;114mrule[0m[38;2;248;248;242m cc[0m
[38;2;248;248;242m [0m[38;2;249;38;114mcommand[0m[38;2;248;248;242m [0m[38;2;249;38;114m=[0m[38;2;248;248;242m gcc [0m[38;2;255;255;255m$cflags[0m[38;2;248;248;242m -c [0m[38;2;255;255;255m$in[0m[38;2;248;248;242m -o [0m[38;2;255;255;255m$out[0m
[38;2;249;38;114mbuild[0m[38;2;248;248;242m foo.o[0m[38;2;249;38;114m:[0m[38;2;248;248;242m cc foo.c[0m
| Ninja | 3 | purveshpatel511/bat | tests/syntax-tests/highlighted/Ninja/test.ninja | [
"Apache-2.0",
"MIT"
] |
Strict
Import MaxGUI.MaxGUI
Import BRL.Graphics
Import "fltkfonts.bmx"
Import "fltksystem.bmx"
Extern "C"
Function bbStringToUTF8String:Byte Ptr ( str$ )
EndExtern
Private
Include "fltkdecls.bmx"
Global FLDriver:TFLTKGUIDriver = New TFLTKGuiDriver
maxgui_driver = FLDriver
Type TFLGuiSystemDriver Extends TFLSystemDriver
Field gui:TFLTKGUIDriver
Method Poll()
gui.RefreshWidgets()
flWait(0.0)
gui.FreePointers()
DispatchGuiEvents()
End Method
Method Wait()
Poll()
flWait(-1)
End Method
Function Create:TFLGuiSystemDriver(host:TFLTKGUIDriver)
Local guisystem:TFLGuiSystemDriver = New TFLGuiSystemDriver
guisystem.gui = host
Return guisystem
End Function
End Type
Public
Type TFLTKGUIDriver Extends TMaxGUIDriver
Global fntDefault:TFLGuiFont
Field RefreshList:TList=New TList, PointerTrash:Byte Ptr[]
Field fontRequester:TFLFontRequest
?Linux
Function _FlushAsyncOpsProc( fd,data:Byte Ptr ) "C" nodebug
bbSystemFlushAsyncOps
End Function
?
Method UserName$()
End Method
Method ComputerName$()
End Method
Method New()
brl.System.Driver=TFLGuiSystemDriver.Create(Self)
Local display
?Linux
display = bbSystemDisplay()
?
flReset(display,EventHandler,KeyFilter,TFLWidget.MouseHandler,TFLWidget.KeyHandler)
?Linux
flAddFd( bbSystemAsyncFD(),FL_READ,_FlushAsyncOpsProc,Null )
?
'Initialize FLTK font handler after flReset() call.
TFLFontFamily.Initialize()
fntDefault = TFLGuiFont(LibraryFont( GUIFONT_SYSTEM, 0, FONT_NORMAL ))
End Method
Function EventHandler:Int(flevent) "C" nodebug
Local key,state
Local event:TEvent
Select flevent
Case FL_DND_RELEASE
If TFLGadget.getDragDrop() Then flSetBelowMouse(TFLGadget.getDragDrop().WidgetHandle())
Return 1
Case FL_SHORTCUT
key=flkeytovkey(flEventKey())
state=flstatetomodifiers(flEventState())
event=HotKeyEvent( key,state,flGetFocus() )
If event Then
event.emit()
Return 1
EndIf
EndSelect
End Function
Function KeyFilter(obj:Int) "C" nodebug
Local source:TFLWidget
Local event:TEvent
Local key,mods,text$,i
source=TFLWidget(HandleToObject(obj))
If flEventKey()=FL_KEY_Control_R Return 0
If source And (source.eventfilter<>Null) Then
key=BlitzKeyFromFlConst(flEventKey())
text$=fleventtext()
mods=flstatetomodifiers(flEventState())
If key
event=CreateEvent(EVENT_KEYDOWN,source,key,mods)
If Not source.eventfilter(event,source.context) Then Return 0
'Unlike the other platforms, text isn't set by FLTK when combining tab with modifier keys
If Not text And key = KEY_TAB Then text="~t"
EndIf
For i=0 Until text.length
key=text[i]
event=CreateEvent(EVENT_KEYCHAR,source,key,mods)
If Not source.eventfilter(event,source.context) Then Return 0
Next
EndIf
Return 1
End Function
Function BlitzKeyFromFlConst( pKey% )
Select pKey
Case FL_KEY_BackSpace;Return KEY_BACKSPACE
Case FL_KEY_Tab;Return KEY_TAB
Case FL_KEY_Enter;Return KEY_RETURN
Case FL_KEY_Pause
Case FL_KEY_Scroll_Lock
Case FL_KEY_Escape;Return KEY_ESCAPE
Case FL_KEY_Home;Return KEY_HOME
Case FL_KEY_Left;Return KEY_LEFT
Case FL_KEY_Up;Return KEY_UP
Case FL_KEY_Right;Return KEY_RIGHT
Case FL_KEY_Down;Return KEY_DOWN
Case FL_KEY_Page_Up;Return KEY_PAGEUP
Case FL_KEY_Page_Down;Return KEY_PAGEDOWN
Case FL_KEY_End;Return KEY_END
Case FL_KEY_Print;Return KEY_PRINT
Case FL_KEY_Insert;Return KEY_INSERT
Case FL_KEY_Menu
Case FL_KEY_Help
Case FL_KEY_Num_Lock
Case FL_KEY_KP+0;Return KEY_NUM0
Case FL_KEY_KP+1;Return KEY_NUM1
Case FL_KEY_KP+2;Return KEY_NUM2
Case FL_KEY_KP+3;Return KEY_NUM3
Case FL_KEY_KP+4;Return KEY_NUM4
Case FL_KEY_KP+5;Return KEY_NUM5
Case FL_KEY_KP+6;Return KEY_NUM6
Case FL_KEY_KP+7;Return KEY_NUM7
Case FL_KEY_KP+8;Return KEY_NUM8
Case FL_KEY_KP+9;Return KEY_NUM9
Case FL_KEY_KP_Enter;Return KEY_ENTER
Case FL_KEY_F+1;Return KEY_F1
Case FL_KEY_F+2;Return KEY_F2
Case FL_KEY_F+3;Return KEY_F3
Case FL_KEY_F+4;Return KEY_F4
Case FL_KEY_F+5;Return KEY_F5
Case FL_KEY_F+6;Return KEY_F6
Case FL_KEY_F+7;Return KEY_F7
Case FL_KEY_F+8;Return KEY_F8
Case FL_KEY_F+9;Return KEY_F9
Case FL_KEY_F+10;Return KEY_F10
Case FL_KEY_F+11;Return KEY_F11
Case FL_KEY_F+12;Return KEY_F12
Case FL_KEY_Shift_L;Return KEY_LSHIFT
Case FL_KEY_Shift_R;Return KEY_RSHIFT
Case FL_KEY_Control_L;Return KEY_LCONTROL
Case FL_KEY_Control_R;Return KEY_RCONTROL
Case FL_KEY_Caps_Lock
Case FL_KEY_Meta_L;Return KEY_LSYS
Case FL_KEY_Meta_R;Return KEY_RSYS
Case FL_KEY_Alt_L;Return KEY_LALT
Case FL_KEY_Alt_R;Return KEY_RALT
Case FL_KEY_Delete;Return KEY_DELETE
Default;Return flkeytovkey(pKey)
EndSelect
EndFunction
Method RefreshWidget( widget:TFLWidget )
RefreshList.AddLast widget
End Method
Method QueueFlDelete( pointer:Byte Ptr )
PointerTrash:+[pointer]
EndMethod
Method RefreshWidgets()
For Local w:TFLWidget = EachIn RefreshList
w.Redraw()
Next
RefreshList.Clear()
End Method
Method FreePointers()
For Local pointer:Byte Ptr = EachIn PointerTrash
flDelete(pointer)
Next
PointerTrash = Null
EndMethod
Method CreateGadget:TGadget(class,name$,x,y,w,h,group:TGadget,style)
Select class
Case GADGET_DESKTOP
Return New TFLDesktop.CreateDesktop()
Case GADGET_WINDOW
Return New TFLWindow.CreateGadget(name,x,y,w,h,TFLGadget(group),style)
Case GADGET_PANEL
Return New TFLPanel.CreateGadget(name,x,y,w,h,TFLGadget(group),style)
Case GADGET_BUTTON
Return New TFLButton.CreateGadget(name,x,y,w,h,TFLGadget(group),style)
Case GADGET_TEXTFIELD
Return New TFLTextField.CreateGadget(name,x,y,w,h,TFLGadget(group),style)
Case GADGET_TEXTAREA
Return New TFLTextArea.CreateGadget(name,x,y,w,h,TFLGadget(group),style)
Case GADGET_COMBOBOX
Return New TFLComboBox.CreateGadget(name,x,y,w,h,TFLGadget(group),style)
Case GADGET_LISTBOX
Return New TFLListBox.CreateGadget(name,x,y,w,h,TFLGadget(group),style)
Case GADGET_TOOLBAR
Return New TFLToolbar.CreateGadget(name,x,y,w,h,TFLGadget(group),style)
Case GADGET_TABBER
Return New TFLTabber.CreateGadget(name,x,y,w,h,TFLGadget(group),style)
Case GADGET_TREEVIEW
Return New TFLTreeview.CreateGadget(name,x,y,w,h,TFLGadget(group),style)
Case GADGET_HTMLVIEW
Return New TFLHTMLView.CreateGadget(name,x,y,w,h,TFLGadget(group),style)
Case GADGET_LABEL
Return New TFLLabel.CreateGadget(name,x,y,w,h,TFLGadget(group),style)
Case GADGET_SLIDER
Return New TFLSlider.CreateGadget(name,x,y,w,h,TFLGadget(group),style)
Case GADGET_PROGBAR
Return New TFLProgBar.CreateGadget(name,x,y,w,h,TFLGadget(group),style)
Case GADGET_MENUITEM
Return TFLMenu.CreateMenu(name,style,TFLMenu(group))
Case GADGET_CANVAS
Return New TFLCanvas.CreateGadget(name,x,y,w,h,TFLGadget(group),style)
End Select
End Method
Method LoadFont:TGuiFont(name$,size,flags)
Return TFLGUIFont.LoadFont(name,size,flags)
End Method
Method RequestColor( red,green,blue )
Local r:Byte = red, g:Byte = green, b:Byte = blue
If flChooseColor( "Choose Color", Varptr r, Varptr g, Varptr b )
Return $ff000000 | (r Shl 16) | (g Shl 8) | b
EndIf
Return 0
End Method
Method RequestFont:TGuiFont(font:TGuiFont)
If Not fontRequester Then fontRequester = New TFLFontRequest
Return fontRequester.Request(TFLGUIFont(font))
End Method
Method SetPointer(shape)
flSetCursor(shape)
End Method
Method ActiveGadget:TGadget()
PollSystem
Local handle:Int = flGetFocus()
If handle Return TFLWidget(HandleToObject(flGetUser(handle)))
End Method
Method LoadIconStrip:TIconStrip(image:Object)
Return TFLIconStrip.Create(image)
End Method
Method LookupColor( colorindex:Int, pRed:Byte Var, pGreen:Byte Var, pBlue:Byte Var )
Select colorindex
Case GUICOLOR_WINDOWBG
colorindex = FL_BACKGROUND_COLOR
Case GUICOLOR_GADGETBG
colorindex = FL_BACKGROUND2_COLOR
Case GUICOLOR_GADGETFG
colorindex = FL_FOREGROUND_COLOR
Case GUICOLOR_SELECTIONBG
colorindex = FL_SELECTION_COLOR
Default
Return Super.LookupColor( colorindex, pRed, pGreen, pBlue )
EndSelect
Local color = flGetColor( colorindex )
pRed = color Shr 24
pGreen = (color Shr 16) & $FF
pBlue = (color Shr 8) & $FF
Return True
EndMethod
Method LibraryFont:TGuiFont( pFontType% = GUIFONT_SYSTEM, pFontSize:Double = 0, pFontStyle% = FONT_NORMAL )
Local tmpFont:TGuiFont
Local tmpFontAttrib%
?Win32
If pFontSize <= 0 Then pFontSize = 10
?Not Win32
If pFontSize <= 0 Then pFontSize = 12
?
Select pFontType
Case GUIFONT_MONOSPACED
Return LoadFontWithDouble( TFLFontFamily.FriendlyNameFromID(FL_COURIER), pFontSize, pFontStyle )
Case GUIFONT_SANSSERIF
Return LoadFontWithDouble( TFLFontFamily.FriendlyNameFromID(FL_HELVETICA), pFontSize, pFontStyle )
Case GUIFONT_SERIF
Return LoadFontWithDouble( TFLFontFamily.FriendlyNameFromID(FL_TIMES), pFontSize, pFontStyle )
Case GUIFONT_SCRIPT
Return LoadFontWithDouble("Comic Sans MS",pFontSize,pFontStyle)
Default 'GUIFONT_SYSTEM
Return LoadFontWithDouble( TFLFontFamily.FriendlyNameFromID(FL_HELVETICA), pFontSize, pFontStyle )
EndSelect
EndMethod
End Type
Type TFLWidget Extends TGadget
Global initText:Byte Ptr = " ".ToCString()
Field fltype, flhandle, flkey, tag, tooltip:Byte Ptr
Field originx, originy, client:TFLWidget, dirty, objhandle:Int = HandleFromObject(Self)
Method CreateWidget:TFLWidget( fltype, text$, x, y, w, h, group:TFLWidget, alignment = -1, boxtype = -1 )
Self.fltype = fltype
SetRect(x,y,w,h)
parent = group
InitWidget()
If alignment > -1 Then flSetAlign( flhandle, alignment )
If boxtype > -1 Then flSetBox( flhandle, boxtype, False )
If text Then SetText(text)
Return Self
EndMethod
Method WidgetHandle()
?Debug
Assert flhandle, "Attempt to access a NULL widget."
?
Return flhandle
EndMethod
Method InitWidget()
If TFLWidget(parent) Then flBegin( TFLWidget(parent).Query(QUERY_FLWIDGET_CLIENT) )
flhandle = flWidget(AbsoluteX(),AbsoluteY(),width,height,initText,fltype)
flSetCallback(WidgetHandle(),CallbackHandler,objhandle )
If TFLWidget(parent) Then
flEnd( TFLWidget(parent).Query(QUERY_FLWIDGET_CLIENT) )
TFLWidget(parent).AddChild(Self)
Else
LockLayout()
EndIf
If flkey Then flSetButtonKey(WidgetHandle(),flkey)
SetTooltip("")
EndMethod
Method Rethink()
flSetArea(WidgetHandle(),AbsoluteX(),AbsoluteY(),width,height)
End Method
Method Redraw()
If flhandle Then
flRedraw(WidgetHandle())
dirty = False
EndIf
End Method
Method SetText(text$)
Return flSetLabel(WidgetHandle(),text)
End Method
Method GetText$()
Return flGetLabel(WidgetHandle())
End Method
Method SetToolTip(tip$)
If tooltip Then MemFree tooltip
tooltip = tip.ToCString()
flSetToolTip(WidgetHandle(),tooltip)
End Method
Method GetToolTip$()
If tooltip Then Return String.FromCString(tooltip)
EndMethod
Method SetShape(x,y,w,h)
Super.SetShape x,y,w,h
If parent parent.activate ACTIVATE_REDRAW
End Method
Method SetColor( r,g,b )
flSetColor(WidgetHandle(),r,g,b)
End Method
Method RemoveColor()
flRemoveColor(WidgetHandle())
End Method
Method SetTextColor( r,g,b )
flSetLabelColor(WidgetHandle(),r,g,b)
EndMethod
Method SetShow(truefalse)
flSetShow(WidgetHandle(),truefalse)
End Method
Method ClientWidth()
Local w
If client Then w=client.width Else w=width-originx
Return Max(w,0)
End Method
Method ClientHeight()
Local h
If client Then h=client.height Else h=height-originy
Return Max(h,0)
End Method
Method RemoveChild(child:TFLWidget)
kids.remove child
child.parent=Null
If child.flhandle Then
flRemoveFromGroup Query(QUERY_FLWIDGET_CLIENT),child.flhandle
SetDirty()
EndIf
End Method
Method AddChild(child:TFLWidget)
If child.parent TFLWidget(child.parent).RemoveChild(child)
child.parent=Self
kids.addlast(child)
If child.flhandle Then
flAddToGroup Query(QUERY_FLWIDGET_CLIENT),child.flhandle
SetDirty()
EndIf
child.LockLayout
child.Rethink()
End Method
Method SetDirty()
If Not dirty
dirty=True
FLDriver.RefreshWidget Self
EndIf
End Method
Method Free()
'Cleanup any client gadget first.
If client Then
client.CleanUp()
client = Null
EndIf
'Then remove ourselves from our parent
If TFLWidget(parent) Then TFLWidget(parent).RemoveChild(Self)
'And free our widget in the FLTK toolkit.
If flhandle Then
flSetCallBack( WidgetHandle(), CallbackHandler, 0 )
If objhandle Then
Release objhandle
objhandle= 0
EndIf
If fltype=FL_WINDOW Then flDestroyWindow flhandle Else flFreeWidget flhandle
EndIf
'Then any tooltip we may have.
If tooltip Then
MemFree tooltip
tooltip = Null
EndIf
'And clear the widget handle and parent.
flhandle=0
parent = Null
EndMethod
Method CountKids()
Return kids.count()
End Method
Method SetOrigin(x,y)
originx=x
originy=y
End Method
Method AbsoluteX()
Local p:TFLWidget = TFLWidget(parent)
Local x = xpos
While p
x:+p.originx
If p.client And Self <> p.client x:+p.client.xpos+p.client.originx
If p.fltype<>FL_WINDOW x:+p.xpos Else Exit
p=TFLWidget(p.parent)
Wend
Return x
End Method
Method AbsoluteY()
Local p:TFLWidget = TFLWidget(parent)
Local y = ypos
While p
y:+p.originy
If p.client And Self <> p.client y:+p.client.ypos+p.client.originy
If p.fltype<>FL_WINDOW y:+p.ypos Else Exit
p=TFLWidget(p.parent)
Wend
Return y
End Method
Method Query(queryid)
Select queryid
Case QUERY_FLWIDGET
Return flhandle
Case QUERY_FLWIDGET_CLIENT
If client Return client.flhandle Else Return flhandle
End Select
End Method
Method OnCallback()
EndMethod
Method OnMouse:Int()
EndMethod
Method OnKey:Int()
EndMethod
Function CallbackHandler(flwidget,obj:Int) "C" nodebug
Local widget:TFLWidget = TFLWidget(HandleToObject(obj))
If widget Then widget.OnCallback()
EndFunction
Function MouseHandler:Int(flwidget,obj:Int) "C" nodebug
Local widget:TFLWidget = TFLWidget(HandleToObject(obj))
Select flEvent()
Case FL_LEAVE
If TFLGadget.activepanel Then
TFLGadget.activepanel.OnMouseLeave()
TFLGadget.activepanel = Null
EndIf
Case FL_RELEASE
Local tmpButton:Int = flEventButton()
Local tmpX:Int = flEventX(), tmpY:Int = flEventY()
Local tmpDropWidget:TFLWidget = TFLWidget(HandleToObject(flUserData(flWidgetWindow(flwidget))))
If tmpDropWidget Then tmpDropWidget = tmpDropWidget.FindChildAtCoords(tmpX,tmpY)
If tmpDropWidget And tmpDropWidget.fltype <> FL_WINDOW Then
tmpX:-tmpDropWidget.AbsoluteX()
tmpY:-tmpDropWidget.AbsoluteY()
EndIf
If dragGadget[tmpButton-1] Then
PostGuiEvent EVENT_GADGETDROP, tmpDropWidget, tmpButton, flStateToModifiers(flEventState()), tmpX, tmpY, dragGadget[tmpButton-1]
dragGadget[tmpButton-1] = Null
EndIf
EndSelect
If widget Then Return widget.OnMouse()
EndFunction
Function KeyHandler:Int(flwidget,obj:Int) "C" nodebug
Local widget:TFLWidget = TFLWidget(HandleToObject(obj))
If widget Then Return widget.OnKey()
EndFunction
Function XPMFromPixmap:String[](pPixmap:TPixmap)
Const charRange:Int = 26
Local x:Int, y:Int, i:Int, j:Int, tmpColor:Int, chrctsPerPixel:Int, tmpString$
Local width:Int = PixmapWidth(pPixmap), height:Int = PixmapHeight(pPixmap)
Local colormap:Int[][] = New Int[][height], colors:Int[], colorstrings:String[]
For y = 0 Until height
colormap[y] = New Int[width]
For x = 0 Until width
'Read color from pixel
tmpColor = ReadPixel(pPixmap,x,y)
'If less than 50% alpha, set a standard transparent color.
If (tmpColor Shr 24) < $80 Then tmpColor = $00000000
'Find the color if it has been used before.
For i = 0 Until colors.length
If colors[i] = tmpColor Then Exit
Next
'If it hasn't been found, add it to the end of the array.
If i = colors.length Then colors:+[tmpColor]
'And finally, update the colormap with the color index.
colormap[y][x] = i
Next
Next
chrctsPerPixel = (colors.length / charRange) + 1
colorstrings = New String[colors.length]
Local tmpResult:String[] = [width + " " + height + " " + colors.length + " " + chrctsPerPixel]
For i = 0 Until colors.length
Local tmpI:Int = i
For j = 0 Until chrctsPerPixel
colorstrings[i]:+Chr$("a"[0]+(tmpI Mod charRange))
tmpI:/charRange
Next
tmpString = colorstrings[i] + "~tc "
If (colors[i] Shr 24) <> $FF Then tmpString:+"None" Else tmpString:+"#"+_RGBHex(colors[i]&$FFFFFF)
tmpResult:+[tmpString]
Next
For y = 0 Until height
tmpString = ""
For x = 0 Until width
tmpString:+colorstrings[colormap[y][x]]
Next
tmpResult:+[tmpString]
Next
Return tmpResult
EndFunction
Function _RGBHex$( rgb:Int )
Local buf:Short[6]
For Local k:Int=5 To 0 Step -1
Local n:Int=(rgb&15)+Asc("0")
If n>Asc("9") n=n+(Asc("A")-Asc("9")-1)
buf[k]=n
rgb:Shr 4
Next
Return String.FromShorts( buf,buf.length )
End Function
Method FindChildAtCoords:TFLWidget( pX, pY )
If pX > 0 And pX < ClientWidth() And pY > 0 And pY < ClientHeight() Then
For Local tmpChild:TFLWidget = EachIn kids
tmpChild = tmpChild.FindChildAtCoords( pX - tmpChild.xpos, pY - tmpChild.ypos)
If tmpChild Then Return tmpChild
Next
Return Self
EndIf
EndMethod
EndType
Type TFLGadget Extends TFLWidget
Global activepanel:TFLGadget
Global activex, activey, activekey
Field menu:TFLMenu
Field enabled = True, ignore
Field font:TFLGUIFont
Field pixmap:TPixmap, pixmapflags, image, icons:TFLIconStrip
Method CreateGadget:TFLGadget(pText$, pX, pY, pW, pH, pGroup:TFLGadget, pStyle)
style = pStyle
SetRect(pX,pY,pW,pH)
parent = pGroup
InitGadget()
If (LocalizationMode() & LOCALIZATION_OVERRIDE) Then
LocalizeGadget(Self,pText)
Else
SetText(pText)
EndIf
SetFont(TFLTKGUIDriver.fntDefault)
Return Self
EndMethod
Method InitGadget()
EndMethod
Method SetHotKey(keycode,modifier)
Local flkey
flkey=flkeyfromvkey(keycode)
If flkey flkey:+flstatefrommodifiers(modifier)
End Method
Method SetEnabled(truefalse)
enabled=truefalse
flSetActive WidgetHandle(),truefalse
End Method
Method SetFont( font:TGuiFont )
Self.font = TFLGUIFont(font)
flSetLabelFont WidgetHandle(),Self.font.handle
flSetLabelSize WidgetHandle(),Self.font.GetSizeForFL()
SetText(GetText())
Redraw()
End Method
Method SetText(text$)
Super.SetText(text.Replace("@","@@"))
EndMethod
Method GetText$()
Return Super.GetText().Replace("@@","@")
EndMethod
Method State()
Local flags
If Not enabled Then flags:|STATE_DISABLED
If Not flVisible(WidgetHandle()) flags:|STATE_HIDDEN
Return flags
End Method
Method Activate(cmd)
Select cmd
Case ACTIVATE_FOCUS
flSetFocus(WidgetHandle())
PollSystem
Case ACTIVATE_REDRAW
Redraw
End Select
End Method
Method SetPixmap(pPixmap:TPixmap,flags)
Local d
SetFLImage 0
If pixmap And image Then
flFreeImage( image )
image = 0
EndIf
pixmap = Null
If pPixmap Then
Select PixmapFormat(pPixmap)
Case PF_RGB888, PF_BGR888, PF_I8
d = 3
pixmap = ConvertPixmap(pPixmap,PF_RGB888)
Case PF_RGBA8888, PF_BGRA8888, PF_A8
d = 4
pixmap = ConvertPixmap(pPixmap,PF_RGBA8888)
Default
Return
EndSelect
If pixmap Then
image = flImage(pixmap.pixels,pixmap.width,pixmap.height,d,pixmap.pitch)
EndIf
EndIf
pixmapflags = flags
SetFLImage image
End Method
Method SetFLImage(image)
End Method
Method SetIconStrip(iconstrip:TIconStrip)
icons=TFLIconStrip(iconstrip)
End Method
Method ClearListItems()
For Local i=items.length-1 To 0 Step -1
RemoveListItem i
Next
End Method
Method Free()
Super.Free()
pixmap = Null
icons = Null
If image Then flFreeImage(image);image = 0
End Method
Method GetMenu:TFLMenu()
If Not menu Then
menu = New TFLMenu
menu.owner = Self
EndIf
Return menu
End Method
Method OnMouse:Int()
Local x = flEventX()-AbsoluteX(), y = flEventY()-AbsoluteY()
If activepanel And (activepanel<>Self) Then
activepanel.OnMouseLeave()
activepanel = Null
EndIf
If GetSensitivity() & SENSITIZE_MOUSE Then
Select flevent()
Case FL_DRAG, FL_MOVE
Local data, state=flEventState()
If state&FL_BUTTON1 data=MOUSE_LEFT
If state&FL_BUTTON3 data=MOUSE_RIGHT
If state&FL_BUTTON2 data=MOUSE_MIDDLE
If (activepanel <> Self) Then
PostGuiEvent(EVENT_MOUSEENTER,Self,data,0,x,y)
activepanel=Self
Else
If (x <> activex) Or (y <> activey) Then
PostGuiEvent(EVENT_MOUSEMOVE,Self,data,0,x,y)
EndIf
EndIf
activex=x;activey=y
Case FL_PUSH, FL_RELEASE
Local data, button=flEventButton(), id = EVENT_MOUSEDOWN
Select button
Case FL_LEFT_MOUSE data=MOUSE_LEFT
Case FL_RIGHT_MOUSE data=MOUSE_RIGHT
Case FL_MIDDLE_MOUSE data=MOUSE_MIDDLE
EndSelect
If flEvent() = FL_RELEASE Then id = EVENT_MOUSEUP
PostGuiEvent(id,Self,data,0,x,y)
Case FL_MOUSEWHEEL
PostGuiEvent(EVENT_MOUSEWHEEL,Self,flEventdY(),0,x,y)
EndSelect
Return 1
EndIf
EndMethod
Method OnMouseLeave()
If GetSensitivity() & SENSITIZE_MOUSE Then
PostGuiEvent(EVENT_MOUSELEAVE,Self,0,0,activex,activey)
EndIf
EndMethod
Method OnKey:Int()
Local key, mods
If GetSensitivity() & SENSITIZE_KEYS Then
Select flevent()
Case FL_KEYDOWN
key=TFLTKGUIDriver.BlitzKeyFromFlConst(flEventKey())
mods=flstatetomodifiers(flEventState())
If activekey=key
PostGuiEvent(EVENT_KEYREPEAT,Self,key,mods)
Else
PostGuiEvent(EVENT_KEYDOWN,Self,key,mods)
EndIf
activekey=key
Case FL_KEYUP
key=TFLTKGUIDriver.BlitzKeyFromFlConst(flEventKey())
mods=flstatetomodifiers(flEventState())
PostGuiEvent(EVENT_KEYUP,Self,key,mods)
activekey=0
EndSelect
EndIf
EndMethod
'For WINDOW_ACCEPTFILES drag n' drop event.
Global _dragDrop:TFLGadget = Null
Function setDragDrop( pGadget:TFLGadget )
_dragDrop = pGadget
EndFunction
Function getDragDrop:TFLGadget()
Return _dragDrop
EndFunction
EndType
Type TFLDesktop Extends TFLGadget
Method Class()
Return GADGET_DESKTOP
EndMethod
Method InitGadget()
Local x, y, w, h
flDisplayRect( Varptr x, Varptr y, Varptr w, Varptr h )
SetRect( x, y, w, h )
EndMethod
Method CreateDesktop:TFLGadget()
InitGadget()
Return Self
EndMethod
EndType
Type TFLWindow Extends TFLGadget
Const MENU_HEIGHT = 25, STATUSBAR_HEIGHT = 22
Global lastactivewindow:TFLWindow
Field menubar:TFLWidget, statustext:TFLGadget[3]
Method InitGadget()
Local tmpParent:TGadget = parent;parent = Null
fltype = FL_WINDOW
InitWidget()
If Not (style&WINDOW_TITLEBAR) Then flClearBorder(WidgetHandle())
CreateWindowClient()
If tmpParent Then
flSetNonModal(WidgetHandle())
parent = tmpParent
EndIf
Rethink()
If Not (style&WINDOW_HIDDEN) Then SetShow(True) Else SetShow(False)
EndMethod
Method Class()
Return GADGET_WINDOW
EndMethod
Method SetText(text$)
flSetWindowLabel(WidgetHandle(),text)
End Method
Method SetColor(r,g,b)
Super.SetColor(r,g,b)
client.SetColor(r,g,b)
EndMethod
Method Activate(cmd)
Select cmd
Case ACTIVATE_MINIMIZE
flShowWindow WidgetHandle(), 2
Case ACTIVATE_MAXIMIZE
flShowWindow WidgetHandle(), 3
LayoutKids()
Case ACTIVATE_RESTORE
flShowWindow WidgetHandle(), 4
LayoutKids()
Default
Super.Activate(cmd)
End Select
End Method
Method SetShow(truefalse)
flShowWindow(WidgetHandle(),truefalse=True)
End Method
Method SetEnabled(truefalse)
Super.SetEnabled(truefalse)
If client Then flSetActive client.WidgetHandle(),truefalse
If menubar Then flSetActive menubar.WidgetHandle(),truefalse
End Method
Method OnCallback()
Select flevent()
Case FL_CLOSE
PostGuiEvent EVENT_WINDOWCLOSE,Self
Case FL_FOCUS, FL_ACTIVATE
If lastactivewindow <> Self Then
lastactivewindow = Self
PostGuiEvent EVENT_WINDOWACTIVATE,Self
EndIf
'Drag 'n' drop events
Case FL_DND_ENTER
setDragDrop(Self)
Case FL_DND_LEAVE
setDragDrop(Null)
Case FL_PASTE
DropFiles()
setDragDrop(Null)
Default
Local ax,ay,aw,ah,move,size
flGetArea WidgetHandle(),Varptr ax,Varptr ay,Varptr aw,Varptr ah
If (style&WINDOW_CLIENTCOORDS) Then
ax:+originX;ay:+originY
aw:-originX;ah:-originY
If (style&WINDOW_MENU) Then
ay:+MENU_HEIGHT
ah:-MENU_HEIGHT
EndIf
If (style&WINDOW_STATUS) Then ah:-STATUSBAR_HEIGHT
EndIf
If ax<>xpos Or ay<>ypos move=True
If aw<>width Or ah<>height size=True
SetRect ax,ay,aw,ah
If size RethinkWindow()
If move PostGuiEvent(EVENT_WINDOWMOVE,Self,0,0,ax,ay)
If size PostGuiEvent(EVENT_WINDOWSIZE,Self,0,0,aw,ah)
End Select
EndMethod
Method CreateWindowClient()
If style&WINDOW_RESIZABLE Then SetMinimumSize(64,64)
If style&WINDOW_ACCEPTFILES Then flSetAcceptsFiles( WidgetHandle(), True )
If style&WINDOW_MENU
menubar = New TFLWidget.CreateWidget( FL_MENUBAR, "", -2, 0, width+4, MENU_HEIGHT, Self, -1, FL_THIN_UP_BOX )
kids.Remove(menubar)
EndIf
If style&WINDOW_STATUS
statustext[2] = New TFLLabel.CreateGadget("",0,height-STATUSBAR_HEIGHT,width,STATUSBAR_HEIGHT,Self,LABEL_RIGHT)
statustext[1] = New TFLLabel.CreateGadget("",0,height-STATUSBAR_HEIGHT,width,STATUSBAR_HEIGHT,Self,LABEL_CENTER)
statustext[0] = New TFLLabel.CreateGadget("",0,height-STATUSBAR_HEIGHT,width,STATUSBAR_HEIGHT,Self,LABEL_LEFT)
For Local tmpStatusText:TFLGadget = EachIn statustext
If tmpStatusText = statustext[2] Then flSetBox( tmpStatusText.WidgetHandle(), FL_EMBOSSED_BOX, False ) Else flSetBox( tmpStatusText.WidgetHandle(), FL_NO_BOX,False )
flSetAlign( tmpStatusText.WidgetHandle(), flAlign(tmpStatusText.WidgetHandle())&~FL_ALIGN_WRAP );kids.Remove(tmpStatusText)
Next
EndIf
client=New TFLPanel.CreateGadget("",0,0,1,1,Self,0)
RemoveChild(client);client.parent = Self
RethinkWindow()
End Method
Method Rethink()
Local tmpX:Int = AbsoluteX(), tmpY:Int = AbsoluteY(), tmpW:Int = width, tmpH:Int = height
If (style&WINDOW_CLIENTCOORDS) Then
tmpX:-originX;tmpY:-originY
tmpW:+originX;tmpH:+originY
If (style&WINDOW_MENU) Then
tmpY:-MENU_HEIGHT
tmpH:+MENU_HEIGHT
EndIf
If (style&WINDOW_STATUS) Then tmpH:+STATUSBAR_HEIGHT
EndIf
flSetArea(WidgetHandle(),tmpX,tmpY,tmpW,tmpH)
RethinkWindow()
EndMethod
Method RethinkWindow()
Local x,y,w=width,h=height
If menubar Then flSetArea(menubar.WidgetHandle(),-2,0,w+4,MENU_HEIGHT);h:-MENU_HEIGHT;y:+MENU_HEIGHT
If statustext[0] Then
If Not (style&WINDOW_CLIENTCOORDS) Then h:-STATUSBAR_HEIGHT
For Local tmpStatusText:TFLWidget = EachIn statustext;flSetArea(tmpStatusText.WidgetHandle(),0,y+originY+ClientHeight(),w,STATUSBAR_HEIGHT);Next
EndIf
client.SetArea x,y,ClientWidth(),ClientHeight()
LayoutKids()
End Method
Method DoLayout()
'Don't do anything!
EndMethod
Method GetStatusText$()
If statustext[0] Then
Return "~t".Join([statustext[0].GetText(), statustext[1].GetText(), statustext[2].GetText()])
EndIf
EndMethod
Method SetStatusText(text$)
If statustext[0] Then
Local tmpAlignments$[] = text.Split("~t")
If tmpAlignments.length > 3 Then tmpAlignments = [tmpAlignments[0], tmpAlignments[1], "~t".Join(tmpAlignments[2..])]
tmpAlignments = tmpAlignments[..3]
For Local i = 0 Until 3
statustext[i].SetText( tmpAlignments[i] )
Next
EndIf
End Method
Method ClientWidth()
If (style&WINDOW_CLIENTCOORDS) Then Return width Else Return (width-originx)
EndMethod
Method ClientHeight()
Local h:Int = height
If Not(style&WINDOW_CLIENTCOORDS) Then
h:-originY
If menubar Then h:-MENU_HEIGHT
If statustext[0] Then h:-STATUSBAR_HEIGHT
EndIf
Return h
EndMethod
Method SetMaximumSize( w,h )
flSetMaxWindowSize(WidgetHandle(),w,h)
End Method
Method SetMinimumSize( w,h )
flSetMinWindowSize(WidgetHandle(),w,h)
End Method
Method UpdateMenu()
Local count,flmenu Ptr
If Not (menubar And menu) Return
count=menu.count(-1)
flmenu=flCreateMenu(count+2,CallbackHandler)
menu.setflmenu(flmenu)
flSetMenu(menubar.WidgetHandle(),flmenu)
End Method
Method PopupMenu( menu0:TGadget,extra:Object )
Local menu:TFLMenu
Local count,flmenu Ptr
menu=TFLMenu(menu0)
count=menu.count(-1)
flmenu=flCreateMenu(count+2,CallbackHandler)
menu.setflmenu(flmenu)
menu=TFLMenu(HandleToObject(flPopupMenu(flmenu)))
If menu PostGuiEvent(EVENT_MENUACTION,menu,menu.tag,0,0,0,extra)
End Method
Method SetPixmap(pPixmap:TPixmap, pFlags)
?Linux
If (pFlags&GADGETPIXMAP_ICON) Then
'Generate the strings which represent the pixmap in XPM format.
Local tmpXPM$[] = XPMFromPixmap(pPixmap)
'Create a new array of char* pointers to pass to flSetWindowIcon().
'+1 is for terminating Null pointer.
Local tmpStringPointers:Byte Ptr[tmpXPM.length+1]
'Store char* pointers for each section inside the array.
For Local i:Int = 0 Until tmpXPM.length
tmpStringPointers[i] = tmpXPM[i].ToCString()
Next
'And end the array with a Null pointer.
tmpStringPointers[tmpXPM.length] = Null
'Set the window icon.
flSetWindowIcon( WidgetHandle(), tmpStringPointers )
'And then free all the char* pointers before we exit. We only need
'to iterate through tmpXPM.length as tmpStringPointers.length will
'include the terminating Null pointer array element.
For Local i:Int = 0 Until tmpXPM.length
MemFree tmpStringPointers[i]
Next
EndIf
?
EndMethod
Method DropFiles()
For Local tmpUrl$ = EachIn flEventText().Replace("file://","").Replace("~r","").Split("~n")
If tmpUrl[..8].ToLower() = "https://" Then Continue
If tmpUrl[..7].ToLower() = "http://" Then Continue
If tmpUrl[..6].ToLower() = "ftp://" Then Continue
tmpURL = DecodeURL(tmpURL)
If Not tmpURL Then Continue
'Creating and manipulating widgets inside an FL_PASTE event is considered dangerous.
'Therefore we should queue this event so that it's dispatched safely after a call to
'Poll/WaitSystem().
QueueGuiEvent(EVENT_WINDOWACCEPT,Self,0,0,0,0,tmpURL)
Next
End Method
'http://www.blitzbasic.com/codearcs/codearcs.php?code=1581
Function DecodeURL:String(EncStr:String)
Local Pos:Int = 0
Local HexVal:String
Local Result:String
While Pos < EncStr.length
If EncStr[Pos..Pos+1] = "%" Then
HexVal = EncStr[Pos+1..Pos+3]
Result :+ Chr(Int("$"+HexVal))
Pos:+3
ElseIf EncStr[Pos..Pos+1] = "+" Then
Result :+ " "
Pos:+1
Else
Result :+ EncStr[Pos..Pos+1]
Pos:+1
EndIf
Wend
Return Result
End Function
EndType
Type TFLLabel Extends TFLGadget
Method InitGadget()
fltype=FL_BOX
InitWidget()
Local tmpAlignment = FL_ALIGN_WRAP|FL_ALIGN_INSIDE|FL_ALIGN_CLIP
Select style&24
Case LABEL_LEFT tmpAlignment:|FL_ALIGN_LEFT
Case LABEL_CENTER tmpAlignment:|FL_ALIGN_CENTER
Case LABEL_RIGHT tmpAlignment:|FL_ALIGN_RIGHT
EndSelect
flSetAlign WidgetHandle(),tmpAlignment
Local tmpBox
Select style&7
Case 0 tmpBox = FL_NO_BOX
Case LABEL_FRAME tmpBox = FL_BORDER_BOX
Case LABEL_SUNKENFRAME tmpBox = FL_THIN_DOWN_FRAME
Case LABEL_SEPARATOR tmpBox = FL_EMBOSSED_FRAME
End Select
flSetBox WidgetHandle(),tmpBox,False
EndMethod
Method Class()
Return GADGET_LABEL
EndMethod
Method SetColor( r, g, b )
Local tmpBox = FL_FLAT_BOX
Select style&7
Case LABEL_FRAME tmpBox = FL_BORDER_BOX
Case LABEL_SUNKENFRAME tmpBox = FL_THIN_DOWN_BOX
Case LABEL_SEPARATOR tmpBox = FL_EMBOSSED_BOX
End Select
flSetBox WidgetHandle(),tmpBox,False
Super.SetColor( r, g, b )
EndMethod
Method SetText(text$)
Super.SetText(text)
Redraw()
EndMethod
Method SetRect(x,y,w,h)
If style&LABEL_SEPARATOR = LABEL_SEPARATOR Then
If w > h Then h = 2 Else w = 2
EndIf
Super.SetRect(x,y,w,h)
EndMethod
EndType
Type TFLButton Extends TFLGadget
Method InitGadget()
Local tmpAlignment = FL_ALIGN_INSIDE|FL_ALIGN_CLIP|FL_ALIGN_WRAP|FL_ALIGN_CENTER
fltype = FL_BUTTON
Select style&7
Case 0
style = BUTTON_PUSH
Case BUTTON_CHECKBOX
If (style&BUTTON_PUSH) Then
fltype = FL_TOGGLEBUTTON
Else
fltype=FL_CHECKBUTTON
tmpAlignment:&~FL_ALIGN_CENTER
tmpAlignment:|FL_ALIGN_LEFT
EndIf
Case BUTTON_RADIO
If (style&BUTTON_PUSH) Then
fltype = FL_RADIOPUSHBUTTON
Else
fltype=FL_ROUNDBUTTON
tmpAlignment:&~FL_ALIGN_CENTER
tmpAlignment:|FL_ALIGN_LEFT
EndIf
Case BUTTON_OK
fltype=FL_RETURNBUTTON
Case BUTTON_CANCEL
flkey=FL_KEY_ESCAPE
End Select
InitWidget()
flSetAlign WidgetHandle(), tmpAlignment
flSetWhen WidgetHandle(), FL_WHEN_RELEASE
EndMethod
Method Class()
Return GADGET_BUTTON
EndMethod
Method State()
Local flags = Super.State()
If flGetButton(WidgetHandle()) Then flags:|STATE_SELECTED
Return flags
End Method
Field currentText$
Method SetText(text$)
currentText = text
If Not (pixmapflags&GADGETPIXMAP_NOTEXT) Then Super.SetText(text)
EndMethod
Method GetText$()
Return currentText
EndMethod
Method SetFLImage(image)
If Not (pixmapflags&GADGETPIXMAP_NOTEXT) Then Super.SetText(currentText)
If (pixmapflags&GADGETPIXMAP_ICON) And( (Not (style&7)) Or (style&7=BUTTON_CANCEL)) Then
If (pixmapflags&GADGETPIXMAP_NOTEXT) Then Super.SetText("")
flSetImage(WidgetHandle(),image)
EndIf
End Method
Method SetSelected(bool)
If bool And (style&7=BUTTON_RADIO) Then ExcludeOthers()
flSetButton WidgetHandle(),bool
End Method
Method SetHotKey(keycode,modifier)
Super.SetHotKey(keycode,modifier)
flSetButtonKey WidgetHandle(),flkey
EndMethod
Method OnCallback()
If (style&7=BUTTON_RADIO) Then SetButtonState(Self,STATE_SELECTED)
PostGuiEvent(EVENT_GADGETACTION,Self,State())
EndMethod
Method ExcludeOthers()
Local w:TFLWidget
For w=EachIn parent.kids
If w<>Self And (w.fltype=FL_ROUNDBUTTON Or w.fltype=FL_RADIOPUSHBUTTON)
flSetButton w.WidgetHandle(),False
EndIf
Next
End Method
EndType
Type TFLTextField Extends TFLGadget
Method InitGadget()
If (style&TEXTFIELD_PASSWORD) Then fltype=FL_PASSWORD Else fltype=FL_INPUT
InitWidget()
flSetWhen( WidgetHandle(), FL_WHEN_CHANGED|FL_WHEN_RELEASE_ALWAYS)
EndMethod
Method Class()
Return GADGET_TEXTFIELD
EndMethod
Method GetText$()
Return flGetInput(WidgetHandle())
End Method
Method SetText(text$)
flSetInput(WidgetHandle(),text)
End Method
Method SetFont(font:TGuiFont)
Super.SetFont(font)
flSetInputFont WidgetHandle(),Self.font.handle
flSetInputSize WidgetHandle(),Self.font.GetSizeForFL()
EndMethod
Method Activate(cmd)
Select cmd
Case ACTIVATE_FOCUS
Super.Activate(cmd)
flActivateInput(WidgetHandle())
Default
Super.Activate(cmd)
End Select
End Method
Method OnCallback()
If flChanged(WidgetHandle()) Then
PostGuiEvent(EVENT_GADGETACTION,Self)
flClearChanged(WidgetHandle())
EndIf
Select flevent()
Case FL_UNFOCUS
PostGuiEvent(EVENT_GADGETLOSTFOCUS,Self)
End Select
EndMethod
EndType
Type TFLTextArea Extends TFLGadget
Field textr,textg,textb
Field locked,lockedpos,lockedlen
Method InitGadget()
If (style&TEXTAREA_READONLY) Then fltype=FL_TEXTDISPLAY Else fltype=FL_TEXTEDITOR
InitWidget()
flSetBox(WidgetHandle(),FL_THIN_DOWN_BOX,False)
If (style&TEXTAREA_WORDWRAP) Then flSetWrapMode( WidgetHandle(), True, 0 )
flSetTextCallback(WidgetHandle(),EditHandler,objhandle)
EndMethod
Method Class()
Return GADGET_TEXTAREA
EndMethod
Method GetText$()
Return AreaText(0,-1,TEXTAREA_CHARS)
End Method
Method SetText(text$)
ReplaceText(0,-1,text,TEXTAREA_CHARS)
End Method
Method Activate(cmd)
Select cmd
Case ACTIVATE_FOCUS
Super.Activate(cmd)
flActivateText(WidgetHandle())
Case ACTIVATE_CUT
flCutText(WidgetHandle())
Case ACTIVATE_COPY
flCopyText(WidgetHandle())
Case ACTIVATE_PASTE
flPasteText(WidgetHandle())
Default
Super.Activate(cmd)
End Select
End Method
Method SetTabs(tabs)
''''' flSetTextTabs( WidgetHandle(),tabs ) 'FIXME one of these days...
End Method
Method CharAt(line)
Return flLinePos(WidgetHandle(),line)
End Method
Method LineAt(index)
Return flLineCount(WidgetHandle(),index)
End Method
Method CharX(char)
Local x%, y%
flCharPosXY(WidgetHandle(),char,Varptr x,Varptr y)
If x Then Return x Else Return -1
EndMethod
Method CharY(char)
Local x%, y%
flCharPosXY(WidgetHandle(),char,Varptr x,Varptr y)
If y Then Return y Else Return -1
EndMethod
Field intLastCursorPos = -1, intLastCursorLen = -1
Method CheckCursorPos(pEmitEvent% = True,pOverridePos% = -1)
Local tmpCursorPos
If pOverridePos < 0 Then tmpCursorPos = GetCursorPos(TEXTAREA_CHARS) Else tmpCursorPos = pOverridePos
Local tmpCursorLen = GetSelectionLength(TEXTAREA_CHARS)
If intLastCursorPos <> tmpCursorPos Or intLastCursorLen <> tmpCursorLen Then
intLastCursorPos = tmpCursorPos;intLastCursorLen = tmpCursorLen
If pEmitEvent Then PostGuiEvent(EVENT_GADGETSELECT,Self)
EndIf
EndMethod
Method LockText()
locked:+1
If locked=1
lockedpos=GetCursorPos(TEXTAREA_CHARS)
lockedlen=GetSelectionLength(TEXTAREA_CHARS)
SetSelection(0,-1,TEXTAREA_CHARS)
EndIf
End Method
Method UnlockText()
If Not locked Return
If locked=1
SetSelection(lockedpos,lockedlen,TEXTAREA_CHARS)
flRedrawText WidgetHandle(),0,flTextLength(WidgetHandle())
EndIf
locked:-1
End Method
Method flstyle()
Return flGetTextStyleChar(WidgetHandle(),textr,textg,textb,font.handle,font.GetSizeForFL())
End Method
Method SetTextColor( r,g,b )
textr=r;textg=g;textb=b
flSetEditTextColor(WidgetHandle(),r,g,b)
flRedrawText WidgetHandle(),0,flTextLength(WidgetHandle())
End Method
Method SetFont( font:TGuiFont )
Self.font=TFLGUIFont(font)
LockText()
flSetTextSize WidgetHandle(),Self.font.GetSizeForFL()
flSetTextFont WidgetHandle(),Self.font.handle
UnlockText()
End Method
Method AreaText$(pos,count,units)
If units=TEXTAREA_LINES
count=flLinePos(WidgetHandle(),pos+count)
pos=flLinePos(WidgetHandle(),pos)
count:-pos
EndIf
Local tmpTextPtr:Byte Ptr = flGetText(WidgetHandle(),pos,count)
Local tmpText$ = String.FromCString(tmpTextPtr)
flFreePtr(tmpTextPtr)
Return tmpText
End Method
Method AreaLen(units)
Local count=flTextLength(WidgetHandle())
If units=TEXTAREA_LINES count=flLineCount(WidgetHandle(),count)
Return count
End Method
Method AddText(text$)
ignore:+1
Local utf8text:Byte Ptr=text.ToUTF8String()
flAddText(WidgetHandle(),utf8text)
MemFree utf8text
flSelectText WidgetHandle(),flTextLength(WidgetHandle()),0
CheckCursorPos(False)
If Not locked flShowPosition(WidgetHandle())
End Method
Method ReplaceText(pos,count,text$,units)
If units=TEXTAREA_LINES
count=flLinePos(WidgetHandle(),pos+count)
pos=flLinePos(WidgetHandle(),pos)
count:-pos
EndIf
ignore:+1
Local utf8text:Byte Ptr=text.ToUTF8String()
flReplaceText(WidgetHandle(),pos,count,utf8text)
MemFree utf8text
CheckCursorPos(False)
End Method
Method GetCursorPos(units)
Local pos=flgetcursorpos(WidgetHandle())
If units=TEXTAREA_LINES pos=flLineCount(WidgetHandle(),pos)
Return pos
End Method
Method GetSelectionLength(units)
Local n=flgetselectionlen(WidgetHandle())
If units=TEXTAREA_LINES
n=flLineCount(WidgetHandle(),flgetcursorpos(WidgetHandle())+n-1)+1-GetCursorPos(TEXTAREA_LINES)
EndIf
Return n
End Method
Method SetStyle(r,g,b,flags,pos,count,units)
Local style,stext$
LockText()
style=flGetTextStyleChar(WidgetHandle(),r,g,b,font.flfamily.GetFontID(flags),font.GetSizeForFL())
If Not style Then RuntimeError "SetStyle failed"
If units=TEXTAREA_LINES
count=flLinePos(WidgetHandle(),pos+count)
pos=flLinePos(WidgetHandle(),pos)
count:-pos
EndIf
If count<0 count=flTextLength(WidgetHandle())-pos
If count<=0 Return
stext=rept$(style,count)
flReplaceTextStyle WidgetHandle(),pos,count,stext
UnlockText()
End Method
Method SetSelection(pos,count,units)
If units=TEXTAREA_LINES
count=flLinePos(WidgetHandle(),pos+count)
pos=flLinePos(WidgetHandle(),pos)
count:-pos
If count<0 count=0
EndIf
If count<0 count=flTextLength(WidgetHandle())-pos
If count<0 Return
intLastCursorPos = pos;intLastCursorLen = count
flSelectText WidgetHandle(),pos,count
CheckCursorPos(False)
If Not locked flShowPosition(WidgetHandle())
EndMethod
Method Free()
Local textbuff:Byte Ptr = Byte Ptr(flFreeTextDisplay( WidgetHandle() ))
Super.Free()
If textbuff Then FLDriver.QueueFLDelete(textbuff)
EndMethod
Method OnCallback()
Local x = fleventx()-AbsoluteX(), y = fleventy()-AbsoluteY()
Select flevent()
Case FL_PUSH
If flEventButton()=FL_LEFT_MOUSE Or Not GetSelectionLength(TEXTAREA_CHARS) Then CheckCursorPos() 'EVENT_GADGETSELECT if cursor moved...
Case FL_RELEASE
CheckCursorPos() 'EVENT_GADGETSELECT if cursor moved...
If flEventButton()=FL_RIGHT_MOUSE PostGuiEvent(EVENT_GADGETMENU,Self,0,0,x,y) 'menu button
Case FL_KEYDOWN
If Not (style&TEXTAREA_READONLY) And flChanged(WidgetHandle()) Then
PostGuiEvent(EVENT_GADGETACTION,Self)
EndIf
CheckCursorPos()
Case FL_UNFOCUS
PostGuiEvent(EVENT_GADGETLOSTFOCUS,Self)
End Select
EndMethod
Function EditHandler(pos,inserted,deleted,restyled,ctext:Byte Ptr,obj:Int) "C"
Local text$=String.FromCString(ctext)
Local textarea:TFLTextArea = TFLTextArea(HandleToObject(obj))
If textarea Then
If deleted
flDeleteTextStyle textarea.WidgetHandle(),pos,pos+deleted
EndIf
If inserted
flInsertTextStyle textarea.WidgetHandle(),pos,rept$(textarea.flstyle(),inserted)
EndIf
If textarea.ignore Then textarea.ignore:-1;Return
If (inserted Or deleted)
textarea.CheckCursorPos(True,pos+inserted)
PostGuiEvent(EVENT_GADGETACTION,textarea)
EndIf
EndIf
End Function
Function Rept$(c,n)
Local b:Byte[n]
memset_ b,c,n
Return String.FromBytes(b,n)
End Function
EndType
Type TFLListBox Extends TFLGadget
Field Current = -1
Method InitGadget()
If (style&LISTBOX_MULTISELECT) Then fltype=FL_MULTIBROWSER Else fltype=FL_BROWSER
InitWidget()
EndMethod
Method Class()
Return GADGET_LISTBOX
EndMethod
Method SetFont(font:TGuiFont)
Self.font = TFLGUIFont(font)
flSetBrowserTextFont WidgetHandle(),Self.font.handle
flSetBrowserTextSize WidgetHandle(),Self.font.GetSizeForFL()
Local tmpItem:TGadgetItem
For Local i% = 0 Until items.length
tmpItem = TGadgetItem(items[i])
SetListItem(i,tmpItem.text,tmpItem.tip,tmpItem.icon,tmpItem.extra)
Next
EndMethod
Method InsertListItem(index,text$,tip$,icon,extra:Object)
If icons Then icon = icons.GetFLImage(icon) Else icon = 0
flInsertBrowser(WidgetHandle(),index+1,BrowserFormatString()+text,extra,icon)
End Method
Method SetListItem(index,text$,tip$,icon,extra:Object)
If icons Then icon = icons.GetFLImage(icon) Else icon = 0
flSetBrowserItem(WidgetHandle(),index+1,BrowserFormatString()+text,extra,icon)
End Method
Method RemoveListItem(index)
flRemoveBrowserItem(WidgetHandle(),index+1)
End Method
Method SetListItemState(item,state)
If Not(style&LISTBOX_MULTISELECT) Then
If state&STATE_SELECTED
Current=item
flSelectBrowser(WidgetHandle(),item+1)
Else
If Current=item Current=-1
flSelectBrowser(WidgetHandle(),0)
EndIf
Else
flMultiBrowserSelect(WidgetHandle(),item+1,(state&STATE_SELECTED<>0))
SelectionChanged()
EndIf
End Method
Method ListItemState(index)
Local state
If Not(style&LISTBOX_MULTISELECT) Then
If flBrowserValue(WidgetHandle())-1=index state:|STATE_SELECTED
Else
If flMultiBrowserSelected(WidgetHandle(),index+1) state:|STATE_SELECTED
EndIf
Return state
End Method
Method OnCallback()
Local x = fleventx()-AbsoluteX(), y = fleventy()-AbsoluteY()
Local extra:Object, i
If style&LISTBOX_MULTISELECT <> LISTBOX_MULTISELECT Then
i=SelectedItem()
If i>-1 extra=ItemExtra(i)
If i<>Current
PostGuiEvent(EVENT_GADGETSELECT,Self,i,0,0,0,extra)
Current=i
EndIf
If flEventButton()=FL_RIGHT_MOUSE
PostGuiEvent(EVENT_GADGETMENU,Self,i,0,x,y,extra)
ElseIf flEventButton()=FL_LEFT_MOUSE And flEventClicks() Mod 2
If i>-1 Then
PostGuiEvent(EVENT_GADGETACTION,Self,i,0,0,0,extra)
EndIf
EndIf
Else
i = SelectionChanged()
If i > -1 Then
extra = ItemExtra(i)
PostGuiEvent(EVENT_GADGETSELECT,Self,i,0,0,0,extra)
ElseIf flEventButton()=FL_LEFT_MOUSE And flEventClicks() Mod 2 Then
i = flBrowserValue(WidgetHandle())-1
If i > -1 Then PostGuiEvent(EVENT_GADGETACTION,Self,i,0,0,0,ItemExtra(i))
EndIf
If flEventButton()=FL_RIGHT_MOUSE Then
i = flBrowserValue(WidgetHandle())-1;extra = Null
If i > -1 Then extra = ItemExtra(i)
PostGuiEvent(EVENT_GADGETMENU,Self,i,0,x,y,extra)
EndIf
EndIf
EndMethod
Method BrowserFormatString$()
Local tmpResult$
Select fltype
Case FL_BROWSER, FL_MULTIBROWSER
If font.style&FONT_UNDERLINE Then tmpResult:+"@u"
If font.style&FONT_STRIKETHROUGH Then tmpResult:+"@-"
tmpResult:+"@."
EndSelect
Return tmpResult
EndMethod
EndType
Type TFLComboBox Extends TFLGadget
Field _lastchoice = -1
Method InitGadget()
If (style&COMBOBOX_EDITABLE) Then fltype=FL_INPUTCHOICE Else fltype=FL_CHOICE
InitWidget()
EndMethod
Method Class()
Return GADGET_COMBOBOX
EndMethod
Method GetText$()
If (style&COMBOBOX_EDITABLE) Then
Return flGetInput(flGetInputChoiceTextWidget(WidgetHandle()))
Else
If _lastchoice > -1 Then Return GadgetItemText(Self,_lastchoice)
EndIf
EndMethod
Method SetText(text$)
If style&COMBOBOX_EDITABLE Then flSetInput(flGetInputChoiceTextWidget(WidgetHandle()),text)
EndMethod
Method InsertListItem(index,text$,tip$,icon,extra:Object)
Local m:TFLMenu = New TFLMenu
GetMenu()
menu.owner=Self
m.text=text
menu.addmenu m
Local count,flmenu Ptr
count=menu.count(-1)
flmenu=flCreateMenu(count+2,CallbackHandler)
menu.setflmenu(flmenu)
If style&COMBOBOX_EDITABLE Then flSetMenu(flGetInputChoiceMenuWidget(WidgetHandle()),flmenu) Else flSetMenu(WidgetHandle(),flmenu)
End Method
Method SetListItem(index,text$,tip$,icon,extra:Object)
'Save current selection
Local selection = SelectedGadgetItem(Self)
GetMenu()
'Update item
Local m:TFLMenu = menu.mkids[index]
m.text=text
'Create a new menu
Local count,flmenu Ptr
count=menu.count(-1)
flmenu=flCreateMenu(count+2,CallbackHandler)
menu.setflmenu(flmenu)
'Apply new menu
If style&COMBOBOX_EDITABLE Then flSetMenu(flGetInputChoiceMenuWidget(WidgetHandle()),flmenu) Else flSetMenu(WidgetHandle(),flmenu)
'Restore selection
If selection > -1 Then SelectGadgetItem(Self, selection)
End Method
Method RemoveListItem(index)
Local m:TFLMenu
GetMenu()
menu.owner=Self
menu.removemenu index
Local count,flmenu Ptr
count=menu.count(-1)
flmenu=flCreateMenu(count+2,CallbackHandler)
menu.setflmenu(flmenu)
If style&COMBOBOX_EDITABLE Then flSetMenu(flGetInputChoiceMenuWidget(WidgetHandle()),flmenu) Else flSetMenu(WidgetHandle(),flmenu)
End Method
Method SetListItemState(item,state)
If Not(style&COMBOBOX_EDITABLE) Then
If state&STATE_SELECTED Then flSetChoice(WidgetHandle(),item)
Else
If state&STATE_SELECTED Then flSetInputChoice(WidgetHandle(),item)
EndIf
End Method
Method ListItemState(index)
Local state
If Not(Style&COMBOBOX_EDITABLE) Then
If flGetChoice(WidgetHandle())=index state:|STATE_SELECTED
Else
If _lastchoice=index state:|STATE_SELECTED
EndIf
Return state
End Method
Method OnCallback()
Select flevent()
Case FL_KEYDOWN
Local text$ = fleventtext()
If text Then
_lastchoice = -1
PostGuiEvent(EVENT_GADGETACTION,Self,_lastchoice)
EndIf
Case FL_UNFOCUS
PostGuiEvent(EVENT_GADGETLOSTFOCUS,Self)
End Select
EndMethod
EndType
Type TFLTabber Extends TFLGadget
Const TABBODY_SPACING = 5
Field tabpanels:TFLWidget[]
Field selectedtab = -1
'WARNING: TFLTabber is in a very fragile state in order to acquire the correct positioning
'of gadgets.
Method InitGadget()
fltype = FL_TABS
InitWidget()
SetOrigin(1,20+TABBODY_SPACING)
client=New TFLPanel.CreateGadget("",0,0,ClientWidth(),ClientHeight(),Self,0)
client.SetLayout EDGE_ALIGNED,EDGE_ALIGNED,EDGE_ALIGNED,EDGE_ALIGNED
RemoveChild(client)
EndMethod
Method Class()
Return GADGET_TABBER
EndMethod
Method ClientWidth()
Return Max(width-originx,0)
EndMethod
Method ClientHeight()
Return Max(height-originy,0)
EndMethod
Method OnCallback()
Local selhandle=flGetTabPanel(WidgetHandle())
Local eventhandle=flGetTabPanelForEvent(WidgetHandle())
Local x = fleventx()-AbsoluteX(), y = fleventy()-AbsoluteY()
For Local panel:TFLWidget=EachIn tabpanels
If panel.WidgetHandle()=selhandle Then
If panel.tag<>selectedtab
SetListItemState panel.tag, STATE_SELECTED
PostGuiEvent(EVENT_GADGETACTION,Self,panel.tag,0,0,0,ItemExtra(panel.tag))
EndIf
EndIf
If panel.WidgetHandle()=eventhandle
Select flevent()
Case FL_PUSH
If flEventButton() = FL_RIGHT_MOUSE Then
PostGuiEvent(EVENT_GADGETMENU,Self,panel.tag,0,x,y,ItemExtra(panel.tag))
EndIf
EndSelect
EndIf
Next
EndMethod
Method InsertListItem(index,text$,tip$,icon,extra:Object)
Local panel:TFLWidget,x,y,w,h,client:TFLWidget = Self.client
'Make sure that we attach the tab panel to the tabber (not the client).
Self.client = Null
panel=TFLWidget(New TFLWidget.CreateWidget(FL_GROUP,text,0,-TABBODY_SPACING,ClientWidth(),ClientHeight()+TABBODY_SPACING,Self,-1,FL_NO_BOX))
'Make sure tab panels are resized first by LayoutKids().
'If we don't do this, and children are added before tab panels,
'the children don't may not drawn correctly (if at all).
kids.Remove(panel);kids.AddFirst(panel)
'After creating the tab panel, we can restore the client area for user gadgets.
Self.client = client
'Set the tabpanel options
If tooltip Then panel.SetTooltip tip$
panel.SetOrigin(0,TABBODY_SPACING)
panel.SetLayout EDGE_ALIGNED,EDGE_ALIGNED,EDGE_ALIGNED,EDGE_ALIGNED
panel.tag=index
'Position the tab panel in the indexed array
tabpanels = tabpanels[..index]+[panel]+tabpanels[index..]
For Local i% = index+1 Until tabpanels.length
tabpanels[i].tag:+1
Next
'And update the selected tab if necessary
If selectedtab < 0 Then selectedtab = index ElseIf index < selectedtab Then selectedtab:+1
Local tmpSelection% = selectedtab
'Select new tab so that is correctly handled by Fl_Tabs
selectedtab = -1;SetListItemState index,STATE_SELECTED
'And then restore selection to the previous tab
SetListItemState tmpSelection,STATE_SELECTED
End Method
Method SetListItemState(index,state)
If state&STATE_SELECTED Then
Local indextab:TFLWidget = tabpanels[index]
If selectedtab<>index
selectedtab=index
indextab.AddChild client
client.SetShape( 0, 0, ClientWidth(), ClientHeight() )
LayoutKids()
flSelectTab WidgetHandle(),indextab.WidgetHandle()
EndIf
EndIf
End Method
Method ListItemState(index)
Local tmpState
If index = selectedtab Then tmpState:|STATE_SELECTED
Return tmpState
End Method
Method SetListItem(index,text$,tip$,icon,extra:Object)
Local indextab:TFLWidget = tabpanels[index]
If indextab Then
indextab.SetText(text)
indextab.SetTooltip(tip)
'If icon>0 Then
' indextab.SetPixmap(PixmapFromIconStrip(icons,icon),GADGETPIXMAP_ICON)
'Else
' indextab.SetPixmap(Null,GADGETPIXMAP_ICON)
'EndIf
SetDirty()
EndIf
End Method
Method RemoveListItem(index)
Local indextab:TFLWidget
Local panels:TFLWidget[]
Local i
indextab=tabpanels[index]
If Not indextab Then Return
If index = selectedtab Then indextab.RemoveChild client
' free widget
indextab.free()
' remove from array
panels=tabpanels
tabpanels=tabpanels[..tabpanels.length-1]
For i=index Until tabpanels.length
tabpanels[i]=panels[i+1]
tabpanels[i].tag=i
Next
index=Min(index,tabpanels.length-1)
If index<>-1 SetListItemState index, STATE_SELECTED
If parent RedrawGadget parent 'parent.Redraw'SetDirty
End Method
EndType
Type TFLTreeview Extends TFLGadget
Field root:TFLNode
Method InitGadget()
fltype = FLU_TREEBROWSER
InitWidget()
EndMethod
Method Class()
Return GADGET_TREEVIEW
EndMethod
Method RootNode:TFLNode()
If Not root
root=New TFLNode
root.owner=Self
root.nodehandle = fluRootNode(WidgetHandle())
kids.AddLast root
EndIf
Return root
End Method
Method SelectNode(node:TFLNode)
fluSelectNode(node.nodehandle)
End Method
Method SelectedNode:TGadget()
Local tmpNodeHandle:Int = fluSelectedNode(WidgetHandle(),1) 'Base 1 for some reason
If tmpNodeHandle Then
Local tmpNode:TFLNode = TFLNode.FromHandle(tmpNodeHandle)
If tmpNode <> RootNode() Then Return tmpNode
EndIf
End Method
Field _lastButtonPressed:Int
Method OnMouse:Int()
Local tmpButton:Int = flEventButton()
Select flEvent()
Case FL_PUSH
_lastButtonPressed = tmpButton
If tmpButton = FL_RIGHT_MOUSE Then
PostGuiEvent EVENT_GADGETMENU, Self, tmpButton-1, flStateToModifiers(flEventState()), flEventX()-AbsoluteX(), flEventY()-AbsoluteY(), SelectedNode()
EndIf
Case FL_DRAG
If (style&TREEVIEW_DRAGNDROP) And Not dragGadget[_lastButtonPressed-1] Then
dragGadget[_lastButtonPressed-1] = SelectedNode()
PostGuiEvent EVENT_GADGETDRAG, Self, _lastButtonPressed, flStateToModifiers(flEventState()), flEventX()-AbsoluteX(), flEventY()-AbsoluteY(), dragGadget[_lastButtonPressed-1]
EndIf
EndSelect
Super.OnMouse()
EndMethod
Method OnCallback()
Local intReason% = fluCallbackReason( WidgetHandle() )
Local tmpNode:TFLNode = TFLNode.FromHandle(fluCallbackNode( WidgetHandle() ))
Select intReason
Case FLU_OPENED;PostGuiEvent EVENT_GADGETOPEN, Self, 0, 0, 0, 0, tmpNode
Case FLU_CLOSED;PostGuiEvent EVENT_GADGETCLOSE, Self, 0, 0, 0, 0, tmpNode
Case FLU_SELECTED, FLU_DOUBLE_CLICK
If intReason = FLU_SELECTED Then
PostGuiEvent EVENT_GADGETSELECT, Self, 0, 0, 0, 0, tmpNode
Else
PostGuiEvent EVENT_GADGETACTION, Self, 0, 0, 0, 0, tmpNode
EndIf
EndSelect
EndMethod
EndType
Type TFLNode Extends TFLWidget
Field owner:TFLTreeview, nodehandle%
Field text$, icon = -1, textmem:Byte Ptr
Method Delete()
Free()
End Method
Method GetText$()
Return text
End Method
Method SetText(newtext$)
Local tmpIcon
If textmem Then MemFree textmem;textmem = Null
text = newtext
textmem = text.ToCString()
If icon >= 0 And owner.icons Then tmpIcon = owner.icons.images[icon] Else tmpIcon = 0
If nodehandle Then fluSetNode( nodehandle, textmem, tmpicon )
End Method
Method InsertNode:TGadget(pos,text$,icon)
Local n:TFLNode, l:TLink
If pos >= 0 And pos < kids.count()
n=TFLNode(kids.ValueAtIndex(pos))
l=kids.FindLink(n)
EndIf
n=New TFLNode
n.parent=Self
n.owner=owner
n.icon=icon
If l Then
n.nodehandle = fluInsertNode( nodehandle, pos, inittext )
fluSetNodeUserData( n.nodehandle, n.objhandle )
kids.InsertBeforeLink n,l
Else
n.nodehandle = fluAddNode( nodehandle, inittext )
fluSetNodeUserData( n.nodehandle, n.objhandle )
kids.AddLast n
EndIf
If LocalizationMode() & LOCALIZATION_OVERRIDE Then
LocalizeGadget(n,text)
Else
n.SetText(text)
EndIf
Return n
End Method
Method Free()
If Not nodehandle Then Return 'Make sure we don't free twice
Super.Free()
fluSetNodeUserData( nodehandle, 0 )
fluRemoveNode( owner.WidgetHandle(), nodehandle )
If textmem Then MemFree textmem;textmem = Null
If owner And owner.root <> Self Then 'If not TreeViewRoot()
owner = Null;nodehandle = 0
EndIf
End Method
Method ModifyNode(text$,icon)
Self.icon = icon;SetText(text)
End Method
Method Activate(cmd)
Select cmd
Case ACTIVATE_SELECT
Local tmpParent:TFLNode = TFLNode(parent)
While tmpParent
tmpParent.Activate(ACTIVATE_EXPAND)
tmpParent = TFLNode(tmpParent.parent)
Wend
fluSelectNode( nodehandle )
Case ACTIVATE_EXPAND
fluExpandNode( nodehandle, False )
Case ACTIVATE_COLLAPSE
fluExpandNode( nodehandle, True )
End Select
End Method
Method Class()
Return GADGET_NODE
EndMethod
Function FromHandle:TFLNode(nodehandle)
If nodehandle Then Return TFLNode(HandleToObject(fluNodeUserData( nodehandle )))
EndFunction
Method SetTooltip(tooltip$)
'Do nothing - nodes don't support tooltips (yet).
EndMethod
End Type
Type TFLSlider Extends TFLGadget
'Spinner controls
Field minimum = 1, maximum = 10
Field spinval = minimum
Field up:TFLWidget, down:TFLWidget
Method InitGadget()
If (style&SLIDER_TRACKBAR) = SLIDER_TRACKBAR Then
fltype = FL_SLIDER
ElseIf (style&SLIDER_STEPPER) = SLIDER_STEPPER Then
fltype = FL_GROUP
Else
fltype = FL_SCROLLBAR
EndIf
InitWidget()
If (style&SLIDER_STEPPER) = SLIDER_STEPPER Then
If (style&SLIDER_HORIZONTAL)
up = New TFLSpinButton.CreateWidget(FL_REPEATBUTTON,"@#>",width/2,0,width/2,height,Self)
SetGadgetLayout up,EDGE_RELATIVE,EDGE_ALIGNED,EDGE_ALIGNED,EDGE_ALIGNED
down = New TFLSpinButton.CreateWidget(FL_REPEATBUTTON,"@#<",0,0,width/2,height,Self)
SetGadgetLayout down,EDGE_ALIGNED,EDGE_RELATIVE,EDGE_ALIGNED,EDGE_ALIGNED
Else
up = New TFLSpinButton.CreateWidget(FL_REPEATBUTTON,"@#2<",0,0,width,height/2,Self)
SetGadgetLayout up,EDGE_ALIGNED,EDGE_ALIGNED,EDGE_ALIGNED,EDGE_RELATIVE
down = New TFLSpinButton.CreateWidget(FL_REPEATBUTTON,"@#2>",0,height/2,width,height/2,Self)
SetGadgetLayout down,EDGE_ALIGNED,EDGE_ALIGNED,EDGE_RELATIVE,EDGE_ALIGNED
EndIf
Else
If (style&SLIDER_HORIZONTAL) Then flSetSliderType WidgetHandle(),FL_HOR_SLIDER
EndIf
EndMethod
Method Class()
Return GADGET_SLIDER
EndMethod
Method SetRange(small,big)
If (style&SLIDER_STEPPER) = SLIDER_STEPPER Then
minimum = small
maximum = big
SetProp(spinval)
ElseIf fltype=FL_SCROLLBAR Then
flSetScrollbarValue WidgetHandle(), GetProp(), small, 0, big
Else
flSetSliderRange WidgetHandle(),small,big
EndIf
End Method
Method SetProp(value)
If (style&SLIDER_STEPPER) = SLIDER_STEPPER Then
spinval = Min( Max( value, minimum ), maximum )
Else
flSetSliderValue WidgetHandle(),value
EndIf
End Method
Method GetProp()
If (style&SLIDER_STEPPER) = SLIDER_STEPPER Then
Return spinval
Else
Return flSliderValue(WidgetHandle())
EndIf
End Method
Method OnSpin(pSource:TFLWidget)
Local tmpNewVal = spinval
Select pSource
Case up;tmpNewVal:+1
Case down;tmpNewVal:-1
EndSelect
tmpNewVal = Min( Max( tmpNewVal, minimum ), maximum )
If tmpNewVal <> spinval Then
spinval = tmpNewVal
OnCallback()
EndIf
EndMethod
Method OnCallback()
PostGuiEvent(EVENT_GADGETACTION,Self,GetProp())
EndMethod
EndType
Type TFLSpinButton Extends TFLWidget
Method Class()
Return GADGET_SLIDER
EndMethod
Method OnCallback()
TFLSlider(parent).OnSpin(Self)
EndMethod
Const SYMBOL_WIDTH% = 13, SYMBOL_HEIGHT = 7
Method Rethink()
'Attempts to resizes arrow heads in line with dimensions
Local scaletxt$, text$ = GetText()[2..]
'Strip current size info from arrow label string
If text[..1] = "+" Or text[..1] = "-" Then text = text[2..]
'Calculate the most suitable scaling
Local scale# = Min( width, height )
scale:/ Max( SYMBOL_WIDTH, SYMBOL_HEIGHT )
'Sort out the sign of the scaling
If Int(scale) < 1 Then
scale = 1/scale
scaletxt = "-"
ElseIf Int(scale) > 1 Then
scale:-1
scaletxt = "+"
Else
scale = 0
EndIf
'And clamp the value to a single digit
scale = Min(scale,9)
'Then, if we need to scale, lets set the label accordingly
If Int(scale) >= 1 Then text = scaletxt + Int(scale) + text
SetText( "@#"+text )
Super.Rethink()
EndMethod
EndType
Type TFLProgBar Extends TFLGadget
Method InitGadget()
fltype=FL_PROGBAR
InitWidget()
EndMethod
Method Class()
Return GADGET_PROGBAR
EndMethod
Method SetValue(value#)
flSetProgress WidgetHandle(),value
parent.activate ACTIVATE_REDRAW
End Method
EndType
Type TFLPanel Extends TFLGadget
'TFLCanvas, TFLToolbar and TFLToolbarItem extend TFLPanel
Method InitGadget()
fltype = FL_PANEL
InitWidget()
Select style&(PANEL_GROUP|PANEL_SUNKEN|PANEL_RAISED)
Case 0;flSetBox WidgetHandle(), FL_NO_BOX, False
Case PANEL_SUNKEN;flSetBox WidgetHandle(), FL_DOWN_FRAME, False
Case PANEL_RAISED;flSetBox WidgetHandle(), FL_UP_FRAME, False
Default;SetOrigin(4,18)
EndSelect
If (style&PANEL_ACTIVE) Then SetSensitivity(SENSITIZE_ALL)
EndMethod
Method Class()
Return GADGET_PANEL
EndMethod
Method SetEnabled(truefalse)
Super.SetEnabled(truefalse)
flSetPanelEnabled WidgetHandle(),truefalse
EndMethod
Method Rethink()
SetFLImage(image)
Super.Rethink()
EndMethod
Method SetFLImage(image)
Self.image = image
flSetPanelImage(WidgetHandle(),image,pixmapflags)
End Method
Method SetColor( r,g,b )
flSetPanelColor(WidgetHandle(),r,g,b)
Redraw()
End Method
Method SetSensitivity(pSensitivity%)
Super.SetSensitivity(pSensitivity)
flSetPanelActive WidgetHandle(), (GetSensitivity()<>0)
EndMethod
Method OnMouse()
Select flevent()
Case FL_PUSH
flSetFocus(Query(QUERY_FLWIDGET_CLIENT))
EndSelect
Super.OnMouse()
EndMethod
EndType
Type TFLCanvas Extends TFLPanel
Field driver$
Field canvas:TGraphics
Field canvasflags
Method InitGadget()
fltype=FL_CANVAS
InitWidget()
flSetCanvasMode WidgetHandle(),DefaultGraphicsFlags()
SetSensitivity(SENSITIZE_ALL)
SetShow(True)
EndMethod
Method Class()
Return GADGET_CANVAS
EndMethod
Method SetText(text$)
driver=text
EndMethod
Method GetText$()
Return driver
EndMethod
Method AttachGraphics:TGraphics( flags )
canvasflags=flags
?MacOS
canvas=brl.Graphics.AttachGraphics( NSContentView(flCanvasWindow(WidgetHandle())),flags )
?Not MacOS
canvas=brl.Graphics.AttachGraphics( flCanvasWindow(WidgetHandle()),flags )
?
End Method
Method CanvasGraphics:TGraphics()
Return canvas
End Method
Method SetShow(truefalse)
flSetShow(WidgetHandle(),truefalse)
End Method
Method Free()
Super.Free()
If canvas
canvas.Close()
canvas=Null
EndIf
EndMethod
Method SetFLImage(image)
EndMethod
Method SetColor(r,g,b)
EndMethod
Method OnCallback()
Select flevent()
Case FL_ACTIVATE
?MacOS
NSUpdateCanvas(flCanvasWindow(WidgetHandle()))
?
PostGuiEvent EVENT_GADGETPAINT,Self
End Select
Super.OnCallback()
EndMethod
End Type
Type TFLToolbar Extends TFLGadget
Method InitGadget()
Local tmpClient:TFLWidget = TFLWidget(parent).client
TFLWidget(parent).client = Null
fltype=FL_TOOLBAR
InitWidget()
TFLWidget(parent).client = tmpClient
flSetBox(WidgetHandle(),FL_NO_BOX,False)
LayoutToolbar()
SetLayout(EDGE_ALIGNED,EDGE_CENTERED,EDGE_ALIGNED,EDGE_CENTERED)
EndMethod
Method Class()
Return GADGET_TOOLBAR
EndMethod
Method AbsoluteX()
Local tmpValue:Int = Super.AbsoluteX()
If TFLWidget(parent) Then tmpValue:-TFLWidget(parent).originx
Return tmpValue
End Method
Method AbsoluteY()
Local tmpValue:Int = Super.AbsoluteY()
If TFLWidget(parent) Then tmpValue:-TFLWidget(parent).originy
Return tmpValue
End Method
Method SetShow(truefalse)
If truefalse ~ ((State() & STATE_HIDDEN) = 0) Then
Super.SetShow(truefalse)
LayoutToolbar()
EndIf
EndMethod
Method SetLayout(Left,Right,top,bottom)
Super.SetLayout(EDGE_ALIGNED,EDGE_CENTERED,EDGE_ALIGNED,EDGE_CENTERED)
EndMethod
' toolbar specific
Method SetListItemState(index,state)
Local item:TFLToolbarItem = ToolPanel(index)
If item Then
If state&STATE_SELECTED Then item.toggled = True Else item.toggled = False
item.SetEnabled( Not (state&STATE_DISABLED) )
item.Redraw()
EndIf
End Method
Method ListItemState(index)
Local state, item:TFLToolbarItem = ToolPanel(index)
If item
If item.State() & STATE_DISABLED Then state:|STATE_DISABLED
If item.toggled Then state:|STATE_SELECTED
EndIf
Return state
End Method
Method InsertListItem(index,text$,tip$,icon,extra:Object)
' bump button panel indexes
For Local item:TFLToolbarItem = EachIn kids
If item.tag>=index item.tag:+1
Next
SetListItem index,text,tip,icon,extra
End Method
Method RemoveListItem(index)
Local item:TFLToolbarItem = ToolPanel(index)
If item Then
item.CleanUp()
For Local tmpToolPanel:TFLToolbarItem = EachIn kids
If tmpToolPanel.tag > index Then tmpToolPanel.tag:-1
Next
LayoutToolBar()
EndIf
EndMethod
Method GetBestHeight()
If icons Then Return icons.pixmap.height+6
EndMethod
Method SetListItem(index,text$,tip$,icon,extra:Object)
Local image, item:TFLToolbarItem = ToolPanel(index)
If icons Then image = icons.GetFLImage(icon)
If Not item Then
item=TFLToolbarItem(New TFLToolbarItem.CreateGadget("",0,0,TFLToolbarItem.DIVIDER_WIDTH,GetBestHeight(),Self,PANEL_ACTIVE))
item.SetLayout EDGE_ALIGNED,EDGE_CENTERED,EDGE_ALIGNED,EDGE_CENTERED
item.tag=index
EndIf
item.SetFLImage image
item.SetToolTip tip
If image Then item.MakeIcon() Else item.MakeDivider()
LayoutToolBar()
End Method
Method ToolPanel:TFLToolbarItem(index)
For Local panel:TFLToolbarItem=EachIn kids
If panel.tag=index Return panel
Next
End Method
Method SetIconStrip( iconstrip:TIconStrip )
Super.SetIconStrip(iconstrip)
For Local i:Int = 0 Until items.length
ModifyGadgetItem( Self, i, items[i].text, items[i].flags, items[i].icon, items[i].tip, items[i].extra )
Next
LayoutToolbar()
EndMethod
Method SetShape(x,y,w,h)
LayoutToolbar()
EndMethod
Method Free()
SetShow(False)
Super.Free()
EndMethod
Method LayoutToolBar()
Local item:TGadgetItem
Local x = 4, y= 4, w, h = GetBestHeight(), index
Local panel:TFLToolbarItem
For item=EachIn items
panel=ToolPanel(index)
If panel
w=panel.width
panel.SetShape x+1,y,w,h
x:+w+2
EndIf
index:+1
Next
If (State() & STATE_HIDDEN) Then h = 0 Else If items h:+8
If height <> h Then
TFLWidget(parent).SetOrigin(TFLWidget(parent).originx,TFLWidget(parent).originy-height+h)
parent.Rethink()
EndIf
Super.SetShape 0,0,x+60,h
End Method
EndType
Type TFLToolbarItem Extends TFLPanel
Const DIVIDER_WIDTH:Int = 2
Field toggled = False
Method AbsoluteX()
Return TFLWidget(parent).AbsoluteX() + xpos
End Method
Method AbsoluteY()
Return TFLWidget(parent).AbsoluteY() + ypos
End Method
Method Class()
Return GADGET_TOOLBAR
EndMethod
Method SetEnabled(bool)
Super.SetEnabled(bool)
If Not bool Then flSetBox( WidgetHandle(), FL_NO_BOX, False )
EndMethod
Method Free()
'Stop Super.Free() from deleting an image that belongs to an icon-strip.
image = 0
'Now we can safely call Super.Free()
Super.Free()
EndMethod
Method SetFLImage(image)
pixmapflags = PANELPIXMAP_CENTER
Super.SetFLImage(image)
EndMethod
Method IsDivider()
Return (width <= DIVIDER_WIDTH)
EndMethod
Method MakeDivider()
SetRect(xpos,ypos,DIVIDER_WIDTH,height)
flSetBox( WidgetHandle(), FL_EMBOSSED_FRAME, False)
EndMethod
Method MakeIcon()
SetRect(xpos,ypos,height,height)
flSetBox( WidgetHandle(), FL_NO_BOX, False)
EndMethod
Method OnMouse()
Local x = fleventx()-AbsoluteX(), y = fleventy()-AbsoluteY()
If activepanel And (activepanel<>Self) Then
activepanel.OnMouseLeave()
activepanel = Null
EndIf
If Not ((State()&STATE_DISABLED) Or IsDivider()) And flEventButton() = FL_LEFT_MOUSE Then 'Not disabled
Select flevent()
Case FL_PUSH
flSetBox( WidgetHandle(), FL_THIN_DOWN_BOX, True )
Case FL_MOVE
If activepanel <> Self Then
flSetBox( WidgetHandle(), FL_THIN_UP_BOX, True )
activePanel = Self
EndIf
Case FL_DRAG
If x < width And y < height And x > 0 And y > 0 Then
flSetBox( WidgetHandle(), FL_THIN_DOWN_BOX, True )
Else
flSetBox( WidgetHandle(), FL_NO_BOX, True )
EndIf
Case FL_RELEASE
If x < width And y < height And x > 0 And y > 0 Then
Local item=tag&$ffff, flags=parent.ItemFlags(item)
If flags&GADGETITEM_TOGGLE Then parent.SelectItem(item,2)
PostGuiEvent(EVENT_GADGETACTION,parent,item,0,0,0)
EndIf
flSetBox( WidgetHandle(), FL_THIN_UP_BOX, True )
Default
Return
EndSelect
EndIf
EndMethod
Method OnMouseLeave()
flSetBox( WidgetHandle(), FL_NO_BOX, True )
EndMethod
EndType
Type TFLHTMLView Extends TFLGadget
Field currenturl$
Field history:TList
Field historylink:TLink
Field hpos:TList
Field hposlink:TLink
Method InitGadget()
fltype=FL_HELPVIEW
InitWidget()
flSetBox( WidgetHandle(), FL_THIN_DOWN_BOX, False )
flSetStyleView( WidgetHandle(), style )
EndMethod
Method Class()
Return GADGET_HTMLVIEW
EndMethod
Method GetText$()
Return currenturl$
EndMethod
Method SetText(text$)
SetURL(text)
EndMethod
Method Activate(cmd)
Select cmd
Case ACTIVATE_FORWARD
ForwardURL()
Case ACTIVATE_BACK
BackURL()
Default
Super.Activate(cmd)
End Select
End Method
Method OnCallback()
RequestURL(flEventURL())
EndMethod
Method CleanHTML$(src$)
Return src
End Method
Method RequestURL(path$)
Local curr$, lpath$
If Not path Return
path=path.Replace("\","/")
curr="file:"+CurrentDir()
If path[..curr.length]=curr
path=ExtractDir(currenturl)+path[curr.length..]
EndIf
lpath = path.ToLower()
Select ExtractExt$(lpath)
Case "png","jpg","jpeg","gif","bmp"
flRedirectView WidgetHandle(),path
Default
PostGuiEvent(EVENT_GADGETACTION,Self,0,0,0,0,path)
If Not (style & HTMLVIEW_NONAVIGATE) ' markcw
If lpath.StartsWith("ftp:") Or lpath.StartsWith("http:")..
Or lpath.StartsWith("https:") Or lpath.StartsWith("ipp:")..
Or lpath.StartsWith("mailto:") Or lpath.StartsWith("news:")
OpenURL path
EndIf
EndIf
End Select
End Method
Method BackURL()
If historylink And historylink.PrevLink()
historylink=historylink.PrevLink()
If hposlink
hposlink._value=String(flGetLineView(flhandle))
If hposlink.PrevLink() hposlink=hposlink.PrevLink()
SetURL String(historylink.Value()),False
EndIf
EndIf
End Method
Method ForwardURL()
If historylink And historylink.NextLink()
historylink=historylink.NextLink()
If hposlink
hposlink._value=String(flGetLineView(flhandle))
If hposlink.NextLink() hposlink=hposlink.NextLink()
SetURL String(historylink.Value()),False
EndIf
EndIf
End Method
Method SetURL(path$,addhistory=True)
Local stream:TStream
Local hash,anchor$
Local html$,script=0
If addhistory
currenturl=flGetPathView(flhandle)
If Not flIsLinkView(flhandle) currenturl=path
If currenturl.find("#")<>-1 path=currenturl
If hposlink hposlink._value=String(flGetLineView(flhandle))
If currenturl.StartsWith("javascript:history.back()")
If historylink And historylink.PrevLink()
historylink=historylink.PrevLink()
hposlink=hposlink.PrevLink()
EndIf
If historylink path=String(historylink.Value())
script=1
ElseIf currenturl.StartsWith("javascript:history.forward()")
If historylink And historylink.NextLink()
historylink=historylink.NextLink()
hposlink=hposlink.NextLink()
EndIf
If historylink path=String(historylink.Value())
script=1
ElseIf currenturl.StartsWith("javascript:history.go(")
If currenturl[22..23] = "-"
If historylink And historylink.PrevLink()
historylink=historylink.PrevLink()
hposlink=hposlink.PrevLink()
EndIf
Else
If historylink And historylink.NextLink()
historylink=historylink.NextLink()
hposlink=hposlink.NextLink()
EndIf
EndIf
If historylink path=String(historylink.Value())
script=1
EndIf
If Not path Return False
EndIf
currenturl=path
flSetPathView(flhandle,currenturl)
If path[..5]="file:" path=path[5..]
hash=path.find("#")
If hash<>-1
anchor=path[hash+1..]
path=path[..hash]
EndIf
stream=ReadStream(path)
If stream
html=LoadString(stream)
CloseStream stream
html=CleanHTML(html)
EndIf
flSetView(flhandle,html)
If addhistory And (Not script)
If anchor flSeekView(flhandle,anchor)
If Not history history=New TList
While historylink And historylink.NextLink()
historylink.NextLink().Remove
Wend
historylink=history.AddLast(currenturl)
If Not hpos hpos=New TList
While hposlink And hposlink.NextLink()
hposlink.NextLink().Remove
Wend
hposlink=hpos.AddLast(String(flGetLineView(flhandle)))
Else
flSetLineView(flhandle,Int(String(hposlink.Value())))
EndIf
Return True
End Method
Function ViewHandler:Byte Ptr(flhandle,uri:Byte Ptr) "C"
Return Null
End Function
EndType
Type TFLMenu Extends TFLWidget
Field text$
Field owner:TFLGadget
Field mparent:TFLMenu
Field mkids:TFLMenu[]
Field checked,disabled,divider,check
Field index
Field flshortcut
Method Delete()
Free()
EndMethod
Method Class()
Return GADGET_MENUITEM
EndMethod
Function CreateMenu:TFLMenu( text$,tag,parent:TFLMenu )
Local m:TFLMenu = New TFLMenu
m.text=text
m.tag=tag
If parent
m.parent=parent
parent.AddMenu m
EndIf
If LocalizationMode() & LOCALIZATION_OVERRIDE Then LocalizeGadget(m,text)
Return m
End Function
Method OnCallback()
Local m:TFLMenu = Self
' choice menu
While (m)
If TFLComboBox(m.owner)
TFLComboBox(m.owner)._lastchoice = index
m.owner.SetText(text)
PostGuiEvent(EVENT_GADGETACTION,m.owner,index)
Return
EndIf
m=m.mparent
Wend
' window menu
If check Then checked = Not checked
PostGuiEvent(EVENT_MENUACTION,Self,tag)
End Method
Method Free()
Local k:TFLMenu = mparent
If k And k.mkids
For Local i:Int = 0 Until k.mkids.length
If k.mkids[i]=Self
k.mkids=k.mkids[..i] + k.mkids[i+1..]
Exit
EndIf
Next
EndIf
owner = Null;mkids = Null;mparent = Null;parent = Null
If objhandle Then
Release objhandle
objhandle = 0
EndIf
End Method
Method count(id) 'recursively assign unique index to each node in tree, skips number for pop
index=id
id:+1
If mkids
For Local m:TFLMenu = EachIn mkids
id=m.count(id)
Next
id:+1
EndIf
Return id
End Method
Method SetFLMenu(flmenu Ptr) 'recursively set hosts menuitem slots
Local m:TFLMenu,mm:TFLMenu
Local flags, pfnthandle, pfntsize
m=Self
While (m)
If m.owner
pfnthandle = m.owner.font.handle
pfntsize = m.owner.font.GetSizeForFL()
EndIf
m=m.mparent
Wend
If Not pfnthandle Then pfnthandle = TFLTKGUIDriver.fntDefault.handle
If Not pfntsize Then pfntsize = TFLTKGUIDriver.fntDefault.GetSizeForFL()
If mkids
If index>-1 flSetMenuItem(flmenu,index,text,flshortcut,objhandle,FL_SUBMENU,pfnthandle,pfntsize) 'message
For m=EachIn mkids
m.divider=False
If m.text="" And mm mm.divider=True
mm=m
Next
For m=EachIn mkids
m.SetFLMenu(flmenu)
Next
Else
flags=0
If text="" flags:|FL_MENU_INVISIBLE
If divider flags:|FL_MENU_DIVIDER
If check
flags:|FL_MENU_TOGGLE
If checked flags:|FL_MENU_VALUE
EndIf
If disabled flags:|FL_MENU_INACTIVE
If index>-1 flSetMenuItem(flmenu,index,text,flshortcut,objhandle,flags,pfnthandle,pfntsize) 'message
EndIf
End Method
Method SetHotKey(keycode,modifier)
Local flkey = flkeyfromvkey(keycode)
If flkey flkey:+flstatefrommodifiers(modifier)
flshortcut=flkey
End Method
Method AddMenu( child:TFLMenu )
child.mparent=Self
child.owner = owner
mkids:+[child]
End Method
Method RemoveMenu( index )
?debug
Assert index < mkids.length, "FLTK child menu index out of range."
?
mkids[index].Free()
End Method
Method State()
Local t
If checked t:|STATE_SELECTED
If disabled t:|STATE_DISABLED
Return t
End Method
Method SetSelected(truefalse)
check=True
checked=truefalse
End Method
Method SetEnabled(bool)
disabled=Not bool
End Method
Method SetText(label$)
text=label
End Method
Method GetText$()
Return text
End Method
Method SetTooltip(tooltip$)
'Do nothing - menus don't support tooltips (yet).
EndMethod
End Type
Type TFLIconStrip Extends TIconStrip
Field images[]
Field iconpixmaps:TPixmap[]
Method GetFLImage:Int(index:Int)
If index>=0 And index < images.length Then Return images[index]
EndMethod
Function IsNotBlank(pixmap:TPixmap)
Local x,y
Local w=pixmap.width
Local h=pixmap.height
Local c=pixmap.ReadPixel(0,0)
For x=0 Until h*h
If pixmap.ReadPixel(x / h,x Mod h)<>c Return True
Next
End Function
Function RemoveMask(pixmap:TPixmap)
Local x,y,c
If pixmap.format<>( PF_RGBA8888 ) And pixmap.format<>( PF_BGRA8888 ) Return
Local w=pixmap.width
Local h=pixmap.height
For x=0 Until w
For y=0 Until h
c=pixmap.ReadPixel(x,y)
If c>=0 pixmap.WritePixel x,y,-1
Next
Next
End Function
Function Create:TFLIconStrip(source:Object)
Local pixmap:TPixmap,pix:TPixmap,winpix:TPixmap
Local n,d
pix=TPixmap(source)
If Not pix pix=LoadPixmap(source)
If Not pix Return
n=pix.width/pix.height
If n=0 Return
Select PixmapFormat(pix)
Case PF_RGB888, PF_BGR888, PF_I8
d = 3
pixmap = ConvertPixmap(pix,PF_RGB888)
Case PF_RGBA8888, PF_BGRA8888, PF_A8
d = 4
pixmap = ConvertPixmap(pix,PF_RGBA8888)
Default
Return Null
EndSelect
Local icons:TFLIconStrip = New TFLIconStrip
icons.pixmap=pixmap
icons.count=n
icons.images=New Int[n]
icons.iconpixmaps=New TPixmap[n]
Local w = pixmap.height, h = w
For Local x:Int = 0 Until n
winpix=pixmap.Window(x*w,0,w,pixmap.height)
If IsNotBlank(winpix) Then
icons.iconpixmaps[x]=winpix
icons.images[x]=FLImage(icons.iconpixmaps[x].pixels,w,h,d,icons.iconpixmaps[x].pitch)
EndIf
Next
Return icons
End Function
Method Delete()
For Local tmpImage:Int = EachIn images
flFreeImage(tmpImage)
Next
images = Null;iconpixmaps = Null;pixmap = Null
EndMethod
End Type
Private
Function flkeyfromvkey(k)
If k>=48 And k<58 Return k
If k>=65 And k<95 Return k+32
If k>=KEY_F1 And k<=KEY_F12 Return 65470+k-KEY_F1
Select k
Case KEY_OPENBRACKET Return 91
Case KEY_CLOSEBRACKET Return 93
Case KEY_BACKSLASH Return 92
Case KEY_TAB Return FL_KEY_Tab
Case KEY_ENTER Return FL_KEY_Enter
Case KEY_ESCAPE Return FL_KEY_Escape
Case KEY_HOME Return FL_KEY_Home
Case KEY_LEFT Return FL_KEY_Left
Case KEY_UP Return FL_KEY_Up
Case KEY_RIGHT Return FL_KEY_Right
Case KEY_DOWN Return FL_KEY_Down
Case KEY_PAGEUP Return FL_KEY_Page_Up
Case KEY_PAGEDOWN Return FL_KEY_Page_Down
Case KEY_END Return FL_KEY_End
Case KEY_PRINT Return FL_KEY_Print
Case KEY_INSERT Return FL_KEY_Insert
Case KEY_BACKSPACE Return FL_KEY_Backspace
Case KEY_DELETE Return FL_KEY_Delete
End Select
Return k
End Function
Function flstatefrommodifiers(m)
Local state
If m&MODIFIER_SHIFT state:|FL_SHIFT
If m&MODIFIER_CONTROL state:|FL_CTRL
If m&MODIFIER_OPTION state:|FL_ALT
If m&MODIFIER_SYSTEM state:|FL_META
Return state
End Function
Function flkeytovkey(k)
If k>=48 And k<58 Return k
If k>=97 And k<127 Return KEY_A+k-97
If k>=65361 And k<65365 Return KEY_LEFT+k-65361
If k>=65470 And k<65470+13 Return KEY_F1+k-65470
If k=65307 Return KEY_ESCAPE
If k=65289 Return KEY_TAB
If k=65056 Return KEY_TAB
If k=91 Return KEY_OPENBRACKET
If k=93 Return KEY_CLOSEBRACKET
If k=92 Return KEY_BACKSLASH
Return k
End Function
Function flstatetomodifiers(state)
Local m
If (state & FL_SHIFT) m:|MODIFIER_SHIFT
If (state & FL_CTRL) m:|MODIFIER_CONTROL
If (state & FL_ALT) m:|MODIFIER_OPTION
If (state & FL_META) m:|MODIFIER_SYSTEM
Return m
End Function
| BlitzMax | 4 | jabdoa2/blitzmax | mod/maxgui.mod/fltkmaxgui.mod/fltkgui.bmx | [
"Zlib"
] |
//
// Copyright (c) XSharp B.V. All Rights Reserved.
// Licensed under the Apache License, Version 2.0.
// See License.txt in the project root for license information.
//
USING System.Globalization
USING System.Threading
USING System.Security.Permissions
/// <include file="VoFunctionDocs.xml" path="Runtimefunctions/mballtrim/*" />
/// <remarks><note type="tip">This function is the same as AllTrim() since .Net has unicode strings</note></remarks>
FUNCTION MBAllTrim(cMBString AS STRING) AS STRING
RETURN AllTrim(cMBString)
/// <include file="VoFunctionDocs.xml" path="Runtimefunctions/mbat/*" />
/// <remarks><note type="tip">This function is the same as At() since .Net has unicode strings</note></remarks>
FUNCTION MBAt(cMBSearch AS STRING,cMBTarget AS STRING) AS DWORD
RETURN At( cMBSearch, cMBTarget )
/// <include file="VoFunctionDocs.xml" path="Runtimefunctions/mbat2/*" />
/// <remarks><note type="tip">This function is the same as At2() since .Net has unicode strings</note></remarks>
FUNCTION MBAt2(cMBSearch AS STRING,cMBTarget AS STRING) AS DWORD
RETURN At2( cMBSearch, cMBTarget )
/// <include file="VoFunctionDocs.xml" path="Runtimefunctions/mbat3/*" />
/// <remarks><note type="tip">This function is the same as At3() since .Net has unicode strings</note></remarks>
FUNCTION MBAt3(cMBSearch AS STRING,cMBTarget AS STRING,wOffset AS DWORD) AS DWORD
RETURN At3( cMBSearch, cMBTarget, wOffset )
/// <include file="VoFunctionDocs.xml" path="Runtimefunctions/mbatc/*" />
/// <remarks><note type="tip">This function is the same as AtC() since .Net has unicode strings</note></remarks>
FUNCTION MBAtC(cMBSearch AS STRING,cMBTarget AS STRING) AS DWORD
RETURN AtC(cMBSearch, cMBTarget)
/// <include file="VoFunctionDocs.xml" path="Runtimefunctions/mbatc2/*" />
/// <remarks><note type="tip">This function is the same as AtC2() since .Net has unicode strings</note></remarks>
FUNCTION MBAtC2(cMBSearch AS STRING,cMBTarget AS STRING) AS DWORD
RETURN AtC2(cMBSearch, cMBTarget)
/// <include file="VoFunctionDocs.xml" path="Runtimefunctions/mbatline/*" />
/// <remarks><note type="tip">This function is the same as AtLine() since .Net has unicode strings</note></remarks>
FUNCTION MBAtLine(cMBSearch AS STRING,cMBTarget AS STRING) AS LONGINT
RETURN (LONG) ATLine(cMBSearch, cMBTarget)
/// <include file="VoFunctionDocs.xml" path="Runtimefunctions/mbatline2/*" />
/// <remarks><note type="tip">This function is the same as AtLine2() since .Net has unicode strings</note></remarks>
FUNCTION MBAtLine2(cMBSearch AS STRING,cMBTarget AS STRING) AS DWORD
RETURN ATLine2(cMBSearch, cMBTarget)
/// <include file="VoFunctionDocs.xml" path="Runtimefunctions/mbleft/*" />
/// <remarks><note type="tip">This function is the same as Left() since .Net has unicode strings</note></remarks>
FUNCTION MBLEFT(cMBString AS STRING,wCount AS DWORD) AS STRING
RETURN Left(cMBString, wCount)
/// <include file="VoFunctionDocs.xml" path="Runtimefunctions/mblen/*" />
/// <remarks><note type="tip">This function is the same as SLen() since .Net has unicode strings</note></remarks>
FUNCTION MBLen(uMBValue AS STRING) AS DWORD
RETURN SLen(uMBValue)
/// <include file="VoFunctionDocs.xml" path="Runtimefunctions/mbltrim/*" />
/// <remarks><note type="tip">This function is the same as Ltrim() since .Net has unicode strings</note></remarks>
FUNCTION MBLTrim(cMBString AS STRING) AS STRING
RETURN LTrim(cMBString)
/// <include file="VoFunctionDocs.xml" path="Runtimefunctions/mbrat/*" />
/// <remarks><note type="tip">This function is the same as Rat() since .Net has unicode strings</note></remarks>
FUNCTION MBRat(cMBSearch AS STRING,cMBTarget AS STRING) AS LONGINT
RETURN (LONG) RAt(cMBSearch, cMBTarget)
/// <include file="VoFunctionDocs.xml" path="Runtimefunctions/mbrat2/*" />
/// <remarks><note type="tip">This function is the same as Rat2() since .Net has unicode strings</note></remarks>
FUNCTION MBRat2(cMBSearch AS STRING,cMBTarget AS STRING) AS DWORD
RETURN RAt2(cMBSearch, cMBTarget)
/// <include file="VoFunctionDocs.xml" path="Runtimefunctions/mbrat3/*" />
/// <remarks><note type="tip">This function is the same as Rat3() since .Net has unicode strings</note></remarks>
FUNCTION MBRat3(cMBSearch AS STRING,cMBTarget AS STRING,wOffset AS DWORD) AS DWORD
RETURN RAt3(cMBSearch, cMBTarget, wOffset)
/// <include file="VoFunctionDocs.xml" path="Runtimefunctions/mbright/*" />
/// <remarks><note type="tip">This function is the same as Right() since .Net has unicode strings</note></remarks>
FUNCTION MBRight(cMbString AS STRING,wCount AS DWORD) AS STRING
RETURN Right(cMbString, wCount)
/// <include file="VoFunctionDocs.xml" path="Runtimefunctions/mbrtrim/*" />
/// <remarks><note type="tip">This function is the same as RTrim() since .Net has unicode strings</note></remarks>
FUNCTION MBRTrim(cMbString AS STRING) AS STRING
RETURN RTrim(cMbString)
/// <include file="VoFunctionDocs.xml" path="Runtimefunctions/mbslen/*" />
/// <remarks><note type="tip">This function is the same as Slen() since .Net has unicode strings</note></remarks>
FUNCTION MBSLen(cMbString AS STRING) AS DWORD
RETURN SLen(cMbString)
/// <include file="VoFunctionDocs.xml" path="Runtimefunctions/mbstuff/*" />
/// <remarks><note type="tip">This function is the same as Stuff() since .Net has unicode strings</note></remarks>
FUNCTION MBStuff(cMBTarget AS STRING,wStart AS DWORD,wDelete AS DWORD,cMBInsert AS STRING) AS STRING
RETURN Stuff(cMBTarget, wStart, wDelete, cMBInsert)
/// <include file="VoFunctionDocs.xml" path="Runtimefunctions/mbsubstr2/*" />
/// <remarks><note type="tip">This function is the same as Substr2() since .Net has unicode strings</note></remarks>
FUNCTION MBSubstr2(cMBTarget AS STRING,wStart AS DWORD) AS STRING
RETURN SubStr2(cMBTarget, wStart)
/// <include file="VoFunctionDocs.xml" path="Runtimefunctions/mbsubstr3/*" />
/// <remarks><note type="tip">This function is the same as Substr3() since .Net has unicode strings</note></remarks>
FUNCTION MBSubstr3(cMBTarget AS STRING,wStart AS DWORD,wCount AS DWORD) AS STRING
RETURN SubStr3(cMBTarget, wStart, wCount)
/// <include file="VoFunctionDocs.xml" path="Runtimefunctions/mbtrim/*" />
/// <remarks><note type="tip">This function is the same as Trim() since .Net has unicode strings</note></remarks>
FUNCTION MBTrim(cMBString AS STRING) AS STRING
RETURN Trim(cMBString)
/// <include file="VoFunctionDocs.xml" path="Runtimefunctions/getapplocaleid/*" />
FUNCTION GetAppLocaleID() AS DWORD
LOCAL oCI AS CultureInfo
oCI := CultureInfo.CurrentCulture
RETURN (DWORD) oCI:LCID
/// <include file="VoFunctionDocs.xml" path="Runtimefunctions/setapplocaleid/*" />
[SecurityPermissionAttribute(SecurityAction.Demand, ControlThread := TRUE)];
FUNCTION SetAppLocaleID(uiNewLocale AS DWORD) AS DWORD
VAR ci := CultureInfo{ (INT) uiNewLocale}
Thread.CurrentThread:CurrentCulture := ci
Thread.CurrentThread:CurrentUICulture := ci
RETURN uiNewLocale
/// <summary>This function is not implemented yet</summary>
/// <param name="pFunc"></param>
/// <returns>
/// </returns>
FUNCTION SetClipCompFunc(pFunc AS OBJECT) AS IntPtr
THROW NotImplementedException{}
//RETURN IntPtr.Zero
/// <summary>This function is not implemented yet</summary>
/// <param name="n"></param>
/// <returns>
/// </returns>
FUNCTION SetWinCompFlags(n AS OBJECT) AS LONG
THROW NotImplementedException{}
//RETURN 0
/// <summary>This function is not implemented yet</summary>
/// <summary>
/// </summary>
/// <param name="pFunc"></param>
/// <returns>
/// </returns>
FUNCTION SetWinCompFunc(pFunc AS OBJECT) AS IntPtr
THROW NotImplementedException{}
//RETURN IntPtr.Zero
/// <exclude />
[Obsolete];
FUNCTION NationInit(dwInst AS DWORD) AS INT
RETURN 0
/// <exclude />
[Obsolete];
FUNCTION NationExit() AS INT
RETURN 0
/// <include file="VoFunctionDocs.xml" path="Runtimefunctions/nversion/*" />
FUNCTION NVersion() AS STRING
THROW NotImplementedException{}
//RETURN String.Empty
/// <exclude />
FUNCTION MAKELANGID( p AS WORD, s AS WORD ) AS WORD
RETURN (WORD) ( ( s << 10 ) | p )
/// <exclude />
FUNCTION MAKELCID( lgid AS WORD, srtid AS WORD ) AS DWORD
RETURN (DWORD) ( ( ( (DWORD)(srtid) ) << 16) | ( (INT)(DWORD) lgid ) )
/// <exclude />
FUNCTION IsBiDi() AS LOGIC
RETURN System.Windows.Forms.SystemInformation.MidEastEnabled
/// <exclude />
FUNCTION String2W( sz AS STRING ) AS IntPtr
// The original VO Code was using SysAllocString to allocate the memory.
// The Marshal class does that too (it uses SysAllocStringLen)
// and it also takes into account null strings
RETURN System.Runtime.InteropServices.Marshal.StringToBSTR(sz)
/// <exclude />
FUNCTION W2String(p AS IntPtr) AS STRING
// The original code was using WideCharToMultiByte to determine the length of the string inside the ptr
// The Marshal implementation calls SysStringLen to determine the length
// and then creates a managed string with PtrToStringUni() passing in the ptr and the length
//
// RETURN System.Runtime.InteropServices.Marshal.PtrToStringBSTR(p)
//
// Above implementation does not always recognise correctly the size of the string,
// causing an OutOfMemoryException, especially when pointer is returned by some Win32 function.
// See https://www.xsharp.info/forum/public-product/867-what-happens-with-w2string#6903
LOCAL IMPLIED cRet := System.Text.StringBuilder{}
LOCAL nIndex AS INT
LOCAL pChar AS WORD PTR
nIndex := 1
pChar := (WORD PTR)p
DO WHILE pChar[nIndex] != 0
cRet:Append(Convert.ToChar(pChar[nIndex]))
nIndex ++
END DO
RETURN cRet:ToString()
/// <exclude />
FUNCTION GetNatDllHandle() AS IntPtr STRICT
LOCAL t AS Type
LOCAL m AS System.Reflection.Module
t := typeof( XSharp.Error )
m := t:Module
RETURN System.Runtime.InteropServices.Marshal.GetHINSTANCE( m )
| xBase | 5 | orangesocks/XSharpPublic | Runtime/XSharp.VO/Functions/International.prg | [
"Apache-2.0"
] |
#pragma TextEncoding = "UTF-8"
#pragma rtGlobals=3
#pragma IgorVersion=8.0
#include "unit-testing"
#include "::procedures:ZeroMQ_Interop"
#include ":zmq_bind"
#include ":zmq_connect"
#include ":zmq_set_logging_template"
#include ":zmq_memory_leaks"
#include ":zmq_pub_sub"
#include ":zmq_set"
#include ":zmq_start_handler"
#include ":zmq_stop"
#include ":zmq_stop_handler"
#include ":zmq_test_callfunction"
#include ":zmq_test_interop"
#include ":zmq_test_serializeWave"
Constant TCP_V4 = 4
Constant TCP_V6 = 6
// This file is part of the `ZeroMQ-XOP` project and licensed under BSD-3-Clause.
/// @brief Return the amount of free memory in GB
///
/// Due to memory fragmentation you can not assume that you can still create a wave
/// occupying as much space as returned.
Function GetFreeMemory()
variable freeMem
#if defined(IGOR64)
freeMem = NumberByKey("PHYSMEM", IgorInfo(0)) - NumberByKey("USEDPHYSMEM", IgorInfo(0))
#else
freeMem = NumberByKey("FREEMEM", IgorInfo(0))
#endif
return freeMem / 1024 / 1024 / 1024
End
Function CheckErrorMessage(returnedError, xopError)
variable returnedError, xopError
string errorMessage = GetErrMessage(returnedError)
CHECK(strlen(errorMessage) > 0)
// From http://www.igorexchange.com/node/7286:
// I'm not sure, but I believe that, if you AND with 0xFFFF and add FIRST_XOP_ERR,
// you will get your error code. This is an implementation detail and subject to change.
CHECK_EQUAL_VAR((returnedError & 0xFFFF) + 10000, xopError)
End
/// @brief Check using netstat that a process listens on the given port
///
/// Adapted and inspired by http://www.igorexchange.com/node/1243
///
/// Note:
/// "Server" sockets immediately show up in netstat, where as Publisher sockets need a connetected Subscriber so that
/// they show up. This is most likely due to internal difference in ready vs. inactive state.
Function GetListeningStatus_IGNORE(port, tcpVersion)
variable port, tcpVersion
string tmpDir, symbDirPath, filename, cmd, fullPath, localhost
string contents = ""
variable refNum
#ifdef WINDOWS
if(tcpVersion == 4)
localhost = "0.0.0.0:0"
elseif(tcpVersion == 6)
localhost = "\[::\]:0"
else
FAIL()
endif
tmpDir = SpecialDirPath("Temporary", 0, 0, 0)
// Make sure that the directory we just got is, in fact, a directory.
GetFileFolderInfo/Q tmpDir
AbortOnValue (V_Flag >= 0 && !V_isFolder), 3
// Set an Igor symbolic path to the temporary directory.
symbDirPath = UniqueName("tmpPath", 12, 0)
NewPath/Q $(symbDirPath), tmpDir
AbortOnValue (V_flag), 5
sprintf filename "igor_port_listening_%s.txt", Hash(num2istr(DateTime), 1)
// Add a path separator character to the end of the path, if necessary, and add on the file name.
fullPath = ParseFilePath(2, tmpDir, ":", 0, 0) + filename
// Convert the path into a windows path that uses "\" as the path separator.
fullPath = ParseFilePath(5, fullPath, "\\", 0, 0)
sprintf cmd, "cmd /C \"netstat -fAN | findStr %d | findStr %s > %s\"", port, localhost, fullPath
ExecuteScriptText/B/W=2 cmd
AbortOnValue (V_flag != 0), 7
// Check that the temporary results file exists.
GetFileFolderInfo/Z=1/Q/P=$(symbDirPath) filename
AbortOnValue (V_flag != 0 || !(V_isFile)), 10
contents = PadString(contents, V_logEOF, 0)
// Get the results from the temporary file created by the batch file.
Open/P=$(symbDirPath)/R/Z=1 refNum as filename
KillPath/Z $(symbDirPath)
AbortOnValue (V_flag != 0), 12
FBinRead refNum, contents
Close refNum
return strlen(contents) > 0
#else
sprintf cmd, "do shell script \"netstat -an\""
ExecuteScriptText/Z cmd
AbortOnValue (V_flag != 0), 7
// we want to match the line
// tcp4 0 0 127.0.0.1.5555 *.* LISTEN
return GrepString(S_Value, ".*" + "\." + num2str(port) + ".*LISTEN")
#endif
End
Function TEST_CASE_BEGIN_OVERRIDE(name)
string name
zeromq_stop()
zeromq_set(ZeroMQ_SET_FLAGS_DEBUG | ZeroMQ_SET_FLAGS_DEFAULT | ZeroMQ_SET_FLAGS_LOGGING)
End
Function TEST_CASE_END_OVERRIDE(name)
string name
DoXOPIdle
zeromq_stop()
End
// JSONSimple returns the following types in W_TokenType
// 0: number
// 1: object
// 2: array
// 3: string
Function ExtractErrorValue(replyMessage)
string replyMessage
string actual, expected
variable errorCode
CHECK_PROPER_STR(replyMessage)
JSONSimple/Q/Z replyMessage
WAVE/Z W_TokenType
CHECK_WAVE(W_TokenType, NUMERIC_WAVE)
REQUIRE(DimSize(W_TokenType, 0) > 3)
CHECK_EQUAL_VAR(W_TokenType[0], 1)
CHECK_EQUAL_VAR(W_TokenType[1], 3)
CHECK_EQUAL_VAR(W_TokenType[2], 1)
CHECK_EQUAL_VAR(W_TokenType[3], 3)
WAVE/Z/T T_TokenText
CHECK_WAVE(T_TokenText, TEXT_WAVE)
actual = T_TokenText[1]
expected = "errorCode"
CHECK_EQUAL_STR(actual, expected)
FindValue/TXOP=4/TEXT="value" T_TokenText
CHECK_NEQ_VAR(V_value,-1)
errorCode = str2num(T_TokenText[V_value + 1])
if(errorCode != REQ_SUCCESS)
FindValue/TXOP=4/TEXT="msg" T_TokenText
CHECK_NEQ_VAR(V_value,-1)
CHECK(strlen(T_TokenText[V_Value + 1]) > 0)
endif
return errorCode
End
Function/S ExtractMessageID(replyMessage)
string replyMessage
string actual, expected
string type = ""
CHECK_PROPER_STR(replyMessage)
JSONSimple/Q/Z replyMessage
WAVE/Z/T T_TokenText
CHECK_WAVE(T_TokenText, TEXT_WAVE)
WAVE/Z W_TokenSize
CHECK_WAVE(W_TokenSize, NUMERIC_WAVE)
WAVE/Z W_TokenType
CHECK_WAVE(W_TokenType, NUMERIC_WAVE)
FindValue/TXOP=4/TEXT="messageID" T_TokenText
CHECK_NEQ_VAR(V_value,-1)
CHECK_EQUAL_VAR(W_TokenType[V_value + 1], 3)
return T_TokenText[V_value + 1]
End
Function ExtractReturnValue(replyMessage, [var, str, dfr, wvProp, passByRefWave, resultWave])
string replyMessage
variable &var
string &str
string &dfr
STRUCT WaveProperties &wvProp
WAVE/T passByRefWave
WAVE/T resultWave
variable lastPassByRefRow, firstPassByRefRow
variable i, idx, resultRow
string actual, expected
string type = ""
CHECK_PROPER_STR(replyMessage)
JSONSimple/Q/Z replyMessage
WAVE/Z/T T_TokenText
CHECK_WAVE(T_TokenText, TEXT_WAVE)
WAVE/Z W_TokenSize
CHECK_WAVE(W_TokenSize, NUMERIC_WAVE)
WAVE/Z W_TokenType
CHECK_WAVE(W_TokenType, NUMERIC_WAVE)
if(!ParamIsDefault(var))
type = "variable"
elseif(!ParamIsDefault(str))
type = "string"
elseif(!ParamIsDefault(dfr))
type = "dfref"
elseif(!ParamIsDefault(wvProp))
type = "wave"
elseif(!ParamIsDefault(passByRefWave))
// do nothing
elseif(!ParamIsDefault(resultWave))
// do nothing
else
FAIL()
endif
WAVE W_TokenType
Duplicate/O T_TokenText, root:T_TokenText
Duplicate/O W_TokenSize, root:W_TokenSize
Duplicate/O W_TokenType, root:W_TokenType
actual = T_TokenText[1]
expected = "errorCode"
CHECK_EQUAL_STR(actual, expected)
FindValue/TXOP=4/TEXT="result" T_TokenText
resultRow = V_Value
REQUIRE_NEQ_VAR(resultRow, -1)
CHECK(W_TokenType[resultRow + 1] == 1 || W_TokenType[resultRow + 1] == 2)
FindValue/TXOP=4/TEXT="type"/S=(resultRow) T_TokenText
CHECK_NEQ_VAR(V_value,-1)
if(strlen(type) > 0)
actual = T_TokenText[V_value + 1]
expected = type
CHECK_EQUAL_STR(actual, expected)
endif
if(!ParamIsDefault(var))
var = str2num(T_TokenText[V_value + 3])
elseif(!ParamIsDefault(str))
str = T_TokenText[V_value + 3]
elseif(!ParamIsDefault(dfr))
dfr = T_TokenText[V_value + 3]
elseif(!ParamIsDefault(wvProp))
ParseSerializedWave(replyMessage, wvProp)
elseif(!ParamIsDefault(passByRefWave))
// do nothing
elseif(!ParamIsDefault(resultWave))
// do nothing
else
FAIL()
endif
if(!ParamIsDefault(passByRefWave))
FindValue/TXOP=4/TEXT="passByReference" T_TokenText
firstPassByRefRow = V_value
CHECK_NEQ_VAR(firstPassByRefRow,-1)
Redimension/N=(W_TokenSize[firstPassByRefRow + 1]) passByRefWave
FindValue/TXOP=4/TEXT="result" T_TokenText
CHECK_NEQ_VAR(lastPassByRefRow, -1)
idx = 0
lastPassByRefRow = V_Value
for(i = firstPassByRefRow; i < lastPassByRefRow; i += 1)
if(!cmpstr(T_TokenText[i], "value"))
passByRefWave[idx] = T_TokenText[i + 1]
idx++
endif
endfor
endif
if(!ParamIsDefault(resultWave))
lastPassByRefRow = DimSize(T_TokenText, 0) - 1
Redimension/N=(W_TokenSize[resultRow + 1]) resultWave
idx = 0
for(i = resultRow; i <= lastPassByRefRow; i += 1)
if(!cmpstr(T_TokenText[i], "value"))
resultWave[idx] = T_TokenText[i + 1]
idx++
endif
endfor
endif
End
Function TestFunctionNoArgs()
End
Function TestFunction1ArgAndOpt(var1, [opt])
variable var1
string opt
return 1
End
Function TestFunction1Arg(var1)
variable var1
return var1
End
Function/S TestFunction1StrArg(str1)
string str1
return "prefix__" + str1 + "__suffix"
End
Function TestFunction2Args(var1, var2)
variable var1, var2
return var1 + var2
End
Function/S TestFunction2ArgsString(str1, str2)
string str1, str2
return str1 + "_" + str2
End
Function/S TestFunctionStrVarStr(str1, var1, str2)
string str1, str2
variable var1
return str1 + "_" + num2str(var1) + "_" + str2
End
Function TestFunctionOptionalStructArg([s])
STRUCT WMBackgroundStruct &s
End
Function TestFunctionInvalidSig1(wv)
WAVE wv
End
Function TestFunctionInvalidSig2(num)
variable/C num
End
Function TestFunctionInvalidSig3(s)
STRUCT WMBackgroundStruct &s
End
Function/C TestFunctionInvalidRet2()
return cmplx(0, 1)
End
Function [STRUCT WMBackgroundStruct s] TestFunctionInvalidRet3()
End
Function/WAVE TestFunctionReturnNullWave()
return $""
End
Function/WAVE TestFunctionReturnPermWave()
WAVE/Z/D data
if(WAveExists(data))
return data
endif
Make/O/D data = {1.5, 2.5}
return data
End
Function/WAVE TestFunctionReturnFreeWave()
Make/FREE/D data = {3, 4}
return data
End
Function/WAVE TestFunctionReturnWaveWave()
Make/FREE/D content = {3, 4}
Make/FREE/WAVE data = {content}
return data
End
Function/WAVE TestFunctionReturnDFWave()
Make/FREE/DF data = {NewFreeDataFolder()}
return data
End
Function/WAVE TestFunctionReturnLargeFreeWave()
Make/N=(NUM_BYTES_LEAK_TESTING)/B/FREE data = p
return data
End
Function/DF TestFunctionReturnLargeDataFolder()
DFREF dfr = NewFreeDataFolder()
WAVE largeWave = TestFunctionReturnLargeFreeWave()
MoveWave largeWave, dfr
return dfr
End
Function/DF TestFunctionReturnNullDFR()
return $""
End
Function/DF TestFunctionReturnDFR()
return $"root:"
End
Function/DF TestFunctionReturnFreeDFR()
return NewFreeDataFolder()
End
Function/DF TestFunctionReturnDanglingDFR()
DFREF old = GetDataFolderDFR()
NewDataFolder/O/S test
DFREF dfr = GetDataFolderDFR()
SetDataFolder old
KillDataFolder test
return dfr
End
Function TestFunctionWithDFRParam1(dfr)
DFREF dfr
return 123
End
Function/S TestFunctionWithDFRParam2(dfr)
DFREF dfr
return GetDataFolder(1, dfr)
End
Function/DF TestFunctionWithDFRParam3(dfr)
DFREF dfr
return dfr
End
Function TestFunctionWithIntParam1(param)
int param
return param
End
Function TestFunctionWithIntParam2(param)
int64 param
return param
End
Function TestFunctionWithIntParam3(param)
uint64 param
return param
End
Function TestFunctionWithDoubleParam(param)
double param
return param
End
Function FunctionToCall()
return 4711
End
Function TestFunctionAbort1()
print "TestFunctionAbort1: abort message"
Abort
End
Function TestFunctionAbort2()
AbortOnValue 1, 4711
End
Function TestFunctionPassByRef1(var)
variable& var
var = 4711
return 42
End
Function TestFunctionPassByRef2(str)
string& str
str = "hi there"
return 42
End
Function TestFunctionPassByRef3(var, str)
variable& var
string& str
var = NaN
str = "hi there"
return 42
End
Function TestFunctionPassByRef4(var, str)
variable& var
string& str
Abort
return 42
End
Function TestFunctionPassByRef5(str, var)
string& str
variable& var
var = NUM_BYTES_LEAK_TESTING
str = ""
str = PadString(str, var, 0x20)
return 42
End
Function TestFunctionPassByRef6([s])
STRUCT WMBackgroundStruct &s
return 42
End
Function TestFunctionPassByRef7(WAVE& wv)
Make/FREE/D freeWave = {4711}
WAVE wv = freeWave
return 42
End
Function/WAVE ReturnWaveWithLongNames()
Make/O/N=1 AVeryLongNameOnlyAllowedWithIgorProEight
WAVE wv = AVeryLongNameOnlyAllowedWithIgorProEight
SetDimLabel 0, 0, AVeryLongLabelOnlyAllowedWithIgorProEight, wv
return wv
End
Function [variable result, string str, variable var] TestFunctionMultipleReturnValuesValid1()
result = 123
str = "Hi there!"
var = NaN
End
Function [WAVE wv] TestFunctionMultipleReturnValuesValid2()
Make/FREE wv = p
End
Function [DFREF dfr] TestFunctionMultipleReturnValuesValid3()
DFREF dfr = root:Packages
End
Function [variable outputVar, string outputStr] TestFunctionMultipleReturnValuesValid4(variable inputVar, string inputStr)
outputVar = 23 + inputVar
outputStr = inputStr + "!!"
End
Function [variable outputVar, string outputStr] TestFunctionMultipleReturnValuesValid5()
End
Function [variable outputVar, string outputStr] TestFunctionMultipleReturnValuesValid6(variable inputVar, string& inputStr)
outputVar = 23 + inputVar
outputStr = inputStr + "!!"
inputStr = "dummy text"
End
Structure WaveProperties
WAVE/T raw
WAVE dimensions
string type
variable modificationDate
EndStructure
Function FindLastEntry(WAVE/T wv, string entry)
variable index = -1
// @todo ip9-only: use /R from FindValue
for(;;)
FindValue/S=(index + 1)/TXOP=4/TEXT=entry wv
if(V_Value == -1)
return index
endif
index = V_Value
endfor
End
Function ParseSerializedWave(replyMessage, s)
string& replyMessage
STRUCT WaveProperties &s
variable numTokens, start, index
string expected, actual, typeLines, typeLine, type, dimLine, size0, size1, size2, size3
CHECK_PROPER_STR(replyMessage)
JSONSimple/Q/Z replyMessage
WAVE/Z/T T_TokenText
CHECK_WAVE(T_TokenText, TEXT_WAVE)
WAVE/Z W_TokenSize
REQUIRE(WaveExists(W_TokenSize))
// "type": "NT_FP32"
typeLines = GrepList(replyMessage, "\"type\": \".*_.*\"", 0, "\n")
if(strlen(typeLines) > 0)
// we want to use the last value
typeLine = StringFromList(ItemsInList(typeLines, "\n") - 1, typeLines, "\n")
SplitString/E="[[:space:]]\"type\": \"(.*)\"" typeLine, type
CHECK_EQUAL_VAR(V_Flag, 1)
s.type = type
endif
index = FindLastEntry(T_TokenText, "modification")
if(index != -1)
s.modificationDate = str2num(T_TokenText[index + 1])
else
s.modificationDate = NaN
endif
index = FindLastEntry(T_TokenText, "dimension")
if(index != -1)
dimLine = ReplaceString(" ", trimString(T_TokenText[index + 1], 2), "")
string/g root:str = dimLine
SplitString/E="\"size\":\[([[:digit:]]*),?([[:digit:]]*),?([[:digit:]]*),?([[:digit:]]*),?\]" dimLine, size0, size1, size2, size3
CHECK(V_Flag >= 1)
Make/N=(4)/FREE dimensions = {str2num(size0), str2num(size1), str2num(size2), str2num(size3)}
dimensions[] = numtype(dimensions[p]) == 2 ? 0 : dimensions[p]
WAVE s.dimensions = dimensions
endif
FindValue/TXOP=4/TEXT="real" T_TokenText
if(V_Value != -1)
numTokens = W_TokenSize[V_Value + 1]
Make/N=(2 * numTokens)/T/FREE raw
raw[0, numTokens - 1] = T_TokenText[V_Value + 2 + p]
FindValue/TXOP=4/TEXT="imag" T_TokenText
CHECK_NEQ_VAR(V_value, -1)
raw[numTokens, *] = T_TokenText[V_Value + 2 + p - numTokens]
else
FindValue/TXOP=4/TEXT="raw" T_TokenText
if(V_Value == -1) // null wave?
FindValue/TXOP=4/TEXT="wave" T_TokenText
CHECK_NEQ_VAR(V_value, -1)
expected = "null"
actual = T_TokenText[V_Value + 2]
CHECK_EQUAL_STR(expected, actual)
WAVE/Z/T raw = $""
else
CHECK_NEQ_VAR(V_value, -1)
CHECK_NEQ_VAR(V_value, -1)
numTokens = W_TokenSize[V_Value + 1]
Make/N=(numTokens)/T/FREE raw = T_TokenText[V_Value + 2 + p]
endif
endif
WAVE/T/Z s.raw = raw
print s
print s.raw
print s.dimensions
End
Function/S GetWaveTypeString(wv)
WAVE wv
string result = ""
string modifier = ""
variable type = WaveType(wv)
if(type & COMPLEX_WAVE)
type = type & ~COMPLEX_WAVE
modifier += " | NT_CMPLX"
endif
if(type & UNSIGNED_WAVE)
type = type & ~UNSIGNED_WAVE
modifier += " | NT_UNSIGNED"
endif
switch(type)
case FLOAT_WAVE:
result = "NT_FP32"
break
case DOUBLE_WAVE:
result = "NT_FP64"
break
case INT8_WAVE:
result = "NT_I8"
break
case INT16_WAVE:
result = "NT_I16"
break
case INT32_WAVE:
result = "NT_I32"
break
case INT64_WAVE:
result = "NT_I64"
break
case 0:
switch(WaveType(wv, 1))
case 2:
result = "TEXT_WAVE_TYPE"
break
case 3:
result = "DATAFOLDER_TYPE"
break
case 4:
result = "WAVE_TYPE"
break
default:
FAIL()
break
endswitch
break
default:
FAIL()
break
endswitch
return result + modifier
End
Function CompareWaveWithSerialized(wv, s)
WAVE/Z wv
STRUCT WaveProperties& s
string expectedType, actualType
variable numPoints, type
REQUIRE(WaveExists(wv))
REQUIRE(WaveExists(s.dimensions))
REQUIRE(WaveExists(s.raw))
// dimensions
Make/FREE/N=(4) dims = DimSize(wv, p)
CHECK_EQUAL_WAVES(dims, s.dimensions, tol = 0.1)
if(s.modificationDate == 0)
CHECK_EQUAL_VAR(ModDate(wv), s.modificationDate)
else
CHECK_EQUAL_VAR(ModDate(wv) - date2secs(1970, 1, 1), s.modificationDate)
endif
// type
type = WaveType(wv)
expectedType = GetWaveTypeString(wv)
actualType = s.type
CHECK_EQUAL_STR(expectedType, actualType)
numPoints = numpnts(s.raw)
// content
if(sum(dims) == 0)
CHECK_EQUAL_VAR(numpnts(s.raw), 0)
else
if(!type) // non-numeric wave
switch(WaveType(wv, 1))
case 1: // numeric
FAIL()
case 2: // text
Make/FREE/N=(numPoints)/T convWaveText
// work around JSONSimple bug
convWaveText[] = ReplaceString("\\\"", s.raw[p], "\"")
Redimension/N=(dims[0], dims[1], dims[2], dims[3]) convWaveText
CHECK_EQUAL_WAVES(wv, convWaveText, mode=WAVE_DATA)
break
case 3: // dfref wave
case 4: // wave wave
// no additional checks
break
default:
FAIL()
endswitch
elseif(type & COMPLEX_WAVE)
Make/FREE/N=(numPoints/2)/Y=(type)/C convWaveComplex
convWaveComplex[] = cmplx(str2num(s.raw[p]), str2num(s.raw[numPoints / 2 + p]))
Redimension/N=(dims[0], dims[1], dims[2], dims[3]) convWaveComplex
CHECK_EQUAL_WAVES(wv, convWaveComplex, mode=WAVE_DATA)
else
Make/FREE/N=(numPoints)/Y=(type) convWave
convWave[] = str2num(s.raw[p])
Redimension/N=(dims[0], dims[1], dims[2], dims[3]) convWave
// workaround IP9 bug when converting text containing NaN to numbers
CHECK_EQUAL_WAVES(wv, convWave, mode=WAVE_DATA, tol = 1e-15)
endif
endif
End
/// @brief Exhaust all memory so that only `amountOfFreeMemoryLeft` [GB] is left
///
/// Unwise use of this function can break Igor!
Function ExhaustMemory(amountOfFreeMemoryLeft)
variable amountOfFreeMemoryLeft
variable i, expo=10, err
string str
for(i = expo; i >= 0;)
err = GetRTError(1)
str = UniqueName("base", 1, 0)
Make/D/N=(10^expo) $str; err = GetRTError(1)
if(err != 0)
expo -= 1
endif
if(GetFreeMemory() < amountOfFreeMemoryLeft)
break
endif
endfor
printf "Free Memory: %gGB\r", GetFreeMemory()
End
Function/WAVE TestFunctionReturnExistingWave()
WAVE/SDFR=root: bigWave
return bigWave
End
// Entry point for UTF
Function run()
return RunWithOpts()
End
// Examples:
// - RunWithOpts()
// - RunWithOpts(testsuite = "zmq_set.ipf")
// - RunWithOpts(testcase = "StopsBinds")
Function RunWithOpts([string testcase, string testsuite, variable allowdebug])
variable debugMode
string list = ""
string name = "ZeroMQ-XOP"
// speeds up testing to start with a fresh copy
KillWindow/Z HistoryCarbonCopy
DisableDebugOutput()
if(ParamIsDefault(allowdebug))
debugMode = 0
else
debugMode = IUTF_DEBUG_FAILED_ASSERTION | IUTF_DEBUG_ENABLE | IUTF_DEBUG_ON_ERROR | IUTF_DEBUG_NVAR_SVAR_WAVE
endif
if(ParamIsDefault(testcase))
testcase = ""
endif
// sorted list
list = AddListItem("zmq_bind.ipf", list, ";", inf)
list = AddListItem("zmq_connect.ipf", list, ";", inf)
list = AddListItem("zmq_memory_leaks.ipf", list, ";", inf)
list = AddListItem("zmq_pub_sub.ipf", list, ";", inf)
list = AddListItem("zmq_set_logging_template.ipf", list, ";", inf)
list = AddListItem("zmq_set.ipf", list, ";", inf)
list = AddListItem("zmq_start_handler.ipf", list, ";", inf)
list = AddListItem("zmq_stop.ipf", list, ";", inf)
list = AddListItem("zmq_stop_handler.ipf", list, ";", inf)
list = AddListItem("zmq_test_callfunction.ipf", list, ";", inf)
list = AddListItem("zmq_test_interop.ipf", list, ";", inf)
list = AddListItem("zmq_test_serializeWave.ipf", list, ";", inf)
if(ParamIsDefault(testsuite))
testsuite = list
else
// do nothing
endif
if(strlen(testcase) == 0)
RunTest(testsuite, name = name, enableJU = 1, debugMode= debugMode)
else
RunTest(testsuite, name = name, enableJU = 1, debugMode= debugMode, testcase = testcase)
endif
End
| IGOR Pro | 5 | AllenInstitute/ZeroMQ-XOP | tests/zmq_main_test.ipf | [
"BSD-3-Clause"
] |
using Uno.Collections;
using Uno.Testing;
using Uno;
using FuseTest;
namespace Fuse.Text.Test
{
public class SubstringTest : TestBase
{
string[] _simpleStrings = new string[] { "", "a", "ab", "abc 123" };
[Test]
public void ToStringTests()
{
foreach (var s in _simpleStrings)
Assert.AreEqual(new Substring(s).ToString(), s);
foreach (var s in _simpleStrings)
for (int start = 0; start < s.Length; ++start)
Assert.AreEqual(new Substring(s, start).ToString(), s.Substring(start));
foreach (var s in _simpleStrings)
for (int start = 0; start < s.Length; ++start)
for (int end = start; end <= s.Length; ++end)
Assert.AreEqual(new Substring(s, start, end - start).ToString(), s.Substring(start, end - start));
}
[Test]
public void Indexing()
{
foreach (var s in _simpleStrings)
for (int start = 0; start < s.Length; ++start)
for (int end = start; end <= s.Length; ++end)
{
var substr = new Substring(s, start, end - start).ToString();
var str = s.Substring(start, end - start);
Assert.AreEqual(substr.Length, str.Length);
for (int i = 0; i < substr.Length; ++i)
Assert.AreEqual(substr[i], str[i]);
}
}
void GetSubstringTest(Substring substr)
{
var str = substr.ToString();
for (int start = 0; start < substr.Length; ++start)
{
Assert.AreEqual(substr.GetSubstring(start).ToString(), str.Substring(start));
for (int end = start; end <= substr.Length; ++end)
Assert.AreEqual(substr.GetSubstring(start, end - start).ToString(), str.Substring(start, end - start));
}
}
[Test]
public void GetSubstring()
{
foreach (var s in _simpleStrings)
for (int start = 0; start < s.Length; ++start)
for (int end = start; end <= s.Length; ++end)
GetSubstringTest(new Substring(s, start, end - start));
}
string[] _lineStrings = new string[]
{
"",
"\n",
"abc 1",
"\nabc 1",
"abc 1\n",
"abc 1\nabc 2",
"abc 1\n\rabc 2",
"abc 1\r\nabc 2",
"abc 1\nabc 2\nabc 3",
"abc 1\nabc 2\nabc 3\n",
"\nabc 1\nabc 2\nabc 3",
};
[Test]
public void Lines1()
{
foreach (var s in _lineStrings)
{
for (int start = 0; start < s.Length; ++start)
for (int end = start; end <= s.Length; ++end)
{
Assert.AreCollectionsEqual(
new Substring(s, start, end - start).Lines,
new Substring(s.Substring(start, end - start)).Lines);
}
}
}
[Test]
public void Lines2()
{
Assert.AreCollectionsEqual(
new Substring("").Lines,
new Substring[] { new Substring("") });
Assert.AreCollectionsEqual(
new Substring("\n").Lines,
new Substring[] { new Substring(""), new Substring("\n") });
Assert.AreCollectionsEqual(
new Substring("abc 1").Lines,
new Substring[] { new Substring("abc 1") });
Assert.AreCollectionsEqual(
new Substring("\nabc 1").Lines,
new Substring[] { new Substring(""), new Substring("\nabc 1") });
Assert.AreCollectionsEqual(
new Substring("abc 1\n").Lines,
new Substring[] { new Substring("abc 1"), new Substring("\n") });
Assert.AreCollectionsEqual(
new Substring("abc 1\nabc 2").Lines,
new Substring[] { new Substring("abc 1"), new Substring("\nabc 2") });
Assert.AreCollectionsEqual(
new Substring("abc 1\n\rabc 2").Lines,
new Substring[] { new Substring("abc 1"), new Substring("\n\rabc 2") });
Assert.AreCollectionsEqual(
new Substring("abc 1\r\nabc 2").Lines,
new Substring[] { new Substring("abc 1"), new Substring("\r\nabc 2") });
Assert.AreCollectionsEqual(
new Substring("abc 1\nabc 2\nabc 3").Lines,
new Substring[] { new Substring("abc 1"), new Substring("\nabc 2"), new Substring("\nabc 3") });
Assert.AreCollectionsEqual(
new Substring("abc 1\nabc 2\nabc 3\n").Lines,
new Substring[] { new Substring("abc 1"), new Substring("\nabc 2"), new Substring("\nabc 3"), new Substring("\n") });
Assert.AreCollectionsEqual(
new Substring("\nabc 1\nabc 2\nabc 3").Lines,
new Substring[] { new Substring(""), new Substring("\nabc 1"), new Substring("\nabc 2"), new Substring("\nabc 3")});
}
[Test]
public void Lines3()
{
foreach (var s in _lineStrings)
{
var str = "";
foreach (var line in new Substring(s).Lines)
str += line;
Assert.AreEqual(s, str);
}
}
}
}
| Uno | 5 | helilabs/fuselibs | Source/Fuse.Text/Tests/Substring.Test.uno | [
"MIT"
] |
\documentclass{article}
\begin{document}
\section*{Introduction}
Text outside code environments should follow TeX/LaTeX highlighting.
The code environment delimiters themselves should be highlighted.
Text inside code environments should follow regular Haskell highlighting.
\begin{code}
import Data.List
import System.Environment
import Text.Printf
twoSumN :: Int -> [Int] -> [Int]
twoSumN _ [] = []
twoSumN n (x : xs) | (n - x) `elem` xs = [x, n - x]
| otherwise = twoSumN n xs
threeSumN :: Int -> [Int] -> [Int]
threeSumN _ [] = []
threeSumN n (x : xs) | null partial = threeSumN n xs
| otherwise = x : partial
where partial = twoSumN (n - x) xs
\end{code}
Text in-between code environments.
% LaTeX comment.
\begin{code}
output :: String -> IO ()
output path = do
input <- sort . map read . filter (not . null) . lines <$> readFile path
printf "File: %s\n" path
printf " Part 1: %d\n" . product . twoSumN 2020 $ input
printf " Part 2: %d\n" . product . threeSumN 2020 $ input
-- Haskell comment inside code environment.
main :: IO ()
main = getArgs >>= mapM_ output
\end{code}
\end{document}
| Literate Haskell | 5 | ka7/bat | tests/syntax-tests/source/Literate Haskell/Main.lhs | [
"Apache-2.0",
"MIT"
] |
// Test that we are able to infer a suitable kind for this closure
// that is just called (`FnMut`).
use std::mem;
fn main() {
let mut counter: Vec<i32> = Vec::new();
let tick = || mem::drop(counter);
tick();
tick(); //~ ERROR use of moved value: `tick`
}
| Rust | 3 | Eric-Arellano/rust | src/test/ui/unboxed-closures/unboxed-closures-infer-fnonce-call-twice.rs | [
"ECL-2.0",
"Apache-2.0",
"MIT-0",
"MIT"
] |
#!/usr/bin/env jq -S -f
# -----------------------------------------------------------------------------
# USAGE NOTES.
#
# This `jq` script will generate a list of top-level Kubernetes API
# objects that contain either (or both of):
#
# 1. a property with the name `"status"`, or
# 2. a property whose type is `meta.v1.ListMeta`.
#
# For example:
#
# {
# "io.k8s.apimachinery.pkg.apis.meta.v1.Status": [
# "status", "metadata"
# ]
# }
#
# This would indicate that the fields `metadata` and `status` are to
# be blacklisted in the object `meta.v1.Status`.
#
#
# Usage:
# cat swagger.json | jq -S -f blacklist.jq
#
# Or, if you are on an OS with jq > v1.4
# cat swagger.json | ./blacklist.jq
#
# NOTE: It is very important to pass the -S flag here, because sorting
# the object keys makes the output diffable.
# -----------------------------------------------------------------------------
# has_status_prop takes an Kubernetes API object definition from the
# swagger spec, and outputs a boolean indicating whether that API
# object has a property called `status`.
#
# For example, the input might be a
# `io.k8s.kubernetes.pkg.apis.apps.v1beta1.Deployment` object, which
# does indeed have a `status` field.
def has_status_prop:
. as $definition
| if $definition.properties.status != null then true else false end;
# property_has_listmeta_type takes the property of a Kubernetes API
# object definition, and returns a bool indicating whether its type is
# a `$ref` of `meta.v1.ListMeta`.
#
# For example, `io.k8s.kubernetes.pkg.apis.apps.v1beta1.Deployment`
# does not have a property with a type that is a `$ref` to
# `meta.v1.ListMeta`.
def property_has_listmeta_type:
. as $property
| $property["$ref"] != null and
$property["$ref"] == "#/definitions/io.k8s.apimachinery.pkg.apis.meta.v1.ListMeta";
# props_with_listmeta_type returns the names of all properties in some
# Kubernetes API object definition whose type is `meta.v1.ListMeta`.
#
# For example, `io.k8s.kubernetes.pkg.apis.apps.v1beta1.Deployment`
# does not contain any properties with this type, so we would return
# an empty array, while another object might return a list of names.
def props_with_listmeta_type: [
. as $definition
| select($definition.properties != null)
| $definition.properties
| to_entries[]
| select(.value | property_has_listmeta_type)
| .key
];
# entry_blacklist_props takes a key/value pair representing a
# Kubernetes API object and its name, and returns a list of properties
# that are blacklisted.
#
# For example, `.key` might be
# `io.k8s.kubernetes.pkg.apis.apps.v1beta1.Deployment`, while `.value`
# woudl be the actual swagger specification of the `Deployment`
# object.
def entry_blacklist_props:
.value as $definition
| ($definition | has_status_prop) as $has_status_prop
| ($definition | props_with_listmeta_type) as $props_with_listmeta_type
| ($props_with_listmeta_type | length > 0) as $has_listmeta_type_props
| if $has_status_prop and $has_listmeta_type_props
then {(.key): (["status"] | .+ $props_with_listmeta_type)}
elif $has_status_prop
then {(.key): ["status"]}
elif $has_listmeta_type_props
then {(.key): $props_with_listmeta_type}
else {(.key): []}
end;
def create_blacklist:
[ .definitions | to_entries[] | entry_blacklist_props ]
| add
| with_entries(select(.value | length > 0));
# Execute.
create_blacklist
| JSONiq | 5 | gajanankulkarni-18/ksonnet | vendor/github.com/ksonnet/ksonnet-lib/ksonnet-gen/kubeversion/blacklist.jq | [
"Apache-2.0"
] |
<component name="sidebar">
<nss>
bar: {
backgroundColor: "#282728",
position: "relative",
height: "100%",
display: "flex",
flexDirection: "column",
flexGrow: 1,
justifyContent: "flex-start",
alignItems: "stretch",
}
</nss>
<layout class="bar">
<slot></slot>
</layout>
<script>
const __opacity_lo__ = 0.9;
const __opacity_hi__ = 1.0;
const __next_duration__ = 450;
const __back_duration__ = 420;
const Elements = require('Elements');
module.exports = class extends Component {
constructor(attr={}) {
super(attr);
this.sidewidth = attr.width || (window.innerWidth-150);
this.style.position = "relative";
this.style.left = 0;
this.style.width = this.sidewidth;
this.style.height = "100%";
this.style.minWidth = 0.5*this.sidewidth;
this.scrollableY = true;
this._opened = false;
this.hide();
this.style.display = "none";
}
attach(view) {
this.view = view;
this.view.sidebar = this;
this.createViewOverlay();
this.setEvents();
}
createViewOverlay() {
if (this.view && this.view.__overlay__) return false;
var overlay = new Elements.overlay({
opacity : 0.12
});
overlay.on("mousedown", () => {
this.close();
});
this.view.__overlay__ = overlay;
this.view.__overlay__.style.coating = 40;
this.view.__overlay__.style.shadowBlur = 20;
this.view.__overlay__.style.shadowColor = "rgba(0, 0, 0, 0.82)";
this.view.__overlay__.style.shadowOffsetX = -12;
this.view.__overlay__.style.shadowOffsetY = 0;
this.view.add(overlay);
}
setEvents() {
document.canvas.on("mousedown", (e) => {
if (this._opened) return;
this._start = true;
this._slided = false;
this._enabled = false;
this._dat = +new Date();
this._event = {
x : e.x,
y : e.y
};
});
document.canvas.on("mousemove", (e) => {
if (!this._start || this._opened) return false;
let dx = e.x - this._event.x;
let dy = e.y - this._event.y;
let ox = Math.abs(e.xrel);
let oy = Math.abs(e.yrel);
if (!this._slided && oy>1 && ox<=1) {
this._enabled = false;
}
if (Math.abs(dx)>60) {
this._enabled = true;
}
if (this._enabled) {
this._slided = true;
this.slide(dx);
}
});
document.canvas.on("mouseup", (e) => {
if (!this._start || !this._slided) {
this._start = false;
this._slided = false;
this._enabled = false
return false;
}
let dx = e.x - this._event.x;
let time = (+new Date()) - this._dat;
if (this._slided) {
if (time>160) {
if (dx < 0.70*this.sidewidth) {
this.close();
} else {
this.open();
}
} else {
if (dx>20) {
this.open();
} else {
this.close();
}
}
}
this._start = false;
this._slided = false;
this._enabled = false
});
}
slide(x) {
if (x<0) return false;
if (x<=this.sidewidth) {
this.show();
this.style.display = "flex";
var opacity = (x/this.sidewidth) * (1-__opacity_lo__);
this.opacity = __opacity_lo__+opacity;
//this.width = x;
this.view.left = x;
this.view.__overlay__.open(__next_duration__);
}
}
open() {
var side = this;
this.show();
this.style.display = "flex";
this._opened = true;
this.anim = setAnimation(
(side, view) => {
//side.width = this.sidewidth;
side.opacity = __opacity_hi__;
view.left = this.sidewidth;
},
__next_duration__,
Easing.Exponential.Out,
side,
this.view
);
this.view.__overlay__.open(__next_duration__);
}
close(callback=function(){}) {
var side = this;
this._opened = false;
this.show();
this.anim = setAnimation(
(side, view) => {
side.opacity = __opacity_lo__;
view.left = 0;
},
__back_duration__,
Easing.Exponential.Out,
side,
this.view
);
this.anim.onFinish = () => {
this.hide();
this.style.display = "none";
callback.call(this);
};
this.view.__overlay__.close(__back_duration__);
}
}
</script>
</component>
| nesC | 4 | wiltonlazary/Nidium | src/Embed/framework/components/sidebar.nc | [
"Apache-2.0",
"BSD-2-Clause"
] |
(preproc_arg) @cpp
(comment) @comment
| Scheme | 0 | hmac/nvim-treesitter | queries/cpp/injections.scm | [
"Apache-2.0"
] |
#include <lighttpd/base.h>
#include <lighttpd/http_request_parser.h>
#include <lighttpd/lighttpd-glue.h>
/** Machine **/
#define _getString(M, FPC) (li_chunk_extract(ctx->M, LI_GETMARK(FPC), NULL))
#define getString(FPC) _getString(mark, FPC)
#define _getStringTo(M, FPC, s) (li_chunk_extract_to(ctx->M, LI_GETMARK(FPC), s, NULL))
#define getStringTo(FPC, s) _getStringTo(mark, FPC, s)
%%{
machine li_http_request_parser;
variable cs ctx->chunk_ctx.cs;
action mark { ctx->mark = LI_GETMARK(fpc); }
action done { fbreak; }
action method {
getStringTo(fpc, ctx->request->http_method_str);
ctx->request->http_method = li_http_method_from_string(GSTR_LEN(ctx->request->http_method_str));
}
action uri { getStringTo(fpc, ctx->request->uri.raw); }
action header_key {
getStringTo(fpc, ctx->h_key);
li_g_string_clear(ctx->h_value);
}
action header_value {
guint i;
/* strip whitespace */
getStringTo(fpc, ctx->h_value);
for (i = ctx->h_value->len; i-- > 0; ) {
switch (ctx->h_value->str[i]) {
case '\r':
case '\n':
case ' ':
continue;
}
break;
}
g_string_truncate(ctx->h_value, i+1);
}
action header {
li_http_header_insert(ctx->request->headers, GSTR_LEN(ctx->h_key), GSTR_LEN(ctx->h_value));
}
# RFC 2616
OCTET = any;
CHAR = ascii;
UPALPHA = upper;
LOALPHA = lower;
ALPHA = alpha;
DIGIT = digit;
CTL = ( 0 .. 31 | 127 );
CR = '\r';
LF = '\n';
SP = ' ';
HT = '\t';
DQUOTE = '"';
# RFC 2616 requires CRLF = CR LF; but some clients only send us LF (openssl s_client, blackberry?)
CRLF = (CR LF | LF);
LWS = CRLF? (SP | HT)+; # linear white space
TEXT = (OCTET - CTL) | LWS;
HEX = [a-fA-F0-9];
Separators = [()<>@,;:\\\"/\[\]?={}] | SP | HT;
Token = (OCTET - Separators - CTL)+;
# original definition
# Comment = "(" ( CText | Quoted_Pair | Comment )* ")";
# CText = TEXT - [()];
# we don't allow escaping control chars (the RFC does)
Quoted_Pair = "\\" (CHAR - CTL);
Comment = ( TEXT | Quoted_Pair )*;
QDText = TEXT -- (DQUOTE | "\\");
Quoted_String = DQUOTE ( QDText | Quoted_Pair )* DQUOTE;
HTTP_Version = (
"HTTP/1.0" %{ ctx->request->http_version = LI_HTTP_VERSION_1_0; }
| "HTTP/1.1" %{ ctx->request->http_version = LI_HTTP_VERSION_1_1; }
| "HTTP" "/" DIGIT+ "." DIGIT+ ) >{ ctx->request->http_version = LI_HTTP_VERSION_UNSET; };
#HTTP_URL = "http:" "//" Host ( ":" Port )? ( abs_path ( "?" query )? )?;
# RFC 2396
Mark = [\-_!~*\'()];
Unreserved = alnum | Mark;
Escaped = "%" HEX HEX;
PChar = Unreserved | Escaped | [:@&=+$,];
Segment = PChar* ( ";" PChar* )*;
Path_Segments = Segment ("/" Segment)*;
Abs_Path = "/" Path_Segments;
Method = Token >mark >{ ctx->request->http_method = LI_HTTP_METHOD_UNSET; } %method;
Request_URI = ("*" | ( any - CTL - SP )+) >mark %uri;
Request_Line = Method " " Request_URI " " HTTP_Version CRLF;
# Field_Content = ( TEXT+ | ( Token | Separators | Quoted_String )+ );
Field_Content = ( (OCTET - CTL - DQUOTE) | SP | HT | Quoted_String )+;
Field_Value = (SP | HT)* <: ( ( Field_Content | LWS )* CRLF ) >mark %header_value;
Message_Header = Token >mark %header_key ":" Field_Value % header;
main := (CRLF)* Request_Line (Message_Header)* CRLF @ done;
}%%
%% write data;
static int li_http_request_parser_has_error(liHttpRequestCtx *ctx) {
return ctx->chunk_ctx.cs == li_http_request_parser_error;
}
static int li_http_request_parser_is_finished(liHttpRequestCtx *ctx) {
return ctx->chunk_ctx.cs >= li_http_request_parser_first_final;
}
void li_http_request_parser_init(liHttpRequestCtx* ctx, liRequest *req, liChunkQueue *cq) {
li_chunk_parser_init(&ctx->chunk_ctx, cq);
ctx->request = req;
ctx->h_key = g_string_sized_new(0);
ctx->h_value = g_string_sized_new(0);
(void) li_http_request_parser_en_main;
%% write init;
}
void li_http_request_parser_reset(liHttpRequestCtx* ctx) {
li_chunk_parser_reset(&ctx->chunk_ctx);
g_string_truncate(ctx->h_key, 0);
g_string_truncate(ctx->h_value, 0);
%% write init;
}
void li_http_request_parser_clear(liHttpRequestCtx *ctx) {
g_string_free(ctx->h_key, TRUE);
g_string_free(ctx->h_value, TRUE);
}
liHandlerResult li_http_request_parse(liVRequest *vr, liHttpRequestCtx *ctx) {
liHandlerResult res;
if (li_http_request_parser_is_finished(ctx)) return LI_HANDLER_GO_ON;
if (LI_HANDLER_GO_ON != (res = li_chunk_parser_prepare(&ctx->chunk_ctx))) return res;
while (!li_http_request_parser_has_error(ctx) && !li_http_request_parser_is_finished(ctx)) {
char *p, *pe;
GError *err = NULL;
if (LI_HANDLER_GO_ON != (res = li_chunk_parser_next(&ctx->chunk_ctx, &p, &pe, &err))) {
if (NULL != err) {
VR_ERROR(vr, "%s", err->message);
g_error_free(err);
}
return res;
}
%% write exec;
li_chunk_parser_done(&ctx->chunk_ctx, p - ctx->chunk_ctx.buf);
}
if (li_http_request_parser_has_error(ctx)) return LI_HANDLER_ERROR;
if (li_http_request_parser_is_finished(ctx)) {
/* sanity check: if the whole http request header is larger than 64kbytes, then something probably went wrong */
if (ctx->chunk_ctx.bytes_in > 64*1024) {
VR_INFO(vr,
"request header too large. limit: 64kb, received: %s",
li_counter_format((guint64)ctx->chunk_ctx.bytes_in, COUNTER_BYTES, vr->wrk->tmp_str)->str
);
vr->response.http_status = 413; /* Request Entity Too Large */
return LI_HANDLER_ERROR;
}
li_chunkqueue_skip(ctx->chunk_ctx.cq, ctx->chunk_ctx.bytes_in);
return LI_HANDLER_GO_ON;
}
return LI_HANDLER_ERROR;
}
| Ragel in Ruby Host | 4 | kurtace72/lighttpd2 | src/main/http_request_parser.rl | [
"Apache-2.0"
] |
%{^
#include "hardware_serial.h"
#if defined(UBRRH) && defined(UBRRL)
#define ADDR_UBRRH (UBRRH)
#define ADDR_UBRRL (UBRRL)
#define ADDR_UCSRA (UCSRA)
#define ADDR_UCSRB (UCSRB)
#define ADDR_UCSRC (UCSRC)
#define ADDR_UDR (UDR)
#define BIT_RXEN (RXEN)
#define BIT_TXEN (TXEN)
#define BIT_RXCIE (RXCIE)
#define BIT_UDRIE (UDRIE)
#define BIT_U2X (U2X)
#define BIT_UPE (PE)
#elif defined(UBRR0H) && defined(UBRR0L)
#define ADDR_UBRRH (UBRR0H)
#define ADDR_UBRRL (UBRR0L)
#define ADDR_UCSRA (UCSR0A)
#define ADDR_UCSRB (UCSR0B)
#define ADDR_UCSRC (UCSR0C)
#define ADDR_UDR (UDR0)
#define BIT_RXEN (RXEN0)
#define BIT_TXEN (TXEN0)
#define BIT_RXCIE (RXCIE0)
#define BIT_UDRIE (UDRIE0)
#define BIT_U2X (U2X0)
#define BIT_UPE (UPE0)
#else
#error no serial port defined (port 0)
#endif
bool ats_serial_transmitting;
%}
#include "config.hats"
#include "{$TOP}/avr_prelude/kernel_staload.hats"
staload "{$TOP}/avr_prelude/SATS/string0.sats"
staload _ = "{$TOP}/avr_prelude/DATS/string0.dats"
staload "{$TOP}/SATS/arduino.sats"
staload "{$TOP}/SATS/hardware_serial.sats"
staload UN = "prelude/SATS/unsafe.sats"
abst@ype hardware_serial = $extype"struct hardware_serial"
macdef hserial = $extval(cPtr0(hardware_serial), "(&Serial)")
macdef F_CPU = $extval(ulint, "F_CPU")
abst@ype ring_buffer = $extype"struct ring_buffer"
macdef rx_buffer = $extval(cPtr0(ring_buffer), "&rx_buffer")
macdef tx_buffer = $extval(cPtr0(ring_buffer), "&tx_buffer")
macdef ADDR_UBRRH = $extval(ptr, "&ADDR_UBRRH")
macdef ADDR_UBRRL = $extval(ptr, "&ADDR_UBRRL")
macdef ADDR_UCSRA = $extval(ptr, "&ADDR_UCSRA")
macdef ADDR_UCSRB = $extval(ptr, "&ADDR_UCSRB")
macdef ADDR_UCSRC = $extval(ptr, "&ADDR_UCSRC")
macdef ADDR_UDR = $extval(ptr, "&ADDR_UDR")
macdef BIT_RXEN = $extval(uint8, "BIT_RXEN")
macdef BIT_TXEN = $extval(uint8, "BIT_TXEN")
macdef BIT_RXCIE = $extval(uint8, "BIT_RXCIE")
macdef BIT_UDRIE = $extval(uint8, "BIT_UDRIE")
macdef BIT_U2X = $extval(uint8, "BIT_U2X")
macdef BIT_TXC0 = $extval(uint8, "TXC0")
macdef BIT_UPE = $extval(uint8, "BIT_UPE")
macdef VAL_U2X = $extval(uint8, "(1 << BIT_U2X)")
macdef transmitting = $extval(ptr, "&ats_serial_transmitting")
extern fun ringbuf_insert_nowait: (uchar, cPtr0(ring_buffer)) -> void = "mac#"
extern fun ringbuf_insert_wait: (uchar, cPtr0(ring_buffer)) -> void = "mac#"
extern fun ringbuf_is_empty: (cPtr0(ring_buffer)) -> bool = "mac#"
extern fun ringbuf_get_size: (cPtr0(ring_buffer)) -> uint = "mac#"
extern fun ringbuf_peek: (cPtr0(ring_buffer)) -> uchar = "mac#"
extern fun ringbuf_remove: (cPtr0(ring_buffer)) -> uchar = "mac#"
extern fun ringbuf_clear: (cPtr0(ring_buffer)) -> void = "mac#"
extern fun c_sbi: (ptr, uint8) -> void = "mac#"
extern fun c_cbi: (ptr, uint8) -> void = "mac#"
extern fun c_rbi: (ptr, uint8) -> uint8 = "mac#"
extern fun ats_serial_rx_vect: () -> void = "ext#"
extern fun ats_serial_tx_vect: () -> void = "ext#"
fun set_transmitting (t:bool): void = $UN.ptr0_set<bool> (transmitting, t)
fun get_transmitting (): bool = $UN.ptr0_get<bool> (transmitting)
implement ats_serial_rx_vect () = {
val b = c_rbi (ADDR_UCSRA, BIT_UPE)
val c = $UN.ptr0_get<uchar> (ADDR_UDR)
val () = if ($UN.cast2int b) = 0 then ringbuf_insert_nowait (c, rx_buffer)
}
implement ats_serial_tx_vect () =
if ringbuf_is_empty tx_buffer then {
// Buffer empty, so disable interrupts
val () = c_cbi (ADDR_UCSRB, BIT_UDRIE)
} else {
// There is more data in the output buffer. Send the next byte
val c = ringbuf_remove tx_buffer
val () = $UN.ptr0_set<uchar> (ADDR_UDR, c)
}
implement serial_begin (baud) = {
fun get_baud_setting_u2x (): ulint = let
val v = VAL_U2X // xxx 1U << BIT_U2X
val () = $UN.ptr0_set<uint8> (ADDR_UCSRA, v)
val setting = (F_CPU / 4UL / baud - 1UL) / 2UL
in
setting
end
fun get_baud_setting (): ulint = let
val () = $UN.ptr0_set<uint8> (ADDR_UCSRA, $UN.cast{uint8}(0))
val setting = (F_CPU / 8UL / baud - 1UL) / 2UL
in
setting
end
// hardcoded exception for compatibility with the bootloader shipped
// with the Duemilanove and previous boards and the firmware on the 8U2
// on the Uno and Mega 2560.
val use_u2x = not(F_CPU = 16000000UL andalso baud = 57600UL)
val tmp = if use_u2x then get_baud_setting_u2x () else get_baud_setting ()
fun test (setting:ulint): bool = setting > 4095UL
val baud_setting = if ((test tmp) andalso use_u2x) then get_baud_setting () else tmp
// assign the baud_setting, a.k.a. ubbr (USART Baud Rate Register)
val () = $UN.ptr0_set<uint8> (ADDR_UBRRL, $UN.cast{uint8}(g0uint_lsr (baud_setting, 8)))
val () = $UN.ptr0_set<uint8> (ADDR_UBRRL, $UN.cast{uint8}(baud_setting))
val () = set_transmitting (false)
val () = c_sbi (ADDR_UCSRB, BIT_RXEN)
val () = c_sbi (ADDR_UCSRB, BIT_TXEN)
val () = c_sbi (ADDR_UCSRB, BIT_RXCIE)
val () = c_cbi (ADDR_UCSRB, BIT_UDRIE)
}
implement serial_flush () = {
// UDR is kept full while the buffer is not empty, so TXC triggers when EMPTY && SENT
fun loop () = let
val t = get_transmitting ()
val b = c_rbi (ADDR_UCSRA, BIT_TXC0)
in
if (t andalso (($UN.cast2int b) = 0)) then loop ()
end
val () = loop ()
val () = set_transmitting (false)
}
implement serial_available () =
ringbuf_get_size rx_buffer
implement serial_peek () =
if (ringbuf_is_empty (rx_buffer)) then
~1
else
$UN.cast{int}(ringbuf_peek (rx_buffer))
implement serial_read () =
if (ringbuf_is_empty (rx_buffer)) then
~1
else
$UN.cast{int}(ringbuf_remove (rx_buffer))
implement serial_write (c) = let
val () = ringbuf_insert_wait ($UN.cast{uchar}(c), tx_buffer)
val () = c_sbi (ADDR_UCSRB, BIT_UDRIE)
// clear the TXC bit -- "can be cleared by writing a one to its bit location"
val () = set_transmitting (true)
val () = c_sbi (ADDR_UCSRA, BIT_TXC0)
in
$UN.cast{size_t}(1)
end
implement serial_end () = {
// wait for transmission of outgoing data
fun loop () = if not(ringbuf_is_empty (tx_buffer)) then loop ()
val () = loop ()
val () = c_cbi (ADDR_UCSRB, BIT_RXEN)
val () = c_cbi (ADDR_UCSRB, BIT_TXEN)
val () = c_cbi (ADDR_UCSRB, BIT_RXCIE)
val () = c_cbi (ADDR_UCSRB, BIT_UDRIE)
// clear any received data
val () = ringbuf_clear (rx_buffer)
}
// atspre_print_char
implement print_char (c) = {
val _ = serial_write c
}
implement print_int (i) = {
#define BSZ 32
typedef cstring = $extype"atstype_string"
var buf = @[byte][BSZ]()
val bufp = $UN.cast{cstring}(addr@buf)
val _ = $extfcall(ssize_t, "snprintf", bufp, BSZ, "%i", i)
val () = print_string ($UN.cast{string}(bufp))
}
implement print_uint8 (i) = print_int ($UN.cast{int}(i))
// atspre_print_string
implement print_string (s) = {
implement{env}
string0_foreach$fwork
(c, env) = {val _ = serial_write (c)}
val _ = string0_foreach s
}
// atspre_print_newline
implement print_newline () = {
val _ = serial_write ('\r')
val _ = serial_write ('\n')
val () = serial_flush ()
}
%{$
#if !defined(USART_RX_vect) && !defined(USART0_RX_vect) && !defined(USART_RXC_vect)
#error "Don't know what the Data Received vector is called for the first UART"
#else
#if defined(USART_RX_vect)
ISR(USART_RX_vect)
#elif defined(USART0_RX_vect)
ISR(USART0_RX_vect)
#elif defined(USART_RXC_vect)
ISR(USART_RXC_vect) // ATmega8
#endif
{
ats_serial_rx_vect();
}
#endif
#if !defined(UART0_UDRE_vect) && !defined(UART_UDRE_vect) && !defined(USART0_UDRE_vect) && !defined(USART_UDRE_vect)
#error "Don't know what the Data Register Empty vector is called for the first UART"
#else
#if defined(UART0_UDRE_vect)
ISR(UART0_UDRE_vect)
#elif defined(UART_UDRE_vect)
ISR(UART_UDRE_vect)
#elif defined(USART0_UDRE_vect)
ISR(USART0_UDRE_vect)
#elif defined(USART_UDRE_vect)
ISR(USART_UDRE_vect)
#endif
{
ats_serial_tx_vect();
}
#endif
%}
| ATS | 5 | Proclivis/arduino-ats | DATS/hardware_serial.dats | [
"MIT"
] |
--TEST--
CURL file uploading from string
--EXTENSIONS--
curl
--FILE--
<?php
function testcurl($ch, $postname, $data, $mime = null)
{
if (is_null($mime)) {
// for default mime value
$file = new CURLStringFile($data, $postname);
} else {
$file = new CURLStringFile($data, $postname, $mime);
}
curl_setopt($ch, CURLOPT_POSTFIELDS, array("file" => $file));
var_dump(curl_exec($ch));
}
include 'server.inc';
$host = curl_cli_server_start();
$ch = curl_init();
curl_setopt($ch, CURLOPT_URL, "{$host}/get.php?test=string_file");
curl_setopt($ch, CURLOPT_RETURNTRANSFER, 1);
$data = "test\0test";
var_dump(md5($data));
testcurl($ch, 'foo.txt', $data);
testcurl($ch, 'foo.txt', $data, 'text/plain');
testcurl($ch, '', $data);
testcurl($ch, 'foo.txt', '');
testcurl($ch, "foo.txt\0broken_string", $data, "text/plain\0broken_string");
// properties
$file = new CURLStringFile($data, 'foo.txt');
$file->mime = 'text/plain';
var_dump($file->mime);
var_dump($file->postname);
var_dump(md5($file->data));
curl_setopt($ch, CURLOPT_POSTFIELDS, array("file" => $file));
var_dump(curl_exec($ch));
// serialization / deserialization
$old = new CURLStringFile($data, 'foo.txt', 'text/plain');
$serialized = serialize($old);
$new = unserialize($serialized);
curl_setopt($ch, CURLOPT_POSTFIELDS, array("file" => $new));
var_dump(curl_exec($ch));
// destroy object before send request
$file = new CURLStringFile($data, 'foo.txt', 'text/plain');
curl_setopt($ch, CURLOPT_POSTFIELDS, array("file" => $file));
unset($file);
var_dump(curl_exec($ch));
// clone curl handler
$file = new CURLStringFile($data, 'foo.txt', 'text/plain');
curl_setopt($ch, CURLOPT_POSTFIELDS, array("file" => $file));
$ch2 = clone $ch;
var_dump(curl_exec($ch2));
// properties are references
$file = new CURLStringFile($data, 'foo.txt', 'text/plain');
$data =& $file->data;
$postname =& $file->postname;
$mime =& $file->mime;
curl_setopt($ch, CURLOPT_POSTFIELDS, array("file" => $file));
var_dump(curl_exec($ch));
?>
--EXPECTF--
string(%d) "62942c05ed0d1b501c4afe6dc1c4db1b"
string(%d) "foo.txt|application/octet-stream|62942c05ed0d1b501c4afe6dc1c4db1b"
string(%d) "foo.txt|text/plain|62942c05ed0d1b501c4afe6dc1c4db1b"
string(%d) "error:4"
string(%d) "foo.txt|application/octet-stream|d41d8cd98f00b204e9800998ecf8427e"
string(%d) "foo.txt|text/plain|62942c05ed0d1b501c4afe6dc1c4db1b"
string(%d) "text/plain"
string(%d) "foo.txt"
string(%d) "62942c05ed0d1b501c4afe6dc1c4db1b"
string(%d) "foo.txt|text/plain|62942c05ed0d1b501c4afe6dc1c4db1b"
string(%d) "foo.txt|text/plain|62942c05ed0d1b501c4afe6dc1c4db1b"
string(%d) "foo.txt|text/plain|62942c05ed0d1b501c4afe6dc1c4db1b"
string(%d) "foo.txt|text/plain|62942c05ed0d1b501c4afe6dc1c4db1b"
string(%d) "foo.txt|text/plain|62942c05ed0d1b501c4afe6dc1c4db1b"
| PHP | 5 | NathanFreeman/php-src | ext/curl/tests/curl_string_file_upload.phpt | [
"PHP-3.01"
] |
register 's3n://netflix-dataoven-prod/genie/jars/dse_pig-2.0.0.jar';
register 's3n://netflix-dataoven-prod-users/DSE/etl_code/common/python/nflx_common_datetime.py' using jython as datetime;
--REGISTER 's3n://netflix-dataoven-prod/genie/jars/dse_pig.jar';
set dse.partitionedstorer.hiveDelim true;
SET default_parallel 100;
DEFINE LENGTH org.apache.pig.piggybank.evaluation.string.LENGTH();
DEFINE DataovenLoader com.netflix.hadoop.hcatalog.DataovenLoader();
country_region = load 'ragrawal.region_map' using DataovenLoader();
region_num_strms_threshold = load 'ragrawal.region_threshold_num_strms' using DataovenLoader();
searchevents = load 'default.searchevents' using DataovenLoader();
streaming_session = load 'default.dse_streaming_session_info' using DataovenLoader();
ttl_title_country_r = load 'dse.ttl_title_country_r' using DataovenLoader();
ttl_show_d = load 'dse.ttl_show_d' using DataovenLoader();
srch_ts = filter searchevents by dateint >= 20121023 and dateint <= 20121023;
srch_cols = foreach srch_ts generate
other_properties#'eccust_id' as eccust_id,
LOWER(TRIM(other_properties#'exec_query')) as query,
dateint as dateint,
(event_utc_ms/1000.0) as srch_ts:double,
other_properties#'country' as country;
flt_srch = filter srch_cols by
query is not null
and LENGTH(query) > 0;
join_srch_region = join flt_srch by country, country_region by country_iso_code using 'replicated';
grp_srch = group join_srch_region by (eccust_id, query, dateint, country, region);
srch = foreach grp_srch generate
(long)group.eccust_id as eccust_id:long,
group.query as query,
group.dateint as dateint,
MIN(join_srch_region.srch_ts) as srch_ts,
group.country as country,
group.region as region;
-- 1.2 get plays
flt_strm = filter streaming_session by
stop_received_time_ms>start_received_time_ms
and dateint >= 20120101 and dateint <= 20120131;
strm = foreach flt_strm {
playback_start_time_sec = (double) start_received_time_ms/1000.0;
playback_stop_time_sec = (double) stop_received_time_ms/1000.0;
mins = (double)(playback_stop_time_sec - playback_start_time_sec)/60.0;
generate
customer_id,
dateint,
title_id,
playback_start_time_sec as playback_start_time_sec:double,
playback_stop_time_sec as playback_stop_time_sec:double,
play_iso_country_code,
mins as mins;
};
-- 1.3 Get active titles
flt_ttl_ctry = filter ttl_title_country_r by
last_display_date >= 20120131 and first_display_date <= 20120131;
join_show_ttl_ctry = join ttl_show_d by show_title_id, flt_ttl_ctry by show_title_id;
ttl_dirty = foreach join_show_ttl_ctry generate
ttl_show_d::show_desc as show_name,
ttl_show_d::show_title_id as show_movie_id,
flt_ttl_ctry::title_id as movie_id,
flt_ttl_ctry::country_iso_code as country_code;
ttl = distinct ttl_dirty;
-- 1.4 join srch, strm, ttl
join_srch_strm = join srch by (eccust_id, dateint, country), strm by (customer_id, dateint, play_iso_country_code) parallel 150;
-- only movies/shows played after search are retrained
flt_srch_strm = filter join_srch_strm by srch_ts <= playback_start_time_sec;
join_srch_strm_ttl = join ttl by (movie_id, country_code), flt_srch_strm by (title_id, country) parallel 150;
-- for each day account and region, extract only one search-movie pair
grp_srch_strm_ttl = group join_srch_strm_ttl by (
eccust_id,
srch::dateint,
query,
srch_ts,
show_movie_id,
show_name,
region
) parallel 150;
pas_ts_all = foreach grp_srch_strm_ttl generate
group.eccust_id as eccust_id,
group.dateint as dateint,
group.query as query,
(int)group.srch_ts as srch_ts:int,
group.show_movie_id as show_movie_id,
group.show_name as show_name,
(int)MIN(join_srch_strm_ttl.playback_start_time_sec) as strm_ts:int,
(int)SUM(join_srch_strm_ttl.mins) as mins:int,
group.region as region;
--store pas_ts_all into 'prodhive.ragrawal.pas_ts' using DseBatchedStorage('gz');
store pas_ts_all into 'temp-pas_ts_all';
-- Step 2: calculate strength between search and movie.
-- There are two different ways to calculate strength
-- 1. Based on delay: the longer the delay between search and playing a movie, the lower is the strength
-- num_strms = 60/(60 + delay_in_minutes )
-- 2. Based on combination of delay and how long a movie is played: play_length_in_minutes * num_strms
pas_all_cols = foreach pas_ts_all {
srch_hr = (int)datetime.format_epoch('%H', srch_ts);
srch_min = (int)datetime.format_epoch('%M', srch_ts);
strm_hr = (int)datetime.format_epoch('%H', strm_ts);
strm_min = (int)datetime.format_epoch('%M', strm_ts);
delay = (strm_hr - srch_hr)*60.0 + (strm_min - srch_min);
-- delay = FLOOR((strm_ts - srch_ts)/(double)60.0);
num_strms = (double)60.0/((double)60.0 + delay);
hrs = (double)mins*num_strms;
generate
TRIM(query) as query,
show_movie_id as show_movie_id,
show_name as show_name,
num_strms as num_strms,
hrs as hrs,
region as region,
delay as delay;
};
-- TOOD: ALL THIS SHOULD BE HANDLED IN THE FIRST STEP
flt_pas = filter pas_all_cols by
query is not null
and LENGTH(query) > 0
and delay >= 0 ;
grp_pas_all = group flt_pas by (region, query, show_movie_id, show_name);
pas_all_ncols = foreach grp_pas_all generate
group.query as query,
group.show_movie_id as show_movie_id,
group.show_name as show_name,
SUM(flt_pas.num_strms) as num_strms,
SUM(flt_pas.hrs)/60.0 as hrs,
group.region as region;
-- only retain those pairs that passes minimum threshold criteria
join_pas_threshold = join pas_all_ncols by region LEFT OUTER, region_num_strms_threshold by region using 'replicated';
flt_pas_all = filter join_pas_threshold by pas_all_ncols::num_strms >= (region_num_strms_threshold::num_strms is null ? 5 : region_num_strms_threshold::num_strms) ;
pas_all = foreach flt_pas_all generate
query as query,
show_movie_id as show_movie_id,
show_name as show_name,
(int) (pas_all_ncols::num_strms) as num_strms:int,
hrs as hrs:double,
pas_all_ncols::region as region;
--store pas_all into 'prodhive.ragrawal.pas_all' using DseBatchedStorage('gz');
store pas_all into 'temp-pass_all';
-- Step 3: search_plays_after_search_probs_all
-- P(q->t) = #(q->t)/\sum(q)\sum(t)#q->t
-- P(t) = #t/\sum(#t)
-- calculate total strength for show itself i.e n(t)
grp_ttl = group pas_all by (region, show_movie_id);
stats_ttl = foreach grp_ttl generate
flatten(group) as (region, show_movie_id),
(double) SUM(pas_all.num_strms) as total_strms_show: double,
(double) SUM(pas_all.hrs) as total_hrs_show:double;
-- calculate total strength for queries i.e. n(q)
-- this is required for noise calculation
grp_q = group pas_all by (region, query);
stats_q = foreach grp_q generate
flatten(group) as (region, query),
(double) SUM(pas_all.num_strms) as total_strms_query: double,
(double) SUM(pas_all.hrs) as total_hrs_query: double ;
-- aggregate at region level
grp_region = group pas_all by region;
stats_region = foreach grp_region generate
flatten(group) as region,
(double) SUM(pas_all.num_strms) as all_strms:double,
(double) SUM(pas_all.hrs) as all_hrs:double;
-- expand pas_all by attaching query_stats and show stats
pas_q = join pas_all by (region, query), stats_q by (region, query);
pas_q_ttl = join pas_q by (pas_all::region, show_movie_id),
stats_ttl by (region, show_movie_id);
-- calculate streaming and query related factors
pas_probs = foreach pas_q_ttl generate
pas_q::pas_all::query as query,
pas_q::pas_all::show_movie_id as show_movie_id,
show_name,
(int)num_strms as num_strms:int,
(
total_strms_query > 0.0
? (double)num_strms/total_strms_query
: 0.0
) as p_strms,
(
total_hrs_query > 0.0
? (double)hrs/total_hrs_query
: 0.0
) as p_hrs,
(
num_strms > 1.0 and total_strms_show > 1.0 and total_strms_query > 1.0
? 1.0 + 1.0/total_strms_show - 1*SQRT(1.0/num_strms + 1.0/total_strms_show + 1.0/(total_strms_show*total_strms_show) - 1.0/total_strms_query)
: 1.0
) as noise_strms,
(
hrs > 1.0 and total_hrs_show > 1.0 and total_hrs_query > 1.0
? 1.0 + 1.0/total_hrs_show - 1*SQRT(1.0/hrs + 1.0/total_hrs_show + 1.0/(total_hrs_show*total_hrs_show) - 1.0/total_hrs_query)
: 1.0
) as noise_hrs,
pas_q::pas_all::region as region;
--store pas_probs into 'prodhive.ragrawal.pas_probs' using DseBatchedStorage('gz');
store pas_probs into 'temp-pas_probs';
-- 4.3.3: Join show stats and region stats
join_stats_ttl_region = join stats_ttl by region, stats_region by region using 'replicated';
pas_pops = foreach join_stats_ttl_region generate
show_movie_id as show_movie_id,
total_strms_show as total_strms,
(int) total_hrs_show as total_hrs:int,
(
all_strms > 0.0
? (double)total_strms_show/all_strms
: 0.0
) as pop_strms:double,
(
all_hrs > 0.0
? (double)total_hrs_show/all_hrs
: 0.0
) as pop_hrs:double,
stats_region::region as region;
--store pas_pops into 'prodhive.ragrawal.pas_pops' using DseBatchedStorage('gz');
store pas_pops into 'temp-pass_pops';
-- Join query, show and countrygroup stats together
join_probs_pops = join pas_probs by (show_movie_id, region),
pas_pops by (show_movie_id, region);
pas_or = foreach join_probs_pops {
strms_or = (
pop_strms > 0.0
? p_strms/pop_strms
: 0.0
);
hrs_or = (
pop_hrs > 0.0
? p_hrs/pop_hrs
: 0.0
);
generate
query as query,
pas_probs::show_movie_id as show_movie_id,
show_name as show_name,
num_strms as num_strms,
p_strms as p_strms,
p_hrs as p_hrs,
noise_strms as noise_strms,
noise_hrs as noise_hrs,
pop_strms as pop_strms,
pop_hrs as pop_hrs,
strms_or as strms_or,
hrs_or as hrs_or,
(
noise_strms >= 0.0 and noise_strms <=1.0
? strms_or * noise_strms
: (
noise_strms > 1.0
? strms_or
: 0.0
)
) as strms_or_lb,
(
noise_hrs >= 0.0 and noise_hrs <= 1.0
? hrs_or * noise_hrs
: (
noise_hrs > 1.0
? hrs_or
: 0.0
)
) as hrs_or_lb,
pas_probs::region as region;
};
store pas_or into 'temp-pas_or';
| PigLatin | 4 | OpenEarthDemo/Lipstick | lipstick-console/src/test/resources/complex2.pig | [
"Apache-2.0"
] |
subscription TeamCollectionRemoved($teamID: ID!) {
teamCollectionRemoved(teamID: $teamID)
}
| GraphQL | 4 | miily8310s/hoppscotch | packages/hoppscotch-app/helpers/backend/gql/subscriptions/TeamCollectionRemoved.graphql | [
"MIT"
] |
(kicad_pcb (version 20171130) (host pcbnew 5.0.2-bee76a0~70~ubuntu18.04.1)
(general
(thickness 1.6)
(drawings 7)
(tracks 96)
(zones 0)
(modules 12)
(nets 25)
)
(page A4)
(layers
(0 F.Cu signal)
(31 B.Cu signal)
(32 B.Adhes user)
(33 F.Adhes user)
(34 B.Paste user)
(35 F.Paste user)
(36 B.SilkS user)
(37 F.SilkS user)
(38 B.Mask user)
(39 F.Mask user)
(40 Dwgs.User user)
(41 Cmts.User user)
(42 Eco1.User user)
(43 Eco2.User user)
(44 Edge.Cuts user)
(45 Margin user)
(46 B.CrtYd user)
(47 F.CrtYd user)
(48 B.Fab user)
(49 F.Fab user hide)
)
(setup
(last_trace_width 0.3)
(user_trace_width 0.2)
(user_trace_width 0.3)
(user_trace_width 0.5)
(trace_clearance 0.13)
(zone_clearance 0.2)
(zone_45_only yes)
(trace_min 0.13)
(segment_width 0.2)
(edge_width 0.15)
(via_size 0.6)
(via_drill 0.3)
(via_min_size 0.6)
(via_min_drill 0.3)
(uvia_size 0.3)
(uvia_drill 0.1)
(uvias_allowed no)
(uvia_min_size 0.2)
(uvia_min_drill 0.1)
(pcb_text_width 0.3)
(pcb_text_size 1.5 1.5)
(mod_edge_width 0.15)
(mod_text_size 0.8 0.8)
(mod_text_width 0.12)
(pad_size 1.524 1.524)
(pad_drill 0.762)
(pad_to_mask_clearance 0.051)
(solder_mask_min_width 0.25)
(aux_axis_origin 0 0)
(visible_elements FFFFFF7F)
(pcbplotparams
(layerselection 0x010fc_ffffffff)
(usegerberextensions false)
(usegerberattributes false)
(usegerberadvancedattributes false)
(creategerberjobfile false)
(excludeedgelayer true)
(linewidth 0.100000)
(plotframeref false)
(viasonmask false)
(mode 1)
(useauxorigin false)
(hpglpennumber 1)
(hpglpenspeed 20)
(hpglpendiameter 15.000000)
(psnegative false)
(psa4output false)
(plotreference true)
(plotvalue true)
(plotinvisibletext false)
(padsonsilk false)
(subtractmaskfromsilk false)
(outputformat 1)
(mirror false)
(drillshape 0)
(scaleselection 1)
(outputdirectory "gerb/"))
)
(net 0 "")
(net 1 /D2+)
(net 2 /PMOD_D2+)
(net 3 /D1+)
(net 4 /PMOD_D1+)
(net 5 /D0+)
(net 6 /PMOD_D0+)
(net 7 /CK+)
(net 8 /PMOD_CK+)
(net 9 /PMOD_D2-)
(net 10 /D2-)
(net 11 /PMOD_D1-)
(net 12 /D1-)
(net 13 /PMOD_D0-)
(net 14 /D0-)
(net 15 /PMOD_CK-)
(net 16 /CK-)
(net 17 GND)
(net 18 +5V)
(net 19 +3V3)
(net 20 "Net-(J3-Pad13)")
(net 21 "Net-(J3-Pad14)")
(net 22 "Net-(J3-Pad15)")
(net 23 "Net-(J3-Pad16)")
(net 24 "Net-(J3-Pad19)")
(net_class Default "This is the default net class."
(clearance 0.13)
(trace_width 0.13)
(via_dia 0.6)
(via_drill 0.3)
(uvia_dia 0.3)
(uvia_drill 0.1)
(diff_pair_gap 0.13)
(diff_pair_width 0.13)
(add_net +3V3)
(add_net +5V)
(add_net /CK+)
(add_net /CK-)
(add_net /D0+)
(add_net /D0-)
(add_net /D1+)
(add_net /D1-)
(add_net /D2+)
(add_net /D2-)
(add_net /PMOD_CK+)
(add_net /PMOD_CK-)
(add_net /PMOD_D0+)
(add_net /PMOD_D0-)
(add_net /PMOD_D1+)
(add_net /PMOD_D1-)
(add_net /PMOD_D2+)
(add_net /PMOD_D2-)
(add_net GND)
(add_net "Net-(J3-Pad13)")
(add_net "Net-(J3-Pad14)")
(add_net "Net-(J3-Pad15)")
(add_net "Net-(J3-Pad16)")
(add_net "Net-(J3-Pad19)")
)
(module Capacitor_SMD:C_0402_1005Metric (layer F.Cu) (tedit 5B301BBE) (tstamp 5F63238A)
(at 140 72 180)
(descr "Capacitor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
(tags capacitor)
(path /5F61B0C6)
(attr smd)
(fp_text reference C1 (at 2 0 180) (layer F.SilkS)
(effects (font (size 0.8 0.8) (thickness 0.12)))
)
(fp_text value 220n (at 0 1.17 180) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -0.5 0.25) (end -0.5 -0.25) (layer F.Fab) (width 0.1))
(fp_line (start -0.5 -0.25) (end 0.5 -0.25) (layer F.Fab) (width 0.1))
(fp_line (start 0.5 -0.25) (end 0.5 0.25) (layer F.Fab) (width 0.1))
(fp_line (start 0.5 0.25) (end -0.5 0.25) (layer F.Fab) (width 0.1))
(fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer F.CrtYd) (width 0.05))
(fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer F.CrtYd) (width 0.05))
(fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer F.CrtYd) (width 0.05))
(fp_line (start 0.93 0.47) (end -0.93 0.47) (layer F.CrtYd) (width 0.05))
(fp_text user %R (at 0 0 180) (layer F.Fab)
(effects (font (size 0.25 0.25) (thickness 0.04)))
)
(pad 1 smd roundrect (at -0.485 0 180) (size 0.59 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 1 /D2+))
(pad 2 smd roundrect (at 0.485 0 180) (size 0.59 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 2 /PMOD_D2+))
(model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0402_1005Metric.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Capacitor_SMD:C_0402_1005Metric (layer F.Cu) (tedit 5B301BBE) (tstamp 5F63177F)
(at 140 74 180)
(descr "Capacitor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
(tags capacitor)
(path /5F61D3AA)
(attr smd)
(fp_text reference C2 (at 2 0 180) (layer F.SilkS)
(effects (font (size 0.8 0.8) (thickness 0.12)))
)
(fp_text value 220n (at 0 1.17 180) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -0.5 0.25) (end -0.5 -0.25) (layer F.Fab) (width 0.1))
(fp_line (start -0.5 -0.25) (end 0.5 -0.25) (layer F.Fab) (width 0.1))
(fp_line (start 0.5 -0.25) (end 0.5 0.25) (layer F.Fab) (width 0.1))
(fp_line (start 0.5 0.25) (end -0.5 0.25) (layer F.Fab) (width 0.1))
(fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer F.CrtYd) (width 0.05))
(fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer F.CrtYd) (width 0.05))
(fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer F.CrtYd) (width 0.05))
(fp_line (start 0.93 0.47) (end -0.93 0.47) (layer F.CrtYd) (width 0.05))
(fp_text user %R (at 0 0 180) (layer F.Fab)
(effects (font (size 0.25 0.25) (thickness 0.04)))
)
(pad 1 smd roundrect (at -0.485 0 180) (size 0.59 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 3 /D1+))
(pad 2 smd roundrect (at 0.485 0 180) (size 0.59 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 4 /PMOD_D1+))
(model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0402_1005Metric.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Capacitor_SMD:C_0402_1005Metric (layer F.Cu) (tedit 5B301BBE) (tstamp 5F63178E)
(at 140 76 180)
(descr "Capacitor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
(tags capacitor)
(path /5F61D9A4)
(attr smd)
(fp_text reference C3 (at 2 0 180) (layer F.SilkS)
(effects (font (size 0.8 0.8) (thickness 0.12)))
)
(fp_text value 220n (at 0 1.17 180) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -0.5 0.25) (end -0.5 -0.25) (layer F.Fab) (width 0.1))
(fp_line (start -0.5 -0.25) (end 0.5 -0.25) (layer F.Fab) (width 0.1))
(fp_line (start 0.5 -0.25) (end 0.5 0.25) (layer F.Fab) (width 0.1))
(fp_line (start 0.5 0.25) (end -0.5 0.25) (layer F.Fab) (width 0.1))
(fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer F.CrtYd) (width 0.05))
(fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer F.CrtYd) (width 0.05))
(fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer F.CrtYd) (width 0.05))
(fp_line (start 0.93 0.47) (end -0.93 0.47) (layer F.CrtYd) (width 0.05))
(fp_text user %R (at 0 0 180) (layer F.Fab)
(effects (font (size 0.25 0.25) (thickness 0.04)))
)
(pad 1 smd roundrect (at -0.485 0 180) (size 0.59 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 5 /D0+))
(pad 2 smd roundrect (at 0.485 0 180) (size 0.59 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 6 /PMOD_D0+))
(model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0402_1005Metric.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Capacitor_SMD:C_0402_1005Metric (layer F.Cu) (tedit 5B301BBE) (tstamp 5F63179D)
(at 140 78 180)
(descr "Capacitor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
(tags capacitor)
(path /5F61E195)
(attr smd)
(fp_text reference C4 (at 2 0 180) (layer F.SilkS)
(effects (font (size 0.8 0.8) (thickness 0.12)))
)
(fp_text value 220n (at 0 1.17 180) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -0.5 0.25) (end -0.5 -0.25) (layer F.Fab) (width 0.1))
(fp_line (start -0.5 -0.25) (end 0.5 -0.25) (layer F.Fab) (width 0.1))
(fp_line (start 0.5 -0.25) (end 0.5 0.25) (layer F.Fab) (width 0.1))
(fp_line (start 0.5 0.25) (end -0.5 0.25) (layer F.Fab) (width 0.1))
(fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer F.CrtYd) (width 0.05))
(fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer F.CrtYd) (width 0.05))
(fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer F.CrtYd) (width 0.05))
(fp_line (start 0.93 0.47) (end -0.93 0.47) (layer F.CrtYd) (width 0.05))
(fp_text user %R (at 0 0 180) (layer F.Fab)
(effects (font (size 0.25 0.25) (thickness 0.04)))
)
(pad 1 smd roundrect (at -0.485 0 180) (size 0.59 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 7 /CK+))
(pad 2 smd roundrect (at 0.485 0 180) (size 0.59 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 8 /PMOD_CK+))
(model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0402_1005Metric.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Capacitor_SMD:C_0402_1005Metric (layer F.Cu) (tedit 5B301BBE) (tstamp 5F6317AC)
(at 140 73 180)
(descr "Capacitor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
(tags capacitor)
(path /5F61B10D)
(attr smd)
(fp_text reference C5 (at 2 0 180) (layer F.SilkS)
(effects (font (size 0.8 0.8) (thickness 0.12)))
)
(fp_text value 220n (at 0 1.17 180) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text user %R (at 0 0 180) (layer F.Fab)
(effects (font (size 0.25 0.25) (thickness 0.04)))
)
(fp_line (start 0.93 0.47) (end -0.93 0.47) (layer F.CrtYd) (width 0.05))
(fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer F.CrtYd) (width 0.05))
(fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer F.CrtYd) (width 0.05))
(fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer F.CrtYd) (width 0.05))
(fp_line (start 0.5 0.25) (end -0.5 0.25) (layer F.Fab) (width 0.1))
(fp_line (start 0.5 -0.25) (end 0.5 0.25) (layer F.Fab) (width 0.1))
(fp_line (start -0.5 -0.25) (end 0.5 -0.25) (layer F.Fab) (width 0.1))
(fp_line (start -0.5 0.25) (end -0.5 -0.25) (layer F.Fab) (width 0.1))
(pad 2 smd roundrect (at 0.485 0 180) (size 0.59 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 9 /PMOD_D2-))
(pad 1 smd roundrect (at -0.485 0 180) (size 0.59 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 10 /D2-))
(model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0402_1005Metric.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Capacitor_SMD:C_0402_1005Metric (layer F.Cu) (tedit 5B301BBE) (tstamp 5F6317BB)
(at 140 75 180)
(descr "Capacitor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
(tags capacitor)
(path /5F61D3B1)
(attr smd)
(fp_text reference C6 (at 2 0 180) (layer F.SilkS)
(effects (font (size 0.8 0.8) (thickness 0.12)))
)
(fp_text value 220n (at 0 1.17 180) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text user %R (at 0 0 180) (layer F.Fab)
(effects (font (size 0.25 0.25) (thickness 0.04)))
)
(fp_line (start 0.93 0.47) (end -0.93 0.47) (layer F.CrtYd) (width 0.05))
(fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer F.CrtYd) (width 0.05))
(fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer F.CrtYd) (width 0.05))
(fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer F.CrtYd) (width 0.05))
(fp_line (start 0.5 0.25) (end -0.5 0.25) (layer F.Fab) (width 0.1))
(fp_line (start 0.5 -0.25) (end 0.5 0.25) (layer F.Fab) (width 0.1))
(fp_line (start -0.5 -0.25) (end 0.5 -0.25) (layer F.Fab) (width 0.1))
(fp_line (start -0.5 0.25) (end -0.5 -0.25) (layer F.Fab) (width 0.1))
(pad 2 smd roundrect (at 0.485 0 180) (size 0.59 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 11 /PMOD_D1-))
(pad 1 smd roundrect (at -0.485 0 180) (size 0.59 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 12 /D1-))
(model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0402_1005Metric.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Capacitor_SMD:C_0402_1005Metric (layer F.Cu) (tedit 5B301BBE) (tstamp 5F6317CA)
(at 140 77 180)
(descr "Capacitor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
(tags capacitor)
(path /5F61D9AB)
(attr smd)
(fp_text reference C7 (at 2 0 180) (layer F.SilkS)
(effects (font (size 0.8 0.8) (thickness 0.12)))
)
(fp_text value 220n (at 0 1.17 180) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text user %R (at 0 0 180) (layer F.Fab)
(effects (font (size 0.25 0.25) (thickness 0.04)))
)
(fp_line (start 0.93 0.47) (end -0.93 0.47) (layer F.CrtYd) (width 0.05))
(fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer F.CrtYd) (width 0.05))
(fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer F.CrtYd) (width 0.05))
(fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer F.CrtYd) (width 0.05))
(fp_line (start 0.5 0.25) (end -0.5 0.25) (layer F.Fab) (width 0.1))
(fp_line (start 0.5 -0.25) (end 0.5 0.25) (layer F.Fab) (width 0.1))
(fp_line (start -0.5 -0.25) (end 0.5 -0.25) (layer F.Fab) (width 0.1))
(fp_line (start -0.5 0.25) (end -0.5 -0.25) (layer F.Fab) (width 0.1))
(pad 2 smd roundrect (at 0.485 0 180) (size 0.59 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 13 /PMOD_D0-))
(pad 1 smd roundrect (at -0.485 0 180) (size 0.59 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 14 /D0-))
(model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0402_1005Metric.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Capacitor_SMD:C_0402_1005Metric (layer F.Cu) (tedit 5B301BBE) (tstamp 5F6317D9)
(at 140 79 180)
(descr "Capacitor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
(tags capacitor)
(path /5F61E19C)
(attr smd)
(fp_text reference C8 (at 2 0 180) (layer F.SilkS)
(effects (font (size 0.8 0.8) (thickness 0.12)))
)
(fp_text value 220n (at 0 1.17 180) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text user %R (at 0 0 180) (layer F.Fab)
(effects (font (size 0.25 0.25) (thickness 0.04)))
)
(fp_line (start 0.93 0.47) (end -0.93 0.47) (layer F.CrtYd) (width 0.05))
(fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer F.CrtYd) (width 0.05))
(fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer F.CrtYd) (width 0.05))
(fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer F.CrtYd) (width 0.05))
(fp_line (start 0.5 0.25) (end -0.5 0.25) (layer F.Fab) (width 0.1))
(fp_line (start 0.5 -0.25) (end 0.5 0.25) (layer F.Fab) (width 0.1))
(fp_line (start -0.5 -0.25) (end 0.5 -0.25) (layer F.Fab) (width 0.1))
(fp_line (start -0.5 0.25) (end -0.5 -0.25) (layer F.Fab) (width 0.1))
(pad 2 smd roundrect (at 0.485 0 180) (size 0.59 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 15 /PMOD_CK-))
(pad 1 smd roundrect (at -0.485 0 180) (size 0.59 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 16 /CK-))
(model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0402_1005Metric.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Capacitor_SMD:C_0402_1005Metric (layer F.Cu) (tedit 5B301BBE) (tstamp 5F6317E8)
(at 141.5 83 90)
(descr "Capacitor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
(tags capacitor)
(path /5F630168)
(attr smd)
(fp_text reference C9 (at -2.25 0 180) (layer F.SilkS)
(effects (font (size 0.8 0.8) (thickness 0.12)))
)
(fp_text value 220n (at 0 1.17 90) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -0.5 0.25) (end -0.5 -0.25) (layer F.Fab) (width 0.1))
(fp_line (start -0.5 -0.25) (end 0.5 -0.25) (layer F.Fab) (width 0.1))
(fp_line (start 0.5 -0.25) (end 0.5 0.25) (layer F.Fab) (width 0.1))
(fp_line (start 0.5 0.25) (end -0.5 0.25) (layer F.Fab) (width 0.1))
(fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer F.CrtYd) (width 0.05))
(fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer F.CrtYd) (width 0.05))
(fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer F.CrtYd) (width 0.05))
(fp_line (start 0.93 0.47) (end -0.93 0.47) (layer F.CrtYd) (width 0.05))
(fp_text user %R (at 0 0 90) (layer F.Fab)
(effects (font (size 0.25 0.25) (thickness 0.04)))
)
(pad 1 smd roundrect (at -0.485 0 90) (size 0.59 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 17 GND))
(pad 2 smd roundrect (at 0.485 0 90) (size 0.59 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 18 +5V))
(model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0402_1005Metric.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Connector_PinSocket_2.54mm:PinSocket_2x06_P2.54mm_Horizontal (layer F.Cu) (tedit 5A19A42C) (tstamp 5F632166)
(at 131.445 70)
(descr "Through hole angled socket strip, 2x06, 2.54mm pitch, 8.51mm socket length, double cols (from Kicad 4.0.7), script generated")
(tags "Through hole angled socket strip THT 2x06 2.54mm double row")
(path /5F61A52F)
(fp_text reference J1 (at 2.555 -1) (layer F.SilkS)
(effects (font (size 0.8 0.8) (thickness 0.12)))
)
(fp_text value 02x06 (at -5.65 15.47) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -12.57 -1.27) (end -5.03 -1.27) (layer F.Fab) (width 0.1))
(fp_line (start -5.03 -1.27) (end -4.06 -0.3) (layer F.Fab) (width 0.1))
(fp_line (start -4.06 -0.3) (end -4.06 13.97) (layer F.Fab) (width 0.1))
(fp_line (start -4.06 13.97) (end -12.57 13.97) (layer F.Fab) (width 0.1))
(fp_line (start -12.57 13.97) (end -12.57 -1.27) (layer F.Fab) (width 0.1))
(fp_line (start 0 -0.3) (end -4.06 -0.3) (layer F.Fab) (width 0.1))
(fp_line (start -4.06 0.3) (end 0 0.3) (layer F.Fab) (width 0.1))
(fp_line (start 0 0.3) (end 0 -0.3) (layer F.Fab) (width 0.1))
(fp_line (start 0 2.24) (end -4.06 2.24) (layer F.Fab) (width 0.1))
(fp_line (start -4.06 2.84) (end 0 2.84) (layer F.Fab) (width 0.1))
(fp_line (start 0 2.84) (end 0 2.24) (layer F.Fab) (width 0.1))
(fp_line (start 0 4.78) (end -4.06 4.78) (layer F.Fab) (width 0.1))
(fp_line (start -4.06 5.38) (end 0 5.38) (layer F.Fab) (width 0.1))
(fp_line (start 0 5.38) (end 0 4.78) (layer F.Fab) (width 0.1))
(fp_line (start 0 7.32) (end -4.06 7.32) (layer F.Fab) (width 0.1))
(fp_line (start -4.06 7.92) (end 0 7.92) (layer F.Fab) (width 0.1))
(fp_line (start 0 7.92) (end 0 7.32) (layer F.Fab) (width 0.1))
(fp_line (start 0 9.86) (end -4.06 9.86) (layer F.Fab) (width 0.1))
(fp_line (start -4.06 10.46) (end 0 10.46) (layer F.Fab) (width 0.1))
(fp_line (start 0 10.46) (end 0 9.86) (layer F.Fab) (width 0.1))
(fp_line (start 0 12.4) (end -4.06 12.4) (layer F.Fab) (width 0.1))
(fp_line (start -4.06 13) (end 0 13) (layer F.Fab) (width 0.1))
(fp_line (start 0 13) (end 0 12.4) (layer F.Fab) (width 0.1))
(fp_line (start -12.63 -1.21) (end -4 -1.21) (layer F.SilkS) (width 0.12))
(fp_line (start -12.63 -1.091905) (end -4 -1.091905) (layer F.SilkS) (width 0.12))
(fp_line (start -12.63 -0.97381) (end -4 -0.97381) (layer F.SilkS) (width 0.12))
(fp_line (start -12.63 -0.855715) (end -4 -0.855715) (layer F.SilkS) (width 0.12))
(fp_line (start -12.63 -0.73762) (end -4 -0.73762) (layer F.SilkS) (width 0.12))
(fp_line (start -12.63 -0.619525) (end -4 -0.619525) (layer F.SilkS) (width 0.12))
(fp_line (start -12.63 -0.50143) (end -4 -0.50143) (layer F.SilkS) (width 0.12))
(fp_line (start -12.63 -0.383335) (end -4 -0.383335) (layer F.SilkS) (width 0.12))
(fp_line (start -12.63 -0.26524) (end -4 -0.26524) (layer F.SilkS) (width 0.12))
(fp_line (start -12.63 -0.147145) (end -4 -0.147145) (layer F.SilkS) (width 0.12))
(fp_line (start -12.63 -0.02905) (end -4 -0.02905) (layer F.SilkS) (width 0.12))
(fp_line (start -12.63 0.089045) (end -4 0.089045) (layer F.SilkS) (width 0.12))
(fp_line (start -12.63 0.20714) (end -4 0.20714) (layer F.SilkS) (width 0.12))
(fp_line (start -12.63 0.325235) (end -4 0.325235) (layer F.SilkS) (width 0.12))
(fp_line (start -12.63 0.44333) (end -4 0.44333) (layer F.SilkS) (width 0.12))
(fp_line (start -12.63 0.561425) (end -4 0.561425) (layer F.SilkS) (width 0.12))
(fp_line (start -12.63 0.67952) (end -4 0.67952) (layer F.SilkS) (width 0.12))
(fp_line (start -12.63 0.797615) (end -4 0.797615) (layer F.SilkS) (width 0.12))
(fp_line (start -12.63 0.91571) (end -4 0.91571) (layer F.SilkS) (width 0.12))
(fp_line (start -12.63 1.033805) (end -4 1.033805) (layer F.SilkS) (width 0.12))
(fp_line (start -12.63 1.1519) (end -4 1.1519) (layer F.SilkS) (width 0.12))
(fp_line (start -4 -0.36) (end -3.59 -0.36) (layer F.SilkS) (width 0.12))
(fp_line (start -1.49 -0.36) (end -1.11 -0.36) (layer F.SilkS) (width 0.12))
(fp_line (start -4 0.36) (end -3.59 0.36) (layer F.SilkS) (width 0.12))
(fp_line (start -1.49 0.36) (end -1.11 0.36) (layer F.SilkS) (width 0.12))
(fp_line (start -4 2.18) (end -3.59 2.18) (layer F.SilkS) (width 0.12))
(fp_line (start -1.49 2.18) (end -1.05 2.18) (layer F.SilkS) (width 0.12))
(fp_line (start -4 2.9) (end -3.59 2.9) (layer F.SilkS) (width 0.12))
(fp_line (start -1.49 2.9) (end -1.05 2.9) (layer F.SilkS) (width 0.12))
(fp_line (start -4 4.72) (end -3.59 4.72) (layer F.SilkS) (width 0.12))
(fp_line (start -1.49 4.72) (end -1.05 4.72) (layer F.SilkS) (width 0.12))
(fp_line (start -4 5.44) (end -3.59 5.44) (layer F.SilkS) (width 0.12))
(fp_line (start -1.49 5.44) (end -1.05 5.44) (layer F.SilkS) (width 0.12))
(fp_line (start -4 7.26) (end -3.59 7.26) (layer F.SilkS) (width 0.12))
(fp_line (start -1.49 7.26) (end -1.05 7.26) (layer F.SilkS) (width 0.12))
(fp_line (start -4 7.98) (end -3.59 7.98) (layer F.SilkS) (width 0.12))
(fp_line (start -1.49 7.98) (end -1.05 7.98) (layer F.SilkS) (width 0.12))
(fp_line (start -4 9.8) (end -3.59 9.8) (layer F.SilkS) (width 0.12))
(fp_line (start -1.49 9.8) (end -1.05 9.8) (layer F.SilkS) (width 0.12))
(fp_line (start -4 10.52) (end -3.59 10.52) (layer F.SilkS) (width 0.12))
(fp_line (start -1.49 10.52) (end -1.05 10.52) (layer F.SilkS) (width 0.12))
(fp_line (start -4 12.34) (end -3.59 12.34) (layer F.SilkS) (width 0.12))
(fp_line (start -1.49 12.34) (end -1.05 12.34) (layer F.SilkS) (width 0.12))
(fp_line (start -4 13.06) (end -3.59 13.06) (layer F.SilkS) (width 0.12))
(fp_line (start -1.49 13.06) (end -1.05 13.06) (layer F.SilkS) (width 0.12))
(fp_line (start -12.63 1.27) (end -4 1.27) (layer F.SilkS) (width 0.12))
(fp_line (start -12.63 3.81) (end -4 3.81) (layer F.SilkS) (width 0.12))
(fp_line (start -12.63 6.35) (end -4 6.35) (layer F.SilkS) (width 0.12))
(fp_line (start -12.63 8.89) (end -4 8.89) (layer F.SilkS) (width 0.12))
(fp_line (start -12.63 11.43) (end -4 11.43) (layer F.SilkS) (width 0.12))
(fp_line (start -12.63 -1.33) (end -4 -1.33) (layer F.SilkS) (width 0.12))
(fp_line (start -4 -1.33) (end -4 14.03) (layer F.SilkS) (width 0.12))
(fp_line (start -12.63 14.03) (end -4 14.03) (layer F.SilkS) (width 0.12))
(fp_line (start -12.63 -1.33) (end -12.63 14.03) (layer F.SilkS) (width 0.12))
(fp_line (start 1.11 -1.33) (end 1.11 0) (layer F.SilkS) (width 0.12))
(fp_line (start 0 -1.33) (end 1.11 -1.33) (layer F.SilkS) (width 0.12))
(fp_line (start 1.8 -1.8) (end -13.05 -1.8) (layer F.CrtYd) (width 0.05))
(fp_line (start -13.05 -1.8) (end -13.05 14.45) (layer F.CrtYd) (width 0.05))
(fp_line (start -13.05 14.45) (end 1.8 14.45) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.8 14.45) (end 1.8 -1.8) (layer F.CrtYd) (width 0.05))
(fp_text user %R (at -8.315 6.35 90) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(pad 1 thru_hole rect (at 0 0) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 2 /PMOD_D2+))
(pad 2 thru_hole oval (at -2.54 0) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 4 /PMOD_D1+))
(pad 3 thru_hole oval (at 0 2.54) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 9 /PMOD_D2-))
(pad 4 thru_hole oval (at -2.54 2.54) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 11 /PMOD_D1-))
(pad 5 thru_hole oval (at 0 5.08) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 6 /PMOD_D0+))
(pad 6 thru_hole oval (at -2.54 5.08) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 8 /PMOD_CK+))
(pad 7 thru_hole oval (at 0 7.62) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 13 /PMOD_D0-))
(pad 8 thru_hole oval (at -2.54 7.62) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 15 /PMOD_CK-))
(pad 9 thru_hole oval (at 0 10.16) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 17 GND))
(pad 10 thru_hole oval (at -2.54 10.16) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 17 GND))
(pad 11 thru_hole oval (at 0 12.7) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 19 +3V3))
(pad 12 thru_hole oval (at -2.54 12.7) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 19 +3V3))
(model ${KISYS3DMOD}/Connector_PinSocket_2.54mm.3dshapes/PinSocket_2x06_P2.54mm_Horizontal.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Connector_PinHeader_2.54mm:PinHeader_1x02_P2.54mm_Vertical (layer F.Cu) (tedit 59FED5CC) (tstamp 5F631C5E)
(at 139 83 270)
(descr "Through hole straight pin header, 1x02, 2.54mm pitch, single row")
(tags "Through hole pin header THT 1x02 2.54mm single row")
(path /5F626B92)
(fp_text reference J2 (at 2 6) (layer F.SilkS)
(effects (font (size 0.8 0.8) (thickness 0.12)))
)
(fp_text value Conn_01x02 (at 0 4.87 270) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -0.635 -1.27) (end 1.27 -1.27) (layer F.Fab) (width 0.1))
(fp_line (start 1.27 -1.27) (end 1.27 3.81) (layer F.Fab) (width 0.1))
(fp_line (start 1.27 3.81) (end -1.27 3.81) (layer F.Fab) (width 0.1))
(fp_line (start -1.27 3.81) (end -1.27 -0.635) (layer F.Fab) (width 0.1))
(fp_line (start -1.27 -0.635) (end -0.635 -1.27) (layer F.Fab) (width 0.1))
(fp_line (start -1.33 3.87) (end 1.33 3.87) (layer F.SilkS) (width 0.12))
(fp_line (start -1.33 1.27) (end -1.33 3.87) (layer F.SilkS) (width 0.12))
(fp_line (start 1.33 1.27) (end 1.33 3.87) (layer F.SilkS) (width 0.12))
(fp_line (start -1.33 1.27) (end 1.33 1.27) (layer F.SilkS) (width 0.12))
(fp_line (start -1.33 0) (end -1.33 -1.33) (layer F.SilkS) (width 0.12))
(fp_line (start -1.33 -1.33) (end 0 -1.33) (layer F.SilkS) (width 0.12))
(fp_line (start -1.8 -1.8) (end -1.8 4.35) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.8 4.35) (end 1.8 4.35) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.8 4.35) (end 1.8 -1.8) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.8 -1.8) (end -1.8 -1.8) (layer F.CrtYd) (width 0.05))
(fp_text user %R (at 0 1.27) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(pad 1 thru_hole rect (at 0 0 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 18 +5V))
(pad 2 thru_hole oval (at 0 2.54 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 17 GND))
(model ${KISYS3DMOD}/Connector_PinHeader_2.54mm.3dshapes/PinHeader_1x02_P2.54mm_Vertical.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module picodvi:HDMI-SS-53000 (layer F.Cu) (tedit 5EF3871F) (tstamp 5F632284)
(at 144.5 76.75 270)
(path /5F61A1A0)
(attr smd)
(fp_text reference J3 (at -7.75 2.5) (layer F.SilkS)
(effects (font (size 0.8 0.8) (thickness 0.12)))
)
(fp_text value HDMI_A (at 0 -2.45 270) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -7.8 -7.4) (end 7.8 -7.4) (layer F.SilkS) (width 0.15))
(fp_line (start 7.9 -7.4) (end 7.9 -6.2) (layer F.SilkS) (width 0.15))
(fp_line (start -7.8 -7.4) (end -7.8 -6.2) (layer F.SilkS) (width 0.15))
(pad 1 smd rect (at -4.25 0.9 270) (size 0.3 1.9) (layers F.Cu F.Paste F.Mask)
(net 1 /D2+))
(pad 2 smd rect (at -3.75 0.9 270) (size 0.3 1.9) (layers F.Cu F.Paste F.Mask)
(net 17 GND))
(pad 3 smd rect (at -3.25 0.9 270) (size 0.3 1.9) (layers F.Cu F.Paste F.Mask)
(net 10 /D2-))
(pad 4 smd rect (at -2.75 0.9 270) (size 0.3 1.9) (layers F.Cu F.Paste F.Mask)
(net 3 /D1+))
(pad 5 smd rect (at -2.25 0.9 270) (size 0.3 1.9) (layers F.Cu F.Paste F.Mask)
(net 17 GND))
(pad 6 smd rect (at -1.75 0.9 270) (size 0.3 1.9) (layers F.Cu F.Paste F.Mask)
(net 12 /D1-))
(pad 7 smd rect (at -1.25 0.9 270) (size 0.3 1.9) (layers F.Cu F.Paste F.Mask)
(net 5 /D0+))
(pad 8 smd rect (at -0.75 0.9 270) (size 0.3 1.9) (layers F.Cu F.Paste F.Mask)
(net 17 GND))
(pad 9 smd rect (at -0.25 0.9 270) (size 0.3 1.9) (layers F.Cu F.Paste F.Mask)
(net 14 /D0-))
(pad 10 smd rect (at 0.25 0.9 270) (size 0.3 1.9) (layers F.Cu F.Paste F.Mask)
(net 7 /CK+))
(pad 11 smd rect (at 0.75 0.9 270) (size 0.3 1.9) (layers F.Cu F.Paste F.Mask)
(net 17 GND))
(pad 12 smd rect (at 1.25 0.9 270) (size 0.3 1.9) (layers F.Cu F.Paste F.Mask)
(net 16 /CK-))
(pad 13 smd rect (at 1.75 0.9 270) (size 0.3 1.9) (layers F.Cu F.Paste F.Mask)
(net 20 "Net-(J3-Pad13)"))
(pad 14 smd rect (at 2.25 0.9 270) (size 0.3 1.9) (layers F.Cu F.Paste F.Mask)
(net 21 "Net-(J3-Pad14)"))
(pad 15 smd rect (at 2.75 0.9 270) (size 0.3 1.9) (layers F.Cu F.Paste F.Mask)
(net 22 "Net-(J3-Pad15)"))
(pad 16 smd rect (at 3.25 0.9 270) (size 0.3 1.9) (layers F.Cu F.Paste F.Mask)
(net 23 "Net-(J3-Pad16)"))
(pad 17 smd rect (at 3.75 0.9 270) (size 0.3 1.9) (layers F.Cu F.Paste F.Mask)
(net 17 GND))
(pad 18 smd rect (at 4.25 0.9 270) (size 0.3 1.9) (layers F.Cu F.Paste F.Mask)
(net 18 +5V))
(pad 19 smd rect (at 4.75 0.9 270) (size 0.3 1.9) (layers F.Cu F.Paste F.Mask)
(net 24 "Net-(J3-Pad19)"))
(pad SH thru_hole circle (at 7.25 0 270) (size 1.9 1.9) (drill 1.3) (layers *.Cu *.Mask)
(net 17 GND))
(pad SH thru_hole circle (at -7.25 0 270) (size 1.9 1.9) (drill 1.3) (layers *.Cu *.Mask)
(net 17 GND))
(pad SH thru_hole circle (at 7.85 -4.9 270) (size 1.9 1.9) (drill 1.3) (layers *.Cu *.Mask)
(net 17 GND))
(pad SH thru_hole circle (at -7.85 -4.9 270) (size 1.9 1.9) (drill 1.3) (layers *.Cu *.Mask)
(net 17 GND))
)
(gr_text +5V (at 139.1 80.675) (layer F.SilkS) (tstamp 5F63457A)
(effects (font (size 0.8 0.8) (thickness 0.12)))
)
(gr_text GND (at 136.45 80.7) (layer F.SilkS)
(effects (font (size 0.8 0.8) (thickness 0.12)))
)
(gr_text JLCJLCJLCJLC (at 147.45 76.75 90) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(gr_line (start 152 86) (end 152 67.5) (layer Edge.Cuts) (width 0.2))
(gr_line (start 127 86) (end 152 86) (layer Edge.Cuts) (width 0.2))
(gr_line (start 127 67.5) (end 127 86) (layer Edge.Cuts) (width 0.2))
(gr_line (start 152 67.5) (end 127 67.5) (layer Edge.Cuts) (width 0.2))
(via (at 142.25 77.5) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 17) (tstamp 5F633648) (status 40000))
(segment (start 141.63 72.87) (end 142 72.5) (width 0.13) (layer F.Cu) (net 1))
(segment (start 140.485 72) (end 141.355 72.87) (width 0.13) (layer F.Cu) (net 1))
(segment (start 142 72.5) (end 143.6 72.5) (width 0.13) (layer F.Cu) (net 1))
(segment (start 141.355 72.87) (end 141.63 72.87) (width 0.13) (layer F.Cu) (net 1))
(segment (start 139.265 72.25) (end 139.515 72) (width 0.13) (layer F.Cu) (net 2))
(segment (start 133.725 72.25) (end 139.265 72.25) (width 0.13) (layer F.Cu) (net 2))
(segment (start 131.445 70) (end 131.475 70) (width 0.13) (layer F.Cu) (net 2))
(segment (start 131.475 70) (end 133.725 72.25) (width 0.13) (layer F.Cu) (net 2))
(segment (start 142 74) (end 143.6 74) (width 0.13) (layer F.Cu) (net 3))
(segment (start 141.63 74.37) (end 142 74) (width 0.13) (layer F.Cu) (net 3))
(segment (start 140.485 74) (end 140.855 74.37) (width 0.13) (layer F.Cu) (net 3))
(segment (start 140.855 74.37) (end 141.63 74.37) (width 0.13) (layer F.Cu) (net 3))
(segment (start 138.45 74) (end 139.515 74) (width 0.13) (layer F.Cu) (net 4))
(segment (start 138.175 73.725) (end 138.45 74) (width 0.13) (layer F.Cu) (net 4))
(segment (start 129.950001 73.025001) (end 130.65 73.725) (width 0.13) (layer F.Cu) (net 4))
(segment (start 129.950001 71.045001) (end 129.950001 73.025001) (width 0.13) (layer F.Cu) (net 4))
(segment (start 130.65 73.725) (end 138.175 73.725) (width 0.13) (layer F.Cu) (net 4))
(segment (start 128.905 70) (end 129.950001 71.045001) (width 0.13) (layer F.Cu) (net 4))
(segment (start 142 75.5) (end 143.6 75.5) (width 0.13) (layer F.Cu) (net 5))
(segment (start 141.63 75.87) (end 142 75.5) (width 0.13) (layer F.Cu) (net 5))
(segment (start 141.247304 75.87) (end 141.63 75.87) (width 0.13) (layer F.Cu) (net 5))
(segment (start 140.485 76) (end 141.117304 76) (width 0.13) (layer F.Cu) (net 5))
(segment (start 141.117304 76) (end 141.247304 75.87) (width 0.13) (layer F.Cu) (net 5))
(segment (start 139.14 76.375) (end 139.515 76) (width 0.13) (layer F.Cu) (net 6))
(segment (start 131.445 75.08) (end 132.74 76.375) (width 0.13) (layer F.Cu) (net 6))
(segment (start 132.74 76.375) (end 139.14 76.375) (width 0.13) (layer F.Cu) (net 6))
(segment (start 142.117304 77) (end 143.6 77) (width 0.13) (layer F.Cu) (net 7))
(segment (start 141.117304 78) (end 141.754999 77.362305) (width 0.13) (layer F.Cu) (net 7))
(segment (start 141.754999 77.362305) (end 141.754999 77.262399) (width 0.13) (layer F.Cu) (net 7))
(segment (start 141.754999 77.262399) (end 142.012399 77.004999) (width 0.13) (layer F.Cu) (net 7))
(segment (start 140.485 78) (end 141.117304 78) (width 0.13) (layer F.Cu) (net 7))
(segment (start 142.012399 77.004999) (end 142.112305 77.004999) (width 0.13) (layer F.Cu) (net 7))
(segment (start 142.112305 77.004999) (end 142.117304 77) (width 0.13) (layer F.Cu) (net 7))
(segment (start 139.12 78) (end 139.515 78) (width 0.13) (layer F.Cu) (net 8))
(segment (start 138.37 78.75) (end 139.12 78) (width 0.13) (layer F.Cu) (net 8))
(segment (start 130.525 78.75) (end 138.37 78.75) (width 0.13) (layer F.Cu) (net 8))
(segment (start 129.950001 78.175001) (end 130.525 78.75) (width 0.13) (layer F.Cu) (net 8))
(segment (start 128.905 75.08) (end 129.950001 76.125001) (width 0.13) (layer F.Cu) (net 8))
(segment (start 129.950001 76.125001) (end 129.950001 78.175001) (width 0.13) (layer F.Cu) (net 8))
(segment (start 139.055 72.54) (end 139.515 73) (width 0.13) (layer F.Cu) (net 9))
(segment (start 131.445 72.54) (end 139.055 72.54) (width 0.13) (layer F.Cu) (net 9))
(segment (start 141.63 73.13) (end 142 73.5) (width 0.13) (layer F.Cu) (net 10))
(segment (start 141.247304 73.13) (end 141.63 73.13) (width 0.13) (layer F.Cu) (net 10))
(segment (start 142 73.5) (end 143.6 73.5) (width 0.13) (layer F.Cu) (net 10))
(segment (start 140.485 73) (end 141.117304 73) (width 0.13) (layer F.Cu) (net 10))
(segment (start 141.117304 73) (end 141.247304 73.13) (width 0.13) (layer F.Cu) (net 10))
(segment (start 139.12 75) (end 139.515 75) (width 0.13) (layer F.Cu) (net 11))
(segment (start 138.067301 73.985011) (end 139.08229 75) (width 0.13) (layer F.Cu) (net 11))
(segment (start 128.905 72.54) (end 130.350011 73.985011) (width 0.13) (layer F.Cu) (net 11))
(segment (start 139.08229 75) (end 139.12 75) (width 0.13) (layer F.Cu) (net 11))
(segment (start 130.350011 73.985011) (end 138.067301 73.985011) (width 0.13) (layer F.Cu) (net 11))
(segment (start 142 75) (end 143.6 75) (width 0.13) (layer F.Cu) (net 12))
(segment (start 141.63 74.63) (end 142 75) (width 0.13) (layer F.Cu) (net 12))
(segment (start 140.485 75) (end 140.855 74.63) (width 0.13) (layer F.Cu) (net 12))
(segment (start 140.855 74.63) (end 141.63 74.63) (width 0.13) (layer F.Cu) (net 12))
(segment (start 139.165 76.65) (end 139.515 77) (width 0.13) (layer F.Cu) (net 13))
(segment (start 131.445 77.62) (end 132.415 76.65) (width 0.13) (layer F.Cu) (net 13))
(segment (start 132.415 76.65) (end 139.165 76.65) (width 0.13) (layer F.Cu) (net 13))
(segment (start 141.63 76.13) (end 142 76.5) (width 0.13) (layer F.Cu) (net 14))
(segment (start 140.485 77) (end 141.355 76.13) (width 0.13) (layer F.Cu) (net 14))
(segment (start 142 76.5) (end 143.6 76.5) (width 0.13) (layer F.Cu) (net 14))
(segment (start 141.355 76.13) (end 141.63 76.13) (width 0.13) (layer F.Cu) (net 14))
(segment (start 139.109989 79.010011) (end 139.12 79) (width 0.13) (layer F.Cu) (net 15))
(segment (start 130.295011 79.010011) (end 139.109989 79.010011) (width 0.13) (layer F.Cu) (net 15))
(segment (start 139.12 79) (end 139.515 79) (width 0.13) (layer F.Cu) (net 15))
(segment (start 128.905 77.62) (end 130.295011 79.010011) (width 0.13) (layer F.Cu) (net 15))
(segment (start 142 78) (end 143.6 78) (width 0.13) (layer F.Cu) (net 16))
(segment (start 140.485 79) (end 141.7425 77.7425) (width 0.13) (layer F.Cu) (net 16))
(segment (start 141.7425 77.7425) (end 142 78) (width 0.13) (layer F.Cu) (net 16))
(via (at 142.25 76) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 17) (tstamp 5F63385E) (status 40000))
(via (at 142.25 74.5) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 17) (tstamp 5F633860) (status 40000))
(via (at 142.25 73) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 17) (tstamp 5F633862) (status 40000))
(via (at 145 73) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 17) (tstamp 5F633864) (status 40000))
(via (at 145 74.5) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 17) (tstamp 5F633866) (status 40000))
(via (at 145 76) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 17) (tstamp 5F633868) (status 40000))
(via (at 145 77.5) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 17) (tstamp 5F63386A) (status 40000))
(via (at 141.5 84.25) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 17) (tstamp 5F63386C) (status 40000))
(via (at 128 68.25) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 17) (tstamp 5F63386E) (status 40000))
(via (at 127.75 85.25) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 17) (tstamp 5F633870) (status 40000))
(via (at 142 79) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 17) (tstamp 5F633872) (status 40000))
(via (at 141.75 71.5) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 17) (tstamp 5F633874) (status 40000))
(via (at 134.25 71.25) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 17) (tstamp 5F633876) (status 40000))
(via (at 151 77.5) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 17) (tstamp 5F633878) (status 40000))
(via (at 145.25 80.5) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 17) (tstamp 5F63387A) (status 40000))
(via (at 142 80.5) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 17) (tstamp 5F63387C) (status 40000))
(via (at 132.7 72) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 17) (tstamp 5F634267) (status 40000))
(via (at 132 76.35) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 17) (tstamp 5F634269) (status 40000))
(via (at 129.4 76.4) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 17) (tstamp 5F63426B) (status 40000))
(via (at 129.35 73.85) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 17) (tstamp 5F63426D) (status 40000))
(via (at 129.35 71.3) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 17) (tstamp 5F63426F) (status 40000))
(segment (start 139.485 82.515) (end 141.5 82.515) (width 0.5) (layer F.Cu) (net 18))
(segment (start 139 83) (end 139.485 82.515) (width 0.5) (layer F.Cu) (net 18))
(segment (start 142.35 81) (end 143.6 81) (width 0.3) (layer F.Cu) (net 18))
(segment (start 141.5 81.85) (end 142.35 81) (width 0.3) (layer F.Cu) (net 18))
(segment (start 141.5 82.515) (end 141.5 81.85) (width 0.3) (layer F.Cu) (net 18))
(zone (net 17) (net_name GND) (layer F.Cu) (tstamp 5F6345F9) (hatch edge 0.508)
(connect_pads (clearance 0.2))
(min_thickness 0.2)
(fill yes (arc_segments 16) (thermal_gap 0.25) (thermal_bridge_width 0.25))
(polygon
(pts
(xy 125 65) (xy 155 65) (xy 155 87.5) (xy 125 87.5)
)
)
(filled_polygon
(pts
(xy 148.54248 68.007124) (xy 149.4 68.864645) (xy 150.25752 68.007124) (xy 150.202955 67.9) (xy 151.600001 67.9)
(xy 151.6 85.6) (xy 150.202955 85.6) (xy 150.25752 85.492876) (xy 149.4 84.635355) (xy 148.54248 85.492876)
(xy 148.597045 85.6) (xy 127.4 85.6) (xy 127.4 84.892876) (xy 143.64248 84.892876) (xy 143.741273 85.08683)
(xy 144.21494 85.294452) (xy 144.732004 85.305006) (xy 145.213747 85.116884) (xy 145.258727 85.08683) (xy 145.35752 84.892876)
(xy 144.5 84.035355) (xy 143.64248 84.892876) (xy 127.4 84.892876) (xy 127.4 84.232004) (xy 143.194994 84.232004)
(xy 143.383116 84.713747) (xy 143.41317 84.758727) (xy 143.607124 84.85752) (xy 144.464645 84) (xy 144.535355 84)
(xy 145.392876 84.85752) (xy 145.442969 84.832004) (xy 148.094994 84.832004) (xy 148.283116 85.313747) (xy 148.31317 85.358727)
(xy 148.507124 85.45752) (xy 149.364645 84.6) (xy 149.435355 84.6) (xy 150.292876 85.45752) (xy 150.48683 85.358727)
(xy 150.694452 84.88506) (xy 150.705006 84.367996) (xy 150.516884 83.886253) (xy 150.48683 83.841273) (xy 150.292876 83.74248)
(xy 149.435355 84.6) (xy 149.364645 84.6) (xy 148.507124 83.74248) (xy 148.31317 83.841273) (xy 148.105548 84.31494)
(xy 148.094994 84.832004) (xy 145.442969 84.832004) (xy 145.58683 84.758727) (xy 145.794452 84.28506) (xy 145.805006 83.767996)
(xy 145.781236 83.707124) (xy 148.54248 83.707124) (xy 149.4 84.564645) (xy 150.25752 83.707124) (xy 150.158727 83.51317)
(xy 149.68506 83.305548) (xy 149.167996 83.294994) (xy 148.686253 83.483116) (xy 148.641273 83.51317) (xy 148.54248 83.707124)
(xy 145.781236 83.707124) (xy 145.616884 83.286253) (xy 145.58683 83.241273) (xy 145.392876 83.14248) (xy 144.535355 84)
(xy 144.464645 84) (xy 143.607124 83.14248) (xy 143.41317 83.241273) (xy 143.205548 83.71494) (xy 143.194994 84.232004)
(xy 127.4 84.232004) (xy 127.4 82.7) (xy 127.732471 82.7) (xy 127.821724 83.148707) (xy 128.075897 83.529103)
(xy 128.456293 83.783276) (xy 128.791739 83.85) (xy 129.018261 83.85) (xy 129.353707 83.783276) (xy 129.734103 83.529103)
(xy 129.988276 83.148707) (xy 130.077529 82.7) (xy 130.272471 82.7) (xy 130.361724 83.148707) (xy 130.615897 83.529103)
(xy 130.996293 83.783276) (xy 131.331739 83.85) (xy 131.558261 83.85) (xy 131.893707 83.783276) (xy 132.274103 83.529103)
(xy 132.485735 83.212373) (xy 135.27894 83.212373) (xy 135.450114 83.648179) (xy 135.775034 83.985306) (xy 136.204234 84.172429)
(xy 136.247627 84.181058) (xy 136.435 84.112219) (xy 136.435 83.025) (xy 136.485 83.025) (xy 136.485 84.112219)
(xy 136.672373 84.181058) (xy 136.715766 84.172429) (xy 137.144966 83.985306) (xy 137.469886 83.648179) (xy 137.64106 83.212373)
(xy 137.572242 83.025) (xy 136.485 83.025) (xy 136.435 83.025) (xy 135.347758 83.025) (xy 135.27894 83.212373)
(xy 132.485735 83.212373) (xy 132.528276 83.148707) (xy 132.600098 82.787627) (xy 135.27894 82.787627) (xy 135.347758 82.975)
(xy 136.435 82.975) (xy 136.435 81.887781) (xy 136.485 81.887781) (xy 136.485 82.975) (xy 137.572242 82.975)
(xy 137.64106 82.787627) (xy 137.469886 82.351821) (xy 137.275373 82.15) (xy 137.844123 82.15) (xy 137.844123 83.85)
(xy 137.867407 83.967054) (xy 137.933712 84.066288) (xy 138.032946 84.132593) (xy 138.15 84.155877) (xy 139.85 84.155877)
(xy 139.967054 84.132593) (xy 140.066288 84.066288) (xy 140.132593 83.967054) (xy 140.155877 83.85) (xy 140.155877 83.5975)
(xy 140.83 83.5975) (xy 140.83 83.849619) (xy 140.883284 83.978259) (xy 140.981741 84.076715) (xy 141.11038 84.13)
(xy 141.3875 84.13) (xy 141.475 84.0425) (xy 141.475 83.51) (xy 141.525 83.51) (xy 141.525 84.0425)
(xy 141.6125 84.13) (xy 141.88962 84.13) (xy 142.018259 84.076715) (xy 142.116716 83.978259) (xy 142.17 83.849619)
(xy 142.17 83.5975) (xy 142.0825 83.51) (xy 141.525 83.51) (xy 141.475 83.51) (xy 140.9175 83.51)
(xy 140.83 83.5975) (xy 140.155877 83.5975) (xy 140.155877 83.065) (xy 140.852939 83.065) (xy 140.83 83.120381)
(xy 140.83 83.3725) (xy 140.9175 83.46) (xy 141.475 83.46) (xy 141.475 83.44) (xy 141.525 83.44)
(xy 141.525 83.46) (xy 142.0825 83.46) (xy 142.17 83.3725) (xy 142.17 83.120381) (xy 142.164509 83.107124)
(xy 143.64248 83.107124) (xy 144.5 83.964645) (xy 145.35752 83.107124) (xy 145.258727 82.91317) (xy 144.78506 82.705548)
(xy 144.267996 82.694994) (xy 143.786253 82.883116) (xy 143.741273 82.91317) (xy 143.64248 83.107124) (xy 142.164509 83.107124)
(xy 142.116716 82.991741) (xy 142.039138 82.914164) (xy 142.091366 82.836) (xy 142.125877 82.6625) (xy 142.125877 82.3675)
(xy 142.091366 82.194) (xy 141.993086 82.046914) (xy 141.960952 82.025443) (xy 142.344123 81.642273) (xy 142.344123 81.65)
(xy 142.367407 81.767054) (xy 142.433712 81.866288) (xy 142.532946 81.932593) (xy 142.65 81.955877) (xy 144.55 81.955877)
(xy 144.667054 81.932593) (xy 144.766288 81.866288) (xy 144.832593 81.767054) (xy 144.855877 81.65) (xy 144.855877 81.35)
(xy 144.835985 81.25) (xy 144.855877 81.15) (xy 144.855877 80.85) (xy 144.852671 80.833882) (xy 144.9 80.719619)
(xy 144.9 80.6125) (xy 144.8125 80.525) (xy 143.625 80.525) (xy 143.625 80.544123) (xy 143.575 80.544123)
(xy 143.575 80.525) (xy 142.3875 80.525) (xy 142.367779 80.544721) (xy 142.35 80.541184) (xy 142.174418 80.576109)
(xy 142.063141 80.650462) (xy 142.063139 80.650464) (xy 142.025568 80.675568) (xy 142.000463 80.71314) (xy 141.213143 81.500462)
(xy 141.175569 81.525568) (xy 141.150463 81.563142) (xy 141.07611 81.674419) (xy 141.041184 81.85) (xy 141.050001 81.894324)
(xy 141.050001 81.965) (xy 140.087194 81.965) (xy 140.066288 81.933712) (xy 139.967054 81.867407) (xy 139.85 81.844123)
(xy 138.15 81.844123) (xy 138.032946 81.867407) (xy 137.933712 81.933712) (xy 137.867407 82.032946) (xy 137.844123 82.15)
(xy 137.275373 82.15) (xy 137.144966 82.014694) (xy 136.715766 81.827571) (xy 136.672373 81.818942) (xy 136.485 81.887781)
(xy 136.435 81.887781) (xy 136.247627 81.818942) (xy 136.204234 81.827571) (xy 135.775034 82.014694) (xy 135.450114 82.351821)
(xy 135.27894 82.787627) (xy 132.600098 82.787627) (xy 132.617529 82.7) (xy 132.528276 82.251293) (xy 132.274103 81.870897)
(xy 131.893707 81.616724) (xy 131.558261 81.55) (xy 131.331739 81.55) (xy 130.996293 81.616724) (xy 130.615897 81.870897)
(xy 130.361724 82.251293) (xy 130.272471 82.7) (xy 130.077529 82.7) (xy 129.988276 82.251293) (xy 129.734103 81.870897)
(xy 129.353707 81.616724) (xy 129.018261 81.55) (xy 128.791739 81.55) (xy 128.456293 81.616724) (xy 128.075897 81.870897)
(xy 127.821724 82.251293) (xy 127.732471 82.7) (xy 127.4 82.7) (xy 127.4 80.372373) (xy 127.723942 80.372373)
(xy 127.732571 80.415766) (xy 127.919694 80.844966) (xy 128.256821 81.169886) (xy 128.692627 81.34106) (xy 128.88 81.272242)
(xy 128.88 80.185) (xy 128.93 80.185) (xy 128.93 81.272242) (xy 129.117373 81.34106) (xy 129.553179 81.169886)
(xy 129.890306 80.844966) (xy 130.077429 80.415766) (xy 130.086058 80.372373) (xy 130.263942 80.372373) (xy 130.272571 80.415766)
(xy 130.459694 80.844966) (xy 130.796821 81.169886) (xy 131.232627 81.34106) (xy 131.42 81.272242) (xy 131.42 80.185)
(xy 131.47 80.185) (xy 131.47 81.272242) (xy 131.657373 81.34106) (xy 132.093179 81.169886) (xy 132.430306 80.844966)
(xy 132.617429 80.415766) (xy 132.626058 80.372373) (xy 132.557219 80.185) (xy 131.47 80.185) (xy 131.42 80.185)
(xy 130.332781 80.185) (xy 130.263942 80.372373) (xy 130.086058 80.372373) (xy 130.017219 80.185) (xy 128.93 80.185)
(xy 128.88 80.185) (xy 127.792781 80.185) (xy 127.723942 80.372373) (xy 127.4 80.372373) (xy 127.4 79.947627)
(xy 127.723942 79.947627) (xy 127.792781 80.135) (xy 128.88 80.135) (xy 128.88 79.047758) (xy 128.93 79.047758)
(xy 128.93 80.135) (xy 130.017219 80.135) (xy 130.086058 79.947627) (xy 130.077429 79.904234) (xy 129.890306 79.475034)
(xy 129.553179 79.150114) (xy 129.117373 78.97894) (xy 128.93 79.047758) (xy 128.88 79.047758) (xy 128.692627 78.97894)
(xy 128.256821 79.150114) (xy 127.919694 79.475034) (xy 127.732571 79.904234) (xy 127.723942 79.947627) (xy 127.4 79.947627)
(xy 127.4 70) (xy 127.732471 70) (xy 127.821724 70.448707) (xy 128.075897 70.829103) (xy 128.456293 71.083276)
(xy 128.791739 71.15) (xy 129.018261 71.15) (xy 129.353707 71.083276) (xy 129.424671 71.035859) (xy 129.585001 71.196189)
(xy 129.585001 71.61127) (xy 129.353707 71.456724) (xy 129.018261 71.39) (xy 128.791739 71.39) (xy 128.456293 71.456724)
(xy 128.075897 71.710897) (xy 127.821724 72.091293) (xy 127.732471 72.54) (xy 127.821724 72.988707) (xy 128.075897 73.369103)
(xy 128.456293 73.623276) (xy 128.791739 73.69) (xy 129.018261 73.69) (xy 129.353707 73.623276) (xy 129.424671 73.575859)
(xy 130.066499 74.217687) (xy 130.086861 74.248161) (xy 130.207595 74.328833) (xy 130.35001 74.357161) (xy 130.385956 74.350011)
(xy 130.549671 74.350011) (xy 130.361724 74.631293) (xy 130.272471 75.08) (xy 130.361724 75.528707) (xy 130.615897 75.909103)
(xy 130.996293 76.163276) (xy 131.331739 76.23) (xy 131.558261 76.23) (xy 131.893707 76.163276) (xy 131.964671 76.115859)
(xy 132.202092 76.35328) (xy 132.15185 76.38685) (xy 132.131488 76.417324) (xy 131.964671 76.584141) (xy 131.893707 76.536724)
(xy 131.558261 76.47) (xy 131.331739 76.47) (xy 130.996293 76.536724) (xy 130.615897 76.790897) (xy 130.361724 77.171293)
(xy 130.315001 77.406186) (xy 130.315001 76.160947) (xy 130.322151 76.125001) (xy 130.293823 75.982585) (xy 130.260419 75.932593)
(xy 130.213151 75.861851) (xy 130.182677 75.841489) (xy 129.940859 75.599671) (xy 129.988276 75.528707) (xy 130.077529 75.08)
(xy 129.988276 74.631293) (xy 129.734103 74.250897) (xy 129.353707 73.996724) (xy 129.018261 73.93) (xy 128.791739 73.93)
(xy 128.456293 73.996724) (xy 128.075897 74.250897) (xy 127.821724 74.631293) (xy 127.732471 75.08) (xy 127.821724 75.528707)
(xy 128.075897 75.909103) (xy 128.456293 76.163276) (xy 128.791739 76.23) (xy 129.018261 76.23) (xy 129.353707 76.163276)
(xy 129.424671 76.115859) (xy 129.585001 76.276189) (xy 129.585001 76.69127) (xy 129.353707 76.536724) (xy 129.018261 76.47)
(xy 128.791739 76.47) (xy 128.456293 76.536724) (xy 128.075897 76.790897) (xy 127.821724 77.171293) (xy 127.732471 77.62)
(xy 127.821724 78.068707) (xy 128.075897 78.449103) (xy 128.456293 78.703276) (xy 128.791739 78.77) (xy 129.018261 78.77)
(xy 129.353707 78.703276) (xy 129.424671 78.655859) (xy 130.011499 79.242687) (xy 130.031861 79.273161) (xy 130.152595 79.353833)
(xy 130.259065 79.375011) (xy 130.259066 79.375011) (xy 130.29501 79.382161) (xy 130.330955 79.375011) (xy 130.563475 79.375011)
(xy 130.459694 79.475034) (xy 130.272571 79.904234) (xy 130.263942 79.947627) (xy 130.332781 80.135) (xy 131.42 80.135)
(xy 131.42 80.115) (xy 131.47 80.115) (xy 131.47 80.135) (xy 132.557219 80.135) (xy 132.626058 79.947627)
(xy 132.617429 79.904234) (xy 132.430306 79.475034) (xy 132.326525 79.375011) (xy 138.968019 79.375011) (xy 139.046914 79.493086)
(xy 139.194 79.591366) (xy 139.3675 79.625877) (xy 139.6625 79.625877) (xy 139.836 79.591366) (xy 139.983086 79.493086)
(xy 140 79.467772) (xy 140.016914 79.493086) (xy 140.164 79.591366) (xy 140.3375 79.625877) (xy 140.6325 79.625877)
(xy 140.806 79.591366) (xy 140.953086 79.493086) (xy 141.051366 79.346) (xy 141.085877 79.1725) (xy 141.085877 78.91531)
(xy 141.737562 78.263625) (xy 141.818065 78.317416) (xy 141.857584 78.343822) (xy 142 78.37215) (xy 142.035946 78.365)
(xy 142.344123 78.365) (xy 142.344123 78.65) (xy 142.364015 78.75) (xy 142.344123 78.85) (xy 142.344123 79.15)
(xy 142.364015 79.25) (xy 142.344123 79.35) (xy 142.344123 79.65) (xy 142.364015 79.75) (xy 142.344123 79.85)
(xy 142.344123 80.15) (xy 142.347329 80.166118) (xy 142.3 80.280381) (xy 142.3 80.3875) (xy 142.3875 80.475)
(xy 143.575 80.475) (xy 143.575 80.455877) (xy 143.625 80.455877) (xy 143.625 80.475) (xy 144.8125 80.475)
(xy 144.9 80.3875) (xy 144.9 80.280381) (xy 144.852671 80.166118) (xy 144.855877 80.15) (xy 144.855877 79.85)
(xy 144.835985 79.75) (xy 144.855877 79.65) (xy 144.855877 79.35) (xy 144.835985 79.25) (xy 144.855877 79.15)
(xy 144.855877 78.85) (xy 144.835985 78.75) (xy 144.855877 78.65) (xy 144.855877 78.35) (xy 144.835985 78.25)
(xy 144.855877 78.15) (xy 144.855877 77.85) (xy 144.852671 77.833882) (xy 144.9 77.719619) (xy 144.9 77.6125)
(xy 144.8125 77.525) (xy 143.625 77.525) (xy 143.625 77.544123) (xy 143.575 77.544123) (xy 143.575 77.525)
(xy 142.3875 77.525) (xy 142.3 77.6125) (xy 142.3 77.635) (xy 142.151188 77.635) (xy 142.067614 77.551426)
(xy 142.098821 77.504721) (xy 142.116191 77.417394) (xy 142.167394 77.366191) (xy 142.173383 77.365) (xy 142.3 77.365)
(xy 142.3 77.3875) (xy 142.3875 77.475) (xy 143.575 77.475) (xy 143.575 77.455877) (xy 143.625 77.455877)
(xy 143.625 77.475) (xy 144.8125 77.475) (xy 144.9 77.3875) (xy 144.9 77.280381) (xy 144.852671 77.166118)
(xy 144.855877 77.15) (xy 144.855877 76.85) (xy 144.835985 76.75) (xy 144.855877 76.65) (xy 144.855877 76.35)
(xy 144.852671 76.333882) (xy 144.9 76.219619) (xy 144.9 76.1125) (xy 144.8125 76.025) (xy 143.625 76.025)
(xy 143.625 76.044123) (xy 143.575 76.044123) (xy 143.575 76.025) (xy 142.3875 76.025) (xy 142.3 76.1125)
(xy 142.3 76.135) (xy 142.151188 76.135) (xy 142.016187 76) (xy 142.151188 75.865) (xy 142.3 75.865)
(xy 142.3 75.8875) (xy 142.3875 75.975) (xy 143.575 75.975) (xy 143.575 75.955877) (xy 143.625 75.955877)
(xy 143.625 75.975) (xy 144.8125 75.975) (xy 144.9 75.8875) (xy 144.9 75.780381) (xy 144.852671 75.666118)
(xy 144.855877 75.65) (xy 144.855877 75.35) (xy 144.835985 75.25) (xy 144.855877 75.15) (xy 144.855877 74.85)
(xy 144.852671 74.833882) (xy 144.9 74.719619) (xy 144.9 74.6125) (xy 144.8125 74.525) (xy 143.625 74.525)
(xy 143.625 74.544123) (xy 143.575 74.544123) (xy 143.575 74.525) (xy 142.3875 74.525) (xy 142.3 74.6125)
(xy 142.3 74.635) (xy 142.151188 74.635) (xy 142.016187 74.5) (xy 142.151188 74.365) (xy 142.3 74.365)
(xy 142.3 74.3875) (xy 142.3875 74.475) (xy 143.575 74.475) (xy 143.575 74.455877) (xy 143.625 74.455877)
(xy 143.625 74.475) (xy 144.8125 74.475) (xy 144.9 74.3875) (xy 144.9 74.280381) (xy 144.852671 74.166118)
(xy 144.855877 74.15) (xy 144.855877 73.85) (xy 144.835985 73.75) (xy 144.855877 73.65) (xy 144.855877 73.35)
(xy 144.852671 73.333882) (xy 144.9 73.219619) (xy 144.9 73.1125) (xy 144.8125 73.025) (xy 143.625 73.025)
(xy 143.625 73.044123) (xy 143.575 73.044123) (xy 143.575 73.025) (xy 142.3875 73.025) (xy 142.3 73.1125)
(xy 142.3 73.135) (xy 142.151188 73.135) (xy 142.016187 73) (xy 142.151188 72.865) (xy 142.3 72.865)
(xy 142.3 72.8875) (xy 142.3875 72.975) (xy 143.575 72.975) (xy 143.575 72.955877) (xy 143.625 72.955877)
(xy 143.625 72.975) (xy 144.8125 72.975) (xy 144.9 72.8875) (xy 144.9 72.780381) (xy 144.852671 72.666118)
(xy 144.855877 72.65) (xy 144.855877 72.35) (xy 144.832593 72.232946) (xy 144.766288 72.133712) (xy 144.667054 72.067407)
(xy 144.55 72.044123) (xy 142.65 72.044123) (xy 142.532946 72.067407) (xy 142.433712 72.133712) (xy 142.432851 72.135)
(xy 142.035945 72.135) (xy 141.999999 72.12785) (xy 141.926776 72.142415) (xy 141.857584 72.156178) (xy 141.73685 72.23685)
(xy 141.716487 72.267325) (xy 141.4925 72.491312) (xy 141.085877 72.08469) (xy 141.085877 71.8275) (xy 141.051366 71.654)
(xy 140.953086 71.506914) (xy 140.806 71.408634) (xy 140.6325 71.374123) (xy 140.3375 71.374123) (xy 140.164 71.408634)
(xy 140.016914 71.506914) (xy 140 71.532228) (xy 139.983086 71.506914) (xy 139.836 71.408634) (xy 139.6625 71.374123)
(xy 139.3675 71.374123) (xy 139.194 71.408634) (xy 139.046914 71.506914) (xy 138.948634 71.654) (xy 138.914123 71.8275)
(xy 138.914123 71.885) (xy 133.876187 71.885) (xy 132.600877 70.60969) (xy 132.600877 70.392876) (xy 143.64248 70.392876)
(xy 143.741273 70.58683) (xy 144.21494 70.794452) (xy 144.732004 70.805006) (xy 145.213747 70.616884) (xy 145.258727 70.58683)
(xy 145.35752 70.392876) (xy 144.5 69.535355) (xy 143.64248 70.392876) (xy 132.600877 70.392876) (xy 132.600877 69.732004)
(xy 143.194994 69.732004) (xy 143.383116 70.213747) (xy 143.41317 70.258727) (xy 143.607124 70.35752) (xy 144.464645 69.5)
(xy 144.535355 69.5) (xy 145.392876 70.35752) (xy 145.58683 70.258727) (xy 145.791026 69.792876) (xy 148.54248 69.792876)
(xy 148.641273 69.98683) (xy 149.11494 70.194452) (xy 149.632004 70.205006) (xy 150.113747 70.016884) (xy 150.158727 69.98683)
(xy 150.25752 69.792876) (xy 149.4 68.935355) (xy 148.54248 69.792876) (xy 145.791026 69.792876) (xy 145.794452 69.78506)
(xy 145.805006 69.267996) (xy 145.751901 69.132004) (xy 148.094994 69.132004) (xy 148.283116 69.613747) (xy 148.31317 69.658727)
(xy 148.507124 69.75752) (xy 149.364645 68.9) (xy 149.435355 68.9) (xy 150.292876 69.75752) (xy 150.48683 69.658727)
(xy 150.694452 69.18506) (xy 150.705006 68.667996) (xy 150.516884 68.186253) (xy 150.48683 68.141273) (xy 150.292876 68.04248)
(xy 149.435355 68.9) (xy 149.364645 68.9) (xy 148.507124 68.04248) (xy 148.31317 68.141273) (xy 148.105548 68.61494)
(xy 148.094994 69.132004) (xy 145.751901 69.132004) (xy 145.616884 68.786253) (xy 145.58683 68.741273) (xy 145.392876 68.64248)
(xy 144.535355 69.5) (xy 144.464645 69.5) (xy 143.607124 68.64248) (xy 143.41317 68.741273) (xy 143.205548 69.21494)
(xy 143.194994 69.732004) (xy 132.600877 69.732004) (xy 132.600877 69.15) (xy 132.577593 69.032946) (xy 132.511288 68.933712)
(xy 132.412054 68.867407) (xy 132.295 68.844123) (xy 130.595 68.844123) (xy 130.477946 68.867407) (xy 130.378712 68.933712)
(xy 130.312407 69.032946) (xy 130.289123 69.15) (xy 130.289123 70.85) (xy 130.312407 70.967054) (xy 130.378712 71.066288)
(xy 130.477946 71.132593) (xy 130.595 71.155877) (xy 132.11469 71.155877) (xy 133.133813 72.175) (xy 132.544926 72.175)
(xy 132.528276 72.091293) (xy 132.274103 71.710897) (xy 131.893707 71.456724) (xy 131.558261 71.39) (xy 131.331739 71.39)
(xy 130.996293 71.456724) (xy 130.615897 71.710897) (xy 130.361724 72.091293) (xy 130.315001 72.326186) (xy 130.315001 71.080947)
(xy 130.322151 71.045001) (xy 130.293823 70.902585) (xy 130.258687 70.85) (xy 130.213151 70.781851) (xy 130.182677 70.761489)
(xy 129.940859 70.519671) (xy 129.988276 70.448707) (xy 130.077529 70) (xy 129.988276 69.551293) (xy 129.734103 69.170897)
(xy 129.353707 68.916724) (xy 129.018261 68.85) (xy 128.791739 68.85) (xy 128.456293 68.916724) (xy 128.075897 69.170897)
(xy 127.821724 69.551293) (xy 127.732471 70) (xy 127.4 70) (xy 127.4 68.607124) (xy 143.64248 68.607124)
(xy 144.5 69.464645) (xy 145.35752 68.607124) (xy 145.258727 68.41317) (xy 144.78506 68.205548) (xy 144.267996 68.194994)
(xy 143.786253 68.383116) (xy 143.741273 68.41317) (xy 143.64248 68.607124) (xy 127.4 68.607124) (xy 127.4 67.9)
(xy 148.597045 67.9)
)
)
)
(zone (net 17) (net_name GND) (layer B.Cu) (tstamp 5F6345F6) (hatch edge 0.508)
(connect_pads (clearance 0.2))
(min_thickness 0.2)
(fill yes (arc_segments 16) (thermal_gap 0.25) (thermal_bridge_width 0.25))
(polygon
(pts
(xy 122.5 62.5) (xy 157.5 62.5) (xy 157.5 90) (xy 122.5 90)
)
)
(filled_polygon
(pts
(xy 148.54248 68.007124) (xy 149.4 68.864645) (xy 150.25752 68.007124) (xy 150.202955 67.9) (xy 151.600001 67.9)
(xy 151.6 85.6) (xy 150.202955 85.6) (xy 150.25752 85.492876) (xy 149.4 84.635355) (xy 148.54248 85.492876)
(xy 148.597045 85.6) (xy 127.4 85.6) (xy 127.4 84.892876) (xy 143.64248 84.892876) (xy 143.741273 85.08683)
(xy 144.21494 85.294452) (xy 144.732004 85.305006) (xy 145.213747 85.116884) (xy 145.258727 85.08683) (xy 145.35752 84.892876)
(xy 144.5 84.035355) (xy 143.64248 84.892876) (xy 127.4 84.892876) (xy 127.4 84.232004) (xy 143.194994 84.232004)
(xy 143.383116 84.713747) (xy 143.41317 84.758727) (xy 143.607124 84.85752) (xy 144.464645 84) (xy 144.535355 84)
(xy 145.392876 84.85752) (xy 145.442969 84.832004) (xy 148.094994 84.832004) (xy 148.283116 85.313747) (xy 148.31317 85.358727)
(xy 148.507124 85.45752) (xy 149.364645 84.6) (xy 149.435355 84.6) (xy 150.292876 85.45752) (xy 150.48683 85.358727)
(xy 150.694452 84.88506) (xy 150.705006 84.367996) (xy 150.516884 83.886253) (xy 150.48683 83.841273) (xy 150.292876 83.74248)
(xy 149.435355 84.6) (xy 149.364645 84.6) (xy 148.507124 83.74248) (xy 148.31317 83.841273) (xy 148.105548 84.31494)
(xy 148.094994 84.832004) (xy 145.442969 84.832004) (xy 145.58683 84.758727) (xy 145.794452 84.28506) (xy 145.805006 83.767996)
(xy 145.781236 83.707124) (xy 148.54248 83.707124) (xy 149.4 84.564645) (xy 150.25752 83.707124) (xy 150.158727 83.51317)
(xy 149.68506 83.305548) (xy 149.167996 83.294994) (xy 148.686253 83.483116) (xy 148.641273 83.51317) (xy 148.54248 83.707124)
(xy 145.781236 83.707124) (xy 145.616884 83.286253) (xy 145.58683 83.241273) (xy 145.392876 83.14248) (xy 144.535355 84)
(xy 144.464645 84) (xy 143.607124 83.14248) (xy 143.41317 83.241273) (xy 143.205548 83.71494) (xy 143.194994 84.232004)
(xy 127.4 84.232004) (xy 127.4 82.7) (xy 127.732471 82.7) (xy 127.821724 83.148707) (xy 128.075897 83.529103)
(xy 128.456293 83.783276) (xy 128.791739 83.85) (xy 129.018261 83.85) (xy 129.353707 83.783276) (xy 129.734103 83.529103)
(xy 129.988276 83.148707) (xy 130.077529 82.7) (xy 130.272471 82.7) (xy 130.361724 83.148707) (xy 130.615897 83.529103)
(xy 130.996293 83.783276) (xy 131.331739 83.85) (xy 131.558261 83.85) (xy 131.893707 83.783276) (xy 132.274103 83.529103)
(xy 132.485735 83.212373) (xy 135.27894 83.212373) (xy 135.450114 83.648179) (xy 135.775034 83.985306) (xy 136.204234 84.172429)
(xy 136.247627 84.181058) (xy 136.435 84.112219) (xy 136.435 83.025) (xy 136.485 83.025) (xy 136.485 84.112219)
(xy 136.672373 84.181058) (xy 136.715766 84.172429) (xy 137.144966 83.985306) (xy 137.469886 83.648179) (xy 137.64106 83.212373)
(xy 137.572242 83.025) (xy 136.485 83.025) (xy 136.435 83.025) (xy 135.347758 83.025) (xy 135.27894 83.212373)
(xy 132.485735 83.212373) (xy 132.528276 83.148707) (xy 132.600098 82.787627) (xy 135.27894 82.787627) (xy 135.347758 82.975)
(xy 136.435 82.975) (xy 136.435 81.887781) (xy 136.485 81.887781) (xy 136.485 82.975) (xy 137.572242 82.975)
(xy 137.64106 82.787627) (xy 137.469886 82.351821) (xy 137.275373 82.15) (xy 137.844123 82.15) (xy 137.844123 83.85)
(xy 137.867407 83.967054) (xy 137.933712 84.066288) (xy 138.032946 84.132593) (xy 138.15 84.155877) (xy 139.85 84.155877)
(xy 139.967054 84.132593) (xy 140.066288 84.066288) (xy 140.132593 83.967054) (xy 140.155877 83.85) (xy 140.155877 83.107124)
(xy 143.64248 83.107124) (xy 144.5 83.964645) (xy 145.35752 83.107124) (xy 145.258727 82.91317) (xy 144.78506 82.705548)
(xy 144.267996 82.694994) (xy 143.786253 82.883116) (xy 143.741273 82.91317) (xy 143.64248 83.107124) (xy 140.155877 83.107124)
(xy 140.155877 82.15) (xy 140.132593 82.032946) (xy 140.066288 81.933712) (xy 139.967054 81.867407) (xy 139.85 81.844123)
(xy 138.15 81.844123) (xy 138.032946 81.867407) (xy 137.933712 81.933712) (xy 137.867407 82.032946) (xy 137.844123 82.15)
(xy 137.275373 82.15) (xy 137.144966 82.014694) (xy 136.715766 81.827571) (xy 136.672373 81.818942) (xy 136.485 81.887781)
(xy 136.435 81.887781) (xy 136.247627 81.818942) (xy 136.204234 81.827571) (xy 135.775034 82.014694) (xy 135.450114 82.351821)
(xy 135.27894 82.787627) (xy 132.600098 82.787627) (xy 132.617529 82.7) (xy 132.528276 82.251293) (xy 132.274103 81.870897)
(xy 131.893707 81.616724) (xy 131.558261 81.55) (xy 131.331739 81.55) (xy 130.996293 81.616724) (xy 130.615897 81.870897)
(xy 130.361724 82.251293) (xy 130.272471 82.7) (xy 130.077529 82.7) (xy 129.988276 82.251293) (xy 129.734103 81.870897)
(xy 129.353707 81.616724) (xy 129.018261 81.55) (xy 128.791739 81.55) (xy 128.456293 81.616724) (xy 128.075897 81.870897)
(xy 127.821724 82.251293) (xy 127.732471 82.7) (xy 127.4 82.7) (xy 127.4 80.372373) (xy 127.723942 80.372373)
(xy 127.732571 80.415766) (xy 127.919694 80.844966) (xy 128.256821 81.169886) (xy 128.692627 81.34106) (xy 128.88 81.272242)
(xy 128.88 80.185) (xy 128.93 80.185) (xy 128.93 81.272242) (xy 129.117373 81.34106) (xy 129.553179 81.169886)
(xy 129.890306 80.844966) (xy 130.077429 80.415766) (xy 130.086058 80.372373) (xy 130.263942 80.372373) (xy 130.272571 80.415766)
(xy 130.459694 80.844966) (xy 130.796821 81.169886) (xy 131.232627 81.34106) (xy 131.42 81.272242) (xy 131.42 80.185)
(xy 131.47 80.185) (xy 131.47 81.272242) (xy 131.657373 81.34106) (xy 132.093179 81.169886) (xy 132.430306 80.844966)
(xy 132.617429 80.415766) (xy 132.626058 80.372373) (xy 132.557219 80.185) (xy 131.47 80.185) (xy 131.42 80.185)
(xy 130.332781 80.185) (xy 130.263942 80.372373) (xy 130.086058 80.372373) (xy 130.017219 80.185) (xy 128.93 80.185)
(xy 128.88 80.185) (xy 127.792781 80.185) (xy 127.723942 80.372373) (xy 127.4 80.372373) (xy 127.4 79.947627)
(xy 127.723942 79.947627) (xy 127.792781 80.135) (xy 128.88 80.135) (xy 128.88 79.047758) (xy 128.93 79.047758)
(xy 128.93 80.135) (xy 130.017219 80.135) (xy 130.086058 79.947627) (xy 130.263942 79.947627) (xy 130.332781 80.135)
(xy 131.42 80.135) (xy 131.42 79.047758) (xy 131.47 79.047758) (xy 131.47 80.135) (xy 132.557219 80.135)
(xy 132.626058 79.947627) (xy 132.617429 79.904234) (xy 132.430306 79.475034) (xy 132.093179 79.150114) (xy 131.657373 78.97894)
(xy 131.47 79.047758) (xy 131.42 79.047758) (xy 131.232627 78.97894) (xy 130.796821 79.150114) (xy 130.459694 79.475034)
(xy 130.272571 79.904234) (xy 130.263942 79.947627) (xy 130.086058 79.947627) (xy 130.077429 79.904234) (xy 129.890306 79.475034)
(xy 129.553179 79.150114) (xy 129.117373 78.97894) (xy 128.93 79.047758) (xy 128.88 79.047758) (xy 128.692627 78.97894)
(xy 128.256821 79.150114) (xy 127.919694 79.475034) (xy 127.732571 79.904234) (xy 127.723942 79.947627) (xy 127.4 79.947627)
(xy 127.4 77.62) (xy 127.732471 77.62) (xy 127.821724 78.068707) (xy 128.075897 78.449103) (xy 128.456293 78.703276)
(xy 128.791739 78.77) (xy 129.018261 78.77) (xy 129.353707 78.703276) (xy 129.734103 78.449103) (xy 129.988276 78.068707)
(xy 130.077529 77.62) (xy 130.272471 77.62) (xy 130.361724 78.068707) (xy 130.615897 78.449103) (xy 130.996293 78.703276)
(xy 131.331739 78.77) (xy 131.558261 78.77) (xy 131.893707 78.703276) (xy 132.274103 78.449103) (xy 132.528276 78.068707)
(xy 132.617529 77.62) (xy 132.528276 77.171293) (xy 132.274103 76.790897) (xy 131.893707 76.536724) (xy 131.558261 76.47)
(xy 131.331739 76.47) (xy 130.996293 76.536724) (xy 130.615897 76.790897) (xy 130.361724 77.171293) (xy 130.272471 77.62)
(xy 130.077529 77.62) (xy 129.988276 77.171293) (xy 129.734103 76.790897) (xy 129.353707 76.536724) (xy 129.018261 76.47)
(xy 128.791739 76.47) (xy 128.456293 76.536724) (xy 128.075897 76.790897) (xy 127.821724 77.171293) (xy 127.732471 77.62)
(xy 127.4 77.62) (xy 127.4 75.08) (xy 127.732471 75.08) (xy 127.821724 75.528707) (xy 128.075897 75.909103)
(xy 128.456293 76.163276) (xy 128.791739 76.23) (xy 129.018261 76.23) (xy 129.353707 76.163276) (xy 129.734103 75.909103)
(xy 129.988276 75.528707) (xy 130.077529 75.08) (xy 130.272471 75.08) (xy 130.361724 75.528707) (xy 130.615897 75.909103)
(xy 130.996293 76.163276) (xy 131.331739 76.23) (xy 131.558261 76.23) (xy 131.893707 76.163276) (xy 132.274103 75.909103)
(xy 132.528276 75.528707) (xy 132.617529 75.08) (xy 132.528276 74.631293) (xy 132.274103 74.250897) (xy 131.893707 73.996724)
(xy 131.558261 73.93) (xy 131.331739 73.93) (xy 130.996293 73.996724) (xy 130.615897 74.250897) (xy 130.361724 74.631293)
(xy 130.272471 75.08) (xy 130.077529 75.08) (xy 129.988276 74.631293) (xy 129.734103 74.250897) (xy 129.353707 73.996724)
(xy 129.018261 73.93) (xy 128.791739 73.93) (xy 128.456293 73.996724) (xy 128.075897 74.250897) (xy 127.821724 74.631293)
(xy 127.732471 75.08) (xy 127.4 75.08) (xy 127.4 72.54) (xy 127.732471 72.54) (xy 127.821724 72.988707)
(xy 128.075897 73.369103) (xy 128.456293 73.623276) (xy 128.791739 73.69) (xy 129.018261 73.69) (xy 129.353707 73.623276)
(xy 129.734103 73.369103) (xy 129.988276 72.988707) (xy 130.077529 72.54) (xy 130.272471 72.54) (xy 130.361724 72.988707)
(xy 130.615897 73.369103) (xy 130.996293 73.623276) (xy 131.331739 73.69) (xy 131.558261 73.69) (xy 131.893707 73.623276)
(xy 132.274103 73.369103) (xy 132.528276 72.988707) (xy 132.617529 72.54) (xy 132.528276 72.091293) (xy 132.274103 71.710897)
(xy 131.893707 71.456724) (xy 131.558261 71.39) (xy 131.331739 71.39) (xy 130.996293 71.456724) (xy 130.615897 71.710897)
(xy 130.361724 72.091293) (xy 130.272471 72.54) (xy 130.077529 72.54) (xy 129.988276 72.091293) (xy 129.734103 71.710897)
(xy 129.353707 71.456724) (xy 129.018261 71.39) (xy 128.791739 71.39) (xy 128.456293 71.456724) (xy 128.075897 71.710897)
(xy 127.821724 72.091293) (xy 127.732471 72.54) (xy 127.4 72.54) (xy 127.4 70) (xy 127.732471 70)
(xy 127.821724 70.448707) (xy 128.075897 70.829103) (xy 128.456293 71.083276) (xy 128.791739 71.15) (xy 129.018261 71.15)
(xy 129.353707 71.083276) (xy 129.734103 70.829103) (xy 129.988276 70.448707) (xy 130.077529 70) (xy 129.988276 69.551293)
(xy 129.734103 69.170897) (xy 129.702829 69.15) (xy 130.289123 69.15) (xy 130.289123 70.85) (xy 130.312407 70.967054)
(xy 130.378712 71.066288) (xy 130.477946 71.132593) (xy 130.595 71.155877) (xy 132.295 71.155877) (xy 132.412054 71.132593)
(xy 132.511288 71.066288) (xy 132.577593 70.967054) (xy 132.600877 70.85) (xy 132.600877 70.392876) (xy 143.64248 70.392876)
(xy 143.741273 70.58683) (xy 144.21494 70.794452) (xy 144.732004 70.805006) (xy 145.213747 70.616884) (xy 145.258727 70.58683)
(xy 145.35752 70.392876) (xy 144.5 69.535355) (xy 143.64248 70.392876) (xy 132.600877 70.392876) (xy 132.600877 69.732004)
(xy 143.194994 69.732004) (xy 143.383116 70.213747) (xy 143.41317 70.258727) (xy 143.607124 70.35752) (xy 144.464645 69.5)
(xy 144.535355 69.5) (xy 145.392876 70.35752) (xy 145.58683 70.258727) (xy 145.791026 69.792876) (xy 148.54248 69.792876)
(xy 148.641273 69.98683) (xy 149.11494 70.194452) (xy 149.632004 70.205006) (xy 150.113747 70.016884) (xy 150.158727 69.98683)
(xy 150.25752 69.792876) (xy 149.4 68.935355) (xy 148.54248 69.792876) (xy 145.791026 69.792876) (xy 145.794452 69.78506)
(xy 145.805006 69.267996) (xy 145.751901 69.132004) (xy 148.094994 69.132004) (xy 148.283116 69.613747) (xy 148.31317 69.658727)
(xy 148.507124 69.75752) (xy 149.364645 68.9) (xy 149.435355 68.9) (xy 150.292876 69.75752) (xy 150.48683 69.658727)
(xy 150.694452 69.18506) (xy 150.705006 68.667996) (xy 150.516884 68.186253) (xy 150.48683 68.141273) (xy 150.292876 68.04248)
(xy 149.435355 68.9) (xy 149.364645 68.9) (xy 148.507124 68.04248) (xy 148.31317 68.141273) (xy 148.105548 68.61494)
(xy 148.094994 69.132004) (xy 145.751901 69.132004) (xy 145.616884 68.786253) (xy 145.58683 68.741273) (xy 145.392876 68.64248)
(xy 144.535355 69.5) (xy 144.464645 69.5) (xy 143.607124 68.64248) (xy 143.41317 68.741273) (xy 143.205548 69.21494)
(xy 143.194994 69.732004) (xy 132.600877 69.732004) (xy 132.600877 69.15) (xy 132.577593 69.032946) (xy 132.511288 68.933712)
(xy 132.412054 68.867407) (xy 132.295 68.844123) (xy 130.595 68.844123) (xy 130.477946 68.867407) (xy 130.378712 68.933712)
(xy 130.312407 69.032946) (xy 130.289123 69.15) (xy 129.702829 69.15) (xy 129.353707 68.916724) (xy 129.018261 68.85)
(xy 128.791739 68.85) (xy 128.456293 68.916724) (xy 128.075897 69.170897) (xy 127.821724 69.551293) (xy 127.732471 70)
(xy 127.4 70) (xy 127.4 68.607124) (xy 143.64248 68.607124) (xy 144.5 69.464645) (xy 145.35752 68.607124)
(xy 145.258727 68.41317) (xy 144.78506 68.205548) (xy 144.267996 68.194994) (xy 143.786253 68.383116) (xy 143.741273 68.41317)
(xy 143.64248 68.607124) (xy 127.4 68.607124) (xy 127.4 67.9) (xy 148.597045 67.9)
)
)
)
)
| KiCad | 4 | lab-mathias-claussen/PicoDVI | hardware/pmod_hdmi/picodvi_pmod.kicad_pcb | [
"BSD-3-Clause"
] |
package org.xtendroid.xtendroidtest.adapter
import android.view.View
import android.view.ViewGroup
import java.util.List
import org.xtendroid.adapter.AndroidAdapter
import org.xtendroid.adapter.AndroidViewHolder
import org.xtendroid.xtendroidtest.R
import org.xtendroid.xtendroidtest.models.User
import android.support.v7.widget.RecyclerView
import android.support.v7.widget.RecyclerView.ViewHolder
/**
* Testing RecyclerView Adapter
*/
// Viewholder
@AndroidViewHolder(R.layout.list_row_user) class MyViewHolder
extends RecyclerView.ViewHolder {
}
@AndroidAdapter class RVAdapter extends RecyclerView.Adapter<MyViewHolder> {
List<User> users
override void onBindViewHolder(MyViewHolder vh, int position) {
var item = getItem(position)
vh.userName.text = item.firstName + " " + item.lastName
vh.age.text = String.valueOf(item.age)
}
override MyViewHolder onCreateViewHolder(ViewGroup viewGroup, int position) {
return MyViewHolder.getOrCreate(context, null, viewGroup)
}
}
| Xtend | 4 | tobykurien/Xtendroid | XtendroidTest/src/org/xtendroid/xtendroidtest/adapter/RVAdapter.xtend | [
"MIT"
] |
/// <reference path="fourslash.ts"/>
////function foo(a: number): string {
//// /*insideFunctionDeclaration*/
//// return "";
////}
////
////(function foo(): number {
//// /*insideFunctionExpression*/
//// fo/*referenceInsideFunctionExpression*/o;
//// return "";
////})
////
/////*globalScope*/
////fo/*referenceInGlobalScope*/o;
verify.completions({ marker: ["globalScope", "insideFunctionDeclaration", "insideFunctionExpression"], includes: "foo" });
verify.quickInfos({
referenceInsideFunctionExpression: "(local function) foo(): number",
referenceInGlobalScope: "function foo(a: number): string"
});
| TypeScript | 4 | nilamjadhav/TypeScript | tests/cases/fourslash/completionListInNamedFunctionExpression.ts | [
"Apache-2.0"
] |
(kicad_pcb (version 20171130) (host pcbnew "(5.1.6-0)")
(general
(thickness 1.6)
(drawings 113)
(tracks 959)
(zones 0)
(modules 68)
(nets 96)
)
(page A4)
(layers
(0 F.Cu signal)
(31 B.Cu signal)
(32 B.Adhes user)
(33 F.Adhes user)
(34 B.Paste user)
(35 F.Paste user)
(36 B.SilkS user)
(37 F.SilkS user)
(38 B.Mask user)
(39 F.Mask user hide)
(40 Dwgs.User user)
(41 Cmts.User user hide)
(42 Eco1.User user)
(43 Eco2.User user)
(44 Edge.Cuts user)
(45 Margin user)
(46 B.CrtYd user)
(47 F.CrtYd user)
(48 B.Fab user hide)
(49 F.Fab user hide)
)
(setup
(last_trace_width 0.1524)
(user_trace_width 0.1524)
(user_trace_width 0.254)
(user_trace_width 0.381)
(user_trace_width 0.508)
(user_trace_width 0.635)
(user_trace_width 0.762)
(trace_clearance 0.15)
(zone_clearance 0.1524)
(zone_45_only no)
(trace_min 0.1524)
(via_size 0.8)
(via_drill 0.4)
(via_min_size 0.4)
(via_min_drill 0.3)
(user_via 0.45 0.3)
(uvia_size 0.3)
(uvia_drill 0.1)
(uvias_allowed no)
(uvia_min_size 0.2)
(uvia_min_drill 0.1)
(edge_width 0.05)
(segment_width 0.2)
(pcb_text_width 0.3)
(pcb_text_size 1.5 1.5)
(mod_edge_width 0.12)
(mod_text_size 1 1)
(mod_text_width 0.15)
(pad_size 1 2.1)
(pad_drill 0.6)
(pad_to_mask_clearance 0.05)
(aux_axis_origin 0 0)
(visible_elements FFFFFF7F)
(pcbplotparams
(layerselection 0x010fc_ffffffff)
(usegerberextensions true)
(usegerberattributes false)
(usegerberadvancedattributes false)
(creategerberjobfile false)
(excludeedgelayer true)
(linewidth 0.100000)
(plotframeref false)
(viasonmask false)
(mode 1)
(useauxorigin false)
(hpglpennumber 1)
(hpglpenspeed 20)
(hpglpendiameter 15.000000)
(psnegative false)
(psa4output false)
(plotreference true)
(plotvalue true)
(plotinvisibletext false)
(padsonsilk false)
(subtractmaskfromsilk false)
(outputformat 1)
(mirror false)
(drillshape 0)
(scaleselection 1)
(outputdirectory "gerbers Rev1/"))
)
(net 0 "")
(net 1 VBUS)
(net 2 GND)
(net 3 +3V3)
(net 4 EN)
(net 5 +BATT)
(net 6 "Net-(D2-Pad1)")
(net 7 "Net-(FPC1-Pad8)")
(net 8 TFT_CS)
(net 9 DC_RS)
(net 10 SCK)
(net 11 SDI)
(net 12 "Net-(FPC1-Pad14)")
(net 13 TFT_RESET)
(net 14 TFT_LED)
(net 15 CTC_IRQ)
(net 16 D-)
(net 17 D+)
(net 18 IO5)
(net 19 IO12)
(net 20 IO13)
(net 21 IO16)
(net 22 IO33)
(net 23 IO34)
(net 24 SDA)
(net 25 SCL)
(net 26 PIEZO)
(net 27 RTS)
(net 28 "Net-(Q1-Pad1)")
(net 29 "Net-(Q2-Pad1)")
(net 30 DTR)
(net 31 IO0)
(net 32 "Net-(R3-Pad2)")
(net 33 RXD0)
(net 34 TXD0)
(net 35 "Net-(R4-Pad2)")
(net 36 "Net-(R13-Pad2)")
(net 37 "Net-(Rprog1-Pad1)")
(net 38 SDO)
(net 39 SD_CS)
(net 40 LDO_EN)
(net 41 "Net-(U1-Pad1)")
(net 42 "Net-(U1-Pad2)")
(net 43 "Net-(U1-Pad10)")
(net 44 "Net-(U1-Pad11)")
(net 45 "Net-(U1-Pad12)")
(net 46 "Net-(U1-Pad13)")
(net 47 "Net-(U1-Pad14)")
(net 48 "Net-(U1-Pad15)")
(net 49 "Net-(U1-Pad16)")
(net 50 "Net-(U1-Pad17)")
(net 51 "Net-(U1-Pad18)")
(net 52 "Net-(U1-Pad19)")
(net 53 "Net-(U1-Pad20)")
(net 54 "Net-(U1-Pad21)")
(net 55 "Net-(U1-Pad22)")
(net 56 "Net-(U1-Pad23)")
(net 57 "Net-(U1-Pad27)")
(net 58 "Net-(U3-Pad4)")
(net 59 "Net-(U4-Pad4)")
(net 60 "Net-(U4-Pad5)")
(net 61 "Net-(U4-Pad17)")
(net 62 "Net-(U4-Pad18)")
(net 63 "Net-(U4-Pad19)")
(net 64 "Net-(U4-Pad20)")
(net 65 "Net-(U4-Pad21)")
(net 66 "Net-(U4-Pad22)")
(net 67 "Net-(U4-Pad32)")
(net 68 /VIN)
(net 69 BATT_DIV)
(net 70 "Net-(SD1-Pad9)")
(net 71 "Net-(SD1-Pad8)")
(net 72 "Net-(SD1-Pad1)")
(net 73 RSTb)
(net 74 "Net-(FPC2-Pad5)")
(net 75 "Net-(D3-Pad2)")
(net 76 LED_K3)
(net 77 LED_K2)
(net 78 LED_K1)
(net 79 "Net-(FPC1-Pad4)")
(net 80 "Net-(FPC1-Pad3)")
(net 81 "Net-(FPC1-Pad2)")
(net 82 "Net-(FPC1-Pad1)")
(net 83 IO17)
(net 84 IO14)
(net 85 "Net-(JP1-Pad2)")
(net 86 "Net-(Q4-Pad3)")
(net 87 "Net-(Q4-Pad1)")
(net 88 "Net-(F2-Pad2)")
(net 89 "Net-(J5-PadB8)")
(net 90 "Net-(J5-PadA5)")
(net 91 "Net-(J5-PadA8)")
(net 92 "Net-(J5-PadB5)")
(net 93 "Net-(JP2-Pad1)")
(net 94 "Net-(JP3-Pad2)")
(net 95 /LDO_H)
(net_class Default "This is the default net class."
(clearance 0.15)
(trace_width 0.1524)
(via_dia 0.8)
(via_drill 0.4)
(uvia_dia 0.3)
(uvia_drill 0.1)
(add_net +3V3)
(add_net +BATT)
(add_net /LDO_H)
(add_net /VIN)
(add_net BATT_DIV)
(add_net CTC_IRQ)
(add_net D+)
(add_net D-)
(add_net DC_RS)
(add_net DTR)
(add_net EN)
(add_net GND)
(add_net IO0)
(add_net IO12)
(add_net IO13)
(add_net IO14)
(add_net IO16)
(add_net IO17)
(add_net IO33)
(add_net IO34)
(add_net IO5)
(add_net LDO_EN)
(add_net LED_K1)
(add_net LED_K2)
(add_net LED_K3)
(add_net "Net-(D2-Pad1)")
(add_net "Net-(D3-Pad2)")
(add_net "Net-(F2-Pad2)")
(add_net "Net-(FPC1-Pad1)")
(add_net "Net-(FPC1-Pad14)")
(add_net "Net-(FPC1-Pad2)")
(add_net "Net-(FPC1-Pad3)")
(add_net "Net-(FPC1-Pad4)")
(add_net "Net-(FPC1-Pad8)")
(add_net "Net-(FPC2-Pad5)")
(add_net "Net-(J5-PadA5)")
(add_net "Net-(J5-PadA8)")
(add_net "Net-(J5-PadB5)")
(add_net "Net-(J5-PadB8)")
(add_net "Net-(JP1-Pad2)")
(add_net "Net-(JP2-Pad1)")
(add_net "Net-(JP3-Pad2)")
(add_net "Net-(Q1-Pad1)")
(add_net "Net-(Q2-Pad1)")
(add_net "Net-(Q4-Pad1)")
(add_net "Net-(Q4-Pad3)")
(add_net "Net-(R13-Pad2)")
(add_net "Net-(R3-Pad2)")
(add_net "Net-(R4-Pad2)")
(add_net "Net-(Rprog1-Pad1)")
(add_net "Net-(SD1-Pad1)")
(add_net "Net-(SD1-Pad8)")
(add_net "Net-(SD1-Pad9)")
(add_net "Net-(U1-Pad1)")
(add_net "Net-(U1-Pad10)")
(add_net "Net-(U1-Pad11)")
(add_net "Net-(U1-Pad12)")
(add_net "Net-(U1-Pad13)")
(add_net "Net-(U1-Pad14)")
(add_net "Net-(U1-Pad15)")
(add_net "Net-(U1-Pad16)")
(add_net "Net-(U1-Pad17)")
(add_net "Net-(U1-Pad18)")
(add_net "Net-(U1-Pad19)")
(add_net "Net-(U1-Pad2)")
(add_net "Net-(U1-Pad20)")
(add_net "Net-(U1-Pad21)")
(add_net "Net-(U1-Pad22)")
(add_net "Net-(U1-Pad23)")
(add_net "Net-(U1-Pad27)")
(add_net "Net-(U3-Pad4)")
(add_net "Net-(U4-Pad17)")
(add_net "Net-(U4-Pad18)")
(add_net "Net-(U4-Pad19)")
(add_net "Net-(U4-Pad20)")
(add_net "Net-(U4-Pad21)")
(add_net "Net-(U4-Pad22)")
(add_net "Net-(U4-Pad32)")
(add_net "Net-(U4-Pad4)")
(add_net "Net-(U4-Pad5)")
(add_net PIEZO)
(add_net RSTb)
(add_net RTS)
(add_net RXD0)
(add_net SCK)
(add_net SCL)
(add_net SDA)
(add_net SDI)
(add_net SDO)
(add_net SD_CS)
(add_net TFT_CS)
(add_net TFT_LED)
(add_net TFT_RESET)
(add_net TXD0)
(add_net VBUS)
)
(module ESP32_TouchDown_lib:TS-1088R-02526 (layer F.Cu) (tedit 5F698D03) (tstamp 5F6A3468)
(at 41.9 55.5 90)
(path /600780B9)
(fp_text reference SW1 (at 0 2 90) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value "TS-1088R-02526 " (at 0 2 90) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -1.9 -1.2) (end 1.9 -1.2) (layer F.SilkS) (width 0.12))
(fp_line (start 1.9 1.2) (end -1.9 1.2) (layer F.SilkS) (width 0.12))
(pad 2 smd rect (at 2.225 0 90) (size 1.05 2) (layers F.Cu F.Paste F.Mask)
(net 2 GND))
(pad 1 smd rect (at -2.225 0 90) (size 1.05 2) (layers F.Cu F.Paste F.Mask)
(net 31 IO0))
)
(module ESP32_TouchDown_lib:TS-1088R-02526 (layer F.Cu) (tedit 5F698D03) (tstamp 5F6A3470)
(at 41.93 46.775 270)
(path /600791D8)
(fp_text reference SW2 (at 0 -2 90) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value "TS-1088R-02526 " (at 0 2 90) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -1.9 -1.2) (end 1.9 -1.2) (layer F.SilkS) (width 0.12))
(fp_line (start 1.9 1.2) (end -1.9 1.2) (layer F.SilkS) (width 0.12))
(pad 2 smd rect (at 2.225 0 270) (size 1.05 2) (layers F.Cu F.Paste F.Mask)
(net 2 GND))
(pad 1 smd rect (at -2.225 0 270) (size 1.05 2) (layers F.Cu F.Paste F.Mask)
(net 4 EN))
)
(module "ESP32_TouchDown_lib:INGHAi GSC1625YB-3V4000" (layer F.Cu) (tedit 5F68E62B) (tstamp 5FB8F8B3)
(at 76.05 45.65)
(path /60034B88)
(fp_text reference LS1 (at 0 0 180) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value Speaker_PIEZO (at 0 9) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start 8 -2) (end 8 -8) (layer F.SilkS) (width 0.12))
(fp_line (start -8 -2) (end -8 -8) (layer F.SilkS) (width 0.12))
(fp_line (start 8 8) (end -8 8) (layer F.SilkS) (width 0.12))
(fp_line (start 8 2) (end 8 8) (layer F.SilkS) (width 0.12))
(fp_line (start -8 -8) (end 8 -8) (layer F.SilkS) (width 0.12))
(fp_line (start -8 2) (end -8 8) (layer F.SilkS) (width 0.12))
(pad 2 smd rect (at -8.5 0) (size 3 3) (layers F.Cu F.Paste F.Mask)
(net 2 GND))
(pad 1 smd rect (at 8.5 0) (size 3 3) (layers F.Cu F.Paste F.Mask)
(net 26 PIEZO))
)
(module ESP32_TouchDown_lib:microSD_TF-01A-C91145 (layer F.Cu) (tedit 5F6F0030) (tstamp 5F6F82CE)
(at 76.15 85.75)
(path /5F73F8BD)
(fp_text reference SD1 (at 0.5 1) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value microSD_TF-01A (at 0.05 8.75) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start 9.25 -7.75) (end -8 -7.75) (layer F.CrtYd) (width 0.05))
(fp_line (start 9.25 7) (end 9.25 -7.75) (layer F.CrtYd) (width 0.05))
(fp_line (start -8 7) (end 9.25 7) (layer F.CrtYd) (width 0.05))
(fp_line (start -8 -7.75) (end -8 7) (layer F.CrtYd) (width 0.05))
(fp_line (start 7.75 6.75) (end 7.75 2.25) (layer F.SilkS) (width 0.12))
(fp_line (start -6.75 6.75) (end 7.75 6.75) (layer F.SilkS) (width 0.12))
(fp_line (start -6.75 4.75) (end -6.75 6.75) (layer F.SilkS) (width 0.12))
(fp_line (start 6.75 -5.25) (end 6.75 -6.75) (layer F.SilkS) (width 0.12))
(fp_line (start 7.75 -5.25) (end 6.75 -5.25) (layer F.SilkS) (width 0.12))
(fp_line (start 7.75 -5.25) (end 7.75 2.25) (layer F.SilkS) (width 0.12))
(fp_line (start 3.5 -6.75) (end 6.75 -6.75) (layer F.SilkS) (width 0.12))
(fp_line (start -6.75 -5.25) (end -6.75 2.25) (layer F.SilkS) (width 0.12))
(pad "" np_thru_hole circle (at 3.71 3.9) (size 1 1) (drill 1) (layers *.Cu *.Mask))
(pad 1 smd rect (at 2.8 -6.84) (size 0.7 1.3) (layers F.Cu F.Paste F.Mask)
(net 72 "Net-(SD1-Pad1)"))
(pad 2 smd rect (at 1.7 -6.84) (size 0.7 1.3) (layers F.Cu F.Paste F.Mask)
(net 39 SD_CS))
(pad 3 smd rect (at 0.6 -6.84) (size 0.7 1.3) (layers F.Cu F.Paste F.Mask)
(net 11 SDI))
(pad 4 smd rect (at -0.5 -6.84) (size 0.7 1.3) (layers F.Cu F.Paste F.Mask)
(net 3 +3V3))
(pad 5 smd rect (at -1.6 -6.84) (size 0.7 1.3) (layers F.Cu F.Paste F.Mask)
(net 10 SCK))
(pad 6 smd rect (at -2.7 -6.84) (size 0.7 1.3) (layers F.Cu F.Paste F.Mask)
(net 2 GND))
(pad 7 smd rect (at -3.8 -6.84) (size 0.7 1.3) (layers F.Cu F.Paste F.Mask)
(net 38 SDO))
(pad 8 smd rect (at -4.9 -6.84) (size 0.7 1.3) (layers F.Cu F.Paste F.Mask)
(net 71 "Net-(SD1-Pad8)"))
(pad "" np_thru_hole circle (at -4.29 3.9) (size 1 1) (drill 1) (layers *.Cu *.Mask))
(pad 10 smd rect (at 8.42 3.51) (size 1.2 2) (layers F.Cu F.Paste F.Mask)
(net 2 GND))
(pad 10 smd rect (at -7.1 3.51) (size 1.2 2) (layers F.Cu F.Paste F.Mask)
(net 2 GND))
(pad 10 smd rect (at 7.58 -6.19) (size 1.2 1.4) (layers F.Cu F.Paste F.Mask)
(net 2 GND))
(pad 10 smd rect (at -7.1 -6.19) (size 1.2 1.4) (layers F.Cu F.Paste F.Mask)
(net 2 GND))
(pad 9 smd rect (at -6 -6.84) (size 0.7 1.3) (layers F.Cu F.Paste F.Mask)
(net 70 "Net-(SD1-Pad9)"))
)
(module ESP32_TouchDown_lib:Hirose_FH12-40S-0.5SH_1x40-1MP_P0.50mm_Horizontal_flipped (layer F.Cu) (tedit 5F6E3D9B) (tstamp 5F6BB222)
(at 88.8 65.51 90)
(descr "Hirose FH12, FFC/FPC connector, FH12-40S-0.5SH, 40 Pins per row (https://www.hirose.com/product/en/products/FH12/FH12-24S-0.5SH(55)/), generated with kicad-footprint-generator")
(tags "connector Hirose FH12 horizontal")
(path /5F8810B4)
(attr smd)
(fp_text reference FPC1 (at 0 -3.7 90) (layer B.Fab)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_text value FPC_40P_0.5mm (at 0 5.6 90) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start 13.05 -3) (end -13.05 -3) (layer F.CrtYd) (width 0.05))
(fp_line (start 13.05 4.9) (end 13.05 -3) (layer F.CrtYd) (width 0.05))
(fp_line (start -13.05 4.9) (end 13.05 4.9) (layer F.CrtYd) (width 0.05))
(fp_line (start -13.05 -3) (end -13.05 4.9) (layer F.CrtYd) (width 0.05))
(fp_line (start -10.16 -1.3) (end -10.16 -2.5) (layer F.SilkS) (width 0.12))
(fp_line (start 11.65 4.5) (end 11.65 2.76) (layer F.SilkS) (width 0.12))
(fp_line (start -11.65 4.5) (end 11.65 4.5) (layer F.SilkS) (width 0.12))
(fp_line (start -11.65 2.76) (end -11.65 4.5) (layer F.SilkS) (width 0.12))
(fp_line (start 11.65 -1.3) (end 11.65 0.04) (layer F.SilkS) (width 0.12))
(fp_line (start 10.16 -1.3) (end 11.65 -1.3) (layer F.SilkS) (width 0.12))
(fp_line (start -11.65 -1.3) (end -11.65 0.04) (layer F.SilkS) (width 0.12))
(fp_line (start -10.16 -1.3) (end -11.65 -1.3) (layer F.SilkS) (width 0.12))
(fp_line (start 11.45 4.4) (end 0 4.4) (layer F.Fab) (width 0.1))
(fp_line (start 11.45 3.7) (end 11.45 4.4) (layer F.Fab) (width 0.1))
(fp_line (start 10.95 3.7) (end 11.45 3.7) (layer F.Fab) (width 0.1))
(fp_line (start 10.95 3.4) (end 10.95 3.7) (layer F.Fab) (width 0.1))
(fp_line (start 11.55 3.4) (end 10.95 3.4) (layer F.Fab) (width 0.1))
(fp_line (start 11.55 -1.2) (end 11.55 3.4) (layer F.Fab) (width 0.1))
(fp_line (start 0 -1.2) (end 11.55 -1.2) (layer F.Fab) (width 0.1))
(fp_line (start -11.45 4.4) (end 0 4.4) (layer F.Fab) (width 0.1))
(fp_line (start -11.45 3.7) (end -11.45 4.4) (layer F.Fab) (width 0.1))
(fp_line (start -10.95 3.7) (end -11.45 3.7) (layer F.Fab) (width 0.1))
(fp_line (start -10.95 3.4) (end -10.95 3.7) (layer F.Fab) (width 0.1))
(fp_line (start -11.55 3.4) (end -10.95 3.4) (layer F.Fab) (width 0.1))
(fp_line (start -11.55 -1.2) (end -11.55 3.4) (layer F.Fab) (width 0.1))
(fp_line (start 0 -1.2) (end -11.55 -1.2) (layer F.Fab) (width 0.1))
(fp_text user %R (at 0 3.7 90) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(pad MP smd rect (at 11.65 1.4 90) (size 1.8 2.2) (layers F.Cu F.Paste F.Mask))
(pad MP smd rect (at -11.65 1.4 90) (size 1.8 2.2) (layers F.Cu F.Paste F.Mask))
(pad 40 smd rect (at -9.75 -1.85 90) (size 0.3 1.3) (layers F.Cu F.Paste F.Mask)
(net 3 +3V3))
(pad 39 smd rect (at -9.25 -1.85 90) (size 0.3 1.3) (layers F.Cu F.Paste F.Mask)
(net 3 +3V3))
(pad 38 smd rect (at -8.75 -1.85 90) (size 0.3 1.3) (layers F.Cu F.Paste F.Mask)
(net 3 +3V3))
(pad 37 smd rect (at -8.25 -1.85 90) (size 0.3 1.3) (layers F.Cu F.Paste F.Mask)
(net 2 GND))
(pad 36 smd rect (at -7.75 -1.85 90) (size 0.3 1.3) (layers F.Cu F.Paste F.Mask)
(net 76 LED_K3))
(pad 35 smd rect (at -7.25 -1.85 90) (size 0.3 1.3) (layers F.Cu F.Paste F.Mask)
(net 77 LED_K2))
(pad 34 smd rect (at -6.75 -1.85 90) (size 0.3 1.3) (layers F.Cu F.Paste F.Mask)
(net 78 LED_K1))
(pad 33 smd rect (at -6.25 -1.85 90) (size 0.3 1.3) (layers F.Cu F.Paste F.Mask)
(net 3 +3V3))
(pad 32 smd rect (at -5.75 -1.85 90) (size 0.3 1.3) (layers F.Cu F.Paste F.Mask)
(net 2 GND))
(pad 31 smd rect (at -5.25 -1.85 90) (size 0.3 1.3) (layers F.Cu F.Paste F.Mask)
(net 2 GND))
(pad 30 smd rect (at -4.75 -1.85 90) (size 0.3 1.3) (layers F.Cu F.Paste F.Mask)
(net 2 GND))
(pad 29 smd rect (at -4.25 -1.85 90) (size 0.3 1.3) (layers F.Cu F.Paste F.Mask)
(net 2 GND))
(pad 28 smd rect (at -3.75 -1.85 90) (size 0.3 1.3) (layers F.Cu F.Paste F.Mask)
(net 2 GND))
(pad 27 smd rect (at -3.25 -1.85 90) (size 0.3 1.3) (layers F.Cu F.Paste F.Mask)
(net 2 GND))
(pad 26 smd rect (at -2.75 -1.85 90) (size 0.3 1.3) (layers F.Cu F.Paste F.Mask)
(net 2 GND))
(pad 25 smd rect (at -2.25 -1.85 90) (size 0.3 1.3) (layers F.Cu F.Paste F.Mask)
(net 2 GND))
(pad 24 smd rect (at -1.75 -1.85 90) (size 0.3 1.3) (layers F.Cu F.Paste F.Mask)
(net 2 GND))
(pad 23 smd rect (at -1.25 -1.85 90) (size 0.3 1.3) (layers F.Cu F.Paste F.Mask)
(net 2 GND))
(pad 22 smd rect (at -0.75 -1.85 90) (size 0.3 1.3) (layers F.Cu F.Paste F.Mask)
(net 2 GND))
(pad 21 smd rect (at -0.25 -1.85 90) (size 0.3 1.3) (layers F.Cu F.Paste F.Mask)
(net 2 GND))
(pad 20 smd rect (at 0.25 -1.85 90) (size 0.3 1.3) (layers F.Cu F.Paste F.Mask)
(net 2 GND))
(pad 19 smd rect (at 0.75 -1.85 90) (size 0.3 1.3) (layers F.Cu F.Paste F.Mask)
(net 2 GND))
(pad 18 smd rect (at 1.25 -1.85 90) (size 0.3 1.3) (layers F.Cu F.Paste F.Mask)
(net 2 GND))
(pad 17 smd rect (at 1.75 -1.85 90) (size 0.3 1.3) (layers F.Cu F.Paste F.Mask)
(net 2 GND))
(pad 16 smd rect (at 2.25 -1.85 90) (size 0.3 1.3) (layers F.Cu F.Paste F.Mask)
(net 2 GND))
(pad 15 smd rect (at 2.75 -1.85 90) (size 0.3 1.3) (layers F.Cu F.Paste F.Mask)
(net 13 TFT_RESET))
(pad 14 smd rect (at 3.25 -1.85 90) (size 0.3 1.3) (layers F.Cu F.Paste F.Mask)
(net 12 "Net-(FPC1-Pad14)"))
(pad 13 smd rect (at 3.75 -1.85 90) (size 0.3 1.3) (layers F.Cu F.Paste F.Mask)
(net 11 SDI))
(pad 12 smd rect (at 4.25 -1.85 90) (size 0.3 1.3) (layers F.Cu F.Paste F.Mask)
(net 3 +3V3))
(pad 11 smd rect (at 4.75 -1.85 90) (size 0.3 1.3) (layers F.Cu F.Paste F.Mask)
(net 10 SCK))
(pad 10 smd rect (at 5.25 -1.85 90) (size 0.3 1.3) (layers F.Cu F.Paste F.Mask)
(net 9 DC_RS))
(pad 9 smd rect (at 5.75 -1.85 90) (size 0.3 1.3) (layers F.Cu F.Paste F.Mask)
(net 8 TFT_CS))
(pad 8 smd rect (at 6.25 -1.85 90) (size 0.3 1.3) (layers F.Cu F.Paste F.Mask)
(net 7 "Net-(FPC1-Pad8)"))
(pad 7 smd rect (at 6.75 -1.85 90) (size 0.3 1.3) (layers F.Cu F.Paste F.Mask)
(net 3 +3V3))
(pad 6 smd rect (at 7.25 -1.85 90) (size 0.3 1.3) (layers F.Cu F.Paste F.Mask)
(net 3 +3V3))
(pad 5 smd rect (at 7.75 -1.85 90) (size 0.3 1.3) (layers F.Cu F.Paste F.Mask)
(net 2 GND))
(pad 4 smd rect (at 8.25 -1.85 90) (size 0.3 1.3) (layers F.Cu F.Paste F.Mask)
(net 79 "Net-(FPC1-Pad4)"))
(pad 3 smd rect (at 8.75 -1.85 90) (size 0.3 1.3) (layers F.Cu F.Paste F.Mask)
(net 80 "Net-(FPC1-Pad3)"))
(pad 2 smd rect (at 9.25 -1.85 90) (size 0.3 1.3) (layers F.Cu F.Paste F.Mask)
(net 81 "Net-(FPC1-Pad2)"))
(pad 1 smd rect (at 9.75 -1.85 90) (size 0.3 1.3) (layers F.Cu F.Paste F.Mask)
(net 82 "Net-(FPC1-Pad1)"))
(model ${KISYS3DMOD}/Connector_FFC-FPC.3dshapes/Hirose_FH12-40S-0.5SH_1x40-1MP_P0.50mm_Horizontal.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Button_Switch_SMD:SW_SPDT_CK-JS102011SAQN (layer F.Cu) (tedit 5FC93CCD) (tstamp 5F6A3484)
(at 123.33 91.58)
(descr "Sub-miniature slide switch, right-angle, http://www.ckswitches.com/media/1422/js.pdf")
(tags "switch spdt")
(path /5F6A6ADB)
(attr smd)
(fp_text reference SW3 (at 0.05 -5.4 180) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value "SK-3296S-01-L3 " (at 0.2 5.2 180) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -4.5 -1.8) (end 4.5 -1.8) (layer F.Fab) (width 0.1))
(fp_line (start 4.5 -1.8) (end 4.5 1.8) (layer F.Fab) (width 0.1))
(fp_line (start 4.5 1.8) (end -4.4 1.8) (layer F.Fab) (width 0.1))
(fp_line (start -4.4 1.8) (end -4.5 1.8) (layer F.Fab) (width 0.1))
(fp_line (start -4.5 1.8) (end -4.5 1.8) (layer F.Fab) (width 0.1))
(fp_line (start -4.5 -1.8) (end -4.5 1.8) (layer F.Fab) (width 0.1))
(fp_line (start -4.5 1.8) (end -4.5 1.8) (layer F.Fab) (width 0.1))
(fp_line (start -1.5 1.8) (end -1.5 1.8) (layer F.Fab) (width 0.1))
(fp_line (start 3.2 -1.9) (end 4.6 -1.9) (layer F.SilkS) (width 0.12))
(fp_line (start 4.6 -1.9) (end 4.6 1.9) (layer F.SilkS) (width 0.12))
(fp_line (start -4.6 1.9) (end -4.6 -1.9) (layer F.SilkS) (width 0.12))
(fp_line (start -4.6 -1.9) (end -3.2 -1.9) (layer F.SilkS) (width 0.12))
(fp_line (start 1.8 -1.9) (end 0.7 -1.9) (layer F.SilkS) (width 0.12))
(fp_line (start 0.7 -1.9) (end 0.7 -1.9) (layer F.SilkS) (width 0.12))
(fp_line (start -0.7 -1.9) (end -1.8 -1.9) (layer F.SilkS) (width 0.12))
(fp_line (start -1.8 -1.9) (end -1.8 -1.9) (layer F.SilkS) (width 0.12))
(fp_line (start 0.3 1.8) (end 0.3 2.1) (layer F.Fab) (width 0.1))
(fp_line (start 0.3 2.1) (end -0.3 2.1) (layer F.Fab) (width 0.1))
(fp_line (start -0.3 2.1) (end -0.3 1.8) (layer F.Fab) (width 0.1))
(fp_line (start -0.3 1.8) (end -0.3 1.8) (layer F.Fab) (width 0.1))
(fp_line (start -2.2 1.8) (end -2.2 2.1) (layer F.Fab) (width 0.1))
(fp_line (start -2.2 2.1) (end -2.8 2.1) (layer F.Fab) (width 0.1))
(fp_line (start -2.8 2.1) (end -2.8 1.8) (layer F.Fab) (width 0.1))
(fp_line (start -2.8 1.8) (end -2.8 1.8) (layer F.Fab) (width 0.1))
(fp_line (start 2.2 1.8) (end 2.2 2.1) (layer F.Fab) (width 0.1))
(fp_line (start 2.2 2.1) (end 2.8 2.1) (layer F.Fab) (width 0.1))
(fp_line (start 2.8 2.1) (end 2.8 1.8) (layer F.Fab) (width 0.1))
(fp_line (start 2.8 1.8) (end 2.8 1.8) (layer F.Fab) (width 0.1))
(fp_line (start 4.6 1.9) (end 2.9 1.9) (layer F.SilkS) (width 0.12))
(fp_line (start 2.9 1.9) (end 2.9 2.2) (layer F.SilkS) (width 0.12))
(fp_line (start 2.9 2.2) (end 2.1 2.2) (layer F.SilkS) (width 0.12))
(fp_line (start 2.1 2.2) (end 2.1 1.9) (layer F.SilkS) (width 0.12))
(fp_line (start 2.1 1.9) (end 0.4 1.9) (layer F.SilkS) (width 0.12))
(fp_line (start 0.4 1.9) (end 0.4 2.2) (layer F.SilkS) (width 0.12))
(fp_line (start 0.4 2.2) (end -0.4 2.2) (layer F.SilkS) (width 0.12))
(fp_line (start -0.4 2.2) (end -0.4 1.9) (layer F.SilkS) (width 0.12))
(fp_line (start -0.4 1.9) (end -2.1 1.9) (layer F.SilkS) (width 0.12))
(fp_line (start -2.1 1.9) (end -2.1 2.2) (layer F.SilkS) (width 0.12))
(fp_line (start -2.1 2.2) (end -2.9 2.2) (layer F.SilkS) (width 0.12))
(fp_line (start -2.9 2.2) (end -2.9 1.9) (layer F.SilkS) (width 0.12))
(fp_line (start -2.9 1.9) (end -4.6 1.9) (layer F.SilkS) (width 0.12))
(fp_line (start -4.6 1.9) (end -4.6 1.9) (layer F.SilkS) (width 0.12))
(fp_line (start -0.5 1.8) (end -0.5 3.8) (layer F.Fab) (width 0.1))
(fp_line (start -0.5 3.8) (end -2 3.8) (layer F.Fab) (width 0.1))
(fp_line (start -2 3.8) (end -2 1.8) (layer F.Fab) (width 0.1))
(fp_line (start -2 1.8) (end -2 1.8) (layer F.Fab) (width 0.1))
(fp_line (start -5 -2.25) (end -5 2.25) (layer F.CrtYd) (width 0.05))
(fp_line (start -5 2.25) (end -3.25 2.25) (layer F.CrtYd) (width 0.05))
(fp_line (start -3.25 2.25) (end -3.25 2.75) (layer F.CrtYd) (width 0.05))
(fp_line (start -3.25 2.75) (end -2.5 2.75) (layer F.CrtYd) (width 0.05))
(fp_line (start -2.5 2.75) (end -2.5 4.25) (layer F.CrtYd) (width 0.05))
(fp_line (start -2.5 4.25) (end 2.5 4.25) (layer F.CrtYd) (width 0.05))
(fp_line (start 2.5 4.25) (end 2.5 2.5) (layer F.CrtYd) (width 0.05))
(fp_line (start 2.5 2.5) (end 3.25 2.5) (layer F.CrtYd) (width 0.05))
(fp_line (start 3.25 2.5) (end 3.25 2.25) (layer F.CrtYd) (width 0.05))
(fp_line (start 3.25 2.25) (end 5 2.25) (layer F.CrtYd) (width 0.05))
(fp_line (start 5 2.25) (end 5 -2.25) (layer F.CrtYd) (width 0.05))
(fp_line (start 5 -2.25) (end 3.5 -2.25) (layer F.CrtYd) (width 0.05))
(fp_line (start 3.5 -2.25) (end 3.5 -4.5) (layer F.CrtYd) (width 0.05))
(fp_line (start 3.5 -4.5) (end -3.5 -4.5) (layer F.CrtYd) (width 0.05))
(fp_line (start -3.5 -4.5) (end -3.5 -2.25) (layer F.CrtYd) (width 0.05))
(fp_line (start -3.5 -2.25) (end -5 -2.25) (layer F.CrtYd) (width 0.05))
(fp_line (start -5 -2.25) (end -5 -2.25) (layer F.CrtYd) (width 0.05))
(fp_text user %R (at 0 0) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(pad "" np_thru_hole circle (at 3.4 0) (size 0.9 0.9) (drill 0.9) (layers *.Cu *.Mask))
(pad "" np_thru_hole circle (at -3.4 0) (size 0.9 0.9) (drill 0.9) (layers *.Cu *.Mask))
(pad 3 smd rect (at 2.5 -2.75) (size 1.25 2.5) (layers F.Cu F.Paste F.Mask)
(net 95 /LDO_H))
(pad 2 smd rect (at 0 -2.75) (size 1.25 2.5) (layers F.Cu F.Paste F.Mask)
(net 40 LDO_EN))
(pad 1 smd rect (at -2.5 -2.75) (size 1.25 2.5) (layers F.Cu F.Paste F.Mask)
(net 2 GND))
(model ${KISYS3DMOD}/Button_Switch_SMD.3dshapes/SW_SPDT_CK-JS102011SAQN.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
(model /Users/dustinwatts/Downloads/JS102011SAQN--3DModel-Eagle-1.STEP
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz -90 0 0))
)
)
(module Connector_USB:USB_C_Receptacle_XKB_U262-16XN-4BVC11 (layer F.Cu) (tedit 5FC93A13) (tstamp 5FC225A4)
(at 134.6 78.5 90)
(descr "USB Type C, right-angle, SMT, https://datasheet.lcsc.com/szlcsc/1811141824_XKB-Enterprise-U262-161N-4BVC11_C319148.pdf")
(tags "USB C Type-C Receptacle SMD")
(path /5FC58332)
(attr smd)
(fp_text reference J5 (at 0 -5.715 90) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value USB_C_Receptacle_USB2.0 (at 0 4.935 90) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -4.47 -3.675) (end 4.47 -3.675) (layer F.Fab) (width 0.1))
(fp_line (start 4.47 3.675) (end 4.47 -3.675) (layer F.Fab) (width 0.1))
(fp_line (start -4.47 3.675) (end 4.47 3.675) (layer F.Fab) (width 0.1))
(fp_line (start -4.47 -3.675) (end -4.47 3.675) (layer F.Fab) (width 0.1))
(fp_line (start -5.32 4.18) (end -5.32 -4.75) (layer F.CrtYd) (width 0.05))
(fp_line (start 5.32 4.18) (end -5.32 4.18) (layer F.CrtYd) (width 0.05))
(fp_line (start 5.32 -4.75) (end 5.32 4.18) (layer F.CrtYd) (width 0.05))
(fp_line (start -5.32 -4.75) (end 5.32 -4.75) (layer F.CrtYd) (width 0.05))
(fp_text user %R (at 0 0 90) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(pad A12 smd rect (at 3.35 -3.67 90) (size 0.3 1.15) (layers F.Cu F.Paste F.Mask)
(net 2 GND))
(pad A9 smd rect (at 2.55 -3.67 90) (size 0.3 1.15) (layers F.Cu F.Paste F.Mask)
(net 88 "Net-(F2-Pad2)"))
(pad B9 smd rect (at -2.25 -3.67 90) (size 0.3 1.15) (layers F.Cu F.Paste F.Mask)
(net 88 "Net-(F2-Pad2)"))
(pad B12 smd rect (at -3.05 -3.67 90) (size 0.3 1.15) (layers F.Cu F.Paste F.Mask)
(net 2 GND))
(pad A1 smd rect (at -3.35 -3.67 90) (size 0.3 1.15) (layers F.Cu F.Paste F.Mask)
(net 2 GND))
(pad A4 smd rect (at -2.55 -3.67 90) (size 0.3 1.15) (layers F.Cu F.Paste F.Mask)
(net 88 "Net-(F2-Pad2)"))
(pad B8 smd rect (at -1.75 -3.67 90) (size 0.3 1.15) (layers F.Cu F.Paste F.Mask)
(net 89 "Net-(J5-PadB8)"))
(pad A5 smd rect (at -1.25 -3.67 90) (size 0.3 1.15) (layers F.Cu F.Paste F.Mask)
(net 90 "Net-(J5-PadA5)"))
(pad B7 smd rect (at -0.75 -3.67 90) (size 0.3 1.15) (layers F.Cu F.Paste F.Mask)
(net 16 D-))
(pad A6 smd rect (at -0.25 -3.67 90) (size 0.3 1.15) (layers F.Cu F.Paste F.Mask)
(net 17 D+))
(pad A7 smd rect (at 0.25 -3.67 90) (size 0.3 1.15) (layers F.Cu F.Paste F.Mask)
(net 16 D-))
(pad B6 smd rect (at 0.75 -3.67 90) (size 0.3 1.15) (layers F.Cu F.Paste F.Mask)
(net 17 D+))
(pad A8 smd rect (at 1.25 -3.67 90) (size 0.3 1.15) (layers F.Cu F.Paste F.Mask)
(net 91 "Net-(J5-PadA8)"))
(pad B5 smd rect (at 1.75 -3.67 90) (size 0.3 1.15) (layers F.Cu F.Paste F.Mask)
(net 92 "Net-(J5-PadB5)"))
(pad B4 smd rect (at 2.25 -3.67 90) (size 0.3 1.15) (layers F.Cu F.Paste F.Mask)
(net 88 "Net-(F2-Pad2)"))
(pad B1 smd rect (at 3.05 -3.67 90) (size 0.3 1.15) (layers F.Cu F.Paste F.Mask)
(net 2 GND))
(pad "" np_thru_hole circle (at -2.89 -2.605 90) (size 0.65 0.65) (drill 0.65) (layers *.Cu *.Mask))
(pad "" np_thru_hole circle (at 2.89 -2.605 90) (size 0.65 0.65) (drill 0.65) (layers *.Cu *.Mask))
(pad S1 thru_hole oval (at 4.32 1.075 90) (size 1 1.6) (drill oval 0.6 1.2) (layers *.Cu *.Mask))
(pad S1 thru_hole oval (at -4.32 1.075 90) (size 1 1.6) (drill oval 0.6 1.2) (layers *.Cu *.Mask))
(pad S1 thru_hole oval (at 4.32 -3.105 90) (size 1 2.1) (drill oval 0.6 1.7) (layers *.Cu *.Mask))
(pad S1 thru_hole oval (at -4.32 -3.105 90) (size 1 2.1) (drill oval 0.6 1.7) (layers *.Cu *.Mask))
(model ${KISYS3DMOD}/Connector_USB.3dshapes/USB_C_Receptacle_XKB_U262-16XN-4BVC11.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
(model "/Users/dustinwatts/Kicad/3dmodels/usb-type-c-port-smd-type-1.snapshot.3/USB Type C Port (SMD Type).STEP"
(offset (xyz 0 3.5 1.5))
(scale (xyz 1 1 1))
(rotate (xyz 0 -180 -180))
)
)
(module Resistor_SMD:R_0603_1608Metric (layer F.Cu) (tedit 5FC78E51) (tstamp 5F6EDECC)
(at 110.05 50.34)
(descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
(tags resistor)
(path /5F81AD79)
(attr smd)
(fp_text reference R16 (at 2.93 -0.11 180 unlocked) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value "10K DNP" (at 0 1.43) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12))
(fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12))
(fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
(fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
(fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
(fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
(fp_text user %R (at 0 0) (layer F.Fab)
(effects (font (size 0.4 0.4) (thickness 0.06)))
)
(pad 1 smd roundrect (at -0.7875 0) (size 0.875 0.95) (layers F.Cu F.Mask) (roundrect_rratio 0.25)
(net 3 +3V3))
(pad 2 smd roundrect (at 0.7875 0) (size 0.875 0.95) (layers F.Cu F.Mask) (roundrect_rratio 0.25)
(net 24 SDA))
)
(module Jumper:SolderJumper-2_P1.3mm_Open_RoundedPad1.0x1.5mm (layer F.Cu) (tedit 5B391E66) (tstamp 5FC2A1F0)
(at 101.9 91 90)
(descr "SMD Solder Jumper, 1x1.5mm, rounded Pads, 0.3mm gap, open")
(tags "solder jumper open")
(path /6001196C)
(attr virtual)
(fp_text reference JP3 (at 0 -1.8 90) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value Jumper_NO_Small (at 0 1.9 90) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -1.4 0.3) (end -1.4 -0.3) (layer F.SilkS) (width 0.12))
(fp_line (start 0.7 1) (end -0.7 1) (layer F.SilkS) (width 0.12))
(fp_line (start 1.4 -0.3) (end 1.4 0.3) (layer F.SilkS) (width 0.12))
(fp_line (start -0.7 -1) (end 0.7 -1) (layer F.SilkS) (width 0.12))
(fp_line (start -1.65 -1.25) (end 1.65 -1.25) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.65 -1.25) (end -1.65 1.25) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.65 1.25) (end 1.65 -1.25) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.65 1.25) (end -1.65 1.25) (layer F.CrtYd) (width 0.05))
(fp_arc (start -0.7 -0.3) (end -0.7 -1) (angle -90) (layer F.SilkS) (width 0.12))
(fp_arc (start -0.7 0.3) (end -1.4 0.3) (angle -90) (layer F.SilkS) (width 0.12))
(fp_arc (start 0.7 0.3) (end 0.7 1) (angle -90) (layer F.SilkS) (width 0.12))
(fp_arc (start 0.7 -0.3) (end 1.4 -0.3) (angle -90) (layer F.SilkS) (width 0.12))
(pad 2 smd custom (at 0.65 0 90) (size 1 0.5) (layers F.Cu F.Mask)
(net 94 "Net-(JP3-Pad2)") (zone_connect 2)
(options (clearance outline) (anchor rect))
(primitives
(gr_circle (center 0 0.25) (end 0.5 0.25) (width 0))
(gr_circle (center 0 -0.25) (end 0.5 -0.25) (width 0))
(gr_poly (pts
(xy 0 -0.75) (xy -0.5 -0.75) (xy -0.5 0.75) (xy 0 0.75)) (width 0))
))
(pad 1 smd custom (at -0.65 0 90) (size 1 0.5) (layers F.Cu F.Mask)
(net 6 "Net-(D2-Pad1)") (zone_connect 2)
(options (clearance outline) (anchor rect))
(primitives
(gr_circle (center 0 0.25) (end 0.5 0.25) (width 0))
(gr_circle (center 0 -0.25) (end 0.5 -0.25) (width 0))
(gr_poly (pts
(xy 0 -0.75) (xy 0.5 -0.75) (xy 0.5 0.75) (xy 0 0.75)) (width 0))
))
)
(module Jumper:SolderJumper-2_P1.3mm_Open_RoundedPad1.0x1.5mm (layer F.Cu) (tedit 5B391E66) (tstamp 5FC2A8DB)
(at 135.6 86.1)
(descr "SMD Solder Jumper, 1x1.5mm, rounded Pads, 0.3mm gap, open")
(tags "solder jumper open")
(path /60012301)
(attr virtual)
(fp_text reference JP2 (at 0 -0.1) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value Jumper_NO_Small (at 0 1.9) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -1.4 0.3) (end -1.4 -0.3) (layer F.SilkS) (width 0.12))
(fp_line (start 0.7 1) (end -0.7 1) (layer F.SilkS) (width 0.12))
(fp_line (start 1.4 -0.3) (end 1.4 0.3) (layer F.SilkS) (width 0.12))
(fp_line (start -0.7 -1) (end 0.7 -1) (layer F.SilkS) (width 0.12))
(fp_line (start -1.65 -1.25) (end 1.65 -1.25) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.65 -1.25) (end -1.65 1.25) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.65 1.25) (end 1.65 -1.25) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.65 1.25) (end -1.65 1.25) (layer F.CrtYd) (width 0.05))
(fp_arc (start -0.7 -0.3) (end -0.7 -1) (angle -90) (layer F.SilkS) (width 0.12))
(fp_arc (start -0.7 0.3) (end -1.4 0.3) (angle -90) (layer F.SilkS) (width 0.12))
(fp_arc (start 0.7 0.3) (end 0.7 1) (angle -90) (layer F.SilkS) (width 0.12))
(fp_arc (start 0.7 -0.3) (end 1.4 -0.3) (angle -90) (layer F.SilkS) (width 0.12))
(pad 2 smd custom (at 0.65 0) (size 1 0.5) (layers F.Cu F.Mask)
(net 3 +3V3) (zone_connect 2)
(options (clearance outline) (anchor rect))
(primitives
(gr_circle (center 0 0.25) (end 0.5 0.25) (width 0))
(gr_circle (center 0 -0.25) (end 0.5 -0.25) (width 0))
(gr_poly (pts
(xy 0 -0.75) (xy -0.5 -0.75) (xy -0.5 0.75) (xy 0 0.75)) (width 0))
))
(pad 1 smd custom (at -0.65 0) (size 1 0.5) (layers F.Cu F.Mask)
(net 93 "Net-(JP2-Pad1)") (zone_connect 2)
(options (clearance outline) (anchor rect))
(primitives
(gr_circle (center 0 0.25) (end 0.5 0.25) (width 0))
(gr_circle (center 0 -0.25) (end 0.5 -0.25) (width 0))
(gr_poly (pts
(xy 0 -0.75) (xy 0.5 -0.75) (xy 0.5 0.75) (xy 0 0.75)) (width 0))
))
)
(module Resistor_SMD:R_0603_1608Metric (layer F.Cu) (tedit 5B301BBD) (tstamp 5FC220F2)
(at 130.6 70.7 270)
(descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
(tags resistor)
(path /5FC8C502)
(attr smd)
(fp_text reference R25 (at 0 1.6 90) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value 5K1 (at 0 1.43 90) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12))
(fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12))
(fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
(fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
(fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
(fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
(fp_text user %R (at 0 0 90) (layer F.Fab)
(effects (font (size 0.4 0.4) (thickness 0.06)))
)
(pad 2 smd roundrect (at 0.7875 0 270) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 92 "Net-(J5-PadB5)"))
(pad 1 smd roundrect (at -0.7875 0 270) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 2 GND))
(model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Resistor_SMD:R_0603_1608Metric (layer F.Cu) (tedit 5B301BBD) (tstamp 5FC220E1)
(at 128.9 70.7 270)
(descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
(tags resistor)
(path /5FC8B892)
(attr smd)
(fp_text reference R24 (at 0 -1.43 90) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value 5K1 (at 0 1.43 90) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12))
(fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12))
(fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
(fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
(fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
(fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
(fp_text user %R (at 0 0 90) (layer F.Fab)
(effects (font (size 0.4 0.4) (thickness 0.06)))
)
(pad 2 smd roundrect (at 0.7875 0 270) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 90 "Net-(J5-PadA5)"))
(pad 1 smd roundrect (at -0.7875 0 270) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 2 GND))
(model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Fuse:Fuse_0805_2012Metric (layer F.Cu) (tedit 5B36C52C) (tstamp 5FC21A16)
(at 129.58 85.99)
(descr "Fuse SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator")
(tags resistor)
(path /5FE0369E)
(attr smd)
(fp_text reference F2 (at 0 -1.65) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value Polyfuse (at 0 1.65) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start 1.68 0.95) (end -1.68 0.95) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.68 -0.95) (end 1.68 0.95) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.68 -0.95) (end 1.68 -0.95) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.68 0.95) (end -1.68 -0.95) (layer F.CrtYd) (width 0.05))
(fp_line (start -0.258578 0.71) (end 0.258578 0.71) (layer F.SilkS) (width 0.12))
(fp_line (start -0.258578 -0.71) (end 0.258578 -0.71) (layer F.SilkS) (width 0.12))
(fp_line (start 1 0.6) (end -1 0.6) (layer F.Fab) (width 0.1))
(fp_line (start 1 -0.6) (end 1 0.6) (layer F.Fab) (width 0.1))
(fp_line (start -1 -0.6) (end 1 -0.6) (layer F.Fab) (width 0.1))
(fp_line (start -1 0.6) (end -1 -0.6) (layer F.Fab) (width 0.1))
(fp_text user %R (at 0 0) (layer F.Fab)
(effects (font (size 0.5 0.5) (thickness 0.08)))
)
(pad 2 smd roundrect (at 0.9375 0) (size 0.975 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 88 "Net-(F2-Pad2)"))
(pad 1 smd roundrect (at -0.9375 0) (size 0.975 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 1 VBUS))
(model ${KISYS3DMOD}/Fuse.3dshapes/Fuse_0805_2012Metric.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
(model "/Users/dustinwatts/Kicad/3dmodels/Polyfuse/Fuse Littelfuse 1206L150THWR.stp"
(at (xyz 0 0 0))
(scale (xyz 0.7 0.7 0.7))
(rotate (xyz 0 0 0))
)
)
(module Capacitor_SMD:C_0603_1608Metric (layer F.Cu) (tedit 5B301BBE) (tstamp 5FC21867)
(at 129.59 87.92)
(descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
(tags capacitor)
(path /5FE55384)
(attr smd)
(fp_text reference C2 (at 0 -1.43) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value 0.1uF (at 0 1.43) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12))
(fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12))
(fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
(fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
(fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
(fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
(fp_text user %R (at 0 0) (layer F.Fab)
(effects (font (size 0.4 0.4) (thickness 0.06)))
)
(pad 2 smd roundrect (at 0.7875 0) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 2 GND))
(pad 1 smd roundrect (at -0.7875 0) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 1 VBUS))
(model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Jumper:SolderJumper-3_P1.3mm_Open_RoundedPad1.0x1.5mm_NumberLabels (layer F.Cu) (tedit 5B391ED1) (tstamp 5FB8F149)
(at 81.3 68.65)
(descr "SMD Solder 3-pad Jumper, 1x1.5mm rounded Pads, 0.3mm gap, open, labeled with numbers")
(tags "solder jumper open")
(path /60010148)
(attr virtual)
(fp_text reference JP1 (at 0 -1.8) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value 3V3/GPIO (at 0 1.9) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start 2.3 1.25) (end -2.3 1.25) (layer F.CrtYd) (width 0.05))
(fp_line (start 2.3 1.25) (end 2.3 -1.25) (layer F.CrtYd) (width 0.05))
(fp_line (start -2.3 -1.25) (end -2.3 1.25) (layer F.CrtYd) (width 0.05))
(fp_line (start -2.3 -1.25) (end 2.3 -1.25) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.4 -1) (end 1.4 -1) (layer F.SilkS) (width 0.12))
(fp_line (start 2.05 -0.3) (end 2.05 0.3) (layer F.SilkS) (width 0.12))
(fp_line (start 1.4 1) (end -1.4 1) (layer F.SilkS) (width 0.12))
(fp_line (start -2.05 0.3) (end -2.05 -0.3) (layer F.SilkS) (width 0.12))
(fp_arc (start -1.35 -0.3) (end -1.35 -1) (angle -90) (layer F.SilkS) (width 0.12))
(fp_arc (start -1.35 0.3) (end -2.05 0.3) (angle -90) (layer F.SilkS) (width 0.12))
(fp_arc (start 1.35 0.3) (end 1.35 1) (angle -90) (layer F.SilkS) (width 0.12))
(fp_arc (start 1.35 -0.3) (end 2.05 -0.3) (angle -90) (layer F.SilkS) (width 0.12))
(fp_text user 1 (at -2.6 0) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text user 3 (at 2.6 0) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(pad 2 smd rect (at 0 0) (size 1 1.5) (layers F.Cu F.Mask)
(net 85 "Net-(JP1-Pad2)"))
(pad 3 smd custom (at 1.3 0) (size 1 0.5) (layers F.Cu F.Mask)
(net 3 +3V3) (zone_connect 2)
(options (clearance outline) (anchor rect))
(primitives
(gr_circle (center 0 0.25) (end 0.5 0.25) (width 0))
(gr_circle (center 0 -0.25) (end 0.5 -0.25) (width 0))
(gr_poly (pts
(xy -0.55 -0.75) (xy 0 -0.75) (xy 0 0.75) (xy -0.55 0.75)) (width 0))
))
(pad 1 smd custom (at -1.3 0) (size 1 0.5) (layers F.Cu F.Mask)
(net 14 TFT_LED) (zone_connect 2)
(options (clearance outline) (anchor rect))
(primitives
(gr_circle (center 0 0.25) (end 0.5 0.25) (width 0))
(gr_circle (center 0 -0.25) (end 0.5 -0.25) (width 0))
(gr_poly (pts
(xy 0.55 -0.75) (xy 0 -0.75) (xy 0 0.75) (xy 0.55 0.75)) (width 0))
))
)
(module Resistor_SMD:R_0603_1608Metric (layer F.Cu) (tedit 5B301BBD) (tstamp 5FB8F43C)
(at 59.2 62 180)
(descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
(tags resistor)
(path /604A23D3)
(attr smd)
(fp_text reference R23 (at 0 -1.43) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value 10K (at 0 1.43) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12))
(fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12))
(fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
(fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
(fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
(fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
(fp_text user %R (at 0 0) (layer F.Fab)
(effects (font (size 0.4 0.4) (thickness 0.06)))
)
(pad 2 smd roundrect (at 0.7875 0 180) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 18 IO5))
(pad 1 smd roundrect (at -0.7875 0 180) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 3 +3V3))
(model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Resistor_SMD:R_0603_1608Metric (layer F.Cu) (tedit 5B301BBD) (tstamp 5FB9F847)
(at 130.65 91.3)
(descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
(tags resistor)
(path /60416135)
(attr smd)
(fp_text reference R22 (at -0.1 -3.25) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value 1K (at 0 1.43) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12))
(fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12))
(fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
(fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
(fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
(fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
(fp_text user %R (at 0 0) (layer F.Fab)
(effects (font (size 0.4 0.4) (thickness 0.06)))
)
(pad 2 smd roundrect (at 0.7875 0) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 75 "Net-(D3-Pad2)"))
(pad 1 smd roundrect (at -0.7875 0) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 93 "Net-(JP2-Pad1)"))
(model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Resistor_SMD:R_0603_1608Metric (layer F.Cu) (tedit 5B301BBD) (tstamp 5FB941B1)
(at 83.35 75.45)
(descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
(tags resistor)
(path /5FC567AF)
(attr smd)
(fp_text reference R21 (at -7.75 0.87) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value 10 (at 0 1.43) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12))
(fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12))
(fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
(fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
(fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
(fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
(fp_text user %R (at 0 0) (layer F.Fab)
(effects (font (size 0.4 0.4) (thickness 0.06)))
)
(pad 2 smd roundrect (at 0.7875 0) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 76 LED_K3))
(pad 1 smd roundrect (at -0.7875 0) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 86 "Net-(Q4-Pad3)"))
(model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Resistor_SMD:R_0603_1608Metric (layer F.Cu) (tedit 5B301BBD) (tstamp 5FB941E1)
(at 83.35 73.95)
(descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
(tags resistor)
(path /5FC37ED6)
(attr smd)
(fp_text reference R20 (at -7.75 0.87) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value 10 (at 0 1.43) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12))
(fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12))
(fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
(fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
(fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
(fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
(fp_text user %R (at 0 0) (layer F.Fab)
(effects (font (size 0.4 0.4) (thickness 0.06)))
)
(pad 2 smd roundrect (at 0.7875 0) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 77 LED_K2))
(pad 1 smd roundrect (at -0.7875 0) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 86 "Net-(Q4-Pad3)"))
(model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Resistor_SMD:R_0603_1608Metric (layer F.Cu) (tedit 5B301BBD) (tstamp 5FB94211)
(at 83.35 72.45)
(descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
(tags resistor)
(path /5FC47290)
(attr smd)
(fp_text reference R19 (at -7.75 0.87) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value 10 (at 0 1.43) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12))
(fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12))
(fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
(fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
(fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
(fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
(fp_text user %R (at 0 0) (layer F.Fab)
(effects (font (size 0.4 0.4) (thickness 0.06)))
)
(pad 2 smd roundrect (at 0.7875 0) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 78 LED_K1))
(pad 1 smd roundrect (at -0.7875 0) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 86 "Net-(Q4-Pad3)"))
(model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Resistor_SMD:R_0603_1608Metric (layer F.Cu) (tedit 5B301BBD) (tstamp 5FB8F3E7)
(at 79.75 71.1 180)
(descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
(tags resistor)
(path /5FC28B55)
(attr smd)
(fp_text reference R18 (at 4.15 -0.8) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value 10K (at 0 1.43) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12))
(fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12))
(fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
(fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
(fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
(fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
(fp_text user %R (at 0 0) (layer F.Fab)
(effects (font (size 0.4 0.4) (thickness 0.06)))
)
(pad 2 smd roundrect (at 0.7875 0 180) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 87 "Net-(Q4-Pad1)"))
(pad 1 smd roundrect (at -0.7875 0 180) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 85 "Net-(JP1-Pad2)"))
(model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Resistor_SMD:R_0603_1608Metric (layer F.Cu) (tedit 5B301BBD) (tstamp 5FB8F346)
(at 47.8 62.05)
(descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
(tags resistor)
(path /5FB96C5D)
(attr smd)
(fp_text reference R11 (at 0 -1.43) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value 10K (at 0 1.43) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12))
(fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12))
(fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
(fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
(fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
(fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
(fp_text user %R (at 0 0) (layer F.Fab)
(effects (font (size 0.4 0.4) (thickness 0.06)))
)
(pad 2 smd roundrect (at 0.7875 0) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 25 SCL))
(pad 1 smd roundrect (at -0.7875 0) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 3 +3V3))
(model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Resistor_SMD:R_0603_1608Metric (layer F.Cu) (tedit 5B301BBD) (tstamp 5FB8F2D5)
(at 54.2 62 180)
(descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
(tags resistor)
(path /5FB96C57)
(attr smd)
(fp_text reference R7 (at 0 -1.43) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value 10K (at 0 1.43) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12))
(fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12))
(fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
(fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
(fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
(fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
(fp_text user %R (at 0 0) (layer F.Fab)
(effects (font (size 0.4 0.4) (thickness 0.06)))
)
(pad 2 smd roundrect (at 0.7875 0 180) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 24 SDA))
(pad 1 smd roundrect (at -0.7875 0 180) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 3 +3V3))
(model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Package_TO_SOT_SMD:SOT-23 (layer F.Cu) (tedit 5A02FF57) (tstamp 5FB94179)
(at 79.8 73.95)
(descr "SOT-23, Standard")
(tags SOT-23)
(path /5FBEA41B)
(attr smd)
(fp_text reference Q4 (at -0.25 2.55) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value S8050 (at 0 2.5) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start 0.76 1.58) (end -0.7 1.58) (layer F.SilkS) (width 0.12))
(fp_line (start 0.76 -1.58) (end -1.4 -1.58) (layer F.SilkS) (width 0.12))
(fp_line (start -1.7 1.75) (end -1.7 -1.75) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.7 1.75) (end -1.7 1.75) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.7 -1.75) (end 1.7 1.75) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.7 -1.75) (end 1.7 -1.75) (layer F.CrtYd) (width 0.05))
(fp_line (start 0.76 -1.58) (end 0.76 -0.65) (layer F.SilkS) (width 0.12))
(fp_line (start 0.76 1.58) (end 0.76 0.65) (layer F.SilkS) (width 0.12))
(fp_line (start -0.7 1.52) (end 0.7 1.52) (layer F.Fab) (width 0.1))
(fp_line (start 0.7 -1.52) (end 0.7 1.52) (layer F.Fab) (width 0.1))
(fp_line (start -0.7 -0.95) (end -0.15 -1.52) (layer F.Fab) (width 0.1))
(fp_line (start -0.15 -1.52) (end 0.7 -1.52) (layer F.Fab) (width 0.1))
(fp_line (start -0.7 -0.95) (end -0.7 1.5) (layer F.Fab) (width 0.1))
(fp_text user %R (at 0 0 90) (layer F.Fab)
(effects (font (size 0.5 0.5) (thickness 0.075)))
)
(pad 3 smd rect (at 1 0) (size 0.9 0.8) (layers F.Cu F.Paste F.Mask)
(net 86 "Net-(Q4-Pad3)"))
(pad 2 smd rect (at -1 0.95) (size 0.9 0.8) (layers F.Cu F.Paste F.Mask)
(net 2 GND))
(pad 1 smd rect (at -1 -0.95) (size 0.9 0.8) (layers F.Cu F.Paste F.Mask)
(net 87 "Net-(Q4-Pad1)"))
(model ${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-23.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Connector_PinHeader_2.54mm:PinHeader_2x07_P2.54mm_Vertical_SMD (layer F.Cu) (tedit 59FED5CC) (tstamp 5FB8F0AC)
(at 55 43 90)
(descr "surface-mounted straight pin header, 2x07, 2.54mm pitch, double rows")
(tags "Surface mounted pin header SMD 2x07 2.54mm double row")
(path /6023390D)
(attr smd)
(fp_text reference J2 (at 0 -9.95 90) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value Conn_02x07_Odd_Even (at 0 9.95 90) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start 5.9 -9.4) (end -5.9 -9.4) (layer F.CrtYd) (width 0.05))
(fp_line (start 5.9 9.4) (end 5.9 -9.4) (layer F.CrtYd) (width 0.05))
(fp_line (start -5.9 9.4) (end 5.9 9.4) (layer F.CrtYd) (width 0.05))
(fp_line (start -5.9 -9.4) (end -5.9 9.4) (layer F.CrtYd) (width 0.05))
(fp_line (start 2.6 5.84) (end 2.6 6.86) (layer F.SilkS) (width 0.12))
(fp_line (start -2.6 5.84) (end -2.6 6.86) (layer F.SilkS) (width 0.12))
(fp_line (start 2.6 3.3) (end 2.6 4.32) (layer F.SilkS) (width 0.12))
(fp_line (start -2.6 3.3) (end -2.6 4.32) (layer F.SilkS) (width 0.12))
(fp_line (start 2.6 0.76) (end 2.6 1.78) (layer F.SilkS) (width 0.12))
(fp_line (start -2.6 0.76) (end -2.6 1.78) (layer F.SilkS) (width 0.12))
(fp_line (start 2.6 -1.78) (end 2.6 -0.76) (layer F.SilkS) (width 0.12))
(fp_line (start -2.6 -1.78) (end -2.6 -0.76) (layer F.SilkS) (width 0.12))
(fp_line (start 2.6 -4.32) (end 2.6 -3.3) (layer F.SilkS) (width 0.12))
(fp_line (start -2.6 -4.32) (end -2.6 -3.3) (layer F.SilkS) (width 0.12))
(fp_line (start 2.6 -6.86) (end 2.6 -5.84) (layer F.SilkS) (width 0.12))
(fp_line (start -2.6 -6.86) (end -2.6 -5.84) (layer F.SilkS) (width 0.12))
(fp_line (start 2.6 8.38) (end 2.6 8.95) (layer F.SilkS) (width 0.12))
(fp_line (start -2.6 8.38) (end -2.6 8.95) (layer F.SilkS) (width 0.12))
(fp_line (start 2.6 -8.95) (end 2.6 -8.38) (layer F.SilkS) (width 0.12))
(fp_line (start -2.6 -8.95) (end -2.6 -8.38) (layer F.SilkS) (width 0.12))
(fp_line (start -4.04 -8.38) (end -2.6 -8.38) (layer F.SilkS) (width 0.12))
(fp_line (start -2.6 8.95) (end 2.6 8.95) (layer F.SilkS) (width 0.12))
(fp_line (start -2.6 -8.95) (end 2.6 -8.95) (layer F.SilkS) (width 0.12))
(fp_line (start 3.6 7.94) (end 2.54 7.94) (layer F.Fab) (width 0.1))
(fp_line (start 3.6 7.3) (end 3.6 7.94) (layer F.Fab) (width 0.1))
(fp_line (start 2.54 7.3) (end 3.6 7.3) (layer F.Fab) (width 0.1))
(fp_line (start -3.6 7.94) (end -2.54 7.94) (layer F.Fab) (width 0.1))
(fp_line (start -3.6 7.3) (end -3.6 7.94) (layer F.Fab) (width 0.1))
(fp_line (start -2.54 7.3) (end -3.6 7.3) (layer F.Fab) (width 0.1))
(fp_line (start 3.6 5.4) (end 2.54 5.4) (layer F.Fab) (width 0.1))
(fp_line (start 3.6 4.76) (end 3.6 5.4) (layer F.Fab) (width 0.1))
(fp_line (start 2.54 4.76) (end 3.6 4.76) (layer F.Fab) (width 0.1))
(fp_line (start -3.6 5.4) (end -2.54 5.4) (layer F.Fab) (width 0.1))
(fp_line (start -3.6 4.76) (end -3.6 5.4) (layer F.Fab) (width 0.1))
(fp_line (start -2.54 4.76) (end -3.6 4.76) (layer F.Fab) (width 0.1))
(fp_line (start 3.6 2.86) (end 2.54 2.86) (layer F.Fab) (width 0.1))
(fp_line (start 3.6 2.22) (end 3.6 2.86) (layer F.Fab) (width 0.1))
(fp_line (start 2.54 2.22) (end 3.6 2.22) (layer F.Fab) (width 0.1))
(fp_line (start -3.6 2.86) (end -2.54 2.86) (layer F.Fab) (width 0.1))
(fp_line (start -3.6 2.22) (end -3.6 2.86) (layer F.Fab) (width 0.1))
(fp_line (start -2.54 2.22) (end -3.6 2.22) (layer F.Fab) (width 0.1))
(fp_line (start 3.6 0.32) (end 2.54 0.32) (layer F.Fab) (width 0.1))
(fp_line (start 3.6 -0.32) (end 3.6 0.32) (layer F.Fab) (width 0.1))
(fp_line (start 2.54 -0.32) (end 3.6 -0.32) (layer F.Fab) (width 0.1))
(fp_line (start -3.6 0.32) (end -2.54 0.32) (layer F.Fab) (width 0.1))
(fp_line (start -3.6 -0.32) (end -3.6 0.32) (layer F.Fab) (width 0.1))
(fp_line (start -2.54 -0.32) (end -3.6 -0.32) (layer F.Fab) (width 0.1))
(fp_line (start 3.6 -2.22) (end 2.54 -2.22) (layer F.Fab) (width 0.1))
(fp_line (start 3.6 -2.86) (end 3.6 -2.22) (layer F.Fab) (width 0.1))
(fp_line (start 2.54 -2.86) (end 3.6 -2.86) (layer F.Fab) (width 0.1))
(fp_line (start -3.6 -2.22) (end -2.54 -2.22) (layer F.Fab) (width 0.1))
(fp_line (start -3.6 -2.86) (end -3.6 -2.22) (layer F.Fab) (width 0.1))
(fp_line (start -2.54 -2.86) (end -3.6 -2.86) (layer F.Fab) (width 0.1))
(fp_line (start 3.6 -4.76) (end 2.54 -4.76) (layer F.Fab) (width 0.1))
(fp_line (start 3.6 -5.4) (end 3.6 -4.76) (layer F.Fab) (width 0.1))
(fp_line (start 2.54 -5.4) (end 3.6 -5.4) (layer F.Fab) (width 0.1))
(fp_line (start -3.6 -4.76) (end -2.54 -4.76) (layer F.Fab) (width 0.1))
(fp_line (start -3.6 -5.4) (end -3.6 -4.76) (layer F.Fab) (width 0.1))
(fp_line (start -2.54 -5.4) (end -3.6 -5.4) (layer F.Fab) (width 0.1))
(fp_line (start 3.6 -7.3) (end 2.54 -7.3) (layer F.Fab) (width 0.1))
(fp_line (start 3.6 -7.94) (end 3.6 -7.3) (layer F.Fab) (width 0.1))
(fp_line (start 2.54 -7.94) (end 3.6 -7.94) (layer F.Fab) (width 0.1))
(fp_line (start -3.6 -7.3) (end -2.54 -7.3) (layer F.Fab) (width 0.1))
(fp_line (start -3.6 -7.94) (end -3.6 -7.3) (layer F.Fab) (width 0.1))
(fp_line (start -2.54 -7.94) (end -3.6 -7.94) (layer F.Fab) (width 0.1))
(fp_line (start 2.54 -8.89) (end 2.54 8.89) (layer F.Fab) (width 0.1))
(fp_line (start -2.54 -7.94) (end -1.59 -8.89) (layer F.Fab) (width 0.1))
(fp_line (start -2.54 8.89) (end -2.54 -7.94) (layer F.Fab) (width 0.1))
(fp_line (start -1.59 -8.89) (end 2.54 -8.89) (layer F.Fab) (width 0.1))
(fp_line (start 2.54 8.89) (end -2.54 8.89) (layer F.Fab) (width 0.1))
(fp_text user %R (at 0 0) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(pad 14 smd rect (at 2.525 7.62 90) (size 3.15 1) (layers F.Cu F.Paste F.Mask)
(net 83 IO17))
(pad 13 smd rect (at -2.525 7.62 90) (size 3.15 1) (layers F.Cu F.Paste F.Mask)
(net 21 IO16))
(pad 12 smd rect (at 2.525 5.08 90) (size 3.15 1) (layers F.Cu F.Paste F.Mask)
(net 11 SDI))
(pad 11 smd rect (at -2.525 5.08 90) (size 3.15 1) (layers F.Cu F.Paste F.Mask)
(net 84 IO14))
(pad 10 smd rect (at 2.525 2.54 90) (size 3.15 1) (layers F.Cu F.Paste F.Mask)
(net 38 SDO))
(pad 9 smd rect (at -2.525 2.54 90) (size 3.15 1) (layers F.Cu F.Paste F.Mask)
(net 20 IO13))
(pad 8 smd rect (at 2.525 0 90) (size 3.15 1) (layers F.Cu F.Paste F.Mask)
(net 10 SCK))
(pad 7 smd rect (at -2.525 0 90) (size 3.15 1) (layers F.Cu F.Paste F.Mask)
(net 19 IO12))
(pad 6 smd rect (at 2.525 -2.54 90) (size 3.15 1) (layers F.Cu F.Paste F.Mask)
(net 3 +3V3))
(pad 5 smd rect (at -2.525 -2.54 90) (size 3.15 1) (layers F.Cu F.Paste F.Mask)
(net 2 GND))
(pad 4 smd rect (at 2.525 -5.08 90) (size 3.15 1) (layers F.Cu F.Paste F.Mask)
(net 25 SCL))
(pad 3 smd rect (at -2.525 -5.08 90) (size 3.15 1) (layers F.Cu F.Paste F.Mask)
(net 24 SDA))
(pad 2 smd rect (at 2.525 -7.62 90) (size 3.15 1) (layers F.Cu F.Paste F.Mask)
(net 22 IO33))
(pad 1 smd rect (at -2.525 -7.62 90) (size 3.15 1) (layers F.Cu F.Paste F.Mask)
(net 23 IO34))
(model ${KISYS3DMOD}/Connector_PinHeader_2.54mm.3dshapes/PinHeader_2x07_P2.54mm_Vertical_SMD.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module LED_SMD:LED_0603_1608Metric (layer F.Cu) (tedit 5B301BBE) (tstamp 5FB8EEAF)
(at 130.7 93)
(descr "LED SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
(tags diode)
(path /60334F07)
(attr smd)
(fp_text reference D3 (at -0.1 -2.95) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value ON (at 0 1.43) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.485 0.735) (end 0.8 0.735) (layer F.SilkS) (width 0.12))
(fp_line (start -1.485 -0.735) (end -1.485 0.735) (layer F.SilkS) (width 0.12))
(fp_line (start 0.8 -0.735) (end -1.485 -0.735) (layer F.SilkS) (width 0.12))
(fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
(fp_line (start -0.8 0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
(fp_line (start -0.8 -0.1) (end -0.8 0.4) (layer F.Fab) (width 0.1))
(fp_line (start -0.5 -0.4) (end -0.8 -0.1) (layer F.Fab) (width 0.1))
(fp_line (start 0.8 -0.4) (end -0.5 -0.4) (layer F.Fab) (width 0.1))
(fp_text user %R (at 0 0) (layer F.Fab)
(effects (font (size 0.4 0.4) (thickness 0.06)))
)
(pad 2 smd roundrect (at 0.7875 0) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 75 "Net-(D3-Pad2)"))
(pad 1 smd roundrect (at -0.7875 0) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 2 GND))
(model ${KISYS3DMOD}/LED_SMD.3dshapes/LED_0603_1608Metric.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module MountingHole:MountingHole_2.5mm_Pad_Via (layer F.Cu) (tedit 56DDBAEA) (tstamp 5F6A31C1)
(at 41.5 40)
(descr "Mounting Hole 2.5mm")
(tags "mounting hole 2.5mm")
(path /5F6BC3E2)
(attr virtual)
(fp_text reference H1 (at 0 -4.2) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value MountingHole (at 0 4.2) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_circle (center 0 0) (end 2.75 0) (layer F.CrtYd) (width 0.05))
(fp_circle (center 0 0) (end 2.5 0) (layer Cmts.User) (width 0.15))
(fp_text user %R (at 0.3 0) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(pad 1 thru_hole circle (at 1.325825 -1.325825) (size 0.8 0.8) (drill 0.5) (layers *.Cu *.Mask))
(pad 1 thru_hole circle (at 0 -1.875) (size 0.8 0.8) (drill 0.5) (layers *.Cu *.Mask))
(pad 1 thru_hole circle (at -1.325825 -1.325825) (size 0.8 0.8) (drill 0.5) (layers *.Cu *.Mask))
(pad 1 thru_hole circle (at -1.875 0) (size 0.8 0.8) (drill 0.5) (layers *.Cu *.Mask))
(pad 1 thru_hole circle (at -1.325825 1.325825) (size 0.8 0.8) (drill 0.5) (layers *.Cu *.Mask))
(pad 1 thru_hole circle (at 0 1.875) (size 0.8 0.8) (drill 0.5) (layers *.Cu *.Mask))
(pad 1 thru_hole circle (at 1.325825 1.325825) (size 0.8 0.8) (drill 0.5) (layers *.Cu *.Mask))
(pad 1 thru_hole circle (at 1.875 0) (size 0.8 0.8) (drill 0.5) (layers *.Cu *.Mask))
(pad 1 thru_hole circle (at 0 0) (size 5 5) (drill 2.5) (layers *.Cu *.Mask))
)
(module MountingHole:MountingHole_2.5mm_Pad_Via (layer F.Cu) (tedit 56DDBAEA) (tstamp 5F6A31D1)
(at 41.5 91)
(descr "Mounting Hole 2.5mm")
(tags "mounting hole 2.5mm")
(path /5F6BD065)
(attr virtual)
(fp_text reference H2 (at 0 -4.2) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value MountingHole (at 0 4.2) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_circle (center 0 0) (end 2.75 0) (layer F.CrtYd) (width 0.05))
(fp_circle (center 0 0) (end 2.5 0) (layer Cmts.User) (width 0.15))
(fp_text user %R (at 0.3 0) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(pad 1 thru_hole circle (at 1.325825 -1.325825) (size 0.8 0.8) (drill 0.5) (layers *.Cu *.Mask))
(pad 1 thru_hole circle (at 0 -1.875) (size 0.8 0.8) (drill 0.5) (layers *.Cu *.Mask))
(pad 1 thru_hole circle (at -1.325825 -1.325825) (size 0.8 0.8) (drill 0.5) (layers *.Cu *.Mask))
(pad 1 thru_hole circle (at -1.875 0) (size 0.8 0.8) (drill 0.5) (layers *.Cu *.Mask))
(pad 1 thru_hole circle (at -1.325825 1.325825) (size 0.8 0.8) (drill 0.5) (layers *.Cu *.Mask))
(pad 1 thru_hole circle (at 0 1.875) (size 0.8 0.8) (drill 0.5) (layers *.Cu *.Mask))
(pad 1 thru_hole circle (at 1.325825 1.325825) (size 0.8 0.8) (drill 0.5) (layers *.Cu *.Mask))
(pad 1 thru_hole circle (at 1.875 0) (size 0.8 0.8) (drill 0.5) (layers *.Cu *.Mask))
(pad 1 thru_hole circle (at 0 0) (size 5 5) (drill 2.5) (layers *.Cu *.Mask))
)
(module MountingHole:MountingHole_2.5mm_Pad_Via (layer F.Cu) (tedit 56DDBAEA) (tstamp 5F6A31E1)
(at 135.5 40)
(descr "Mounting Hole 2.5mm")
(tags "mounting hole 2.5mm")
(path /5F6BDD27)
(attr virtual)
(fp_text reference H3 (at 0 -4.2) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value MountingHole (at 0 4.2) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_circle (center 0 0) (end 2.75 0) (layer F.CrtYd) (width 0.05))
(fp_circle (center 0 0) (end 2.5 0) (layer Cmts.User) (width 0.15))
(fp_text user %R (at 0.3 0) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(pad 1 thru_hole circle (at 1.325825 -1.325825) (size 0.8 0.8) (drill 0.5) (layers *.Cu *.Mask))
(pad 1 thru_hole circle (at 0 -1.875) (size 0.8 0.8) (drill 0.5) (layers *.Cu *.Mask))
(pad 1 thru_hole circle (at -1.325825 -1.325825) (size 0.8 0.8) (drill 0.5) (layers *.Cu *.Mask))
(pad 1 thru_hole circle (at -1.875 0) (size 0.8 0.8) (drill 0.5) (layers *.Cu *.Mask))
(pad 1 thru_hole circle (at -1.325825 1.325825) (size 0.8 0.8) (drill 0.5) (layers *.Cu *.Mask))
(pad 1 thru_hole circle (at 0 1.875) (size 0.8 0.8) (drill 0.5) (layers *.Cu *.Mask))
(pad 1 thru_hole circle (at 1.325825 1.325825) (size 0.8 0.8) (drill 0.5) (layers *.Cu *.Mask))
(pad 1 thru_hole circle (at 1.875 0) (size 0.8 0.8) (drill 0.5) (layers *.Cu *.Mask))
(pad 1 thru_hole circle (at 0 0) (size 5 5) (drill 2.5) (layers *.Cu *.Mask))
)
(module MountingHole:MountingHole_2.5mm_Pad_Via (layer F.Cu) (tedit 56DDBAEA) (tstamp 5F6A31F1)
(at 135.5 91)
(descr "Mounting Hole 2.5mm")
(tags "mounting hole 2.5mm")
(path /5F6BE9B6)
(attr virtual)
(fp_text reference H4 (at 0.34 0.09) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value MountingHole (at 0 4.2) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_circle (center 0 0) (end 2.75 0) (layer F.CrtYd) (width 0.05))
(fp_circle (center 0 0) (end 2.5 0) (layer Cmts.User) (width 0.15))
(fp_text user %R (at 0.3 0) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(pad 1 thru_hole circle (at 1.325825 -1.325825) (size 0.8 0.8) (drill 0.5) (layers *.Cu *.Mask))
(pad 1 thru_hole circle (at 0 -1.875) (size 0.8 0.8) (drill 0.5) (layers *.Cu *.Mask))
(pad 1 thru_hole circle (at -1.325825 -1.325825) (size 0.8 0.8) (drill 0.5) (layers *.Cu *.Mask))
(pad 1 thru_hole circle (at -1.875 0) (size 0.8 0.8) (drill 0.5) (layers *.Cu *.Mask))
(pad 1 thru_hole circle (at -1.325825 1.325825) (size 0.8 0.8) (drill 0.5) (layers *.Cu *.Mask))
(pad 1 thru_hole circle (at 0 1.875) (size 0.8 0.8) (drill 0.5) (layers *.Cu *.Mask))
(pad 1 thru_hole circle (at 1.325825 1.325825) (size 0.8 0.8) (drill 0.5) (layers *.Cu *.Mask))
(pad 1 thru_hole circle (at 1.875 0) (size 0.8 0.8) (drill 0.5) (layers *.Cu *.Mask))
(pad 1 thru_hole circle (at 0 0) (size 5 5) (drill 2.5) (layers *.Cu *.Mask))
)
(module Connector_JST:JST_PH_B2B-PH-SM4-TB_1x02-1MP_P2.00mm_Vertical (layer F.Cu) (tedit 5F70CA78) (tstamp 5F6A3277)
(at 110.48 87.17 180)
(descr "JST PH series connector, B2B-PH-SM4-TB (http://www.jst-mfg.com/product/pdf/eng/ePH.pdf), generated with kicad-footprint-generator")
(tags "connector JST PH side entry")
(path /5F6BCBCE)
(attr smd)
(fp_text reference J3 (at 0.08 -3.41 180) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value " B2B-PH-SM4-TB(LF)(SN) " (at 0 4.45 180) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -1 0.042893) (end -0.5 0.75) (layer F.Fab) (width 0.1))
(fp_line (start -1.5 0.75) (end -1 0.042893) (layer F.Fab) (width 0.1))
(fp_line (start 4.7 -4.75) (end -4.7 -4.75) (layer F.CrtYd) (width 0.05))
(fp_line (start 4.7 3.25) (end 4.7 -4.75) (layer F.CrtYd) (width 0.05))
(fp_line (start -4.7 3.25) (end 4.7 3.25) (layer F.CrtYd) (width 0.05))
(fp_line (start -4.7 -4.75) (end -4.7 3.25) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.25 -2.75) (end 0.75 -2.75) (layer F.Fab) (width 0.1))
(fp_line (start 1.25 -2.25) (end 1.25 -2.75) (layer F.Fab) (width 0.1))
(fp_line (start 0.75 -2.25) (end 1.25 -2.25) (layer F.Fab) (width 0.1))
(fp_line (start 0.75 -2.75) (end 0.75 -2.25) (layer F.Fab) (width 0.1))
(fp_line (start -0.75 -2.75) (end -1.25 -2.75) (layer F.Fab) (width 0.1))
(fp_line (start -0.75 -2.25) (end -0.75 -2.75) (layer F.Fab) (width 0.1))
(fp_line (start -1.25 -2.25) (end -0.75 -2.25) (layer F.Fab) (width 0.1))
(fp_line (start -1.25 -2.75) (end -1.25 -2.25) (layer F.Fab) (width 0.1))
(fp_line (start 3.975 0.75) (end 3.975 -4.25) (layer F.Fab) (width 0.1))
(fp_line (start -3.975 0.75) (end -3.975 -4.25) (layer F.Fab) (width 0.1))
(fp_line (start -3.975 -4.25) (end 3.975 -4.25) (layer F.Fab) (width 0.1))
(fp_line (start 4.085 -4.36) (end 4.085 -3.51) (layer F.SilkS) (width 0.12))
(fp_line (start -4.085 -4.36) (end 4.085 -4.36) (layer F.SilkS) (width 0.12))
(fp_line (start -4.085 -3.51) (end -4.085 -4.36) (layer F.SilkS) (width 0.12))
(fp_line (start 4.085 0.86) (end 1.76 0.86) (layer F.SilkS) (width 0.12))
(fp_line (start 4.085 0.01) (end 4.085 0.86) (layer F.SilkS) (width 0.12))
(fp_line (start -1.76 0.86) (end -1.76 3.25) (layer F.SilkS) (width 0.12))
(fp_line (start -4.085 0.86) (end -1.76 0.86) (layer F.SilkS) (width 0.12))
(fp_line (start -4.085 0.01) (end -4.085 0.86) (layer F.SilkS) (width 0.12))
(fp_line (start -3.975 0.75) (end 3.975 0.75) (layer F.Fab) (width 0.1))
(fp_text user %R (at 0 -1 180) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(pad 1 smd roundrect (at -1 0.5 180) (size 1 5.5) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 5 +BATT))
(pad 2 smd roundrect (at 1 0.5 180) (size 1 5.499999) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 2 GND) (thermal_width 0.381))
(pad MP smd roundrect (at -3.4 -1.75 180) (size 1.6 3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.15625))
(pad MP smd roundrect (at 3.4 -1.75 180) (size 1.6 3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.15625))
(model ${KISYS3DMOD}/Connector_JST.3dshapes/JST_PH_B2B-PH-SM4-TB_1x02-1MP_P2.00mm_Vertical.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
(model "/Users/dustinwatts/Kicad/3dmodels/B2B-PH-SM4-TB(LF)(SN)--3DModel-STEP-56544.STEP"
(offset (xyz 0 1.5 0))
(scale (xyz 1 1 1))
(rotate (xyz -90 0 -180))
)
)
(module Capacitor_SMD:C_0603_1608Metric (layer F.Cu) (tedit 5B301BBE) (tstamp 5F70B897)
(at 119.43 78.91 180)
(descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
(tags capacitor)
(path /5F8E6E1D)
(attr smd)
(fp_text reference C10 (at 0.79 -1.28 180 unlocked) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value 0.1uF (at 0 1.43) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12))
(fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12))
(fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
(fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
(fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
(fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
(fp_text user %R (at 0 0) (layer F.Fab)
(effects (font (size 0.4 0.4) (thickness 0.06)))
)
(pad 2 smd roundrect (at 0.7875 0 180) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 2 GND))
(pad 1 smd roundrect (at -0.7875 0 180) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 3 +3V3))
(model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module RF_Module:ESP32-WROOM-32 (layer F.Cu) (tedit 5F7C19B3) (tstamp 5F706B4C)
(at 54.43 73.93 90)
(descr "Single 2.4 GHz Wi-Fi and Bluetooth combo chip https://www.espressif.com/sites/default/files/documentation/esp32-wroom-32_datasheet_en.pdf")
(tags "Single 2.4 GHz Wi-Fi and Bluetooth combo chip")
(path /5F7C32A4)
(attr smd)
(fp_text reference U4 (at 2 3.5 180) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value ESP32-WROOM-32D (at 0 11.5 90) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -9.12 -9.445) (end -9.5 -9.445) (layer F.SilkS) (width 0.12))
(fp_line (start -9.12 -15.865) (end -9.12 -9.445) (layer F.SilkS) (width 0.12))
(fp_line (start 9.12 -15.865) (end 9.12 -9.445) (layer F.SilkS) (width 0.12))
(fp_line (start -9.12 -15.865) (end 9.12 -15.865) (layer F.SilkS) (width 0.12))
(fp_line (start 9.12 9.88) (end 8.12 9.88) (layer F.SilkS) (width 0.12))
(fp_line (start 9.12 9.1) (end 9.12 9.88) (layer F.SilkS) (width 0.12))
(fp_line (start -9.12 9.88) (end -8.12 9.88) (layer F.SilkS) (width 0.12))
(fp_line (start -9.12 9.1) (end -9.12 9.88) (layer F.SilkS) (width 0.12))
(fp_line (start 8.4 -20.6) (end 8.2 -20.4) (layer Cmts.User) (width 0.1))
(fp_line (start 8.4 -16) (end 8.4 -20.6) (layer Cmts.User) (width 0.1))
(fp_line (start 8.4 -20.6) (end 8.6 -20.4) (layer Cmts.User) (width 0.1))
(fp_line (start 8.4 -16) (end 8.6 -16.2) (layer Cmts.User) (width 0.1))
(fp_line (start 8.4 -16) (end 8.2 -16.2) (layer Cmts.User) (width 0.1))
(fp_line (start -9.2 -13.875) (end -9.4 -14.075) (layer Cmts.User) (width 0.1))
(fp_line (start -13.8 -13.875) (end -9.2 -13.875) (layer Cmts.User) (width 0.1))
(fp_line (start -9.2 -13.875) (end -9.4 -13.675) (layer Cmts.User) (width 0.1))
(fp_line (start -13.8 -13.875) (end -13.6 -13.675) (layer Cmts.User) (width 0.1))
(fp_line (start -13.8 -13.875) (end -13.6 -14.075) (layer Cmts.User) (width 0.1))
(fp_line (start 9.2 -13.875) (end 9.4 -13.675) (layer Cmts.User) (width 0.1))
(fp_line (start 9.2 -13.875) (end 9.4 -14.075) (layer Cmts.User) (width 0.1))
(fp_line (start 13.8 -13.875) (end 13.6 -13.675) (layer Cmts.User) (width 0.1))
(fp_line (start 13.8 -13.875) (end 13.6 -14.075) (layer Cmts.User) (width 0.1))
(fp_line (start 9.2 -13.875) (end 13.8 -13.875) (layer Cmts.User) (width 0.1))
(fp_line (start 14 -11.585) (end 12 -9.97) (layer Dwgs.User) (width 0.1))
(fp_line (start 14 -13.2) (end 10 -9.97) (layer Dwgs.User) (width 0.1))
(fp_line (start 14 -14.815) (end 8 -9.97) (layer Dwgs.User) (width 0.1))
(fp_line (start 14 -16.43) (end 6 -9.97) (layer Dwgs.User) (width 0.1))
(fp_line (start 14 -18.045) (end 4 -9.97) (layer Dwgs.User) (width 0.1))
(fp_line (start 14 -19.66) (end 2 -9.97) (layer Dwgs.User) (width 0.1))
(fp_line (start 13.475 -20.75) (end 0 -9.97) (layer Dwgs.User) (width 0.1))
(fp_line (start 11.475 -20.75) (end -2 -9.97) (layer Dwgs.User) (width 0.1))
(fp_line (start 9.475 -20.75) (end -4 -9.97) (layer Dwgs.User) (width 0.1))
(fp_line (start 7.475 -20.75) (end -6 -9.97) (layer Dwgs.User) (width 0.1))
(fp_line (start -8 -9.97) (end 5.475 -20.75) (layer Dwgs.User) (width 0.1))
(fp_line (start 3.475 -20.75) (end -10 -9.97) (layer Dwgs.User) (width 0.1))
(fp_line (start 1.475 -20.75) (end -12 -9.97) (layer Dwgs.User) (width 0.1))
(fp_line (start -0.525 -20.75) (end -14 -9.97) (layer Dwgs.User) (width 0.1))
(fp_line (start -2.525 -20.75) (end -14 -11.585) (layer Dwgs.User) (width 0.1))
(fp_line (start -4.525 -20.75) (end -14 -13.2) (layer Dwgs.User) (width 0.1))
(fp_line (start -6.525 -20.75) (end -14 -14.815) (layer Dwgs.User) (width 0.1))
(fp_line (start -8.525 -20.75) (end -14 -16.43) (layer Dwgs.User) (width 0.1))
(fp_line (start -10.525 -20.75) (end -14 -18.045) (layer Dwgs.User) (width 0.1))
(fp_line (start -12.525 -20.75) (end -14 -19.66) (layer Dwgs.User) (width 0.1))
(fp_line (start 9.75 -9.72) (end 14.25 -9.72) (layer F.CrtYd) (width 0.05))
(fp_line (start -11.6 -9.72) (end -9.75 -9.72) (layer F.CrtYd) (width 0.05))
(fp_line (start 14.25 -21) (end 14.25 -9.72) (layer F.CrtYd) (width 0.05))
(fp_line (start -11.6 -21) (end -11.6 -9.72) (layer F.CrtYd) (width 0.05))
(fp_line (start 14 -20.75) (end -14 -20.75) (layer Dwgs.User) (width 0.1))
(fp_line (start 14 -9.97) (end 14 -20.75) (layer Dwgs.User) (width 0.1))
(fp_line (start 14 -9.97) (end -14 -9.97) (layer Dwgs.User) (width 0.1))
(fp_line (start -9 -9.02) (end -8.5 -9.52) (layer F.Fab) (width 0.1))
(fp_line (start -8.5 -9.52) (end -9 -10.02) (layer F.Fab) (width 0.1))
(fp_line (start -9 -9.02) (end -9 9.76) (layer F.Fab) (width 0.1))
(fp_line (start -11.6 -21) (end 14.25 -21) (layer F.CrtYd) (width 0.05))
(fp_line (start 9.75 -9.72) (end 9.75 10.5) (layer F.CrtYd) (width 0.05))
(fp_line (start -9.75 10.5) (end 9.75 10.5) (layer F.CrtYd) (width 0.05))
(fp_line (start -9.75 10.5) (end -9.75 -9.72) (layer F.CrtYd) (width 0.05))
(fp_line (start -9 -15.745) (end 9 -15.745) (layer F.Fab) (width 0.1))
(fp_line (start -9 -15.745) (end -9 -10.02) (layer F.Fab) (width 0.1))
(fp_line (start -9 9.76) (end 9 9.76) (layer F.Fab) (width 0.1))
(fp_line (start 9 9.76) (end 9 -15.745) (layer F.Fab) (width 0.1))
(fp_line (start -14 -9.97) (end -14 -20.75) (layer Dwgs.User) (width 0.1))
(fp_text user %R (at 0 0 90) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text user "KEEP-OUT ZONE" (at 0 -19 90) (layer Cmts.User)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text user Antenna (at 0 -13 90) (layer Cmts.User)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text user "5 mm" (at 11.8 -14.375 90) (layer Cmts.User)
(effects (font (size 0.5 0.5) (thickness 0.1)))
)
(fp_text user "5 mm" (at -11.2 -14.375 90) (layer Cmts.User)
(effects (font (size 0.5 0.5) (thickness 0.1)))
)
(fp_text user "5 mm" (at 7.8 -19.075 180) (layer Cmts.User)
(effects (font (size 0.5 0.5) (thickness 0.1)))
)
(pad 39 smd rect (at -1 -0.755 90) (size 5 5) (layers F.Cu F.Paste F.Mask)
(net 2 GND) (zone_connect 1) (thermal_width 0.635))
(pad 1 smd rect (at -8.5 -8.255 90) (size 2 0.9) (layers F.Cu F.Paste F.Mask)
(net 2 GND))
(pad 2 smd rect (at -8.5 -6.985 90) (size 2 0.9) (layers F.Cu F.Paste F.Mask)
(net 3 +3V3))
(pad 3 smd rect (at -8.5 -5.715 90) (size 2 0.9) (layers F.Cu F.Paste F.Mask)
(net 4 EN))
(pad 4 smd rect (at -8.5 -4.445 90) (size 2 0.9) (layers F.Cu F.Paste F.Mask)
(net 59 "Net-(U4-Pad4)"))
(pad 5 smd rect (at -8.5 -3.175 90) (size 2 0.9) (layers F.Cu F.Paste F.Mask)
(net 60 "Net-(U4-Pad5)"))
(pad 6 smd rect (at -8.5 -1.905 90) (size 2 0.9) (layers F.Cu F.Paste F.Mask)
(net 23 IO34))
(pad 7 smd rect (at -8.5 -0.635 90) (size 2 0.9) (layers F.Cu F.Paste F.Mask)
(net 69 BATT_DIV))
(pad 8 smd rect (at -8.5 0.635 90) (size 2 0.9) (layers F.Cu F.Paste F.Mask)
(net 14 TFT_LED))
(pad 9 smd rect (at -8.5 1.905 90) (size 2 0.9) (layers F.Cu F.Paste F.Mask)
(net 22 IO33))
(pad 10 smd rect (at -8.5 3.175 90) (size 2 0.9) (layers F.Cu F.Paste F.Mask)
(net 39 SD_CS))
(pad 11 smd rect (at -8.5 4.445 90) (size 2 0.9) (layers F.Cu F.Paste F.Mask)
(net 26 PIEZO))
(pad 12 smd rect (at -8.5 5.715 90) (size 2 0.9) (layers F.Cu F.Paste F.Mask)
(net 15 CTC_IRQ))
(pad 13 smd rect (at -8.5 6.985 90) (size 2 0.9) (layers F.Cu F.Paste F.Mask)
(net 84 IO14))
(pad 14 smd rect (at -8.5 8.255 90) (size 2 0.9) (layers F.Cu F.Paste F.Mask)
(net 19 IO12))
(pad 15 smd rect (at -5.715 9.255 180) (size 2 0.9) (layers F.Cu F.Paste F.Mask)
(net 2 GND))
(pad 16 smd rect (at -4.445 9.255 180) (size 2 0.9) (layers F.Cu F.Paste F.Mask)
(net 20 IO13))
(pad 17 smd rect (at -3.175 9.255 180) (size 2 0.9) (layers F.Cu F.Paste F.Mask)
(net 61 "Net-(U4-Pad17)"))
(pad 18 smd rect (at -1.905 9.255 180) (size 2 0.9) (layers F.Cu F.Paste F.Mask)
(net 62 "Net-(U4-Pad18)"))
(pad 19 smd rect (at -0.635 9.255 180) (size 2 0.9) (layers F.Cu F.Paste F.Mask)
(net 63 "Net-(U4-Pad19)"))
(pad 20 smd rect (at 0.635 9.255 180) (size 2 0.9) (layers F.Cu F.Paste F.Mask)
(net 64 "Net-(U4-Pad20)"))
(pad 21 smd rect (at 1.905 9.255 180) (size 2 0.9) (layers F.Cu F.Paste F.Mask)
(net 65 "Net-(U4-Pad21)"))
(pad 22 smd rect (at 3.175 9.255 180) (size 2 0.9) (layers F.Cu F.Paste F.Mask)
(net 66 "Net-(U4-Pad22)"))
(pad 23 smd rect (at 4.445 9.255 180) (size 2 0.9) (layers F.Cu F.Paste F.Mask)
(net 8 TFT_CS))
(pad 24 smd rect (at 5.715 9.255 180) (size 2 0.9) (layers F.Cu F.Paste F.Mask)
(net 9 DC_RS))
(pad 25 smd rect (at 8.5 8.255 90) (size 2 0.9) (layers F.Cu F.Paste F.Mask)
(net 31 IO0))
(pad 26 smd rect (at 8.5 6.985 90) (size 2 0.9) (layers F.Cu F.Paste F.Mask)
(net 13 TFT_RESET))
(pad 27 smd rect (at 8.5 5.715 90) (size 2 0.9) (layers F.Cu F.Paste F.Mask)
(net 21 IO16))
(pad 28 smd rect (at 8.5 4.445 90) (size 2 0.9) (layers F.Cu F.Paste F.Mask)
(net 83 IO17))
(pad 29 smd rect (at 8.5 3.175 90) (size 2 0.9) (layers F.Cu F.Paste F.Mask)
(net 18 IO5))
(pad 30 smd rect (at 8.5 1.905 90) (size 2 0.9) (layers F.Cu F.Paste F.Mask)
(net 10 SCK))
(pad 31 smd rect (at 8.5 0.635 90) (size 2 0.9) (layers F.Cu F.Paste F.Mask)
(net 38 SDO))
(pad 32 smd rect (at 8.5 -0.635 90) (size 2 0.9) (layers F.Cu F.Paste F.Mask)
(net 67 "Net-(U4-Pad32)"))
(pad 33 smd rect (at 8.5 -1.905 90) (size 2 0.9) (layers F.Cu F.Paste F.Mask)
(net 24 SDA))
(pad 34 smd rect (at 8.5 -3.175 90) (size 2 0.9) (layers F.Cu F.Paste F.Mask)
(net 33 RXD0))
(pad 35 smd rect (at 8.5 -4.445 90) (size 2 0.9) (layers F.Cu F.Paste F.Mask)
(net 34 TXD0))
(pad 36 smd rect (at 8.5 -5.715 90) (size 2 0.9) (layers F.Cu F.Paste F.Mask)
(net 25 SCL))
(pad 37 smd rect (at 8.5 -6.985 90) (size 2 0.9) (layers F.Cu F.Paste F.Mask)
(net 11 SDI))
(pad 38 smd rect (at 8.5 -8.255 90) (size 2 0.9) (layers F.Cu F.Paste F.Mask)
(net 2 GND))
(model /Users/dustinwatts/Kicad/3dmodels/esp-wroom-32-3d-step-and-iges-models-1.snapshot.9/KiCAD-ESP-WROOM-32.step
(offset (xyz 0 3 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 -180))
)
)
(module Capacitor_SMD:C_0603_1608Metric (layer F.Cu) (tedit 5B301BBE) (tstamp 5F991045)
(at 125.5 76.2 270)
(descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
(tags capacitor)
(path /5F751F78)
(attr smd)
(fp_text reference C1 (at 2.5 0.1 90) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value 0.1uF (at 6.02 0.07 90) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12))
(fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12))
(fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
(fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
(fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
(fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
(fp_text user %R (at 0 0 90) (layer F.Fab)
(effects (font (size 0.4 0.4) (thickness 0.06)))
)
(pad 1 smd roundrect (at -0.7875 0 270) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 1 VBUS))
(pad 2 smd roundrect (at 0.7875 0 270) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 2 GND))
(model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Capacitor_Tantalum_SMD:CP_EIA-3216-18_Kemet-A (layer F.Cu) (tedit 5B301BBE) (tstamp 5F6A3092)
(at 117.73 83.68)
(descr "Tantalum Capacitor SMD Kemet-A (3216-18 Metric), IPC_7351 nominal, (Body size from: http://www.kemet.com/Lists/ProductCatalog/Attachments/253/KEM_TC101_STD.pdf), generated with kicad-footprint-generator")
(tags "capacitor tantalum")
(path /5F6A3728)
(attr smd)
(fp_text reference C4 (at 0.63 -1.72 180 unlocked) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value 10uF (at 0 1.75) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start 1.6 -0.8) (end -1.2 -0.8) (layer F.Fab) (width 0.1))
(fp_line (start -1.2 -0.8) (end -1.6 -0.4) (layer F.Fab) (width 0.1))
(fp_line (start -1.6 -0.4) (end -1.6 0.8) (layer F.Fab) (width 0.1))
(fp_line (start -1.6 0.8) (end 1.6 0.8) (layer F.Fab) (width 0.1))
(fp_line (start 1.6 0.8) (end 1.6 -0.8) (layer F.Fab) (width 0.1))
(fp_line (start 1.6 -0.935) (end -2.31 -0.935) (layer F.SilkS) (width 0.12))
(fp_line (start -2.31 -0.935) (end -2.31 0.935) (layer F.SilkS) (width 0.12))
(fp_line (start -2.31 0.935) (end 1.6 0.935) (layer F.SilkS) (width 0.12))
(fp_line (start -2.3 1.05) (end -2.3 -1.05) (layer F.CrtYd) (width 0.05))
(fp_line (start -2.3 -1.05) (end 2.3 -1.05) (layer F.CrtYd) (width 0.05))
(fp_line (start 2.3 -1.05) (end 2.3 1.05) (layer F.CrtYd) (width 0.05))
(fp_line (start 2.3 1.05) (end -2.3 1.05) (layer F.CrtYd) (width 0.05))
(fp_text user %R (at 0 0) (layer F.Fab)
(effects (font (size 0.8 0.8) (thickness 0.12)))
)
(pad 2 smd roundrect (at 1.35 0) (size 1.4 1.35) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.185185)
(net 2 GND))
(pad 1 smd roundrect (at -1.35 0) (size 1.4 1.35) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.185185)
(net 68 /VIN))
(model ${KISYS3DMOD}/Capacitor_Tantalum_SMD.3dshapes/CP_EIA-3216-18_Kemet-A.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Capacitor_Tantalum_SMD:CP_EIA-3216-18_Kemet-A (layer F.Cu) (tedit 5B301BBE) (tstamp 5F6A30A5)
(at 109.89 75.57 180)
(descr "Tantalum Capacitor SMD Kemet-A (3216-18 Metric), IPC_7351 nominal, (Body size from: http://www.kemet.com/Lists/ProductCatalog/Attachments/253/KEM_TC101_STD.pdf), generated with kicad-footprint-generator")
(tags "capacitor tantalum")
(path /5F6A4243)
(attr smd)
(fp_text reference C5 (at 3.33 -0.01 180 unlocked) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value 10uF (at 0 1.75) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start 2.3 1.05) (end -2.3 1.05) (layer F.CrtYd) (width 0.05))
(fp_line (start 2.3 -1.05) (end 2.3 1.05) (layer F.CrtYd) (width 0.05))
(fp_line (start -2.3 -1.05) (end 2.3 -1.05) (layer F.CrtYd) (width 0.05))
(fp_line (start -2.3 1.05) (end -2.3 -1.05) (layer F.CrtYd) (width 0.05))
(fp_line (start -2.31 0.935) (end 1.6 0.935) (layer F.SilkS) (width 0.12))
(fp_line (start -2.31 -0.935) (end -2.31 0.935) (layer F.SilkS) (width 0.12))
(fp_line (start 1.6 -0.935) (end -2.31 -0.935) (layer F.SilkS) (width 0.12))
(fp_line (start 1.6 0.8) (end 1.6 -0.8) (layer F.Fab) (width 0.1))
(fp_line (start -1.6 0.8) (end 1.6 0.8) (layer F.Fab) (width 0.1))
(fp_line (start -1.6 -0.4) (end -1.6 0.8) (layer F.Fab) (width 0.1))
(fp_line (start -1.2 -0.8) (end -1.6 -0.4) (layer F.Fab) (width 0.1))
(fp_line (start 1.6 -0.8) (end -1.2 -0.8) (layer F.Fab) (width 0.1))
(fp_text user %R (at 0 0) (layer F.Fab)
(effects (font (size 0.8 0.8) (thickness 0.12)))
)
(pad 1 smd roundrect (at -1.35 0 180) (size 1.4 1.35) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.185185)
(net 3 +3V3))
(pad 2 smd roundrect (at 1.35 0 180) (size 1.4 1.35) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.185185)
(net 2 GND))
(model ${KISYS3DMOD}/Capacitor_Tantalum_SMD.3dshapes/CP_EIA-3216-18_Kemet-A.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Capacitor_SMD:C_0603_1608Metric (layer F.Cu) (tedit 5B301BBE) (tstamp 5F6A668F)
(at 47.3 86.4 270)
(descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
(tags capacitor)
(path /5F7CC6CD)
(attr smd)
(fp_text reference C6 (at 2.59 0.09 270 unlocked) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value 0.1uF (at 0 1.43 90) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12))
(fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12))
(fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
(fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
(fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
(fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
(fp_text user %R (at 0 0 90) (layer F.Fab)
(effects (font (size 0.4 0.4) (thickness 0.06)))
)
(pad 1 smd roundrect (at -0.7875 0 270) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 3 +3V3))
(pad 2 smd roundrect (at 0.7875 0 270) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 2 GND))
(model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Capacitor_SMD:C_0603_1608Metric (layer F.Cu) (tedit 5B301BBE) (tstamp 5F6A30C7)
(at 109.96 73.51 180)
(descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
(tags capacitor)
(path /5F6A4A6A)
(attr smd)
(fp_text reference C7 (at 2.65 -0.11 180 unlocked) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value 1uF (at 0 1.43) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12))
(fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12))
(fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
(fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
(fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
(fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
(fp_text user %R (at 0 0) (layer F.Fab)
(effects (font (size 0.4 0.4) (thickness 0.06)))
)
(pad 1 smd roundrect (at -0.7875 0 180) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 3 +3V3))
(pad 2 smd roundrect (at 0.7875 0 180) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 2 GND))
(model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Capacitor_SMD:C_0603_1608Metric (layer F.Cu) (tedit 5B301BBE) (tstamp 5FBA5932)
(at 50.8 86.4 270)
(descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
(tags capacitor)
(path /5F7CBEDE)
(attr smd)
(fp_text reference C8 (at -2.5 0 90 unlocked) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value 0.1uF (at 0 1.43 90) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12))
(fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12))
(fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
(fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
(fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
(fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
(fp_text user %R (at 0 0 90) (layer F.Fab)
(effects (font (size 0.4 0.4) (thickness 0.06)))
)
(pad 1 smd roundrect (at -0.7875 0 270) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 4 EN))
(pad 2 smd roundrect (at 0.7875 0 270) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 2 GND))
(model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Capacitor_SMD:C_0603_1608Metric (layer F.Cu) (tedit 5B301BBE) (tstamp 5F6A30E9)
(at 109.8 81.92 180)
(descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
(tags capacitor)
(path /5F6A9BDB)
(attr smd)
(fp_text reference C9 (at 2.42 -0.25 180 unlocked) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value 10uF (at 0 1.43) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
(fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
(fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
(fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
(fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12))
(fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12))
(fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05))
(fp_text user %R (at 0 0) (layer F.Fab)
(effects (font (size 0.4 0.4) (thickness 0.06)))
)
(pad 2 smd roundrect (at 0.7875 0 180) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 2 GND))
(pad 1 smd roundrect (at -0.7875 0 180) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 5 +BATT))
(model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Diode_SMD:D_SMA (layer F.Cu) (tedit 586432E5) (tstamp 5F6A3101)
(at 123.85 81.63)
(descr "Diode SMA (DO-214AC)")
(tags "Diode SMA (DO-214AC)")
(path /5F695A05)
(attr smd)
(fp_text reference D1 (at 0.01 -2.5 unlocked) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value SS14D (at 0 2.6) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -3.4 -1.65) (end 2 -1.65) (layer F.SilkS) (width 0.12))
(fp_line (start -3.4 1.65) (end 2 1.65) (layer F.SilkS) (width 0.12))
(fp_line (start -0.64944 0.00102) (end 0.50118 -0.79908) (layer F.Fab) (width 0.1))
(fp_line (start -0.64944 0.00102) (end 0.50118 0.75032) (layer F.Fab) (width 0.1))
(fp_line (start 0.50118 0.75032) (end 0.50118 -0.79908) (layer F.Fab) (width 0.1))
(fp_line (start -0.64944 -0.79908) (end -0.64944 0.80112) (layer F.Fab) (width 0.1))
(fp_line (start 0.50118 0.00102) (end 1.4994 0.00102) (layer F.Fab) (width 0.1))
(fp_line (start -0.64944 0.00102) (end -1.55114 0.00102) (layer F.Fab) (width 0.1))
(fp_line (start -3.5 1.75) (end -3.5 -1.75) (layer F.CrtYd) (width 0.05))
(fp_line (start 3.5 1.75) (end -3.5 1.75) (layer F.CrtYd) (width 0.05))
(fp_line (start 3.5 -1.75) (end 3.5 1.75) (layer F.CrtYd) (width 0.05))
(fp_line (start -3.5 -1.75) (end 3.5 -1.75) (layer F.CrtYd) (width 0.05))
(fp_line (start 2.3 -1.5) (end -2.3 -1.5) (layer F.Fab) (width 0.1))
(fp_line (start 2.3 -1.5) (end 2.3 1.5) (layer F.Fab) (width 0.1))
(fp_line (start -2.3 1.5) (end -2.3 -1.5) (layer F.Fab) (width 0.1))
(fp_line (start 2.3 1.5) (end -2.3 1.5) (layer F.Fab) (width 0.1))
(fp_line (start -3.4 -1.65) (end -3.4 1.65) (layer F.SilkS) (width 0.12))
(fp_text user %R (at 0 -2.5) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(pad 1 smd rect (at -2 0) (size 2.5 1.8) (layers F.Cu F.Paste F.Mask)
(net 68 /VIN))
(pad 2 smd rect (at 2 0) (size 2.5 1.8) (layers F.Cu F.Paste F.Mask)
(net 1 VBUS))
(model ${KISYS3DMOD}/Diode_SMD.3dshapes/D_SMA.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module LED_SMD:LED_0603_1608Metric (layer F.Cu) (tedit 5B301BBE) (tstamp 5F6A3114)
(at 110.67 92.96)
(descr "LED SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
(tags diode)
(path /5F7072DC)
(attr smd)
(fp_text reference D2 (at 0.02 2.75) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value CHG (at 0 1.43) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.485 0.735) (end 0.8 0.735) (layer F.SilkS) (width 0.12))
(fp_line (start -1.485 -0.735) (end -1.485 0.735) (layer F.SilkS) (width 0.12))
(fp_line (start 0.8 -0.735) (end -1.485 -0.735) (layer F.SilkS) (width 0.12))
(fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
(fp_line (start -0.8 0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
(fp_line (start -0.8 -0.1) (end -0.8 0.4) (layer F.Fab) (width 0.1))
(fp_line (start -0.5 -0.4) (end -0.8 -0.1) (layer F.Fab) (width 0.1))
(fp_line (start 0.8 -0.4) (end -0.5 -0.4) (layer F.Fab) (width 0.1))
(fp_text user %R (at 0 0) (layer F.Fab)
(effects (font (size 0.4 0.4) (thickness 0.06)))
)
(pad 1 smd roundrect (at -0.7875 0) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 6 "Net-(D2-Pad1)"))
(pad 2 smd roundrect (at 0.7875 0) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 1 VBUS))
(model ${KISYS3DMOD}/LED_SMD.3dshapes/LED_0603_1608Metric.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Connector_FFC-FPC:Hirose_FH12-6S-0.5SH_1x06-1MP_P0.50mm_Horizontal (layer F.Cu) (tedit 5F7C172E) (tstamp 5F6A3188)
(at 111.18 58.07 90)
(descr "Hirose FH12, FFC/FPC connector, FH12-6S-0.5SH, 6 Pins per row (https://www.hirose.com/product/en/products/FH12/FH12-24S-0.5SH(55)/), generated with kicad-footprint-generator")
(tags "connector Hirose FH12 horizontal")
(path /5FB83788)
(attr smd)
(fp_text reference FPC2 (at 0 -3.7 90) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value Conn_01x06 (at 0 5.6 90) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start 4.55 -3) (end -4.55 -3) (layer F.CrtYd) (width 0.05))
(fp_line (start 4.55 4.9) (end 4.55 -3) (layer F.CrtYd) (width 0.05))
(fp_line (start -4.55 4.9) (end 4.55 4.9) (layer F.CrtYd) (width 0.05))
(fp_line (start -4.55 -3) (end -4.55 4.9) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.25 -0.492893) (end -0.75 -1.2) (layer F.Fab) (width 0.1))
(fp_line (start -1.75 -1.2) (end -1.25 -0.492893) (layer F.Fab) (width 0.1))
(fp_line (start -1.66 -1.3) (end -1.66 -2.5) (layer F.SilkS) (width 0.12))
(fp_line (start 3.15 4.5) (end 3.15 2.76) (layer F.SilkS) (width 0.12))
(fp_line (start -3.15 4.5) (end 3.15 4.5) (layer F.SilkS) (width 0.12))
(fp_line (start -3.15 2.76) (end -3.15 4.5) (layer F.SilkS) (width 0.12))
(fp_line (start 3.15 -1.3) (end 3.15 0.04) (layer F.SilkS) (width 0.12))
(fp_line (start 1.66 -1.3) (end 3.15 -1.3) (layer F.SilkS) (width 0.12))
(fp_line (start -3.15 -1.3) (end -3.15 0.04) (layer F.SilkS) (width 0.12))
(fp_line (start -1.66 -1.3) (end -3.15 -1.3) (layer F.SilkS) (width 0.12))
(fp_line (start 2.95 4.4) (end 0 4.4) (layer F.Fab) (width 0.1))
(fp_line (start 2.95 3.7) (end 2.95 4.4) (layer F.Fab) (width 0.1))
(fp_line (start 2.45 3.7) (end 2.95 3.7) (layer F.Fab) (width 0.1))
(fp_line (start 2.45 3.4) (end 2.45 3.7) (layer F.Fab) (width 0.1))
(fp_line (start 3.05 3.4) (end 2.45 3.4) (layer F.Fab) (width 0.1))
(fp_line (start 3.05 -1.2) (end 3.05 3.4) (layer F.Fab) (width 0.1))
(fp_line (start 0 -1.2) (end 3.05 -1.2) (layer F.Fab) (width 0.1))
(fp_line (start -2.95 4.4) (end 0 4.4) (layer F.Fab) (width 0.1))
(fp_line (start -2.95 3.7) (end -2.95 4.4) (layer F.Fab) (width 0.1))
(fp_line (start -2.45 3.7) (end -2.95 3.7) (layer F.Fab) (width 0.1))
(fp_line (start -2.45 3.4) (end -2.45 3.7) (layer F.Fab) (width 0.1))
(fp_line (start -3.05 3.4) (end -2.45 3.4) (layer F.Fab) (width 0.1))
(fp_line (start -3.05 -1.2) (end -3.05 3.4) (layer F.Fab) (width 0.1))
(fp_line (start 0 -1.2) (end -3.05 -1.2) (layer F.Fab) (width 0.1))
(fp_text user %R (at 0 3.7 90) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(pad MP smd rect (at 3.15 1.4 90) (size 1.8 2.2) (layers F.Cu F.Paste F.Mask)
(thermal_width 0.381))
(pad MP smd rect (at -3.15 1.4 90) (size 1.8 2.2) (layers F.Cu F.Paste F.Mask)
(thermal_width 0.381))
(pad 1 smd rect (at -1.25 -1.85 90) (size 0.3 1.3) (layers F.Cu F.Paste F.Mask)
(net 3 +3V3))
(pad 2 smd rect (at -0.75 -1.85 90) (size 0.3 1.3) (layers F.Cu F.Paste F.Mask)
(net 24 SDA))
(pad 3 smd rect (at -0.25 -1.85 90) (size 0.3 1.3) (layers F.Cu F.Paste F.Mask)
(net 25 SCL))
(pad 4 smd rect (at 0.25 -1.85 90) (size 0.3 1.3) (layers F.Cu F.Paste F.Mask)
(net 15 CTC_IRQ))
(pad 5 smd rect (at 0.75 -1.85 90) (size 0.3 1.3) (layers F.Cu F.Paste F.Mask)
(net 74 "Net-(FPC2-Pad5)"))
(pad 6 smd rect (at 1.25 -1.85 90) (size 0.3 1.3) (layers F.Cu F.Paste F.Mask)
(net 2 GND))
(model ${KISYS3DMOD}/Connector_FFC-FPC.3dshapes/Hirose_FH12-6S-0.5SH_1x06-1MP_P0.50mm_Horizontal.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
(model /Users/dustinwatts/Kicad/3dmodels/fpc-connector-6-pins-1mm-1.snapshot.2/FPC_6pins_1mm.wrl
(offset (xyz -2.4 -1.5 0))
(scale (xyz 0.2 0.225 0.3))
(rotate (xyz 0 0 0))
)
)
(module Package_TO_SOT_SMD:SOT-23 (layer F.Cu) (tedit 5A02FF57) (tstamp 5F6A32CC)
(at 100.6 81.4 180)
(descr "SOT-23, Standard")
(tags SOT-23)
(path /5F77A87E)
(attr smd)
(fp_text reference Q1 (at -0.02 -2.44 180 unlocked) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value S8050 (at 0 2.5) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -0.7 -0.95) (end -0.7 1.5) (layer F.Fab) (width 0.1))
(fp_line (start -0.15 -1.52) (end 0.7 -1.52) (layer F.Fab) (width 0.1))
(fp_line (start -0.7 -0.95) (end -0.15 -1.52) (layer F.Fab) (width 0.1))
(fp_line (start 0.7 -1.52) (end 0.7 1.52) (layer F.Fab) (width 0.1))
(fp_line (start -0.7 1.52) (end 0.7 1.52) (layer F.Fab) (width 0.1))
(fp_line (start 0.76 1.58) (end 0.76 0.65) (layer F.SilkS) (width 0.12))
(fp_line (start 0.76 -1.58) (end 0.76 -0.65) (layer F.SilkS) (width 0.12))
(fp_line (start -1.7 -1.75) (end 1.7 -1.75) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.7 -1.75) (end 1.7 1.75) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.7 1.75) (end -1.7 1.75) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.7 1.75) (end -1.7 -1.75) (layer F.CrtYd) (width 0.05))
(fp_line (start 0.76 -1.58) (end -1.4 -1.58) (layer F.SilkS) (width 0.12))
(fp_line (start 0.76 1.58) (end -0.7 1.58) (layer F.SilkS) (width 0.12))
(fp_text user %R (at 0 0 90) (layer F.Fab)
(effects (font (size 0.5 0.5) (thickness 0.075)))
)
(pad 3 smd rect (at 1 0 180) (size 0.9 0.8) (layers F.Cu F.Paste F.Mask)
(net 4 EN))
(pad 2 smd rect (at -1 0.95 180) (size 0.9 0.8) (layers F.Cu F.Paste F.Mask)
(net 27 RTS))
(pad 1 smd rect (at -1 -0.95 180) (size 0.9 0.8) (layers F.Cu F.Paste F.Mask)
(net 28 "Net-(Q1-Pad1)"))
(model ${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-23.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Package_TO_SOT_SMD:SOT-23 (layer F.Cu) (tedit 5A02FF57) (tstamp 5F6A32E1)
(at 96.8 81.4 180)
(descr "SOT-23, Standard")
(tags SOT-23)
(path /5F779F13)
(attr smd)
(fp_text reference Q2 (at -0.03 -2.38 180 unlocked) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value S8050 (at 0 2.5) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start 0.76 1.58) (end -0.7 1.58) (layer F.SilkS) (width 0.12))
(fp_line (start 0.76 -1.58) (end -1.4 -1.58) (layer F.SilkS) (width 0.12))
(fp_line (start -1.7 1.75) (end -1.7 -1.75) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.7 1.75) (end -1.7 1.75) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.7 -1.75) (end 1.7 1.75) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.7 -1.75) (end 1.7 -1.75) (layer F.CrtYd) (width 0.05))
(fp_line (start 0.76 -1.58) (end 0.76 -0.65) (layer F.SilkS) (width 0.12))
(fp_line (start 0.76 1.58) (end 0.76 0.65) (layer F.SilkS) (width 0.12))
(fp_line (start -0.7 1.52) (end 0.7 1.52) (layer F.Fab) (width 0.1))
(fp_line (start 0.7 -1.52) (end 0.7 1.52) (layer F.Fab) (width 0.1))
(fp_line (start -0.7 -0.95) (end -0.15 -1.52) (layer F.Fab) (width 0.1))
(fp_line (start -0.15 -1.52) (end 0.7 -1.52) (layer F.Fab) (width 0.1))
(fp_line (start -0.7 -0.95) (end -0.7 1.5) (layer F.Fab) (width 0.1))
(fp_text user %R (at 0 0 90) (layer F.Fab)
(effects (font (size 0.5 0.5) (thickness 0.075)))
)
(pad 1 smd rect (at -1 -0.95 180) (size 0.9 0.8) (layers F.Cu F.Paste F.Mask)
(net 29 "Net-(Q2-Pad1)"))
(pad 2 smd rect (at -1 0.95 180) (size 0.9 0.8) (layers F.Cu F.Paste F.Mask)
(net 30 DTR))
(pad 3 smd rect (at 1 0 180) (size 0.9 0.8) (layers F.Cu F.Paste F.Mask)
(net 31 IO0))
(model ${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-23.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Package_TO_SOT_SMD:SOT-23 (layer F.Cu) (tedit 5A02FF57) (tstamp 5F70C97B)
(at 117.53 86.82 180)
(descr "SOT-23, Standard")
(tags SOT-23)
(path /5F6C8E8B)
(attr smd)
(fp_text reference Q3 (at -2.54 0.01 180 unlocked) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value DMG3415U-7 (at 0 2.5) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start 0.76 1.58) (end -0.7 1.58) (layer F.SilkS) (width 0.12))
(fp_line (start 0.76 -1.58) (end -1.4 -1.58) (layer F.SilkS) (width 0.12))
(fp_line (start -1.7 1.75) (end -1.7 -1.75) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.7 1.75) (end -1.7 1.75) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.7 -1.75) (end 1.7 1.75) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.7 -1.75) (end 1.7 -1.75) (layer F.CrtYd) (width 0.05))
(fp_line (start 0.76 -1.58) (end 0.76 -0.65) (layer F.SilkS) (width 0.12))
(fp_line (start 0.76 1.58) (end 0.76 0.65) (layer F.SilkS) (width 0.12))
(fp_line (start -0.7 1.52) (end 0.7 1.52) (layer F.Fab) (width 0.1))
(fp_line (start 0.7 -1.52) (end 0.7 1.52) (layer F.Fab) (width 0.1))
(fp_line (start -0.7 -0.95) (end -0.15 -1.52) (layer F.Fab) (width 0.1))
(fp_line (start -0.15 -1.52) (end 0.7 -1.52) (layer F.Fab) (width 0.1))
(fp_line (start -0.7 -0.95) (end -0.7 1.5) (layer F.Fab) (width 0.1))
(fp_text user %R (at 0 0 90) (layer F.Fab)
(effects (font (size 0.5 0.5) (thickness 0.075)))
)
(pad 1 smd rect (at -1 -0.95 180) (size 0.9 0.8) (layers F.Cu F.Paste F.Mask)
(net 1 VBUS))
(pad 2 smd rect (at -1 0.95 180) (size 0.9 0.8) (layers F.Cu F.Paste F.Mask)
(net 68 /VIN))
(pad 3 smd rect (at 1 0 180) (size 0.9 0.8) (layers F.Cu F.Paste F.Mask)
(net 5 +BATT))
(model ${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-23.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Resistor_SMD:R_0603_1608Metric (layer F.Cu) (tedit 5B301BBD) (tstamp 5F6A3307)
(at 125.5 72.8 270)
(descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
(tags resistor)
(path /5F74F675)
(attr smd)
(fp_text reference R1 (at -2.5 -0.1 90) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value 4.7K (at -5.56 -0.09 90) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12))
(fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12))
(fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
(fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
(fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
(fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
(fp_text user %R (at 0 0 90) (layer F.Fab)
(effects (font (size 0.4 0.4) (thickness 0.06)))
)
(pad 1 smd roundrect (at -0.7875 0 270) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 3 +3V3))
(pad 2 smd roundrect (at 0.7875 0 270) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 73 RSTb))
(model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Resistor_SMD:R_0603_1608Metric (layer F.Cu) (tedit 5B301BBD) (tstamp 5F6A3318)
(at 127.2 72.8 90)
(descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
(tags resistor)
(path /5F6A2E67)
(attr smd)
(fp_text reference R2 (at 2.52 0.06 90) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value 100K (at 5.94 0.06 90) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
(fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
(fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
(fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
(fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12))
(fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12))
(fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05))
(fp_text user %R (at 0 0 90) (layer F.Fab)
(effects (font (size 0.4 0.4) (thickness 0.06)))
)
(pad 2 smd roundrect (at 0.7875 0 90) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 2 GND))
(pad 1 smd roundrect (at -0.7875 0 90) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 1 VBUS))
(model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Resistor_SMD:R_0603_1608Metric (layer F.Cu) (tedit 5B301BBD) (tstamp 5F6A3329)
(at 115.17 72.61)
(descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
(tags resistor)
(path /5F74FF6B)
(attr smd)
(fp_text reference R3 (at 0 -1.43 180 unlocked) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value 1K (at 0 1.43) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
(fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
(fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
(fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
(fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12))
(fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12))
(fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05))
(fp_text user %R (at 0 0) (layer F.Fab)
(effects (font (size 0.4 0.4) (thickness 0.06)))
)
(pad 2 smd roundrect (at 0.7875 0) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 32 "Net-(R3-Pad2)"))
(pad 1 smd roundrect (at -0.7875 0) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 34 TXD0))
(model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Resistor_SMD:R_0603_1608Metric (layer F.Cu) (tedit 5B301BBD) (tstamp 5F6A333A)
(at 115.17 74.31)
(descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
(tags resistor)
(path /5F750925)
(attr smd)
(fp_text reference R4 (at 0 1.5 180 unlocked) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value 1K (at 0 1.43) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12))
(fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12))
(fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
(fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
(fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
(fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
(fp_text user %R (at 0 0) (layer F.Fab)
(effects (font (size 0.4 0.4) (thickness 0.06)))
)
(pad 1 smd roundrect (at -0.7875 0) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 33 RXD0))
(pad 2 smd roundrect (at 0.7875 0) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 35 "Net-(R4-Pad2)"))
(model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Resistor_SMD:R_0603_1608Metric (layer F.Cu) (tedit 5B301BBD) (tstamp 5F6A334B)
(at 96.9875 78.6)
(descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
(tags resistor)
(path /5F7801B1)
(attr smd)
(fp_text reference R5 (at -2.4475 -0.09 180 unlocked) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value 10K (at 0 1.43) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12))
(fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12))
(fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
(fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
(fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
(fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
(fp_text user %R (at 0 0) (layer F.Fab)
(effects (font (size 0.4 0.4) (thickness 0.06)))
)
(pad 1 smd roundrect (at -0.7875 0) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 28 "Net-(Q1-Pad1)"))
(pad 2 smd roundrect (at 0.7875 0) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 30 DTR))
(model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Resistor_SMD:R_0603_1608Metric (layer F.Cu) (tedit 5B301BBD) (tstamp 5F6A335C)
(at 100.8 78.6)
(descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
(tags resistor)
(path /5F780809)
(attr smd)
(fp_text reference R6 (at 2.44 -0.11 180 unlocked) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value 10K (at 0 1.43) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
(fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
(fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
(fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
(fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12))
(fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12))
(fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05))
(fp_text user %R (at 0 0) (layer F.Fab)
(effects (font (size 0.4 0.4) (thickness 0.06)))
)
(pad 2 smd roundrect (at 0.7875 0) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 27 RTS))
(pad 1 smd roundrect (at -0.7875 0) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 29 "Net-(Q2-Pad1)"))
(model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Resistor_SMD:R_0603_1608Metric (layer F.Cu) (tedit 5B301BBD) (tstamp 5F6A337E)
(at 49.05 86.4 90)
(descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
(tags resistor)
(path /5F7C9A7E)
(attr smd)
(fp_text reference R8 (at -2.61 -0.06 270 unlocked) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value 10K (at 0 1.43 90) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12))
(fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12))
(fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
(fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
(fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
(fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
(fp_text user %R (at 0 0 90) (layer F.Fab)
(effects (font (size 0.4 0.4) (thickness 0.06)))
)
(pad 1 smd roundrect (at -0.7875 0 90) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 3 +3V3))
(pad 2 smd roundrect (at 0.7875 0 90) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 4 EN))
(model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Resistor_SMD:R_0603_1608Metric (layer F.Cu) (tedit 5B301BBD) (tstamp 5F6A338F)
(at 125.48 85.34 270)
(descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
(tags resistor)
(path /5F6A8AD7)
(attr smd)
(fp_text reference R9 (at 0 -1.44 90) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value 100K (at 0 1.43 90) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12))
(fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12))
(fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
(fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
(fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
(fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
(fp_text user %R (at 0 0 90) (layer F.Fab)
(effects (font (size 0.4 0.4) (thickness 0.06)))
)
(pad 1 smd roundrect (at -0.7875 0 270) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 68 /VIN))
(pad 2 smd roundrect (at 0.7875 0 270) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 95 /LDO_H))
(model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Resistor_SMD:R_0603_1608Metric (layer F.Cu) (tedit 5B301BBD) (tstamp 5F6A33A0)
(at 63.45 62 180)
(descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
(tags resistor)
(path /5F7CA152)
(attr smd)
(fp_text reference R10 (at 0 -1.43) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value 10K (at 0 1.43) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
(fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
(fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
(fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
(fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12))
(fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12))
(fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05))
(fp_text user %R (at 0 0) (layer F.Fab)
(effects (font (size 0.4 0.4) (thickness 0.06)))
)
(pad 2 smd roundrect (at 0.7875 0 180) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 31 IO0))
(pad 1 smd roundrect (at -0.7875 0 180) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 3 +3V3))
(model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Resistor_SMD:R_0603_1608Metric (layer F.Cu) (tedit 5B301BBD) (tstamp 5F6A33C2)
(at 66.8 67.4875 270)
(descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
(tags resistor)
(path /603E6B53)
(attr smd)
(fp_text reference R12 (at 0 -1.56 270 unlocked) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value 10K (at 0 1.43 90) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
(fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
(fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
(fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
(fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12))
(fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12))
(fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05))
(fp_text user %R (at 0 0 90) (layer F.Fab)
(effects (font (size 0.4 0.4) (thickness 0.06)))
)
(pad 2 smd roundrect (at 0.7875 0 270) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 9 DC_RS))
(pad 1 smd roundrect (at -0.7875 0 270) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 2 GND))
(model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Resistor_SMD:R_0603_1608Metric (layer F.Cu) (tedit 5B301BBD) (tstamp 5F6A33D3)
(at 102.2 87.5 90)
(descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
(tags resistor)
(path /5F705665)
(attr smd)
(fp_text reference R13 (at 0 -1.43 90) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value 1.3K (at 0 1.43 90) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12))
(fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12))
(fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
(fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
(fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
(fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
(fp_text user %R (at 0 0 90) (layer F.Fab)
(effects (font (size 0.4 0.4) (thickness 0.06)))
)
(pad 1 smd roundrect (at -0.7875 0 90) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 94 "Net-(JP3-Pad2)"))
(pad 2 smd roundrect (at 0.7875 0 90) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 36 "Net-(R13-Pad2)"))
(model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Resistor_SMD:R_0603_1608Metric (layer F.Cu) (tedit 5B301BBD) (tstamp 5F707705)
(at 54.25 86.4 90)
(descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
(tags resistor)
(path /5F72C9D7)
(attr smd)
(fp_text reference R14 (at 0.12 1.63 90 unlocked) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value 100K (at 0 1.43 90) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12))
(fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12))
(fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
(fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
(fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
(fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
(fp_text user %R (at 0 0 90) (layer F.Fab)
(effects (font (size 0.4 0.4) (thickness 0.06)))
)
(pad 1 smd roundrect (at -0.7875 0 90) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 5 +BATT))
(pad 2 smd roundrect (at 0.7875 0 90) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 69 BATT_DIV))
(model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Resistor_SMD:R_0603_1608Metric (layer F.Cu) (tedit 5B301BBD) (tstamp 5F6A33F5)
(at 52.55 86.4 270)
(descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
(tags resistor)
(path /5F72D386)
(attr smd)
(fp_text reference R15 (at 0.08 1.59 270 unlocked) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value 100K (at 0 1.43 90) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
(fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
(fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
(fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
(fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12))
(fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12))
(fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05))
(fp_text user %R (at 0 0 90) (layer F.Fab)
(effects (font (size 0.4 0.4) (thickness 0.06)))
)
(pad 2 smd roundrect (at 0.7875 0 270) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 2 GND))
(pad 1 smd roundrect (at -0.7875 0 270) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 69 BATT_DIV))
(model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Resistor_SMD:R_0603_1608Metric (layer F.Cu) (tedit 5B301BBD) (tstamp 5F6A341D)
(at 106.87 79.01 270)
(descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
(tags resistor)
(path /5F704A18)
(attr smd)
(fp_text reference Rprog1 (at 0.1 1.8 90) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value 3.3K (at 0 1.43 90) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
(fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
(fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
(fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
(fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12))
(fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12))
(fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05))
(fp_text user %R (at 0 0 90) (layer F.Fab)
(effects (font (size 0.4 0.4) (thickness 0.06)))
)
(pad 2 smd roundrect (at 0.7875 0 270) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 2 GND))
(pad 1 smd roundrect (at -0.7875 0 270) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 37 "Net-(Rprog1-Pad1)"))
(model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Package_DFN_QFN:QFN-28-1EP_5x5mm_P0.5mm_EP3.35x3.35mm (layer F.Cu) (tedit 5DC5F6A4) (tstamp 5F6A34BF)
(at 120.77 73.73 90)
(descr "QFN, 28 Pin (http://ww1.microchip.com/downloads/en/PackagingSpec/00000049BQ.pdf#page=283), generated with kicad-footprint-generator ipc_noLead_generator.py")
(tags "QFN NoLead")
(path /5F736AA0)
(attr smd)
(fp_text reference U1 (at 0 -3.8 90) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value CP2102N-A01-GQFN28 (at 12.4 0.64 90) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start 3.1 -3.1) (end -3.1 -3.1) (layer F.CrtYd) (width 0.05))
(fp_line (start 3.1 3.1) (end 3.1 -3.1) (layer F.CrtYd) (width 0.05))
(fp_line (start -3.1 3.1) (end 3.1 3.1) (layer F.CrtYd) (width 0.05))
(fp_line (start -3.1 -3.1) (end -3.1 3.1) (layer F.CrtYd) (width 0.05))
(fp_line (start -2.5 -1.5) (end -1.5 -2.5) (layer F.Fab) (width 0.1))
(fp_line (start -2.5 2.5) (end -2.5 -1.5) (layer F.Fab) (width 0.1))
(fp_line (start 2.5 2.5) (end -2.5 2.5) (layer F.Fab) (width 0.1))
(fp_line (start 2.5 -2.5) (end 2.5 2.5) (layer F.Fab) (width 0.1))
(fp_line (start -1.5 -2.5) (end 2.5 -2.5) (layer F.Fab) (width 0.1))
(fp_line (start -1.885 -2.61) (end -2.61 -2.61) (layer F.SilkS) (width 0.12))
(fp_line (start 2.61 2.61) (end 2.61 1.885) (layer F.SilkS) (width 0.12))
(fp_line (start 1.885 2.61) (end 2.61 2.61) (layer F.SilkS) (width 0.12))
(fp_line (start -2.61 2.61) (end -2.61 1.885) (layer F.SilkS) (width 0.12))
(fp_line (start -1.885 2.61) (end -2.61 2.61) (layer F.SilkS) (width 0.12))
(fp_line (start 2.61 -2.61) (end 2.61 -1.885) (layer F.SilkS) (width 0.12))
(fp_line (start 1.885 -2.61) (end 2.61 -2.61) (layer F.SilkS) (width 0.12))
(fp_text user %R (at 0 0 90) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(pad 1 smd roundrect (at -2.45 -1.5 90) (size 0.8 0.25) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 41 "Net-(U1-Pad1)"))
(pad 2 smd roundrect (at -2.45 -1 90) (size 0.8 0.25) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 42 "Net-(U1-Pad2)"))
(pad 3 smd roundrect (at -2.45 -0.5 90) (size 0.8 0.25) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 2 GND))
(pad 4 smd roundrect (at -2.45 0 90) (size 0.8 0.25) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 17 D+))
(pad 5 smd roundrect (at -2.45 0.5 90) (size 0.8 0.25) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 16 D-))
(pad 6 smd roundrect (at -2.45 1 90) (size 0.8 0.25) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 3 +3V3))
(pad 7 smd roundrect (at -2.45 1.5 90) (size 0.8 0.25) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 3 +3V3))
(pad 8 smd roundrect (at -1.5 2.45 90) (size 0.25 0.8) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 1 VBUS))
(pad 9 smd roundrect (at -1 2.45 90) (size 0.25 0.8) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 73 RSTb))
(pad 10 smd roundrect (at -0.5 2.45 90) (size 0.25 0.8) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 43 "Net-(U1-Pad10)"))
(pad 11 smd roundrect (at 0 2.45 90) (size 0.25 0.8) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 44 "Net-(U1-Pad11)"))
(pad 12 smd roundrect (at 0.5 2.45 90) (size 0.25 0.8) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 45 "Net-(U1-Pad12)"))
(pad 13 smd roundrect (at 1 2.45 90) (size 0.25 0.8) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 46 "Net-(U1-Pad13)"))
(pad 14 smd roundrect (at 1.5 2.45 90) (size 0.25 0.8) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 47 "Net-(U1-Pad14)"))
(pad 15 smd roundrect (at 2.45 1.5 90) (size 0.8 0.25) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 48 "Net-(U1-Pad15)"))
(pad 16 smd roundrect (at 2.45 1 90) (size 0.8 0.25) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 49 "Net-(U1-Pad16)"))
(pad 17 smd roundrect (at 2.45 0.5 90) (size 0.8 0.25) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 50 "Net-(U1-Pad17)"))
(pad 18 smd roundrect (at 2.45 0 90) (size 0.8 0.25) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 51 "Net-(U1-Pad18)"))
(pad 19 smd roundrect (at 2.45 -0.5 90) (size 0.8 0.25) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 52 "Net-(U1-Pad19)"))
(pad 20 smd roundrect (at 2.45 -1 90) (size 0.8 0.25) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 53 "Net-(U1-Pad20)"))
(pad 21 smd roundrect (at 2.45 -1.5 90) (size 0.8 0.25) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 54 "Net-(U1-Pad21)"))
(pad 22 smd roundrect (at 1.5 -2.45 90) (size 0.25 0.8) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 55 "Net-(U1-Pad22)"))
(pad 23 smd roundrect (at 1 -2.45 90) (size 0.25 0.8) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 56 "Net-(U1-Pad23)"))
(pad 24 smd roundrect (at 0.5 -2.45 90) (size 0.25 0.8) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 27 RTS))
(pad 25 smd roundrect (at 0 -2.45 90) (size 0.25 0.8) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 32 "Net-(R3-Pad2)"))
(pad 26 smd roundrect (at -0.5 -2.45 90) (size 0.25 0.8) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 35 "Net-(R4-Pad2)"))
(pad 27 smd roundrect (at -1 -2.45 90) (size 0.25 0.8) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 57 "Net-(U1-Pad27)"))
(pad 28 smd roundrect (at -1.5 -2.45 90) (size 0.25 0.8) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 30 DTR))
(pad 29 smd rect (at 0 0 90) (size 3.35 3.35) (layers F.Cu F.Mask)
(net 2 GND))
(pad "" smd roundrect (at -1.12 -1.12 90) (size 0.9 0.9) (layers F.Paste) (roundrect_rratio 0.25))
(pad "" smd roundrect (at -1.12 0 90) (size 0.9 0.9) (layers F.Paste) (roundrect_rratio 0.25))
(pad "" smd roundrect (at -1.12 1.12 90) (size 0.9 0.9) (layers F.Paste) (roundrect_rratio 0.25))
(pad "" smd roundrect (at 0 -1.12 90) (size 0.9 0.9) (layers F.Paste) (roundrect_rratio 0.25))
(pad "" smd roundrect (at 0 0 90) (size 0.9 0.9) (layers F.Paste) (roundrect_rratio 0.25))
(pad "" smd roundrect (at 0 1.12 90) (size 0.9 0.9) (layers F.Paste) (roundrect_rratio 0.25))
(pad "" smd roundrect (at 1.12 -1.12 90) (size 0.9 0.9) (layers F.Paste) (roundrect_rratio 0.25))
(pad "" smd roundrect (at 1.12 0 90) (size 0.9 0.9) (layers F.Paste) (roundrect_rratio 0.25))
(pad "" smd roundrect (at 1.12 1.12 90) (size 0.9 0.9) (layers F.Paste) (roundrect_rratio 0.25))
(model ${KISYS3DMOD}/Package_DFN_QFN.3dshapes/QFN-28-1EP_5x5mm_P0.5mm_EP3.35x3.35mm.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Package_TO_SOT_SMD:SOT-23-5 (layer F.Cu) (tedit 5F70CA63) (tstamp 5F6A34F6)
(at 114.66 80.07 90)
(descr "5-pin SOT23 package")
(tags SOT-23-5)
(path /5F68F91C)
(attr smd)
(fp_text reference U3 (at -2.52 -0.36 180 unlocked) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value AP2112K-3.3 (at 0 2.9 90) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -0.9 1.61) (end 0.9 1.61) (layer F.SilkS) (width 0.12))
(fp_line (start 0.9 -1.61) (end -1.55 -1.61) (layer F.SilkS) (width 0.12))
(fp_line (start -1.9 -1.8) (end 1.9 -1.8) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.9 -1.8) (end 1.9 1.8) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.9 1.8) (end -1.9 1.8) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.9 1.8) (end -1.9 -1.8) (layer F.CrtYd) (width 0.05))
(fp_line (start -0.9 -0.9) (end -0.25 -1.55) (layer F.Fab) (width 0.1))
(fp_line (start 0.9 -1.55) (end -0.25 -1.55) (layer F.Fab) (width 0.1))
(fp_line (start -0.9 -0.9) (end -0.9 1.55) (layer F.Fab) (width 0.1))
(fp_line (start 0.9 1.55) (end -0.9 1.55) (layer F.Fab) (width 0.1))
(fp_line (start 0.9 -1.55) (end 0.9 1.55) (layer F.Fab) (width 0.1))
(fp_text user %R (at 0 0) (layer F.Fab)
(effects (font (size 0.5 0.5) (thickness 0.075)))
)
(pad 5 smd rect (at 1.1 -0.95 90) (size 1.06 0.65) (layers F.Cu F.Paste F.Mask)
(net 3 +3V3))
(pad 4 smd rect (at 1.1 0.95 90) (size 1.06 0.65) (layers F.Cu F.Paste F.Mask)
(net 58 "Net-(U3-Pad4)"))
(pad 3 smd rect (at -1.1 0.95 90) (size 1.06 0.65) (layers F.Cu F.Paste F.Mask)
(net 40 LDO_EN))
(pad 2 smd rect (at -1.1 0 90) (size 1.06 0.65) (layers F.Cu F.Paste F.Mask)
(net 2 GND) (zone_connect 1) (thermal_width 0.381))
(pad 1 smd rect (at -1.1 -0.95 90) (size 1.06 0.65) (layers F.Cu F.Paste F.Mask)
(net 68 /VIN))
(model ${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-23-5.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Package_TO_SOT_SMD:SOT-23-5 (layer F.Cu) (tedit 5F70C880) (tstamp 5F6A357A)
(at 109.74 78.93 90)
(descr "5-pin SOT23 package")
(tags SOT-23-5)
(path /5F6A9292)
(attr smd)
(fp_text reference U5 (at 1.02 -2.48 270) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value MCP73831-2-OT (at 0 2.9 90) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start 0.9 -1.55) (end 0.9 1.55) (layer F.Fab) (width 0.1))
(fp_line (start 0.9 1.55) (end -0.9 1.55) (layer F.Fab) (width 0.1))
(fp_line (start -0.9 -0.9) (end -0.9 1.55) (layer F.Fab) (width 0.1))
(fp_line (start 0.9 -1.55) (end -0.25 -1.55) (layer F.Fab) (width 0.1))
(fp_line (start -0.9 -0.9) (end -0.25 -1.55) (layer F.Fab) (width 0.1))
(fp_line (start -1.9 1.8) (end -1.9 -1.8) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.9 1.8) (end -1.9 1.8) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.9 -1.8) (end 1.9 1.8) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.9 -1.8) (end 1.9 -1.8) (layer F.CrtYd) (width 0.05))
(fp_line (start 0.9 -1.61) (end -1.55 -1.61) (layer F.SilkS) (width 0.12))
(fp_line (start -0.9 1.61) (end 0.9 1.61) (layer F.SilkS) (width 0.12))
(fp_text user %R (at 0 0) (layer F.Fab)
(effects (font (size 0.5 0.5) (thickness 0.075)))
)
(pad 1 smd rect (at -1.1 -0.95 90) (size 1.06 0.65) (layers F.Cu F.Paste F.Mask)
(net 36 "Net-(R13-Pad2)"))
(pad 2 smd rect (at -1.1 0 90) (size 1.06 0.65) (layers F.Cu F.Paste F.Mask)
(net 2 GND) (zone_connect 2))
(pad 3 smd rect (at -1.1 0.95 90) (size 1.06 0.65) (layers F.Cu F.Paste F.Mask)
(net 5 +BATT))
(pad 4 smd rect (at 1.1 0.95 90) (size 1.06 0.65) (layers F.Cu F.Paste F.Mask)
(net 1 VBUS))
(pad 5 smd rect (at 1.1 -0.95 90) (size 1.06 0.65) (layers F.Cu F.Paste F.Mask)
(net 37 "Net-(Rprog1-Pad1)"))
(model ${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-23-5.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Resistor_SMD:R_0603_1608Metric (layer F.Cu) (tedit 5FC78E5C) (tstamp 5F6EDE9C)
(at 110.07 51.87)
(descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
(tags resistor)
(path /5F81B547)
(attr smd)
(fp_text reference R17 (at 2.91 -0.03 180 unlocked) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value "10K DNP" (at 0 1.43) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
(fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
(fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
(fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
(fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12))
(fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12))
(fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05))
(fp_text user %R (at 0 0) (layer F.Fab)
(effects (font (size 0.4 0.4) (thickness 0.06)))
)
(pad 2 smd roundrect (at 0.7875 0) (size 0.875 0.95) (layers F.Cu F.Mask) (roundrect_rratio 0.25)
(net 25 SCL))
(pad 1 smd roundrect (at -0.7875 0) (size 0.875 0.95) (layers F.Cu F.Mask) (roundrect_rratio 0.25)
(net 3 +3V3))
)
(module Connector_JST:JST_PH_S4B-PH-SM4-TB_1x04-1MP_P2.00mm_Horizontal (layer F.Cu) (tedit 5B78AD87) (tstamp 5F6B2CB4)
(at 110.2 42 180)
(descr "JST PH series connector, S4B-PH-SM4-TB (http://www.jst-mfg.com/product/pdf/eng/ePH.pdf), generated with kicad-footprint-generator")
(tags "connector JST PH top entry")
(path /5F930D47)
(attr smd)
(fp_text reference J4 (at 0 -5.8) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value Stemma (at 0 5.8) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -3 -0.892893) (end -2.5 -1.6) (layer F.Fab) (width 0.1))
(fp_line (start -3.5 -1.6) (end -3 -0.892893) (layer F.Fab) (width 0.1))
(fp_line (start 6.6 -5.1) (end -6.6 -5.1) (layer F.CrtYd) (width 0.05))
(fp_line (start 6.6 5.1) (end 6.6 -5.1) (layer F.CrtYd) (width 0.05))
(fp_line (start -6.6 5.1) (end 6.6 5.1) (layer F.CrtYd) (width 0.05))
(fp_line (start -6.6 -5.1) (end -6.6 5.1) (layer F.CrtYd) (width 0.05))
(fp_line (start 5.95 -3.2) (end 5.95 4.4) (layer F.Fab) (width 0.1))
(fp_line (start -5.95 -3.2) (end -5.95 4.4) (layer F.Fab) (width 0.1))
(fp_line (start -5.95 4.4) (end 5.95 4.4) (layer F.Fab) (width 0.1))
(fp_line (start -4.34 4.51) (end 4.34 4.51) (layer F.SilkS) (width 0.12))
(fp_line (start 5.04 -1.71) (end 3.76 -1.71) (layer F.SilkS) (width 0.12))
(fp_line (start 5.04 -3.31) (end 5.04 -1.71) (layer F.SilkS) (width 0.12))
(fp_line (start 6.06 -3.31) (end 5.04 -3.31) (layer F.SilkS) (width 0.12))
(fp_line (start 6.06 0.94) (end 6.06 -3.31) (layer F.SilkS) (width 0.12))
(fp_line (start -3.76 -1.71) (end -3.76 -4.6) (layer F.SilkS) (width 0.12))
(fp_line (start -5.04 -1.71) (end -3.76 -1.71) (layer F.SilkS) (width 0.12))
(fp_line (start -5.04 -3.31) (end -5.04 -1.71) (layer F.SilkS) (width 0.12))
(fp_line (start -6.06 -3.31) (end -5.04 -3.31) (layer F.SilkS) (width 0.12))
(fp_line (start -6.06 0.94) (end -6.06 -3.31) (layer F.SilkS) (width 0.12))
(fp_line (start 5.15 -3.2) (end 5.95 -3.2) (layer F.Fab) (width 0.1))
(fp_line (start 5.15 -1.6) (end 5.15 -3.2) (layer F.Fab) (width 0.1))
(fp_line (start -5.15 -1.6) (end 5.15 -1.6) (layer F.Fab) (width 0.1))
(fp_line (start -5.15 -3.2) (end -5.15 -1.6) (layer F.Fab) (width 0.1))
(fp_line (start -5.95 -3.2) (end -5.15 -3.2) (layer F.Fab) (width 0.1))
(fp_text user %R (at 0 1.5) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(pad 1 smd roundrect (at -3 -2.85 180) (size 1 3.5) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 25 SCL))
(pad 2 smd roundrect (at -1 -2.85 180) (size 1 3.5) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 24 SDA))
(pad 3 smd roundrect (at 1 -2.85 180) (size 1 3.5) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 3 +3V3))
(pad 4 smd roundrect (at 3 -2.85 180) (size 1 3.5) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 2 GND))
(pad MP smd roundrect (at -5.35 2.9 180) (size 1.5 3.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.166667))
(pad MP smd roundrect (at 5.35 2.9 180) (size 1.5 3.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.166667))
(model ${KISYS3DMOD}/Connector_JST.3dshapes/JST_PH_S4B-PH-SM4-TB_1x04-1MP_P2.00mm_Horizontal.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
(model /Users/dustinwatts/Kicad/3dmodels/jst-ph-smd-right-angle-connectors-1.snapshot.3/S4B-PH-SM4-TB.stp
(offset (xyz 0 -4 0))
(scale (xyz 1 1 1))
(rotate (xyz -90 0 0))
)
)
(module TestPoint:TestPoint_Pad_D1.0mm (layer F.Cu) (tedit 5A0F774F) (tstamp 5F6DA1F5)
(at 126.07 67.67)
(descr "SMD pad as test Point, diameter 1.0mm")
(tags "test point SMD pad")
(path /5F6FF760)
(attr virtual)
(fp_text reference TP1 (at 0 -1.448) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value TestPoint (at 0.88 0.67) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_circle (center 0 0) (end 1 0) (layer F.CrtYd) (width 0.05))
(fp_circle (center 0 0) (end 0 0.7) (layer F.SilkS) (width 0.12))
(fp_text user %R (at 0 -1.45) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(pad 1 smd circle (at 0 0) (size 1 1) (layers F.Cu F.Mask)
(net 1 VBUS))
)
(module TestPoint:TestPoint_Pad_D1.0mm (layer F.Cu) (tedit 5A0F774F) (tstamp 5F6DA1FD)
(at 104.55 48.7)
(descr "SMD pad as test Point, diameter 1.0mm")
(tags "test point SMD pad")
(path /5F70312D)
(attr virtual)
(fp_text reference TP2 (at 0 -1.448) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value TestPoint (at 0 1.55) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_circle (center 0 0) (end 1 0) (layer F.CrtYd) (width 0.05))
(fp_circle (center 0 0) (end 0 0.7) (layer F.SilkS) (width 0.12))
(fp_text user %R (at 0 -1.45) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(pad 1 smd circle (at 0 0) (size 1 1) (layers F.Cu F.Mask)
(net 3 +3V3))
)
(module TestPoint:TestPoint_Pad_D1.0mm (layer F.Cu) (tedit 5A0F774F) (tstamp 5F6DA205)
(at 104.6 83.55)
(descr "SMD pad as test Point, diameter 1.0mm")
(tags "test point SMD pad")
(path /5F701F80)
(attr virtual)
(fp_text reference TP3 (at 0 -1.448) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value TestPoint (at 0 1.55) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_circle (center 0 0) (end 0 0.7) (layer F.SilkS) (width 0.12))
(fp_circle (center 0 0) (end 1 0) (layer F.CrtYd) (width 0.05))
(fp_text user %R (at 0 -1.45) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(pad 1 smd circle (at 0 0) (size 1 1) (layers F.Cu F.Mask)
(net 5 +BATT))
)
(dimension 14.5 (width 0.15) (layer Dwgs.User)
(gr_text "14.500 mm" (at 76.65 105.8) (layer Dwgs.User)
(effects (font (size 1 1) (thickness 0.15)))
)
(feature1 (pts (xy 83.9 91.2) (xy 83.9 105.086421)))
(feature2 (pts (xy 69.4 91.2) (xy 69.4 105.086421)))
(crossbar (pts (xy 69.4 104.5) (xy 83.9 104.5)))
(arrow1a (pts (xy 83.9 104.5) (xy 82.773496 105.086421)))
(arrow1b (pts (xy 83.9 104.5) (xy 82.773496 103.913579)))
(arrow2a (pts (xy 69.4 104.5) (xy 70.526504 105.086421)))
(arrow2b (pts (xy 69.4 104.5) (xy 70.526504 103.913579)))
)
(dimension 30.9 (width 0.15) (layer Dwgs.User)
(gr_text "30.900 mm" (at 53.95 104.1) (layer Dwgs.User)
(effects (font (size 1 1) (thickness 0.15)))
)
(feature1 (pts (xy 38.5 91.1) (xy 38.5 103.386421)))
(feature2 (pts (xy 69.4 91.1) (xy 69.4 103.386421)))
(crossbar (pts (xy 69.4 102.8) (xy 38.5 102.8)))
(arrow1a (pts (xy 38.5 102.8) (xy 39.626504 102.213579)))
(arrow1b (pts (xy 38.5 102.8) (xy 39.626504 103.386421)))
(arrow2a (pts (xy 69.4 102.8) (xy 68.273496 102.213579)))
(arrow2b (pts (xy 69.4 102.8) (xy 68.273496 103.386421)))
)
(dimension 22.2 (width 0.15) (layer Dwgs.User)
(gr_text "22.200 mm" (at 127.4 26.4) (layer Dwgs.User)
(effects (font (size 1 1) (thickness 0.15)))
)
(feature1 (pts (xy 138.5 39.1) (xy 138.5 27.113579)))
(feature2 (pts (xy 116.3 39.1) (xy 116.3 27.113579)))
(crossbar (pts (xy 116.3 27.7) (xy 138.5 27.7)))
(arrow1a (pts (xy 138.5 27.7) (xy 137.373496 28.286421)))
(arrow1b (pts (xy 138.5 27.7) (xy 137.373496 27.113579)))
(arrow2a (pts (xy 116.3 27.7) (xy 117.426504 28.286421)))
(arrow2b (pts (xy 116.3 27.7) (xy 117.426504 27.113579)))
)
(dimension 12.2 (width 0.15) (layer Dwgs.User)
(gr_text "12.200 mm" (at 110.2 28.3) (layer Dwgs.User)
(effects (font (size 1 1) (thickness 0.15)))
)
(feature1 (pts (xy 116.3 41.3) (xy 116.3 29.013579)))
(feature2 (pts (xy 104.1 41.3) (xy 104.1 29.013579)))
(crossbar (pts (xy 104.1 29.6) (xy 116.3 29.6)))
(arrow1a (pts (xy 116.3 29.6) (xy 115.173496 30.186421)))
(arrow1b (pts (xy 116.3 29.6) (xy 115.173496 29.013579)))
(arrow2a (pts (xy 104.1 29.6) (xy 105.226504 30.186421)))
(arrow2b (pts (xy 104.1 29.6) (xy 105.226504 29.013579)))
)
(gr_text 16 (at 62.7 54.1 90) (layer F.SilkS) (tstamp 5FBA01F0)
(effects (font (size 1 1) (thickness 0.15)))
)
(gr_text 14 (at 60.3 54.15 90) (layer F.SilkS) (tstamp 5FBA01F0)
(effects (font (size 1 1) (thickness 0.15)))
)
(gr_text 17 (at 62.65 50.45 90) (layer F.SilkS) (tstamp 5FBA01F0)
(effects (font (size 1 1) (thickness 0.15)))
)
(gr_text SDI (at 60.25 50.55 90) (layer F.SilkS) (tstamp 5FBA01F0)
(effects (font (size 1 1) (thickness 0.15)))
)
(gr_line (start 61.45 48.5) (end 61.45 56.12) (layer F.SilkS) (width 0.12) (tstamp 5FBA01E9))
(gr_line (start 63.85 48.5) (end 63.85 56.12) (layer F.SilkS) (width 0.12) (tstamp 5FBA0189))
(gr_line (start 61.36 48.5) (end 63.85 48.5) (layer F.SilkS) (width 0.12) (tstamp 5FBA0179))
(gr_line (start 59.01 48.5) (end 61.55 48.5) (layer F.SilkS) (width 0.12) (tstamp 5FBA0179))
(gr_text "ESP32 TouchDown" (at 56.4 91.3 180) (layer F.SilkS) (tstamp 5FA70330)
(effects (font (size 1.6 1.6) (thickness 0.25)))
)
(gr_line (start 138.5 91) (end 138.5 73.5) (layer Edge.Cuts) (width 0.05) (tstamp 5F990DF9))
(gr_text 40 (at 86.75 77.1 90) (layer F.SilkS) (tstamp 5FA70D4E)
(effects (font (size 1 1) (thickness 0.15)))
)
(gr_text 1 (at 86.65 54.54 90) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(dimension 57 (width 0.15) (layer Dwgs.User)
(gr_text "57.000 mm" (at 32.2 65.5 90) (layer Dwgs.User)
(effects (font (size 1 1) (thickness 0.15)))
)
(feature1 (pts (xy 44 37) (xy 32.913579 37)))
(feature2 (pts (xy 44 94) (xy 32.913579 94)))
(crossbar (pts (xy 33.5 94) (xy 33.5 37)))
(arrow1a (pts (xy 33.5 37) (xy 34.086421 38.126504)))
(arrow1b (pts (xy 33.5 37) (xy 32.913579 38.126504)))
(arrow2a (pts (xy 33.5 94) (xy 34.086421 92.873496)))
(arrow2b (pts (xy 33.5 94) (xy 32.913579 92.873496)))
)
(dimension 100 (width 0.15) (layer Dwgs.User)
(gr_text "100.000 mm" (at 88.5 30.2) (layer Dwgs.User)
(effects (font (size 1 1) (thickness 0.15)))
)
(feature1 (pts (xy 138.5 40) (xy 138.5 30.913579)))
(feature2 (pts (xy 38.5 40) (xy 38.5 30.913579)))
(crossbar (pts (xy 38.5 31.5) (xy 138.5 31.5)))
(arrow1a (pts (xy 138.5 31.5) (xy 137.373496 32.086421)))
(arrow1b (pts (xy 138.5 31.5) (xy 137.373496 30.913579)))
(arrow2a (pts (xy 38.5 31.5) (xy 39.626504 32.086421)))
(arrow2b (pts (xy 38.5 31.5) (xy 39.626504 30.913579)))
)
(dimension 94 (width 0.15) (layer Dwgs.User)
(gr_text "94.000 mm" (at 88.5 99.8) (layer Dwgs.User)
(effects (font (size 1 1) (thickness 0.15)))
)
(feature1 (pts (xy 41.5 91) (xy 41.5 99.086421)))
(feature2 (pts (xy 135.5 91) (xy 135.5 99.086421)))
(crossbar (pts (xy 135.5 98.5) (xy 41.5 98.5)))
(arrow1a (pts (xy 41.5 98.5) (xy 42.626504 97.913579)))
(arrow1b (pts (xy 41.5 98.5) (xy 42.626504 99.086421)))
(arrow2a (pts (xy 135.5 98.5) (xy 134.373496 97.913579)))
(arrow2b (pts (xy 135.5 98.5) (xy 134.373496 99.086421)))
)
(dimension 51 (width 0.15) (layer Dwgs.User)
(gr_text "51.000 mm" (at 151.3 65.5 270) (layer Dwgs.User)
(effects (font (size 1 1) (thickness 0.15)))
)
(feature1 (pts (xy 135.5 91) (xy 150.586421 91)))
(feature2 (pts (xy 135.5 40) (xy 150.586421 40)))
(crossbar (pts (xy 150 40) (xy 150 91)))
(arrow1a (pts (xy 150 91) (xy 149.413579 89.873496)))
(arrow1b (pts (xy 150 91) (xy 150.586421 89.873496)))
(arrow2a (pts (xy 150 40) (xy 149.413579 41.126504)))
(arrow2b (pts (xy 150 40) (xy 150.586421 41.126504)))
)
(gr_arc (start 41.5 91) (end 38.5 91) (angle -90) (layer Edge.Cuts) (width 0.05))
(gr_arc (start 41.5 40) (end 41.5 37) (angle -90) (layer Edge.Cuts) (width 0.05))
(gr_arc (start 135.5 91) (end 135.5 94) (angle -90) (layer Edge.Cuts) (width 0.05))
(gr_arc (start 135.5 40) (end 138.5 40) (angle -90) (layer Edge.Cuts) (width 0.05))
(gr_text CHRG (at 114.35 92.89 180) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(gr_poly (pts (xy 110.85 93.37) (xy 110.46 93) (xy 110.85 92.61)) (layer F.SilkS) (width 0.1))
(gr_poly (pts (xy 124.13 82.38) (xy 123.44 81.61) (xy 124.13 80.8)) (layer F.SilkS) (width 0.1))
(gr_line (start 124.15 82.46) (end 124.15 80.74) (layer F.SilkS) (width 0.12))
(gr_line (start 123.43 81.64) (end 124.15 82.46) (layer F.SilkS) (width 0.12))
(gr_line (start 124.15 80.74) (end 123.41 81.61) (layer F.SilkS) (width 0.12))
(gr_line (start 112.41 74.7) (end 112.41 76.42) (layer F.SilkS) (width 0.12))
(gr_line (start 120.21 80.01) (end 120.21 83.27) (layer F.SilkS) (width 0.12))
(gr_line (start 115.2 82.81) (end 115.2 84.53) (layer F.SilkS) (width 0.12))
(gr_line (start 133.73 52.06) (end 133.73 64.06) (layer Edge.Cuts) (width 0.05) (tstamp 5F78A9E9))
(gr_arc (start 132.73 52.06) (end 133.73 52.06) (angle -90) (layer Edge.Cuts) (width 0.05))
(gr_arc (start 132.73 64.06) (end 132.73 65.06) (angle -90) (layer Edge.Cuts) (width 0.05))
(gr_line (start 129.73 51.06) (end 132.73 51.06) (layer Edge.Cuts) (width 0.05) (tstamp 5F78A75F))
(gr_text VBUS (at 126.07 66 180) (layer F.SilkS) (tstamp 5F71704A)
(effects (font (size 0.8 0.8) (thickness 0.15)))
)
(gr_text VBAT (at 103.94 85.09 180) (layer F.SilkS) (tstamp 5F717047)
(effects (font (size 0.8 0.8) (thickness 0.15)))
)
(gr_text GND (at 91.5 40.5 90) (layer F.SilkS) (tstamp 5F716DED)
(effects (font (size 1 1) (thickness 0.15)))
)
(gr_text 3V3 (at 94.5 40.5 90) (layer F.SilkS) (tstamp 5F716DEB)
(effects (font (size 1 1) (thickness 0.15)))
)
(gr_text SDA (at 97.5 40.5 90) (layer F.SilkS) (tstamp 5F716DE9)
(effects (font (size 1 1) (thickness 0.15)))
)
(gr_text SCL (at 100.5 40.5 90) (layer F.SilkS) (tstamp 5F716DE7)
(effects (font (size 1 1) (thickness 0.15)))
)
(gr_line (start 90 42.5) (end 93 42.5) (layer F.SilkS) (width 0.12) (tstamp 5F716DDE))
(gr_line (start 90 38.5) (end 90 42.5) (layer F.SilkS) (width 0.12))
(gr_line (start 93 38.5) (end 90 38.5) (layer F.SilkS) (width 0.12))
(gr_line (start 102 38.5) (end 102 42.5) (layer F.SilkS) (width 0.12))
(gr_line (start 99 38.5) (end 99 42.5) (layer F.SilkS) (width 0.12))
(gr_line (start 96 38.5) (end 96 42.5) (layer F.SilkS) (width 0.12))
(gr_line (start 102 38.5) (end 93 38.5) (layer F.SilkS) (width 0.12) (tstamp 5F716DC6))
(gr_line (start 93 42.5) (end 102 42.5) (layer F.SilkS) (width 0.12))
(gr_line (start 93 38.5) (end 93 42.5) (layer F.SilkS) (width 0.12))
(gr_text + (at 113.62 85.02) (layer F.SilkS) (tstamp 5F715AF1)
(effects (font (size 2 2) (thickness 0.15)))
)
(gr_text - (at 107.51 85.16) (layer F.SilkS)
(effects (font (size 2 2) (thickness 0.15)))
)
(gr_circle (center 108.14 80.88) (end 108.25 81) (layer F.SilkS) (width 0.12) (tstamp 5F715875))
(gr_circle (center 113.05 82) (end 113.16 82.12) (layer F.SilkS) (width 0.12) (tstamp 5F71586F))
(gr_circle (center 118.45 76.54) (end 118.56 76.66) (layer F.SilkS) (width 0.12))
(gr_text 3V3 (at 104.5 50.5 180) (layer F.SilkS) (tstamp 5F70A8A5)
(effects (font (size 0.8 0.8) (thickness 0.15)))
)
(gr_arc (start 101 76) (end 100 76) (angle -90) (layer Edge.Cuts) (width 0.05))
(gr_arc (start 104 76) (end 104 77) (angle -90) (layer Edge.Cuts) (width 0.05))
(gr_arc (start 104 55) (end 105 55) (angle -90) (layer Edge.Cuts) (width 0.05))
(gr_arc (start 101 55) (end 101 54) (angle -90) (layer Edge.Cuts) (width 0.05))
(gr_line (start 104 54) (end 101 54) (layer Edge.Cuts) (width 0.05) (tstamp 5F6ED1D2))
(gr_line (start 105 76) (end 105 55) (layer Edge.Cuts) (width 0.05))
(gr_line (start 101 77) (end 104 77) (layer Edge.Cuts) (width 0.05))
(gr_line (start 100 55) (end 100 76) (layer Edge.Cuts) (width 0.05))
(gr_arc (start 129.73 52.06) (end 129.73 51.06) (angle -90) (layer Edge.Cuts) (width 0.05))
(gr_line (start 129.73 65.06) (end 132.73 65.06) (layer Edge.Cuts) (width 0.05) (tstamp 5F6EBECE))
(gr_circle (center 48.51 47.25) (end 48.715183 47.25) (layer F.SilkS) (width 0.2) (tstamp 5F6CDEC4))
(gr_circle (center 108.19 60.01) (end 108.395183 60.01) (layer F.SilkS) (width 0.2) (tstamp 5F6CDEC2))
(gr_circle (center 114.28 47.29) (end 114.485183 47.29) (layer F.SilkS) (width 0.2) (tstamp 5F6CDE1A))
(gr_text "by Dustin Watts" (at 125 39.1 180) (layer F.SilkS) (tstamp 5FA702FC)
(effects (font (size 1.2 1.2) (thickness 0.2)))
)
(gr_text V1.0 (at 130 41.2 180) (layer F.SilkS) (tstamp 5F6B9B0C)
(effects (font (size 1.2 1.2) (thickness 0.2)))
)
(gr_text RESET (at 39.46 46.76 270) (layer F.SilkS) (tstamp 5F7C913A)
(effects (font (size 1 1) (thickness 0.15)))
)
(gr_text BOOT (at 39.5 55.38 270) (layer F.SilkS) (tstamp 5F6B990D)
(effects (font (size 1 1) (thickness 0.15)))
)
(gr_text 12 (at 55.21 54.01 90) (layer F.SilkS) (tstamp 5F6B9812)
(effects (font (size 1 1) (thickness 0.15)))
)
(gr_text 34 (at 47.68 54.07 90) (layer F.SilkS) (tstamp 5F6B9810)
(effects (font (size 1 1) (thickness 0.15)))
)
(gr_text 33 (at 47.68 50.37 90) (layer F.SilkS) (tstamp 5F6B980E)
(effects (font (size 1 1) (thickness 0.15)))
)
(gr_text SCL (at 50.12 50.43 90) (layer F.SilkS) (tstamp 5F6B980C)
(effects (font (size 1 1) (thickness 0.15)))
)
(gr_text SCK (at 55.3 50.6 90) (layer F.SilkS) (tstamp 5F6B980A)
(effects (font (size 1 1) (thickness 0.15)))
)
(gr_text SDO (at 57.75 50.6 90) (layer F.SilkS) (tstamp 5F6B9808)
(effects (font (size 1 1) (thickness 0.15)))
)
(gr_text 13 (at 57.77 54.07 90) (layer F.SilkS) (tstamp 5F6B9806)
(effects (font (size 1 1) (thickness 0.15)))
)
(gr_text SDA (at 50.14 54.19 90) (layer F.SilkS) (tstamp 5F6B9804)
(effects (font (size 1 1) (thickness 0.15)))
)
(gr_text GND (at 52.77 54.13 90) (layer F.SilkS) (tstamp 5F6B9802)
(effects (font (size 1 1) (thickness 0.15)))
)
(gr_text 3V3 (at 52.75 50.44 90) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(gr_line (start 46.32 52.28) (end 63.85 52.28) (layer F.SilkS) (width 0.12))
(gr_line (start 46.32 48.47) (end 46.32 52.28) (layer F.SilkS) (width 0.12))
(gr_line (start 59 48.5) (end 59 56.12) (layer F.SilkS) (width 0.12))
(gr_line (start 56.46 48.5) (end 59 48.5) (layer F.SilkS) (width 0.12))
(gr_line (start 56.46 56.12) (end 56.46 48.5) (layer F.SilkS) (width 0.12))
(gr_line (start 53.92 56.12) (end 56.46 56.12) (layer F.SilkS) (width 0.12))
(gr_line (start 53.92 48.5) (end 53.92 56.12) (layer F.SilkS) (width 0.12))
(gr_line (start 51.38 48.5) (end 53.92 48.5) (layer F.SilkS) (width 0.12))
(gr_line (start 51.38 56.12) (end 51.38 48.5) (layer F.SilkS) (width 0.12))
(gr_line (start 48.84 56.12) (end 51.38 56.12) (layer F.SilkS) (width 0.12))
(gr_line (start 48.84 48.5) (end 48.84 56.12) (layer F.SilkS) (width 0.12))
(gr_line (start 46.3 56.12) (end 46.3 48.5) (layer F.SilkS) (width 0.12) (tstamp 5F6B979F))
(gr_line (start 63.85 56.12) (end 46.3 56.12) (layer F.SilkS) (width 0.12))
(gr_line (start 46.3 48.5) (end 59 48.5) (layer F.SilkS) (width 0.12))
(gr_text STEMMA (at 110.15 48.55 180) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(gr_line (start 108.51 56.6) (end 108.9 56.6) (layer Dwgs.User) (width 0.15))
(gr_line (start 108.69 56.4) (end 108.69 56.78) (layer Dwgs.User) (width 0.15))
(gr_line (start 86.31 55.43) (end 86.31 55.73) (layer Dwgs.User) (width 0.15))
(gr_line (start 86.11 55.58) (end 86.31 55.58) (layer Dwgs.User) (width 0.15) (tstamp 5F6BB5B4))
(gr_line (start 86.49 55.58) (end 86.11 55.58) (layer Dwgs.User) (width 0.15))
(gr_line (start 86.31 55.58) (end 86.49 55.58) (layer Dwgs.User) (width 0.15))
(gr_arc (start 129.73 64.06) (end 128.73 64.06) (angle -90) (layer Edge.Cuts) (width 0.05))
(gr_line (start 128.73 52.06) (end 128.73 64.06) (layer Edge.Cuts) (width 0.05))
(gr_text ILI9488 (at 84.836 65.21 90) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(gr_line (start 38.5 91) (end 38.5 40) (layer Edge.Cuts) (width 0.05) (tstamp 5F6A3FAD))
(gr_line (start 135.5 94) (end 41.5 94) (layer Edge.Cuts) (width 0.05))
(gr_line (start 138.5 40) (end 138.5 73.5) (layer Edge.Cuts) (width 0.05))
(gr_line (start 41.5 37) (end 135.5 37) (layer Edge.Cuts) (width 0.05))
(segment (start 125.8825 81.63) (end 125.85 81.63) (width 0.254) (layer F.Cu) (net 1))
(segment (start 123.23 75.24) (end 124.33 75.24) (width 0.254) (layer F.Cu) (net 1))
(segment (start 124.33 75.24) (end 124.34 75.23) (width 0.254) (layer F.Cu) (net 1))
(segment (start 123.22 75.23) (end 123.23 75.24) (width 0.254) (layer F.Cu) (net 1))
(via (at 126.97 84.37) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1))
(segment (start 126.98 84.36) (end 126.97 84.37) (width 0.254) (layer F.Cu) (net 1))
(segment (start 114.49 92.98) (end 118.53 88.94) (width 0.381) (layer F.Cu) (net 1))
(segment (start 118.53 88.94) (end 118.53 87.77) (width 0.381) (layer F.Cu) (net 1))
(segment (start 111.4775 92.98) (end 111.4575 92.96) (width 0.254) (layer F.Cu) (net 1))
(segment (start 114.49 92.98) (end 111.4775 92.98) (width 0.381) (layer F.Cu) (net 1))
(via (at 112.34 79.099998) (size 0.45) (drill 0.3) (layers F.Cu B.Cu) (net 1))
(segment (start 110.69 77.83) (end 111.070002 77.83) (width 0.635) (layer F.Cu) (net 1))
(segment (start 111.070002 77.83) (end 112.34 79.099998) (width 0.635) (layer F.Cu) (net 1))
(segment (start 126.97 84.37) (end 124.53 84.37) (width 0.635) (layer B.Cu) (net 1))
(segment (start 119.259998 79.099998) (end 112.34 79.099998) (width 0.635) (layer B.Cu) (net 1))
(segment (start 124.53 84.37) (end 119.259998 79.099998) (width 0.635) (layer B.Cu) (net 1))
(segment (start 126.97 82.75) (end 125.85 81.63) (width 0.635) (layer F.Cu) (net 1))
(segment (start 126.97 84.37) (end 126.97 82.75) (width 0.635) (layer F.Cu) (net 1))
(via (at 127.2 68.91) (size 0.45) (drill 0.3) (layers F.Cu B.Cu) (net 1))
(segment (start 127.2 68.8) (end 127.2 68.91) (width 0.254) (layer F.Cu) (net 1))
(segment (start 126.07 67.67) (end 127.2 68.8) (width 0.254) (layer F.Cu) (net 1))
(segment (start 124.62 75.24) (end 126.800002 75.24) (width 0.635) (layer F.Cu) (net 1))
(segment (start 126.97 84.37) (end 126.25 83.65) (width 0.635) (layer B.Cu) (net 1))
(segment (start 127.2 68.91) (end 127.2 74.840002) (width 0.254) (layer B.Cu) (net 1))
(segment (start 127.2 74.840002) (end 126.800002 75.24) (width 0.254) (layer B.Cu) (net 1))
(via (at 126.800002 75.24) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1))
(segment (start 126.25 83.65) (end 126.25 75.790002) (width 0.635) (layer B.Cu) (net 1))
(segment (start 126.25 75.790002) (end 126.800002 75.24) (width 0.635) (layer B.Cu) (net 1))
(segment (start 127.2 74.840002) (end 127.2 73.5875) (width 0.635) (layer F.Cu) (net 1))
(segment (start 126.800002 75.24) (end 127.2 74.840002) (width 0.635) (layer F.Cu) (net 1))
(segment (start 122.42 85.13) (end 125.85 81.7) (width 0.635) (layer F.Cu) (net 1))
(segment (start 125.85 81.7) (end 125.85 81.63) (width 0.635) (layer F.Cu) (net 1))
(segment (start 121.28 85.13) (end 122.42 85.13) (width 0.635) (layer F.Cu) (net 1))
(segment (start 118.64 87.77) (end 121.28 85.13) (width 0.635) (layer F.Cu) (net 1))
(segment (start 118.53 87.77) (end 118.64 87.77) (width 0.635) (layer F.Cu) (net 1))
(segment (start 126.97 85.1075) (end 128.6425 85.99) (width 0.635) (layer F.Cu) (net 1))
(segment (start 126.97 84.37) (end 126.97 85.1075) (width 0.635) (layer F.Cu) (net 1))
(segment (start 128.68 86.15) (end 128.68 87.7975) (width 0.635) (layer F.Cu) (net 1))
(segment (start 128.68 87.7975) (end 128.8025 87.92) (width 0.635) (layer F.Cu) (net 1))
(segment (start 128.6425 85.99) (end 128.68 86.15) (width 0.635) (layer F.Cu) (net 1))
(via (at 129.5 74.5) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2) (tstamp 5FC7A266))
(via (at 98.25 47.85) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2))
(via (at 91.6 43.7) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2))
(via (at 89.2 45.7) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2))
(via (at 99.95 46.15) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2))
(via (at 89.2 43.7) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2))
(via (at 45 54) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2))
(via (at 45 52) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2))
(via (at 45 50) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2))
(via (at 109 67) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2) (tstamp 5F6B80D0))
(via (at 113 67) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2) (tstamp 5F6B80D1))
(via (at 119 67) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2) (tstamp 5F6B80D2))
(via (at 117 67) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2) (tstamp 5F6B80D3))
(via (at 111 67) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2) (tstamp 5F6B80D4))
(via (at 115 67) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2) (tstamp 5F6B80D5))
(via (at 124 50) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2) (tstamp 5F6B80E2))
(via (at 120 50) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2) (tstamp 5F6B80E3))
(via (at 118 50) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2) (tstamp 5F6B80E4))
(via (at 126 50) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2) (tstamp 5F6B80E5))
(via (at 116 50) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2) (tstamp 5F6B80E6))
(via (at 122 50) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2) (tstamp 5F6B80E7))
(via (at 96 70) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2) (tstamp 5F6B80FA))
(via (at 96 64) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2) (tstamp 5F6B80FB))
(via (at 96 62) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2) (tstamp 5F6B80FC))
(via (at 96 68) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2) (tstamp 5F6B80FD))
(via (at 96 60) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2) (tstamp 5F6B80FE))
(via (at 96 66) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2) (tstamp 5F6B80FF))
(via (at 104.98 89.33) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2) (tstamp 5F6B810C))
(via (at 103.98 89.33) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2) (tstamp 5F6B810D))
(via (at 104.98 90.33) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2) (tstamp 5F6B810E))
(via (at 103.98 91.33) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2) (tstamp 5F6B810F))
(via (at 103.98 90.33) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2) (tstamp 5F6B8110))
(via (at 104.98 91.33) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2) (tstamp 5F6B8111))
(via (at 67 76) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2) (tstamp 5F6B855E))
(via (at 67 74) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2) (tstamp 5F6B855F))
(via (at 67 72) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2) (tstamp 5F6B8568))
(via (at 64.2 66.4) (size 0.45) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5F6B856D))
(via (at 64.2 65.7) (size 0.45) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5F6B856E))
(via (at 64.8 65.7) (size 0.45) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5F6B8570))
(via (at 64.8 66.4) (size 0.45) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5F6B8572))
(segment (start 120.27 74.23) (end 120.77 73.73) (width 0.254) (layer F.Cu) (net 2))
(segment (start 120.27 76.18) (end 120.27 74.23) (width 0.254) (layer F.Cu) (net 2))
(segment (start 106.78 79.819277) (end 106.78 79.8325) (width 0.254) (layer F.Cu) (net 2))
(segment (start 106.78 79.8325) (end 106.7875 79.8325) (width 0.254) (layer F.Cu) (net 2))
(via (at 109.73 77.53) (size 0.45) (drill 0.3) (layers F.Cu B.Cu) (net 2))
(via (at 109.73 78.22) (size 0.45) (drill 0.3) (layers F.Cu B.Cu) (net 2))
(via (at 109.74 78.96) (size 0.45) (drill 0.3) (layers F.Cu B.Cu) (net 2))
(via (at 108.97 78.94) (size 0.45) (drill 0.3) (layers F.Cu B.Cu) (net 2))
(via (at 108.18 78.97) (size 0.45) (drill 0.3) (layers F.Cu B.Cu) (net 2))
(segment (start 86.95 63.26) (end 88.44 63.26) (width 0.1524) (layer F.Cu) (net 2))
(segment (start 86.95 73.76) (end 88.15 73.76) (width 0.1524) (layer F.Cu) (net 2))
(segment (start 88.15 73.76) (end 88.45 73.46) (width 0.1524) (layer F.Cu) (net 2))
(segment (start 88.45 72.43) (end 88.45 72.0624) (width 0.1524) (layer F.Cu) (net 2))
(segment (start 88.45 72.89) (end 88.45 72.43) (width 0.1524) (layer F.Cu) (net 2))
(segment (start 88.45 73.46) (end 88.45 72.89) (width 0.1524) (layer F.Cu) (net 2))
(segment (start 88.45 70.82) (end 88.45 72.0624) (width 0.1524) (layer F.Cu) (net 2))
(segment (start 88.09 70.76) (end 88.45 70.4) (width 0.1524) (layer F.Cu) (net 2))
(segment (start 86.95 70.76) (end 88.09 70.76) (width 0.1524) (layer F.Cu) (net 2))
(segment (start 88.45 70.4) (end 88.45 70.82) (width 0.1524) (layer F.Cu) (net 2))
(segment (start 88.45 69.85) (end 88.45 70.4) (width 0.1524) (layer F.Cu) (net 2))
(segment (start 88.04 70.26) (end 88.45 69.85) (width 0.1524) (layer F.Cu) (net 2))
(segment (start 86.95 70.26) (end 88.04 70.26) (width 0.1524) (layer F.Cu) (net 2))
(segment (start 88.1 69.76) (end 88.45 69.41) (width 0.1524) (layer F.Cu) (net 2))
(segment (start 86.95 69.76) (end 88.1 69.76) (width 0.1524) (layer F.Cu) (net 2))
(segment (start 88.45 69.41) (end 88.45 69.85) (width 0.1524) (layer F.Cu) (net 2))
(segment (start 87.97 69.26) (end 88.45 68.78) (width 0.1524) (layer F.Cu) (net 2))
(segment (start 86.95 69.26) (end 87.97 69.26) (width 0.1524) (layer F.Cu) (net 2))
(segment (start 88.45 68.78) (end 88.45 69.41) (width 0.1524) (layer F.Cu) (net 2))
(segment (start 87.95 68.76) (end 88.45 68.26) (width 0.1524) (layer F.Cu) (net 2))
(segment (start 86.95 68.76) (end 87.95 68.76) (width 0.1524) (layer F.Cu) (net 2))
(segment (start 88.45 68.26) (end 88.45 68.78) (width 0.1524) (layer F.Cu) (net 2))
(segment (start 88.45 67.69) (end 88.45 68.26) (width 0.1524) (layer F.Cu) (net 2))
(segment (start 88.45 67.07) (end 88.45 67.69) (width 0.1524) (layer F.Cu) (net 2))
(segment (start 88.45 66.68) (end 88.45 67.07) (width 0.1524) (layer F.Cu) (net 2))
(segment (start 88.45 66.21) (end 88.45 66.68) (width 0.1524) (layer F.Cu) (net 2))
(segment (start 88.02 66.26) (end 88.45 65.83) (width 0.1524) (layer F.Cu) (net 2))
(segment (start 86.95 66.26) (end 88.02 66.26) (width 0.1524) (layer F.Cu) (net 2))
(segment (start 88.45 65.83) (end 88.45 66.21) (width 0.1524) (layer F.Cu) (net 2))
(segment (start 88.06 65.76) (end 88.45 65.37) (width 0.1524) (layer F.Cu) (net 2))
(segment (start 86.95 65.76) (end 88.06 65.76) (width 0.1524) (layer F.Cu) (net 2))
(segment (start 88.45 65.37) (end 88.45 65.83) (width 0.1524) (layer F.Cu) (net 2))
(segment (start 88.45 64.84) (end 88.45 65.37) (width 0.1524) (layer F.Cu) (net 2))
(segment (start 88.03 65.26) (end 88.45 64.84) (width 0.1524) (layer F.Cu) (net 2))
(segment (start 86.95 65.26) (end 88.03 65.26) (width 0.1524) (layer F.Cu) (net 2))
(segment (start 88.45 64.37) (end 88.45 64.84) (width 0.1524) (layer F.Cu) (net 2))
(segment (start 88.06 64.76) (end 88.45 64.37) (width 0.1524) (layer F.Cu) (net 2))
(segment (start 86.95 64.76) (end 88.06 64.76) (width 0.1524) (layer F.Cu) (net 2))
(segment (start 88.18 64.26) (end 88.45 63.99) (width 0.1524) (layer F.Cu) (net 2))
(segment (start 86.95 64.26) (end 88.18 64.26) (width 0.1524) (layer F.Cu) (net 2))
(segment (start 88.45 63.99) (end 88.45 64.37) (width 0.1524) (layer F.Cu) (net 2))
(segment (start 86.95 63.76) (end 88.41 63.76) (width 0.1524) (layer F.Cu) (net 2))
(segment (start 88.45 63.72) (end 88.45 63.99) (width 0.1524) (layer F.Cu) (net 2))
(segment (start 88.41 63.76) (end 88.45 63.72) (width 0.1524) (layer F.Cu) (net 2))
(segment (start 88.45 63.26) (end 88.45 63.72) (width 0.1524) (layer F.Cu) (net 2))
(segment (start 88.44 63.26) (end 88.45 63.26) (width 0.1524) (layer F.Cu) (net 2) (tstamp 5F984D40))
(via (at 88.44 63.26) (size 0.45) (drill 0.3) (layers F.Cu B.Cu) (net 2))
(segment (start 88.45 70.92) (end 88.45 70.82) (width 0.1524) (layer F.Cu) (net 2))
(segment (start 88.11 71.26) (end 88.45 70.92) (width 0.1524) (layer F.Cu) (net 2))
(segment (start 86.95 71.26) (end 88.11 71.26) (width 0.1524) (layer F.Cu) (net 2))
(segment (start 88.45 67.78) (end 88.45 67.69) (width 0.1524) (layer F.Cu) (net 2))
(segment (start 87.97 68.26) (end 88.45 67.78) (width 0.1524) (layer F.Cu) (net 2))
(segment (start 86.95 68.26) (end 87.97 68.26) (width 0.1524) (layer F.Cu) (net 2))
(segment (start 88.45 67.24) (end 88.45 67.07) (width 0.1524) (layer F.Cu) (net 2))
(segment (start 87.93 67.76) (end 88.45 67.24) (width 0.1524) (layer F.Cu) (net 2))
(segment (start 86.95 67.76) (end 87.93 67.76) (width 0.1524) (layer F.Cu) (net 2))
(segment (start 87.95 67.26) (end 88.45 66.76) (width 0.1524) (layer F.Cu) (net 2))
(segment (start 88.45 66.76) (end 88.45 66.68) (width 0.1524) (layer F.Cu) (net 2))
(segment (start 86.95 67.26) (end 87.95 67.26) (width 0.1524) (layer F.Cu) (net 2))
(segment (start 88.45 66.29) (end 88.45 66.21) (width 0.1524) (layer F.Cu) (net 2))
(segment (start 87.98 66.76) (end 88.45 66.29) (width 0.1524) (layer F.Cu) (net 2))
(segment (start 86.95 66.76) (end 87.98 66.76) (width 0.1524) (layer F.Cu) (net 2))
(segment (start 88.45 71.82) (end 88.45 71.91) (width 0.1524) (layer F.Cu) (net 2))
(via (at 45 56) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2) (tstamp 5FAB927E))
(via (at 45 58) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2) (tstamp 5FAB9280))
(via (at 45 48) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2) (tstamp 5FAB9283))
(segment (start 130.93 75.15) (end 130.93 75.45) (width 0.254) (layer F.Cu) (net 2))
(segment (start 130.93 81.85) (end 130.93 81.55) (width 0.254) (layer F.Cu) (net 2))
(segment (start 129.5 74.6) (end 129.5 74.5) (width 0.254) (layer F.Cu) (net 2))
(segment (start 130.05 75.15) (end 129.5 74.6) (width 0.254) (layer F.Cu) (net 2))
(segment (start 130.93 75.15) (end 130.05 75.15) (width 0.254) (layer F.Cu) (net 2))
(via (at 129.30401 82.136086) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2))
(segment (start 130.93 81.55) (end 129.890096 81.55) (width 0.254) (layer F.Cu) (net 2))
(segment (start 129.890096 81.55) (end 129.30401 82.136086) (width 0.254) (layer F.Cu) (net 2))
(segment (start 46.175 65.43) (end 46.175 63.825) (width 0.508) (layer F.Cu) (net 2))
(segment (start 46.175 84.025) (end 46.175 82.43) (width 0.508) (layer F.Cu) (net 2))
(segment (start 46.175 82.43) (end 45.33 82.43) (width 0.508) (layer F.Cu) (net 2))
(via (at 50.1 74) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2))
(via (at 50.1 78.1) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2))
(via (at 53.9 79.3) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2))
(segment (start 58.2875 60.2125) (end 58.287507 60.212493) (width 0.254) (layer F.Cu) (net 3))
(via (at 58.287507 60.212493) (size 0.45) (drill 0.3) (layers F.Cu B.Cu) (net 3))
(via (at 65.19 64.35) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 3))
(segment (start 89.58 61.26) (end 86.95 61.26) (width 0.254) (layer F.Cu) (net 3))
(segment (start 86.95 74.26) (end 88.92 74.26) (width 0.254) (layer F.Cu) (net 3))
(segment (start 89.58 73.6) (end 89.58 61.26) (width 0.381) (layer F.Cu) (net 3))
(segment (start 88.92 74.26) (end 89.58 73.6) (width 0.381) (layer F.Cu) (net 3))
(via (at 106.549998 50.2) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 3))
(segment (start 109.2825 50.36) (end 109.2625 50.34) (width 0.254) (layer F.Cu) (net 3))
(segment (start 109.2825 51.87) (end 109.2825 50.36) (width 0.381) (layer F.Cu) (net 3))
(segment (start 109.1225 50.2) (end 109.2625 50.34) (width 0.254) (layer F.Cu) (net 3))
(segment (start 106.549998 50.2) (end 109.1225 50.2) (width 0.381) (layer F.Cu) (net 3))
(segment (start 104.55 50.09) (end 104.44 50.2) (width 0.254) (layer F.Cu) (net 3))
(segment (start 104.55 48.7) (end 104.55 50.09) (width 0.381) (layer F.Cu) (net 3))
(segment (start 104.44 50.2) (end 106.549998 50.2) (width 0.635) (layer F.Cu) (net 3))
(via (at 93.24 83.82) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 3))
(segment (start 87.460454 83.82) (end 93.24 83.82) (width 0.635) (layer B.Cu) (net 3))
(via (at 123.64 76.9) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 3))
(via (at 119.6 77.65) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 3))
(segment (start 120.2175 78.2775) (end 120.2175 78.91) (width 0.635) (layer F.Cu) (net 3))
(segment (start 119.6 77.66) (end 120.2175 78.2775) (width 0.635) (layer F.Cu) (net 3))
(segment (start 119.6 77.65) (end 119.6 77.66) (width 0.635) (layer F.Cu) (net 3))
(segment (start 86.93 74.78) (end 86.95 74.76) (width 0.254) (layer F.Cu) (net 3))
(segment (start 111.24 74.0025) (end 110.7475 73.51) (width 0.635) (layer F.Cu) (net 3))
(segment (start 111.24 75.57) (end 111.24 74.0025) (width 0.635) (layer F.Cu) (net 3))
(segment (start 111.99 75.57) (end 111.24 75.57) (width 0.635) (layer F.Cu) (net 3))
(segment (start 113.86 77.44) (end 111.99 75.57) (width 0.635) (layer F.Cu) (net 3))
(segment (start 119.39 77.44) (end 113.86 77.44) (width 0.635) (layer F.Cu) (net 3))
(segment (start 119.6 77.65) (end 119.39 77.44) (width 0.635) (layer F.Cu) (net 3))
(segment (start 113.71 77.59) (end 113.71 78.97) (width 0.635) (layer F.Cu) (net 3))
(segment (start 113.86 77.44) (end 113.71 77.59) (width 0.635) (layer F.Cu) (net 3))
(via (at 125.4 70.8) (size 0.45) (drill 0.3) (layers F.Cu B.Cu) (net 3) (tstamp 5F9910B0))
(segment (start 87.09 83.82) (end 87.460454 83.82) (width 0.635) (layer B.Cu) (net 3))
(via (at 106.64 59.29) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 3))
(segment (start 106.549998 59.199998) (end 106.64 59.29) (width 0.508) (layer B.Cu) (net 3))
(segment (start 106.549998 50.2) (end 106.549998 59.199998) (width 0.508) (layer B.Cu) (net 3))
(via (at 93.23 92.92) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 3))
(segment (start 93.24 83.82) (end 93.24 92.91) (width 0.635) (layer F.Cu) (net 3))
(segment (start 93.24 92.91) (end 93.23 92.92) (width 0.635) (layer F.Cu) (net 3))
(segment (start 76.51 93.13) (end 76.5 92.91) (width 0.254) (layer B.Cu) (net 3))
(segment (start 92.664315 92.92) (end 93.23 92.92) (width 0.635) (layer B.Cu) (net 3))
(segment (start 76.04 92.92) (end 92.664315 92.92) (width 0.635) (layer B.Cu) (net 3))
(segment (start 76.03 92.93) (end 76.04 92.92) (width 0.635) (layer B.Cu) (net 3))
(segment (start 93.24 92.91) (end 93.23 92.92) (width 0.635) (layer B.Cu) (net 3))
(segment (start 107.69 92.91) (end 93.24 92.91) (width 0.635) (layer B.Cu) (net 3))
(segment (start 89.57 61.25) (end 89.58 61.26) (width 0.254) (layer F.Cu) (net 3))
(segment (start 106.69 59.34) (end 106.64 59.29) (width 0.254) (layer F.Cu) (net 3))
(segment (start 109.28 59.34) (end 106.69 59.34) (width 0.254) (layer F.Cu) (net 3))
(segment (start 47.445 82.43) (end 47.39 82.485) (width 0.508) (layer F.Cu) (net 3))
(segment (start 88.54 75.9) (end 88.54 75.71) (width 0.381) (layer F.Cu) (net 3))
(segment (start 88.54 74.64) (end 88.55 74.63) (width 0.381) (layer F.Cu) (net 3))
(segment (start 88.55 74.63) (end 88.92 74.26) (width 0.381) (layer F.Cu) (net 3))
(segment (start 88.54 75.9) (end 88.54 74.64) (width 0.381) (layer F.Cu) (net 3))
(segment (start 88.36 58.76) (end 86.95 58.76) (width 0.254) (layer F.Cu) (net 3))
(segment (start 88.62 58.5) (end 88.36 58.76) (width 0.254) (layer F.Cu) (net 3))
(segment (start 88.61 58.49) (end 88.38 58.26) (width 0.254) (layer F.Cu) (net 3))
(segment (start 88.38 58.26) (end 86.95 58.26) (width 0.254) (layer F.Cu) (net 3))
(segment (start 88.42 74.76) (end 88.55 74.63) (width 0.254) (layer F.Cu) (net 3))
(segment (start 86.95 74.76) (end 88.42 74.76) (width 0.254) (layer F.Cu) (net 3))
(segment (start 88.54 75.2) (end 88.54 74.64) (width 0.381) (layer F.Cu) (net 3))
(segment (start 88.48 75.26) (end 88.54 75.2) (width 0.381) (layer F.Cu) (net 3))
(segment (start 86.95 75.26) (end 88.48 75.26) (width 0.254) (layer F.Cu) (net 3))
(segment (start 89.57 58.44) (end 89.57 61.25) (width 0.381) (layer F.Cu) (net 3))
(segment (start 88.61 58.49) (end 89.52 58.49) (width 0.254) (layer F.Cu) (net 3))
(segment (start 98.63 50.2) (end 104.44 50.2) (width 0.635) (layer F.Cu) (net 3))
(segment (start 90.34 58.49) (end 98.63 50.2) (width 0.635) (layer F.Cu) (net 3))
(segment (start 89.62 58.49) (end 90.34 58.49) (width 0.635) (layer F.Cu) (net 3))
(segment (start 124.2 72) (end 125.4 70.8) (width 0.381) (layer B.Cu) (net 3))
(segment (start 124.2 76.6) (end 124.2 72) (width 0.381) (layer B.Cu) (net 3))
(segment (start 123.9 76.9) (end 124.2 76.6) (width 0.381) (layer B.Cu) (net 3))
(segment (start 123.64 76.9) (end 123.9 76.9) (width 0.381) (layer B.Cu) (net 3))
(segment (start 125.4 71.9125) (end 125.5 72.0125) (width 0.254) (layer F.Cu) (net 3))
(segment (start 125.4 70.8) (end 125.4 71.9125) (width 0.254) (layer F.Cu) (net 3))
(segment (start 58.2875 60.2125) (end 61.5125 60.2125) (width 0.635) (layer F.Cu) (net 3))
(segment (start 75.65 73.15) (end 76.05 72.75) (width 0.381) (layer F.Cu) (net 3))
(segment (start 75.65 78.91) (end 75.65 73.15) (width 0.381) (layer F.Cu) (net 3))
(via (at 76.05 72.75) (size 0.45) (drill 0.3) (layers F.Cu B.Cu) (net 3))
(segment (start 86.94 71.75) (end 86.95 71.76) (width 0.254) (layer F.Cu) (net 3))
(segment (start 85.7 71.75) (end 86.94 71.75) (width 0.254) (layer F.Cu) (net 3))
(segment (start 77.635 74.365) (end 87.09 83.82) (width 0.635) (layer B.Cu) (net 3))
(segment (start 82.6 68.65) (end 82.6 71.05) (width 0.381) (layer F.Cu) (net 3))
(via (at 82.6 71.05) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 3))
(segment (start 78.46 75.19) (end 82.6 71.05) (width 0.381) (layer B.Cu) (net 3))
(segment (start 77.635 74.365) (end 78.46 75.19) (width 0.381) (layer B.Cu) (net 3))
(segment (start 59.9875 61.7375) (end 61.5125 60.2125) (width 0.381) (layer F.Cu) (net 3))
(segment (start 59.9875 62) (end 59.9875 61.7375) (width 0.381) (layer F.Cu) (net 3))
(segment (start 52.4 38.1) (end 52.4 38.1) (width 0.635) (layer B.Cu) (net 3) (tstamp 5FB99CF0))
(via (at 52.4 38.1) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 3))
(segment (start 52.4 40.415) (end 52.46 40.475) (width 0.508) (layer F.Cu) (net 3))
(segment (start 52.4 38.1) (end 52.4 40.415) (width 0.508) (layer F.Cu) (net 3))
(via (at 55.75201 60.35) (size 0.45) (drill 0.3) (layers F.Cu B.Cu) (net 3))
(segment (start 54.9875 62) (end 54.9875 61.11451) (width 0.254) (layer F.Cu) (net 3))
(segment (start 54.9875 61.11451) (end 55.75201 60.35) (width 0.254) (layer F.Cu) (net 3))
(segment (start 58.287507 60.212493) (end 55.889517 60.212493) (width 0.254) (layer B.Cu) (net 3))
(segment (start 55.889517 60.212493) (end 55.75201 60.35) (width 0.254) (layer B.Cu) (net 3))
(segment (start 63.3 40.3) (end 61.1 38.1) (width 0.635) (layer B.Cu) (net 3))
(segment (start 61.1 38.1) (end 52.4 38.1) (width 0.635) (layer B.Cu) (net 3))
(segment (start 63.3 55.2) (end 63.3 40.3) (width 0.635) (layer B.Cu) (net 3))
(segment (start 58.287507 60.212493) (end 63.3 55.2) (width 0.635) (layer B.Cu) (net 3))
(via (at 47.7 49.1) (size 0.45) (drill 0.3) (layers F.Cu B.Cu) (net 3))
(segment (start 47.4 62) (end 47.4 49.4) (width 0.254) (layer F.Cu) (net 3))
(segment (start 47.4 49.4) (end 47.7 49.1) (width 0.254) (layer F.Cu) (net 3))
(segment (start 47.45 62.05) (end 47.4 62) (width 0.254) (layer F.Cu) (net 3))
(segment (start 47.0125 62.05) (end 47.45 62.05) (width 0.254) (layer F.Cu) (net 3))
(segment (start 72.2 64.35) (end 65.2 64.35) (width 0.635) (layer B.Cu) (net 3))
(segment (start 74.027773 66.177773) (end 72.2 64.35) (width 0.635) (layer B.Cu) (net 3))
(segment (start 74.027773 70.757773) (end 74.027773 66.177773) (width 0.635) (layer B.Cu) (net 3))
(segment (start 65.2 64.35) (end 65.19 64.35) (width 0.635) (layer B.Cu) (net 3))
(segment (start 77.635 74.365) (end 74.027773 70.757773) (width 0.635) (layer B.Cu) (net 3))
(segment (start 61.5125 60.2125) (end 63.1125 60.2125) (width 0.635) (layer F.Cu) (net 3))
(segment (start 64.2375 61.3375) (end 64.2375 62) (width 0.635) (layer F.Cu) (net 3))
(segment (start 63.1125 60.2125) (end 64.2375 61.3375) (width 0.635) (layer F.Cu) (net 3))
(segment (start 65.19 62.9525) (end 64.2375 62) (width 0.635) (layer F.Cu) (net 3))
(segment (start 65.19 64.35) (end 65.19 62.9525) (width 0.635) (layer F.Cu) (net 3))
(via (at 46 85.6) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 3))
(segment (start 76.089802 92.93) (end 50.23 92.93) (width 0.635) (layer B.Cu) (net 3))
(segment (start 45.95 85.65) (end 46 85.6) (width 0.635) (layer B.Cu) (net 3))
(segment (start 45.95 88.65) (end 45.95 85.65) (width 0.635) (layer B.Cu) (net 3))
(segment (start 47.2875 85.6) (end 47.3 85.6125) (width 0.635) (layer F.Cu) (net 3))
(segment (start 46 85.6) (end 47.2875 85.6) (width 0.635) (layer F.Cu) (net 3))
(segment (start 49.05 87.1875) (end 49.05 87.75) (width 0.635) (layer F.Cu) (net 3))
(via (at 47.05 89.75) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 3))
(segment (start 49.05 87.75) (end 47.05 89.75) (width 0.635) (layer F.Cu) (net 3))
(segment (start 47.05 89.75) (end 45.95 88.65) (width 0.635) (layer B.Cu) (net 3))
(segment (start 50.23 92.93) (end 47.05 89.75) (width 0.635) (layer B.Cu) (net 3))
(segment (start 47.4 82.475) (end 47.445 82.43) (width 0.635) (layer F.Cu) (net 3))
(segment (start 47.4 85.5125) (end 47.4 82.475) (width 0.635) (layer F.Cu) (net 3))
(segment (start 47.3 85.6125) (end 47.4 85.5125) (width 0.635) (layer F.Cu) (net 3))
(segment (start 47.7 41) (end 47.7 49.1) (width 0.254) (layer B.Cu) (net 3))
(segment (start 50.6 38.1) (end 47.7 41) (width 0.254) (layer B.Cu) (net 3))
(segment (start 52.4 38.1) (end 50.6 38.1) (width 0.254) (layer B.Cu) (net 3))
(via (at 136.4 87.8) (size 0.45) (drill 0.3) (layers F.Cu B.Cu) (net 3))
(segment (start 107.69 92.91) (end 107.68 92.9) (width 0.254) (layer B.Cu) (net 3))
(segment (start 107.45 92.9) (end 107.8 93.25) (width 0.254) (layer B.Cu) (net 3))
(segment (start 107.68 92.9) (end 107.45 92.9) (width 0.254) (layer B.Cu) (net 3))
(segment (start 136.4 86.25) (end 136.25 86.1) (width 0.254) (layer F.Cu) (net 3))
(segment (start 136.4 87.8) (end 136.4 86.25) (width 0.381) (layer F.Cu) (net 3))
(segment (start 133.8 87.8) (end 136.4 87.8) (width 0.381) (layer B.Cu) (net 3))
(segment (start 128.35 93.25) (end 133.8 87.8) (width 0.381) (layer B.Cu) (net 3))
(segment (start 107.85 93.25) (end 128.35 93.25) (width 0.381) (layer B.Cu) (net 3))
(segment (start 107.5 92.9) (end 107.85 93.25) (width 0.381) (layer B.Cu) (net 3))
(segment (start 109.2625 47.612498) (end 109.2625 50.34) (width 0.508) (layer F.Cu) (net 3))
(segment (start 109.2625 45.1125) (end 109.2625 47.612498) (width 0.508) (layer F.Cu) (net 3))
(segment (start 109.2 45.05) (end 109.2625 45.1125) (width 0.508) (layer F.Cu) (net 3))
(via (at 86.7 79.600006) (size 0.45) (drill 0.3) (layers F.Cu B.Cu) (net 3))
(segment (start 88.54 75.9) (end 86.7 77.74) (width 0.381) (layer F.Cu) (net 3))
(segment (start 87.460454 83.82) (end 86.7 83.059546) (width 0.381) (layer B.Cu) (net 3))
(segment (start 86.7 77.74) (end 86.7 79.600006) (width 0.381) (layer F.Cu) (net 3))
(segment (start 86.7 83.059546) (end 86.7 79.600006) (width 0.381) (layer B.Cu) (net 3))
(segment (start 123.44 77.1) (end 123.64 76.9) (width 0.635) (layer F.Cu) (net 3))
(segment (start 122.4 77.1) (end 123.44 77.1) (width 0.635) (layer F.Cu) (net 3))
(segment (start 122.4 77.1) (end 122 77.1) (width 0.1524) (layer F.Cu) (net 3))
(segment (start 121.77 76.87) (end 121.77 76.18) (width 0.1524) (layer F.Cu) (net 3))
(segment (start 122 77.1) (end 121.77 76.87) (width 0.1524) (layer F.Cu) (net 3))
(segment (start 122.4 77.1) (end 122.4 76.9) (width 0.1524) (layer F.Cu) (net 3))
(segment (start 122.27 76.77) (end 122.27 76.18) (width 0.1524) (layer F.Cu) (net 3))
(segment (start 122.4 76.9) (end 122.27 76.77) (width 0.1524) (layer F.Cu) (net 3))
(segment (start 119.6 77.04) (end 119.6 77.65) (width 0.635) (layer B.Cu) (net 3))
(segment (start 119.64 77) (end 119.6 77.04) (width 0.635) (layer B.Cu) (net 3))
(segment (start 123.54 77) (end 119.64 77) (width 0.635) (layer B.Cu) (net 3))
(segment (start 123.64 76.9) (end 123.54 77) (width 0.635) (layer B.Cu) (net 3))
(segment (start 119.6 77.65) (end 119.6 77.1) (width 0.635) (layer B.Cu) (net 3))
(segment (start 111.35 89.25) (end 107.69 92.91) (width 0.635) (layer B.Cu) (net 3))
(segment (start 112.895 77) (end 111.35 78.545) (width 0.635) (layer B.Cu) (net 3))
(segment (start 111.35 78.545) (end 111.35 89.25) (width 0.635) (layer B.Cu) (net 3))
(segment (start 119.8 77) (end 112.895 77) (width 0.635) (layer B.Cu) (net 3))
(segment (start 85.05 71.1) (end 85.7 71.75) (width 0.381) (layer F.Cu) (net 3))
(segment (start 82.65 71.1) (end 85.05 71.1) (width 0.381) (layer F.Cu) (net 3))
(segment (start 82.6 71.05) (end 82.65 71.1) (width 0.381) (layer F.Cu) (net 3))
(segment (start 99.6 81.4) (end 99.6 88.92) (width 0.381) (layer F.Cu) (net 4))
(segment (start 99.66 88.98) (end 90.77 88.98) (width 0.381) (layer B.Cu) (net 4))
(segment (start 99.6 88.92) (end 99.66 88.98) (width 0.254) (layer F.Cu) (net 4))
(segment (start 90.77 88.98) (end 90.74 88.95) (width 0.254) (layer B.Cu) (net 4))
(via (at 90.74 88.95) (size 0.45) (drill 0.3) (layers F.Cu B.Cu) (net 4))
(via (at 99.66 88.98) (size 0.45) (drill 0.3) (layers F.Cu B.Cu) (net 4))
(segment (start 41.88 44.5) (end 41.93 44.55) (width 0.254) (layer F.Cu) (net 4))
(segment (start 48.92 71.2) (end 48.26 70.54) (width 0.381) (layer F.Cu) (net 4))
(segment (start 48.92 82.225) (end 48.92 71.2) (width 0.381) (layer F.Cu) (net 4))
(segment (start 48.715 82.43) (end 48.92 82.225) (width 0.381) (layer F.Cu) (net 4))
(segment (start 48.715 82.43) (end 48.63 82.155) (width 0.381) (layer F.Cu) (net 4))
(segment (start 51.15 92.4) (end 49.1125 90.3625) (width 0.381) (layer F.Cu) (net 4))
(segment (start 87.29 92.4) (end 51.15 92.4) (width 0.381) (layer F.Cu) (net 4))
(segment (start 90.74 88.95) (end 87.29 92.4) (width 0.381) (layer F.Cu) (net 4))
(segment (start 49.05 85.6125) (end 50.8 85.6125) (width 0.635) (layer F.Cu) (net 4))
(segment (start 49.05 85.6125) (end 49.05 84.75) (width 0.635) (layer F.Cu) (net 4))
(segment (start 48.715 84.415) (end 48.715 82.43) (width 0.635) (layer F.Cu) (net 4))
(segment (start 49.05 84.75) (end 48.715 84.415) (width 0.635) (layer F.Cu) (net 4))
(via (at 49.1 89.8) (size 0.45) (drill 0.3) (layers F.Cu B.Cu) (net 4))
(segment (start 49.1125 89.8125) (end 49.1 89.8) (width 0.381) (layer F.Cu) (net 4))
(segment (start 49.1125 90.3625) (end 49.1125 89.8125) (width 0.381) (layer F.Cu) (net 4))
(via (at 48.715 84.415) (size 0.45) (drill 0.3) (layers F.Cu B.Cu) (net 4))
(segment (start 49.1 84.8) (end 48.715 84.415) (width 0.381) (layer B.Cu) (net 4))
(segment (start 49.1 89.8) (end 49.1 84.8) (width 0.381) (layer B.Cu) (net 4))
(segment (start 40 44.5) (end 41.88 44.5) (width 0.381) (layer F.Cu) (net 4))
(segment (start 39.15 45.35) (end 40 44.5) (width 0.381) (layer F.Cu) (net 4))
(segment (start 39.15 59.36) (end 39.15 45.35) (width 0.381) (layer F.Cu) (net 4))
(segment (start 44.93 61.54) (end 43.38 59.99) (width 0.381) (layer F.Cu) (net 4))
(segment (start 43.38 59.99) (end 39.78 59.99) (width 0.381) (layer F.Cu) (net 4))
(segment (start 39.78 59.99) (end 39.15 59.36) (width 0.381) (layer F.Cu) (net 4))
(segment (start 44.93 67.23) (end 44.93 61.54) (width 0.381) (layer F.Cu) (net 4))
(segment (start 48.215 70.515) (end 44.93 67.23) (width 0.381) (layer F.Cu) (net 4))
(segment (start 111.48 89.96) (end 109.97 91.47) (width 0.381) (layer F.Cu) (net 5))
(segment (start 109.97 91.47) (end 106.39 91.47) (width 0.381) (layer F.Cu) (net 5))
(via (at 106.39 91.47) (size 0.45) (drill 0.3) (layers F.Cu B.Cu) (net 5))
(segment (start 111.48 86.67) (end 111.48 89.96) (width 0.381) (layer F.Cu) (net 5))
(segment (start 111.48 82.8125) (end 110.5875 81.92) (width 0.254) (layer F.Cu) (net 5))
(segment (start 111.48 86.67) (end 111.48 82.8125) (width 0.254) (layer F.Cu) (net 5))
(segment (start 112.7 85.45) (end 111.48 86.67) (width 0.635) (layer F.Cu) (net 5))
(segment (start 115.16 85.45) (end 112.7 85.45) (width 0.635) (layer F.Cu) (net 5))
(segment (start 116.53 86.82) (end 115.16 85.45) (width 0.635) (layer F.Cu) (net 5))
(via (at 100.600008 88.1) (size 0.45) (drill 0.3) (layers F.Cu B.Cu) (net 5))
(segment (start 101.36 88.09) (end 100.610008 88.09) (width 0.254) (layer B.Cu) (net 5))
(segment (start 106.39 89.2) (end 105.29 88.1) (width 0.381) (layer B.Cu) (net 5))
(segment (start 105.29 88.1) (end 100.600008 88.1) (width 0.381) (layer B.Cu) (net 5))
(segment (start 100.610008 88.09) (end 100.600008 88.1) (width 0.254) (layer B.Cu) (net 5))
(segment (start 106.39 91.47) (end 106.39 89.2) (width 0.381) (layer B.Cu) (net 5))
(segment (start 100.600008 85.299992) (end 100.600008 88.1) (width 0.381) (layer F.Cu) (net 5))
(segment (start 102.35 83.55) (end 100.600008 85.299992) (width 0.381) (layer F.Cu) (net 5))
(segment (start 104.6 83.55) (end 102.35 83.55) (width 0.381) (layer F.Cu) (net 5))
(via (at 91.8 88.1) (size 0.45) (drill 0.3) (layers F.Cu B.Cu) (net 5))
(segment (start 100.600008 88.1) (end 91.8 88.1) (width 0.381) (layer B.Cu) (net 5))
(segment (start 86.7 91.5) (end 90.1 88.1) (width 0.381) (layer F.Cu) (net 5))
(segment (start 90.1 88.1) (end 91.8 88.1) (width 0.381) (layer F.Cu) (net 5))
(segment (start 58.5625 91.5) (end 86.7 91.5) (width 0.381) (layer F.Cu) (net 5))
(segment (start 54.25 87.1875) (end 58.5625 91.5) (width 0.381) (layer F.Cu) (net 5))
(segment (start 109.8825 92.96) (end 102.82 92.96) (width 0.381) (layer F.Cu) (net 6))
(segment (start 101.9 91.65) (end 101.9 92.4) (width 0.381) (layer F.Cu) (net 6))
(segment (start 102.46 92.96) (end 102.82 92.96) (width 0.381) (layer F.Cu) (net 6))
(segment (start 101.9 92.4) (end 102.46 92.96) (width 0.381) (layer F.Cu) (net 6))
(segment (start 85.653486 59.76) (end 86.95 59.76) (width 0.254) (layer F.Cu) (net 8))
(segment (start 84.42 59.76) (end 85.653486 59.76) (width 0.254) (layer F.Cu) (net 8))
(segment (start 81.84 59.76) (end 84.42 59.76) (width 0.381) (layer F.Cu) (net 8))
(segment (start 72.045 69.555) (end 81.84 59.76) (width 0.381) (layer F.Cu) (net 8))
(segment (start 63.255 69.555) (end 72.045 69.555) (width 0.381) (layer F.Cu) (net 8))
(segment (start 63.27 68.3) (end 63.255 68.285) (width 0.254) (layer F.Cu) (net 9))
(via (at 84.83 60.32) (size 0.45) (drill 0.3) (layers F.Cu B.Cu) (net 9))
(segment (start 86.89 60.32) (end 86.95 60.26) (width 0.254) (layer F.Cu) (net 9))
(segment (start 84.83 60.32) (end 86.89 60.32) (width 0.254) (layer F.Cu) (net 9))
(segment (start 84.83 60.32) (end 82.34 60.32) (width 0.381) (layer B.Cu) (net 9))
(segment (start 71.4 68.3) (end 79.175001 60.524999) (width 0.381) (layer F.Cu) (net 9))
(segment (start 79.175001 60.524999) (end 79.4 60.3) (width 0.381) (layer F.Cu) (net 9))
(segment (start 63.27 68.3) (end 71.4 68.3) (width 0.381) (layer F.Cu) (net 9))
(segment (start 79.43 60.33) (end 79.4 60.3) (width 0.254) (layer B.Cu) (net 9))
(segment (start 82.33 60.33) (end 79.43 60.33) (width 0.381) (layer B.Cu) (net 9))
(via (at 79.4 60.3) (size 0.45) (drill 0.3) (layers F.Cu B.Cu) (net 9))
(segment (start 82.34 60.32) (end 82.33 60.33) (width 0.254) (layer B.Cu) (net 9))
(via (at 57.3 67.3) (size 0.45) (drill 0.3) (layers F.Cu B.Cu) (net 10))
(segment (start 56.88 67.3) (end 57.3 67.3) (width 0.381) (layer F.Cu) (net 10))
(segment (start 56.335 66.755) (end 56.88 67.3) (width 0.381) (layer F.Cu) (net 10))
(segment (start 56.335 65.43) (end 56.335 66.755) (width 0.381) (layer F.Cu) (net 10))
(segment (start 74.55 78.91) (end 74.55 72.833292) (width 0.381) (layer F.Cu) (net 10))
(segment (start 74.55 72.833292) (end 76.18449 71.198802) (width 0.381) (layer F.Cu) (net 10))
(via (at 76.18449 71.198802) (size 0.45) (drill 0.3) (layers F.Cu B.Cu) (net 10))
(via (at 55.55 67.15) (size 0.45) (drill 0.3) (layers F.Cu B.Cu) (net 10))
(segment (start 55.95 67.15) (end 55.55 67.15) (width 0.254) (layer F.Cu) (net 10))
(segment (start 56.335 66.765) (end 55.95 67.15) (width 0.254) (layer F.Cu) (net 10))
(segment (start 56.335 65.43) (end 56.335 66.765) (width 0.254) (layer F.Cu) (net 10))
(segment (start 57.3 64.66) (end 60.86 61.1) (width 0.381) (layer B.Cu) (net 10))
(segment (start 57.3 67.3) (end 57.3 64.66) (width 0.381) (layer B.Cu) (net 10))
(segment (start 60.86 61.1) (end 81.149998 61.1) (width 0.381) (layer B.Cu) (net 10))
(via (at 84.35 61.2) (size 0.45) (drill 0.3) (layers F.Cu B.Cu) (net 10))
(segment (start 84.25 61.1) (end 84.35 61.2) (width 0.381) (layer B.Cu) (net 10))
(segment (start 84.668198 61.2) (end 84.35 61.2) (width 0.254) (layer F.Cu) (net 10))
(segment (start 85.108198 60.76) (end 84.668198 61.2) (width 0.254) (layer F.Cu) (net 10))
(segment (start 86.95 60.76) (end 85.108198 60.76) (width 0.254) (layer F.Cu) (net 10))
(segment (start 81.149998 61.1) (end 84.25 61.1) (width 0.381) (layer B.Cu) (net 10))
(segment (start 55 40.475) (end 56.250002 41.725002) (width 0.254) (layer F.Cu) (net 10))
(via (at 56.250002 47.85) (size 0.45) (drill 0.3) (layers F.Cu B.Cu) (net 10))
(segment (start 56.250002 41.725002) (end 56.250002 47.85) (width 0.254) (layer F.Cu) (net 10))
(segment (start 56.3 58) (end 56.3 47.899998) (width 0.254) (layer B.Cu) (net 10))
(segment (start 55.125001 59.174999) (end 56.3 58) (width 0.254) (layer B.Cu) (net 10))
(segment (start 55.125001 66.725001) (end 55.125001 59.174999) (width 0.254) (layer B.Cu) (net 10))
(segment (start 56.3 47.899998) (end 56.250002 47.85) (width 0.254) (layer B.Cu) (net 10))
(segment (start 55.55 67.15) (end 55.125001 66.725001) (width 0.254) (layer B.Cu) (net 10))
(via (at 79.05 64.55) (size 0.45) (drill 0.3) (layers F.Cu B.Cu) (net 10))
(segment (start 82.4 61.2) (end 79.05 64.55) (width 0.381) (layer F.Cu) (net 10))
(segment (start 76.18449 71.198802) (end 76.18449 67.41551) (width 0.381) (layer B.Cu) (net 10))
(segment (start 84.35 61.2) (end 82.4 61.2) (width 0.381) (layer F.Cu) (net 10))
(segment (start 76.18449 67.41551) (end 79.05 64.55) (width 0.381) (layer B.Cu) (net 10))
(via (at 58.9 68.8) (size 0.45) (drill 0.3) (layers F.Cu B.Cu) (net 11))
(via (at 85.3 61.959998) (size 0.45) (drill 0.3) (layers F.Cu B.Cu) (net 11))
(segment (start 85.499998 61.76) (end 85.3 61.959998) (width 0.254) (layer F.Cu) (net 11))
(segment (start 86.95 61.76) (end 85.499998 61.76) (width 0.254) (layer F.Cu) (net 11))
(segment (start 85.289998 61.97) (end 85.3 61.959998) (width 0.254) (layer B.Cu) (net 11))
(segment (start 85.3 61.959998) (end 85.3 61.96) (width 0.254) (layer B.Cu) (net 11))
(segment (start 77.62 61.95) (end 77.6 61.97) (width 0.381) (layer B.Cu) (net 11))
(segment (start 85.269998 61.95) (end 77.62 61.95) (width 0.381) (layer B.Cu) (net 11))
(segment (start 85.289998 61.97) (end 85.269998 61.95) (width 0.381) (layer B.Cu) (net 11))
(via (at 77.549998 69.75) (size 0.45) (drill 0.3) (layers F.Cu B.Cu) (net 11))
(segment (start 85.3 61.96) (end 77.549998 69.710002) (width 0.381) (layer B.Cu) (net 11))
(segment (start 77.549998 69.710002) (end 77.549998 69.75) (width 0.381) (layer B.Cu) (net 11))
(segment (start 77.2 70.099998) (end 77.549998 69.75) (width 0.381) (layer F.Cu) (net 11))
(segment (start 77.2 74.9) (end 77.2 70.099998) (width 0.381) (layer F.Cu) (net 11))
(segment (start 76.75 75.35) (end 77.2 74.9) (width 0.381) (layer F.Cu) (net 11))
(segment (start 76.75 78.91) (end 76.75 75.35) (width 0.381) (layer F.Cu) (net 11))
(segment (start 58.675001 69.024999) (end 58.9 68.8) (width 0.254) (layer F.Cu) (net 11))
(segment (start 47.015 65.5) (end 47 65.515) (width 0.254) (layer F.Cu) (net 11))
(segment (start 57.8 69.9) (end 58.675001 69.024999) (width 0.254) (layer F.Cu) (net 11))
(segment (start 49.56 69.9) (end 54.4 69.9) (width 0.254) (layer F.Cu) (net 11))
(segment (start 47 67.34) (end 49.56 69.9) (width 0.254) (layer F.Cu) (net 11))
(segment (start 47 65.515) (end 47 67.34) (width 0.254) (layer F.Cu) (net 11))
(segment (start 54.4 69.9) (end 57.8 69.9) (width 0.254) (layer F.Cu) (net 11) (tstamp 5FB9DB1F))
(via (at 54.4 69.9) (size 0.45) (drill 0.3) (layers F.Cu B.Cu) (net 11))
(via (at 60 42.9) (size 0.45) (drill 0.3) (layers F.Cu B.Cu) (net 11))
(segment (start 60 40.555) (end 60.08 40.475) (width 0.254) (layer F.Cu) (net 11))
(segment (start 60 42.9) (end 60 40.555) (width 0.254) (layer F.Cu) (net 11))
(segment (start 75.13 61.97) (end 77.6 61.97) (width 0.381) (layer B.Cu) (net 11))
(segment (start 63.36 61.97) (end 75.13 61.97) (width 0.381) (layer B.Cu) (net 11))
(segment (start 58.9 66.43) (end 63.36 61.97) (width 0.381) (layer B.Cu) (net 11))
(segment (start 58.9 68.8) (end 58.9 66.43) (width 0.381) (layer B.Cu) (net 11))
(segment (start 54.4 69.9) (end 54.4 68.6) (width 0.254) (layer B.Cu) (net 11))
(segment (start 52.9 67.1) (end 52.9 44.9) (width 0.254) (layer B.Cu) (net 11))
(segment (start 54.95 42.9) (end 60 42.9) (width 0.254) (layer B.Cu) (net 11))
(segment (start 54.9 42.9) (end 54.95 42.9) (width 0.254) (layer B.Cu) (net 11))
(segment (start 54.4 68.6) (end 52.9 67.1) (width 0.254) (layer B.Cu) (net 11))
(segment (start 52.9 44.9) (end 54.9 42.9) (width 0.254) (layer B.Cu) (net 11))
(via (at 82.810002 62.76) (size 0.45) (drill 0.3) (layers F.Cu B.Cu) (net 13))
(segment (start 86.95 62.76) (end 82.810002 62.76) (width 0.254) (layer F.Cu) (net 13))
(via (at 59.930409 68.030409) (size 0.45) (drill 0.3) (layers F.Cu B.Cu) (net 13))
(segment (start 59.930409 67.849591) (end 59.930409 68.030409) (width 0.254) (layer F.Cu) (net 13))
(segment (start 61.415 66.365) (end 59.930409 67.849591) (width 0.381) (layer F.Cu) (net 13))
(segment (start 61.415 65.43) (end 61.415 66.365) (width 0.381) (layer F.Cu) (net 13))
(segment (start 59.930409 67.299591) (end 59.930409 68.030409) (width 0.381) (layer B.Cu) (net 13))
(segment (start 64.36 62.87) (end 59.930409 67.299591) (width 0.381) (layer B.Cu) (net 13))
(segment (start 82.700002 62.87) (end 64.36 62.87) (width 0.381) (layer B.Cu) (net 13))
(segment (start 82.810002 62.76) (end 82.700002 62.87) (width 0.381) (layer B.Cu) (net 13))
(segment (start 77.1 68.65) (end 80 68.65) (width 0.381) (layer F.Cu) (net 14))
(segment (start 67.1 78.65) (end 77.1 68.65) (width 0.381) (layer F.Cu) (net 14))
(segment (start 67.1 81.5) (end 67.1 78.65) (width 0.381) (layer F.Cu) (net 14))
(segment (start 62.75 85.85) (end 67.1 81.5) (width 0.381) (layer F.Cu) (net 14))
(segment (start 56.85 85.85) (end 62.75 85.85) (width 0.381) (layer F.Cu) (net 14))
(segment (start 55.065 84.065) (end 56.85 85.85) (width 0.381) (layer F.Cu) (net 14))
(segment (start 55.065 82.43) (end 55.065 84.065) (width 0.381) (layer F.Cu) (net 14))
(via (at 101.2 52.9) (size 0.45) (drill 0.3) (layers F.Cu B.Cu) (net 15))
(segment (start 109.33 57.82) (end 109.07 57.82) (width 0.254) (layer F.Cu) (net 15))
(segment (start 109.33 57.82) (end 107.82 57.82) (width 0.254) (layer F.Cu) (net 15))
(via (at 59.7 80.19) (size 0.45) (drill 0.3) (layers F.Cu B.Cu) (net 15))
(segment (start 59.715 80.205) (end 59.7 80.19) (width 0.254) (layer F.Cu) (net 15))
(segment (start 105.3 52.9) (end 101.2 52.9) (width 0.381) (layer F.Cu) (net 15))
(segment (start 106.94 54.54) (end 105.3 52.9) (width 0.381) (layer F.Cu) (net 15))
(segment (start 106.94 56.94) (end 106.94 54.54) (width 0.381) (layer F.Cu) (net 15))
(segment (start 107.82 57.82) (end 106.94 56.94) (width 0.381) (layer F.Cu) (net 15))
(segment (start 60.19 80.68) (end 59.715 80.205) (width 0.381) (layer F.Cu) (net 15))
(segment (start 60.19 82.385) (end 60.19 80.68) (width 0.381) (layer F.Cu) (net 15))
(segment (start 60.145 82.43) (end 60.19 82.385) (width 0.381) (layer F.Cu) (net 15))
(segment (start 61.3 59.45) (end 56.4 64.35) (width 0.381) (layer B.Cu) (net 15))
(segment (start 101.2 52.9) (end 98.65 52.9) (width 0.381) (layer B.Cu) (net 15))
(segment (start 92.1 59.45) (end 61.3 59.45) (width 0.381) (layer B.Cu) (net 15))
(segment (start 98.65 52.9) (end 92.1 59.45) (width 0.381) (layer B.Cu) (net 15))
(segment (start 59.7 71) (end 59.7 80.19) (width 0.381) (layer B.Cu) (net 15))
(segment (start 56.4 67.7) (end 59.7 71) (width 0.381) (layer B.Cu) (net 15))
(segment (start 56.4 64.35) (end 56.4 67.7) (width 0.381) (layer B.Cu) (net 15))
(segment (start 130.93 78.25) (end 130.88 78.3) (width 0.254) (layer F.Cu) (net 16))
(segment (start 130.93 79.25) (end 128.6 79.25) (width 0.254) (layer F.Cu) (net 16))
(via (at 128.6 79.25) (size 0.45) (drill 0.3) (layers F.Cu B.Cu) (net 16))
(segment (start 128.6 78.28) (end 128.605 78.275) (width 0.254) (layer B.Cu) (net 16))
(segment (start 128.6 79.25) (end 128.6 78.28) (width 0.254) (layer B.Cu) (net 16))
(via (at 128.605 78.275) (size 0.45) (drill 0.3) (layers F.Cu B.Cu) (net 16))
(segment (start 121.27 76.58) (end 121.27 76.18) (width 0.2) (layer F.Cu) (net 16))
(segment (start 121.27 77.4518) (end 121.27 76.58) (width 0.2) (layer F.Cu) (net 16))
(segment (start 122.0682 78.25) (end 121.27 77.4518) (width 0.2) (layer F.Cu) (net 16))
(segment (start 130.93 78.25) (end 122.0682 78.25) (width 0.2) (layer F.Cu) (net 16))
(segment (start 130.93 78.75) (end 130.88 78.8) (width 0.254) (layer F.Cu) (net 17))
(via (at 129.5 77.7) (size 0.45) (drill 0.3) (layers F.Cu B.Cu) (net 17))
(segment (start 129.6 77.7) (end 129.5 77.7) (width 0.254) (layer F.Cu) (net 17))
(via (at 129.5 78.74799) (size 0.45) (drill 0.3) (layers F.Cu B.Cu) (net 17))
(segment (start 129.5 77.7) (end 129.5 78.74799) (width 0.2) (layer B.Cu) (net 17))
(segment (start 120.77 76.58) (end 120.77 76.18) (width 0.2) (layer F.Cu) (net 17))
(segment (start 130.93 78.75) (end 121.9318 78.75) (width 0.2) (layer F.Cu) (net 17))
(segment (start 121.9318 78.75) (end 120.77 77.5882) (width 0.2) (layer F.Cu) (net 17))
(segment (start 120.77 77.5882) (end 120.77 76.58) (width 0.2) (layer F.Cu) (net 17))
(segment (start 129.55 77.75) (end 129.5 77.7) (width 0.2) (layer F.Cu) (net 17))
(segment (start 130.93 77.75) (end 129.55 77.75) (width 0.2) (layer F.Cu) (net 17))
(segment (start 57.605 62.8075) (end 58.4125 62) (width 0.381) (layer F.Cu) (net 18))
(segment (start 57.605 65.43) (end 57.605 62.8075) (width 0.381) (layer F.Cu) (net 18))
(via (at 57.9 71.930002) (size 0.45) (drill 0.3) (layers F.Cu B.Cu) (net 19))
(segment (start 57.9 76.55) (end 57.9 71.930002) (width 0.381) (layer F.Cu) (net 19))
(segment (start 62.685 81.335) (end 57.9 76.55) (width 0.381) (layer F.Cu) (net 19))
(segment (start 62.685 82.43) (end 62.685 81.335) (width 0.381) (layer F.Cu) (net 19))
(via (at 53.7 47.85) (size 0.45) (drill 0.3) (layers F.Cu B.Cu) (net 19))
(segment (start 55 45.525) (end 55 47.354) (width 0.254) (layer F.Cu) (net 19))
(segment (start 55 47.354) (end 54.504 47.85) (width 0.254) (layer F.Cu) (net 19))
(segment (start 54.504 47.85) (end 53.7 47.85) (width 0.254) (layer F.Cu) (net 19))
(segment (start 53.7 66.95) (end 53.7 47.85) (width 0.254) (layer B.Cu) (net 19))
(segment (start 57.9 71.930002) (end 57.9 71.15) (width 0.254) (layer B.Cu) (net 19))
(segment (start 57.9 71.15) (end 53.7 66.95) (width 0.254) (layer B.Cu) (net 19))
(segment (start 62.001 78.445) (end 58.875 75.319) (width 0.381) (layer F.Cu) (net 20))
(segment (start 63.255 78.445) (end 62.001 78.445) (width 0.381) (layer F.Cu) (net 20))
(segment (start 58.875 75.319) (end 58.875 71.275) (width 0.381) (layer F.Cu) (net 20))
(via (at 58.875 71.275) (size 0.45) (drill 0.3) (layers F.Cu B.Cu) (net 20))
(via (at 55.25 50.35) (size 0.45) (drill 0.3) (layers F.Cu B.Cu) (net 20))
(segment (start 57.54 48.06) (end 55.25 50.35) (width 0.254) (layer F.Cu) (net 20))
(segment (start 58.875 71.275) (end 54.524999 66.924999) (width 0.254) (layer B.Cu) (net 20))
(segment (start 54.524999 66.924999) (end 54.524999 51.075001) (width 0.254) (layer B.Cu) (net 20))
(segment (start 57.54 45.525) (end 57.54 48.06) (width 0.254) (layer F.Cu) (net 20))
(segment (start 54.524999 51.075001) (end 55.25 50.35) (width 0.254) (layer B.Cu) (net 20))
(via (at 53.1 69.15) (size 0.45) (drill 0.3) (layers F.Cu B.Cu) (net 21))
(segment (start 60.145 66.684) (end 57.679 69.15) (width 0.254) (layer F.Cu) (net 21))
(segment (start 60.145 65.43) (end 60.145 66.684) (width 0.254) (layer F.Cu) (net 21))
(segment (start 57.679 69.15) (end 53.1 69.15) (width 0.254) (layer F.Cu) (net 21))
(via (at 50.8 52.3) (size 0.45) (drill 0.3) (layers F.Cu B.Cu) (net 21))
(segment (start 53.1 69.15) (end 50.8 66.85) (width 0.254) (layer B.Cu) (net 21))
(segment (start 50.8 66.85) (end 50.8 52.3) (width 0.254) (layer B.Cu) (net 21))
(segment (start 51.35 52.85) (end 50.8 52.3) (width 0.254) (layer F.Cu) (net 21))
(segment (start 59.25 52.85) (end 51.35 52.85) (width 0.254) (layer F.Cu) (net 21))
(segment (start 62.62 49.48) (end 59.25 52.85) (width 0.254) (layer F.Cu) (net 21))
(segment (start 62.62 45.525) (end 62.62 49.48) (width 0.254) (layer F.Cu) (net 21))
(via (at 55.905 80.235) (size 0.45) (drill 0.3) (layers F.Cu B.Cu) (net 22))
(segment (start 56.31 80.64) (end 55.905 80.235) (width 0.381) (layer F.Cu) (net 22))
(segment (start 56.31 82.405) (end 56.31 80.64) (width 0.381) (layer F.Cu) (net 22))
(segment (start 56.335 82.43) (end 56.31 82.405) (width 0.381) (layer F.Cu) (net 22))
(via (at 47.45 37.9) (size 0.45) (drill 0.3) (layers F.Cu B.Cu) (net 22))
(segment (start 47.45 40.405) (end 47.38 40.475) (width 0.381) (layer F.Cu) (net 22))
(segment (start 47.45 37.9) (end 47.45 40.405) (width 0.381) (layer F.Cu) (net 22))
(segment (start 47 37.9) (end 47.45 37.9) (width 0.254) (layer B.Cu) (net 22))
(segment (start 46.3 38.6) (end 47 37.9) (width 0.254) (layer B.Cu) (net 22))
(segment (start 46.3 55.35) (end 46.3 38.6) (width 0.254) (layer B.Cu) (net 22))
(segment (start 55.905 80.235) (end 55.905 74.905) (width 0.254) (layer B.Cu) (net 22))
(segment (start 47.45 66.45) (end 47.45 56.5) (width 0.254) (layer B.Cu) (net 22))
(segment (start 47.45 56.5) (end 46.3 55.35) (width 0.254) (layer B.Cu) (net 22))
(segment (start 55.905 74.905) (end 47.45 66.45) (width 0.254) (layer B.Cu) (net 22))
(via (at 52.1 80.22) (size 0.45) (drill 0.3) (layers F.Cu B.Cu) (net 23))
(segment (start 52.095 80.225) (end 52.1 80.22) (width 0.254) (layer F.Cu) (net 23))
(segment (start 52.48 80.61) (end 52.095 80.225) (width 0.381) (layer F.Cu) (net 23))
(segment (start 52.48 82.385) (end 52.48 80.61) (width 0.381) (layer F.Cu) (net 23))
(segment (start 52.525 82.43) (end 52.48 82.385) (width 0.381) (layer F.Cu) (net 23))
(via (at 46.55 57.65) (size 0.45) (drill 0.3) (layers F.Cu B.Cu) (net 23))
(segment (start 46.55 46.355) (end 47.38 45.525) (width 0.381) (layer F.Cu) (net 23))
(segment (start 46.55 57.65) (end 46.55 46.355) (width 0.381) (layer F.Cu) (net 23))
(segment (start 46.55 66.45) (end 46.55 57.65) (width 0.254) (layer B.Cu) (net 23))
(segment (start 52.1 72) (end 46.55 66.45) (width 0.254) (layer B.Cu) (net 23))
(segment (start 52.1 80.22) (end 52.1 72) (width 0.254) (layer B.Cu) (net 23))
(segment (start 111.2 45.05) (end 111.2 43.3) (width 0.254) (layer F.Cu) (net 24))
(segment (start 111.2 47.66) (end 111.19 47.67) (width 0.254) (layer F.Cu) (net 24))
(via (at 111.19 47.67) (size 0.45) (drill 0.3) (layers F.Cu B.Cu) (net 24))
(segment (start 111.2 45.05) (end 111.2 47.66) (width 0.381) (layer F.Cu) (net 24))
(segment (start 111.19 49.9875) (end 111.19 47.67) (width 0.381) (layer F.Cu) (net 24))
(segment (start 110.8375 50.34) (end 111.19 49.9875) (width 0.254) (layer F.Cu) (net 24))
(segment (start 111.19 47.67) (end 111.19 55.32) (width 0.381) (layer B.Cu) (net 24))
(segment (start 107.87 58.82) (end 107.78 58.73) (width 0.254) (layer F.Cu) (net 24))
(segment (start 109.33 58.82) (end 107.87 58.82) (width 0.254) (layer F.Cu) (net 24))
(segment (start 111.19 55.32) (end 107.78 58.73) (width 0.381) (layer B.Cu) (net 24))
(via (at 107.78 58.73) (size 0.45) (drill 0.3) (layers F.Cu B.Cu) (net 24))
(via (at 52.15 63.45) (size 0.45) (drill 0.3) (layers F.Cu B.Cu) (net 24))
(segment (start 52.525 65.43) (end 52.525 63.825) (width 0.381) (layer F.Cu) (net 24))
(segment (start 52.525 63.825) (end 52.15 63.45) (width 0.381) (layer F.Cu) (net 24))
(segment (start 52.15 62.65) (end 52.15 63.45) (width 0.254) (layer F.Cu) (net 24))
(segment (start 52.8 62) (end 52.15 62.65) (width 0.254) (layer F.Cu) (net 24))
(segment (start 53.4125 62) (end 52.8 62) (width 0.254) (layer F.Cu) (net 24))
(segment (start 49.92 45.525) (end 49.92 47.82) (width 0.254) (layer F.Cu) (net 24))
(segment (start 52.374999 50.274999) (end 50 47.9) (width 0.254) (layer B.Cu) (net 24))
(segment (start 52.15 63.45) (end 52.374999 63.225001) (width 0.254) (layer B.Cu) (net 24))
(via (at 50 47.9) (size 0.45) (drill 0.3) (layers F.Cu B.Cu) (net 24))
(segment (start 49.92 47.82) (end 50 47.9) (width 0.254) (layer F.Cu) (net 24))
(via (at 52.05 56.550004) (size 0.45) (drill 0.3) (layers F.Cu B.Cu) (net 24))
(segment (start 52.05 56.550004) (end 52.200004 56.550004) (width 0.254) (layer B.Cu) (net 24))
(segment (start 52.200004 56.550004) (end 52.374999 56.724999) (width 0.254) (layer B.Cu) (net 24))
(segment (start 52.374999 56.724999) (end 52.374999 50.274999) (width 0.254) (layer B.Cu) (net 24))
(segment (start 52.374999 63.225001) (end 52.374999 56.724999) (width 0.254) (layer B.Cu) (net 24))
(segment (start 52.825003 55.775001) (end 52.05 56.550004) (width 0.381) (layer F.Cu) (net 24))
(segment (start 111.2 42.7) (end 110.9 42.4) (width 0.381) (layer F.Cu) (net 24))
(segment (start 84.224999 55.775001) (end 52.825003 55.775001) (width 0.381) (layer F.Cu) (net 24))
(segment (start 97.6 42.4) (end 84.224999 55.775001) (width 0.381) (layer F.Cu) (net 24))
(segment (start 110.9 42.4) (end 97.6 42.4) (width 0.381) (layer F.Cu) (net 24))
(segment (start 111.2 43.3) (end 111.2 42.7) (width 0.381) (layer F.Cu) (net 24))
(segment (start 113.2 43.3) (end 113.2 45.05) (width 0.254) (layer F.Cu) (net 25))
(segment (start 110.62 52.14) (end 110.86 51.9) (width 0.254) (layer F.Cu) (net 25))
(segment (start 113.33 45.18) (end 113.2 45.05) (width 0.254) (layer F.Cu) (net 25))
(segment (start 111.88 51.87) (end 113.33 50.42) (width 0.381) (layer F.Cu) (net 25))
(segment (start 113.33 50.42) (end 113.33 45.18) (width 0.381) (layer F.Cu) (net 25))
(segment (start 110.8575 51.87) (end 111.88 51.87) (width 0.381) (layer F.Cu) (net 25))
(segment (start 110.62 57.96) (end 110.62 52.14) (width 0.381) (layer F.Cu) (net 25))
(segment (start 110.62 58.09) (end 110.62 57.96) (width 0.254) (layer F.Cu) (net 25))
(segment (start 110.35359 58.35641) (end 110.62 58.09) (width 0.254) (layer F.Cu) (net 25))
(segment (start 109.33 58.35641) (end 110.35359 58.35641) (width 0.254) (layer F.Cu) (net 25))
(segment (start 84.3 54.3) (end 97.1 41.5) (width 0.381) (layer F.Cu) (net 25))
(segment (start 113.2 42.8) (end 113.2 43.3) (width 0.381) (layer F.Cu) (net 25))
(segment (start 48.715 55.421942) (end 49.836942 54.3) (width 0.381) (layer F.Cu) (net 25))
(segment (start 49.836942 54.3) (end 84.3 54.3) (width 0.381) (layer F.Cu) (net 25))
(segment (start 111.9 41.5) (end 113.2 42.8) (width 0.381) (layer F.Cu) (net 25))
(segment (start 97.1 41.5) (end 111.9 41.5) (width 0.381) (layer F.Cu) (net 25))
(segment (start 48.7 57.2) (end 48.7 55.436942) (width 0.381) (layer F.Cu) (net 25))
(segment (start 48.4 57.5) (end 48.7 57.2) (width 0.381) (layer F.Cu) (net 25))
(segment (start 48.4 62.25) (end 48.4 57.5) (width 0.381) (layer F.Cu) (net 25))
(segment (start 48.7 55.436942) (end 48.715 55.421942) (width 0.381) (layer F.Cu) (net 25))
(segment (start 48.7 62.55) (end 48.4 62.25) (width 0.381) (layer F.Cu) (net 25))
(segment (start 48.7 65.415) (end 48.7 62.55) (width 0.381) (layer F.Cu) (net 25))
(segment (start 48.715 65.43) (end 48.7 65.415) (width 0.381) (layer F.Cu) (net 25))
(segment (start 48.7 41.695) (end 49.92 40.475) (width 0.381) (layer F.Cu) (net 25))
(segment (start 48.7 55.406942) (end 48.7 41.695) (width 0.381) (layer F.Cu) (net 25))
(segment (start 48.715 55.421942) (end 48.7 55.406942) (width 0.381) (layer F.Cu) (net 25))
(segment (start 58.83 80.599998) (end 58.445 80.214998) (width 0.381) (layer F.Cu) (net 26))
(segment (start 58.83 82.385) (end 58.83 80.599998) (width 0.381) (layer F.Cu) (net 26))
(segment (start 58.875 82.43) (end 58.83 82.385) (width 0.381) (layer F.Cu) (net 26))
(segment (start 57.35 79.119998) (end 57.35 79.05) (width 0.254) (layer F.Cu) (net 26))
(via (at 57.35 79.05) (size 0.45) (drill 0.3) (layers F.Cu B.Cu) (net 26))
(segment (start 58.445 80.214998) (end 57.35 79.119998) (width 0.254) (layer F.Cu) (net 26))
(via (at 49.25 60.100004) (size 0.45) (drill 0.3) (layers F.Cu B.Cu) (net 26))
(segment (start 57.35 75.25) (end 49.25 67.15) (width 0.254) (layer B.Cu) (net 26))
(segment (start 49.309996 60.16) (end 49.25 60.100004) (width 0.254) (layer F.Cu) (net 26))
(segment (start 57.35 79.05) (end 57.35 75.25) (width 0.254) (layer B.Cu) (net 26))
(segment (start 49.25 67.15) (end 49.25 60.100004) (width 0.254) (layer B.Cu) (net 26))
(segment (start 65.5 56.35) (end 65.5 56.35) (width 0.381) (layer F.Cu) (net 26))
(via (at 65.5 56.35) (size 0.45) (drill 0.3) (layers F.Cu B.Cu) (net 26))
(segment (start 65.5 56.35) (end 65.5 52.549998) (width 0.381) (layer B.Cu) (net 26))
(segment (start 65.5 52.549998) (end 65.5 52.450002) (width 0.254) (layer B.Cu) (net 26))
(via (at 65.5 52.499996) (size 0.45) (drill 0.3) (layers F.Cu B.Cu) (net 26))
(segment (start 65.600004 52.499996) (end 65.5 52.499996) (width 0.381) (layer F.Cu) (net 26))
(segment (start 72.45 45.65) (end 65.600004 52.499996) (width 0.381) (layer F.Cu) (net 26))
(segment (start 84.55 45.65) (end 72.45 45.65) (width 0.381) (layer F.Cu) (net 26))
(segment (start 49.250004 60.1) (end 49.25 60.100004) (width 0.381) (layer F.Cu) (net 26))
(segment (start 53.1 56.65) (end 49.65 60.1) (width 0.381) (layer F.Cu) (net 26))
(segment (start 65.2 56.65) (end 53.1 56.65) (width 0.381) (layer F.Cu) (net 26))
(segment (start 49.65 60.1) (end 49.250004 60.1) (width 0.381) (layer F.Cu) (net 26))
(segment (start 65.5 56.35) (end 65.2 56.65) (width 0.381) (layer F.Cu) (net 26))
(segment (start 101.5875 80.4375) (end 101.6 80.45) (width 0.1524) (layer F.Cu) (net 27))
(segment (start 101.5875 78.6) (end 101.5875 80.4375) (width 0.381) (layer F.Cu) (net 27))
(segment (start 101.6 80.45) (end 101.8 80.65) (width 0.254) (layer F.Cu) (net 27))
(segment (start 118.32 73.23) (end 117.59 73.23) (width 0.254) (layer F.Cu) (net 27))
(segment (start 117.59 73.23) (end 117.15 72.79) (width 0.254) (layer F.Cu) (net 27))
(via (at 117.15 72.38) (size 0.45) (drill 0.3) (layers F.Cu B.Cu) (net 27))
(segment (start 117.15 72.79) (end 117.15 72.38) (width 0.254) (layer F.Cu) (net 27))
(segment (start 110.1 72.38) (end 103.9 78.58) (width 0.381) (layer B.Cu) (net 27))
(segment (start 117.15 72.38) (end 110.1 72.38) (width 0.381) (layer B.Cu) (net 27))
(via (at 102.7 78.6) (size 0.45) (drill 0.3) (layers F.Cu B.Cu) (net 27))
(segment (start 101.5875 78.6) (end 102.7 78.6) (width 0.254) (layer F.Cu) (net 27))
(segment (start 103.9 78.58) (end 102.72 78.58) (width 0.381) (layer B.Cu) (net 27))
(segment (start 102.72 78.58) (end 102.7 78.6) (width 0.254) (layer B.Cu) (net 27))
(segment (start 96.2 78.6) (end 95.683344 78.6) (width 0.254) (layer F.Cu) (net 28))
(segment (start 101.6 82.35) (end 102.749998 82.35) (width 0.254) (layer F.Cu) (net 28))
(via (at 102.88 82.359998) (size 0.45) (drill 0.3) (layers F.Cu B.Cu) (net 28))
(segment (start 98.859998 82.359998) (end 95.1 78.6) (width 0.381) (layer B.Cu) (net 28))
(segment (start 102.88 82.359998) (end 98.859998 82.359998) (width 0.381) (layer B.Cu) (net 28))
(via (at 95.1 78.6) (size 0.45) (drill 0.3) (layers F.Cu B.Cu) (net 28))
(segment (start 96.2 78.6) (end 95.1 78.6) (width 0.254) (layer F.Cu) (net 28))
(segment (start 98.7 81.23) (end 97.8 82.13) (width 0.1524) (layer F.Cu) (net 29))
(segment (start 98.7 80.9) (end 98.7 81.23) (width 0.1524) (layer F.Cu) (net 29))
(segment (start 97.8 82.13) (end 97.8 82.35) (width 0.1524) (layer F.Cu) (net 29))
(segment (start 100.0125 79.5875) (end 98.7 80.9) (width 0.1524) (layer F.Cu) (net 29))
(segment (start 100.0125 78.6) (end 100.0125 79.5875) (width 0.1524) (layer F.Cu) (net 29))
(segment (start 97.775 80.425) (end 97.8 80.45) (width 0.1524) (layer F.Cu) (net 30))
(segment (start 97.775 78.6) (end 97.775 80.425) (width 0.381) (layer F.Cu) (net 30))
(via (at 96.79 86.850002) (size 0.45) (drill 0.3) (layers F.Cu B.Cu) (net 30))
(segment (start 97.8 80.45) (end 97.096 80.45) (width 0.1524) (layer F.Cu) (net 30))
(segment (start 97.096 80.45) (end 96.79 80.756) (width 0.1524) (layer F.Cu) (net 30))
(segment (start 96.79 80.756) (end 96.79 86.850002) (width 0.1524) (layer F.Cu) (net 30))
(via (at 117.21 75.24) (size 0.45) (drill 0.3) (layers F.Cu B.Cu) (net 30))
(segment (start 118.32 75.23) (end 117.22 75.23) (width 0.254) (layer F.Cu) (net 30))
(segment (start 117.22 75.23) (end 117.21 75.24) (width 0.254) (layer F.Cu) (net 30))
(segment (start 106.16 84.51) (end 106.16 79.52) (width 0.381) (layer B.Cu) (net 30))
(segment (start 110.44 75.24) (end 117.21 75.24) (width 0.381) (layer B.Cu) (net 30))
(segment (start 103.819998 86.850002) (end 106.16 84.51) (width 0.381) (layer B.Cu) (net 30))
(segment (start 106.16 79.52) (end 110.44 75.24) (width 0.381) (layer B.Cu) (net 30))
(segment (start 96.79 86.850002) (end 103.819998 86.850002) (width 0.381) (layer B.Cu) (net 30))
(via (at 61 68) (size 0.45) (drill 0.3) (layers F.Cu B.Cu) (net 31))
(via (at 66.14 86.909994) (size 0.45) (drill 0.3) (layers F.Cu B.Cu) (net 31))
(via (at 40.14 58.000014) (size 0.45) (drill 0.3) (layers F.Cu B.Cu) (net 31))
(segment (start 41.9 57.725) (end 40.415014 57.725) (width 0.381) (layer F.Cu) (net 31))
(segment (start 40.415014 57.725) (end 40.14 58.000014) (width 0.381) (layer F.Cu) (net 31))
(segment (start 66.14 85.21) (end 66.14 86.909994) (width 0.381) (layer B.Cu) (net 31))
(segment (start 61 80.07) (end 66.14 85.21) (width 0.381) (layer B.Cu) (net 31))
(segment (start 61 68) (end 61 80.07) (width 0.381) (layer B.Cu) (net 31))
(segment (start 62.685 65.43) (end 62.325 65.43) (width 0.254) (layer F.Cu) (net 31))
(segment (start 62.685 66.315) (end 62.685 65.93) (width 0.381) (layer F.Cu) (net 31))
(segment (start 61 68) (end 62.685 66.315) (width 0.381) (layer F.Cu) (net 31))
(segment (start 66.68 87.45) (end 66.13 86.9) (width 0.381) (layer F.Cu) (net 31))
(segment (start 86.95 87.45) (end 66.68 87.45) (width 0.381) (layer F.Cu) (net 31))
(segment (start 93 81.4) (end 86.95 87.45) (width 0.381) (layer F.Cu) (net 31))
(segment (start 95.8 81.4) (end 93 81.4) (width 0.381) (layer F.Cu) (net 31))
(via (at 49.4 70.6) (size 0.45) (drill 0.3) (layers F.Cu B.Cu) (net 31))
(segment (start 49.8 71) (end 49.4 70.6) (width 0.254) (layer F.Cu) (net 31))
(segment (start 57.681802 71) (end 49.8 71) (width 0.254) (layer F.Cu) (net 31))
(segment (start 60.681802 68) (end 57.681802 71) (width 0.254) (layer F.Cu) (net 31))
(segment (start 61 68) (end 60.681802 68) (width 0.254) (layer F.Cu) (net 31))
(segment (start 62.6625 65.4075) (end 62.685 65.43) (width 0.381) (layer F.Cu) (net 31))
(segment (start 62.6625 62) (end 62.6625 65.4075) (width 0.381) (layer F.Cu) (net 31))
(segment (start 45.7 66.9) (end 49.4 70.6) (width 0.381) (layer B.Cu) (net 31))
(segment (start 45.7 62.741802) (end 45.7 66.9) (width 0.381) (layer B.Cu) (net 31))
(segment (start 40.958212 58.000014) (end 45.7 62.741802) (width 0.381) (layer B.Cu) (net 31))
(segment (start 40.14 58.000014) (end 40.958212 58.000014) (width 0.381) (layer B.Cu) (net 31))
(segment (start 117.0775 73.73) (end 115.9575 72.61) (width 0.254) (layer F.Cu) (net 32))
(segment (start 118.32 73.73) (end 117.0775 73.73) (width 0.254) (layer F.Cu) (net 32))
(via (at 113.19 74.3) (size 0.45) (drill 0.3) (layers F.Cu B.Cu) (net 33))
(segment (start 113.2 74.31) (end 113.19 74.3) (width 0.254) (layer F.Cu) (net 33))
(segment (start 114.3825 74.31) (end 113.2 74.31) (width 0.381) (layer F.Cu) (net 33))
(via (at 70.149998 66.4) (size 0.45) (drill 0.3) (layers F.Cu B.Cu) (net 33))
(segment (start 105.37 79.25) (end 105.37 84.02) (width 0.381) (layer B.Cu) (net 33))
(segment (start 113.19 74.3) (end 110.32 74.3) (width 0.381) (layer B.Cu) (net 33))
(segment (start 110.32 74.3) (end 105.37 79.25) (width 0.381) (layer B.Cu) (net 33))
(segment (start 103.56 85.83) (end 85.55 85.83) (width 0.381) (layer B.Cu) (net 33))
(segment (start 85.55 85.83) (end 70.149998 70.429998) (width 0.381) (layer B.Cu) (net 33))
(segment (start 70.149998 70.429998) (end 70.149998 66.4) (width 0.381) (layer B.Cu) (net 33))
(segment (start 105.37 84.02) (end 103.56 85.83) (width 0.381) (layer B.Cu) (net 33))
(segment (start 64.8 58.25) (end 70.149998 63.599998) (width 0.381) (layer F.Cu) (net 33))
(segment (start 70.149998 63.599998) (end 70.149998 66.4) (width 0.381) (layer F.Cu) (net 33))
(segment (start 54.806514 58.25) (end 64.8 58.25) (width 0.381) (layer F.Cu) (net 33))
(segment (start 51.255 61.801514) (end 54.806514 58.25) (width 0.381) (layer F.Cu) (net 33))
(segment (start 51.255 65.43) (end 51.255 61.801514) (width 0.381) (layer F.Cu) (net 33))
(segment (start 114.3825 72.61) (end 113.38 72.61) (width 0.381) (layer F.Cu) (net 34))
(via (at 112.61 73.38) (size 0.45) (drill 0.3) (layers F.Cu B.Cu) (net 34))
(segment (start 113.38 72.61) (end 112.61 73.38) (width 0.381) (layer F.Cu) (net 34))
(via (at 71.75 66.35) (size 0.45) (drill 0.3) (layers F.Cu B.Cu) (net 34))
(segment (start 71.75 70.65) (end 71.75 66.35) (width 0.381) (layer B.Cu) (net 34))
(segment (start 110.19 73.38) (end 104.56 79.01) (width 0.381) (layer B.Cu) (net 34))
(segment (start 104.56 79.01) (end 104.56 83.71) (width 0.381) (layer B.Cu) (net 34))
(segment (start 103.32 84.95) (end 86.05 84.95) (width 0.381) (layer B.Cu) (net 34))
(segment (start 86.05 84.95) (end 71.75 70.65) (width 0.381) (layer B.Cu) (net 34))
(segment (start 112.61 73.38) (end 110.19 73.38) (width 0.381) (layer B.Cu) (net 34))
(segment (start 104.56 83.71) (end 103.32 84.95) (width 0.381) (layer B.Cu) (net 34))
(segment (start 71.75 63.75) (end 71.75 66.35) (width 0.381) (layer F.Cu) (net 34))
(segment (start 65.4 57.4) (end 71.75 63.75) (width 0.381) (layer F.Cu) (net 34))
(segment (start 53.929974 57.4) (end 65.4 57.4) (width 0.381) (layer F.Cu) (net 34))
(segment (start 49.985 61.344974) (end 53.929974 57.4) (width 0.381) (layer F.Cu) (net 34))
(segment (start 49.985 65.43) (end 49.985 61.344974) (width 0.381) (layer F.Cu) (net 34))
(segment (start 116.0375 74.23) (end 115.9575 74.31) (width 0.254) (layer F.Cu) (net 35))
(segment (start 118.32 74.23) (end 116.0375 74.23) (width 0.254) (layer F.Cu) (net 35))
(segment (start 108.99 80.23) (end 108.79 80.03) (width 0.254) (layer F.Cu) (net 36))
(segment (start 106.42 82.4) (end 108.79 80.03) (width 0.381) (layer F.Cu) (net 36))
(segment (start 106.42 84.21) (end 106.42 82.4) (width 0.381) (layer F.Cu) (net 36))
(segment (start 105 85.8) (end 106.42 84.21) (width 0.381) (layer F.Cu) (net 36))
(segment (start 104.0875 86.7125) (end 105 85.8) (width 0.381) (layer F.Cu) (net 36))
(segment (start 102.2 86.7125) (end 104.0875 86.7125) (width 0.381) (layer F.Cu) (net 36))
(segment (start 108.79 77.83) (end 108.769277 77.83) (width 0.254) (layer F.Cu) (net 37))
(segment (start 107.0425 78.05) (end 106.87 78.2225) (width 0.254) (layer F.Cu) (net 37))
(segment (start 108.57 78.05) (end 107.0425 78.05) (width 0.381) (layer F.Cu) (net 37))
(segment (start 108.79 77.83) (end 108.57 78.05) (width 0.254) (layer F.Cu) (net 37))
(via (at 72.35 76.5) (size 0.45) (drill 0.3) (layers F.Cu B.Cu) (net 38))
(segment (start 72.35 76.5) (end 72.35 78.91) (width 0.381) (layer F.Cu) (net 38))
(via (at 57.85 59.15) (size 0.45) (drill 0.3) (layers F.Cu B.Cu) (net 38))
(segment (start 68.7 72.85) (end 68.7 66.4) (width 0.381) (layer B.Cu) (net 38))
(segment (start 72.35 76.5) (end 68.7 72.85) (width 0.381) (layer B.Cu) (net 38))
(via (at 68.7 66.4) (size 0.45) (drill 0.3) (layers F.Cu B.Cu) (net 38))
(segment (start 68.7 63.6) (end 68.7 66.4) (width 0.381) (layer F.Cu) (net 38))
(segment (start 64.25 59.15) (end 68.7 63.6) (width 0.381) (layer F.Cu) (net 38))
(segment (start 57.85 59.15) (end 64.25 59.15) (width 0.381) (layer F.Cu) (net 38))
(segment (start 58.85 41.785) (end 58.85 47.8) (width 0.254) (layer F.Cu) (net 38))
(segment (start 57.54 40.475) (end 58.85 41.785) (width 0.254) (layer F.Cu) (net 38))
(segment (start 57.85 59.15) (end 57.85 48.8) (width 0.254) (layer B.Cu) (net 38))
(segment (start 57.85 48.8) (end 58.85 47.8) (width 0.254) (layer B.Cu) (net 38))
(via (at 58.85 47.8) (size 0.45) (drill 0.3) (layers F.Cu B.Cu) (net 38))
(segment (start 56.91 61.93) (end 56.91 60.09) (width 0.381) (layer F.Cu) (net 38))
(segment (start 55.1 63.74) (end 56.91 61.93) (width 0.381) (layer F.Cu) (net 38))
(segment (start 56.91 60.09) (end 57.85 59.15) (width 0.381) (layer F.Cu) (net 38))
(segment (start 55.1 65.395) (end 55.1 63.74) (width 0.381) (layer F.Cu) (net 38))
(segment (start 55.065 65.43) (end 55.1 65.395) (width 0.381) (layer F.Cu) (net 38))
(via (at 57.2 84.54) (size 0.45) (drill 0.3) (layers F.Cu B.Cu) (net 39))
(segment (start 57.175 82.5) (end 57.175 82.855) (width 0.254) (layer F.Cu) (net 39))
(segment (start 57.2 82.88) (end 57.2 84.54) (width 0.381) (layer F.Cu) (net 39))
(segment (start 57.175 82.855) (end 57.2 82.88) (width 0.254) (layer F.Cu) (net 39))
(via (at 57.16 87.09) (size 0.45) (drill 0.3) (layers F.Cu B.Cu) (net 39))
(segment (start 57.2 87.05) (end 57.16 87.09) (width 0.254) (layer B.Cu) (net 39))
(segment (start 57.2 84.54) (end 57.2 87.05) (width 0.381) (layer B.Cu) (net 39))
(segment (start 69.55 80.8) (end 63.26 87.09) (width 0.381) (layer F.Cu) (net 39))
(segment (start 76.9 80.8) (end 69.55 80.8) (width 0.381) (layer F.Cu) (net 39))
(segment (start 63.26 87.09) (end 57.16 87.09) (width 0.381) (layer F.Cu) (net 39))
(segment (start 77.85 79.85) (end 76.9 80.8) (width 0.381) (layer F.Cu) (net 39))
(segment (start 77.85 78.91) (end 77.85 79.85) (width 0.381) (layer F.Cu) (net 39))
(via (at 122.71 86.48) (size 0.45) (drill 0.3) (layers F.Cu B.Cu) (net 40))
(segment (start 122.71 86.48) (end 117.34 81.11) (width 0.381) (layer B.Cu) (net 40))
(via (at 117.34 81.11) (size 0.45) (drill 0.3) (layers F.Cu B.Cu) (net 40))
(segment (start 115.67 81.11) (end 115.61 81.17) (width 0.254) (layer F.Cu) (net 40))
(segment (start 117.34 81.11) (end 115.67 81.11) (width 0.381) (layer F.Cu) (net 40))
(segment (start 122.73 86.48) (end 122.71 86.48) (width 0.254) (layer F.Cu) (net 40))
(segment (start 123.25 88.75) (end 123.33 88.83) (width 0.254) (layer F.Cu) (net 40))
(segment (start 123.25 87.02) (end 123.25 88.75) (width 0.381) (layer F.Cu) (net 40))
(segment (start 122.71 86.48) (end 123.25 87.02) (width 0.381) (layer F.Cu) (net 40))
(segment (start 118.43 81.63) (end 116.38 83.68) (width 0.635) (layer F.Cu) (net 68))
(segment (start 121.85 81.63) (end 118.43 81.63) (width 0.635) (layer F.Cu) (net 68))
(segment (start 116.38 83.72) (end 118.53 85.87) (width 0.635) (layer F.Cu) (net 68))
(segment (start 116.38 83.68) (end 116.38 83.72) (width 0.254) (layer F.Cu) (net 68))
(segment (start 116.38 83.68) (end 115.68 83.68) (width 0.254) (layer F.Cu) (net 68))
(via (at 123.78 85.82) (size 0.45) (drill 0.3) (layers F.Cu B.Cu) (net 68))
(via (at 121.78 83.8) (size 0.45) (drill 0.3) (layers F.Cu B.Cu) (net 68))
(segment (start 121.78 83.82) (end 121.78 83.8) (width 0.254) (layer B.Cu) (net 68))
(segment (start 123.78 85.82) (end 121.78 83.82) (width 0.381) (layer B.Cu) (net 68))
(segment (start 121.78 81.7) (end 121.85 81.63) (width 0.254) (layer F.Cu) (net 68))
(segment (start 121.78 83.8) (end 121.78 81.7) (width 0.381) (layer F.Cu) (net 68))
(segment (start 113.71 82.37) (end 113.71 81.17) (width 0.635) (layer F.Cu) (net 68))
(segment (start 115.02 83.68) (end 113.71 82.37) (width 0.635) (layer F.Cu) (net 68))
(segment (start 115.68 83.68) (end 115.02 83.68) (width 0.635) (layer F.Cu) (net 68))
(segment (start 125.0475 84.5525) (end 123.78 85.82) (width 0.381) (layer F.Cu) (net 68))
(segment (start 125.48 84.5525) (end 125.0475 84.5525) (width 0.381) (layer F.Cu) (net 68))
(segment (start 53.795 82.43) (end 53.75 82.475) (width 0.381) (layer F.Cu) (net 69))
(segment (start 52.55 85.6125) (end 54.25 85.6125) (width 0.508) (layer F.Cu) (net 69))
(segment (start 53.795 85.1575) (end 53.795 82.43) (width 0.508) (layer F.Cu) (net 69))
(segment (start 54.25 85.6125) (end 53.795 85.1575) (width 0.508) (layer F.Cu) (net 69))
(segment (start 123.88 74.73) (end 124.9525 73.6575) (width 0.254) (layer F.Cu) (net 73))
(segment (start 123.22 74.73) (end 123.88 74.73) (width 0.254) (layer F.Cu) (net 73))
(segment (start 125.43 73.6575) (end 125.5 73.5875) (width 0.254) (layer F.Cu) (net 73))
(segment (start 124.9525 73.6575) (end 125.43 73.6575) (width 0.254) (layer F.Cu) (net 73))
(segment (start 131.5 92.6875) (end 131.4875 92.7) (width 0.508) (layer F.Cu) (net 75))
(segment (start 131.5 91.3625) (end 131.5 92.6875) (width 0.508) (layer F.Cu) (net 75))
(segment (start 131.4375 91.3) (end 131.5 91.3625) (width 0.508) (layer F.Cu) (net 75))
(segment (start 84.9 75.45) (end 84.1375 75.45) (width 0.254) (layer F.Cu) (net 76))
(segment (start 85.55 74.8) (end 84.9 75.45) (width 0.254) (layer F.Cu) (net 76))
(segment (start 85.55 73.75) (end 85.55 74.8) (width 0.254) (layer F.Cu) (net 76))
(segment (start 86.04 73.26) (end 85.55 73.75) (width 0.254) (layer F.Cu) (net 76))
(segment (start 86.95 73.26) (end 86.04 73.26) (width 0.254) (layer F.Cu) (net 76))
(segment (start 85.69 72.76) (end 84.5 73.95) (width 0.254) (layer F.Cu) (net 77))
(segment (start 84.5 73.95) (end 84.1375 73.95) (width 0.254) (layer F.Cu) (net 77))
(segment (start 86.95 72.76) (end 85.69 72.76) (width 0.254) (layer F.Cu) (net 77))
(segment (start 84.3275 72.26) (end 84.1375 72.45) (width 0.1524) (layer F.Cu) (net 78))
(segment (start 86.95 72.26) (end 84.3275 72.26) (width 0.254) (layer F.Cu) (net 78))
(segment (start 86.94 56.27) (end 86.95 56.26) (width 0.254) (layer F.Cu) (net 81))
(segment (start 58.875 64.625) (end 58.875 65.43) (width 0.381) (layer F.Cu) (net 83))
(via (at 51.65 51.85) (size 0.45) (drill 0.3) (layers F.Cu B.Cu) (net 83))
(via (at 52.9 68.05) (size 0.45) (drill 0.3) (layers F.Cu B.Cu) (net 83))
(segment (start 58.875 65.43) (end 58.875 66.925) (width 0.254) (layer F.Cu) (net 83))
(segment (start 58.875 66.925) (end 57.75 68.05) (width 0.254) (layer F.Cu) (net 83))
(segment (start 57.75 68.05) (end 52.9 68.05) (width 0.254) (layer F.Cu) (net 83))
(segment (start 52.675001 67.825001) (end 52.9 68.05) (width 0.254) (layer B.Cu) (net 83))
(segment (start 51.425001 66.575001) (end 52.675001 67.825001) (width 0.254) (layer B.Cu) (net 83))
(segment (start 51.425001 52.074999) (end 51.425001 66.575001) (width 0.254) (layer B.Cu) (net 83))
(segment (start 51.65 51.85) (end 51.425001 52.074999) (width 0.254) (layer B.Cu) (net 83))
(segment (start 61.45 41.645) (end 62.62 40.475) (width 0.254) (layer F.Cu) (net 83))
(segment (start 61.45 48.75) (end 61.45 41.645) (width 0.254) (layer F.Cu) (net 83))
(segment (start 58.35 51.85) (end 61.45 48.75) (width 0.254) (layer F.Cu) (net 83))
(segment (start 51.65 51.85) (end 58.35 51.85) (width 0.254) (layer F.Cu) (net 83))
(segment (start 61.415 82.43) (end 61.34 82.505) (width 0.381) (layer F.Cu) (net 84))
(via (at 60.75 84.85) (size 0.45) (drill 0.3) (layers F.Cu B.Cu) (net 84))
(segment (start 61.415 84.185) (end 60.75 84.85) (width 0.254) (layer F.Cu) (net 84))
(segment (start 61.415 82.43) (end 61.415 84.185) (width 0.254) (layer F.Cu) (net 84))
(via (at 49.8 52.3) (size 0.45) (drill 0.3) (layers F.Cu B.Cu) (net 84))
(segment (start 49.8 66.8) (end 49.8 52.3) (width 0.254) (layer B.Cu) (net 84))
(segment (start 60.75 84.85) (end 58.3 82.4) (width 0.254) (layer B.Cu) (net 84))
(segment (start 58.3 82.4) (end 58.3 75.3) (width 0.254) (layer B.Cu) (net 84))
(segment (start 58.3 75.3) (end 49.8 66.8) (width 0.254) (layer B.Cu) (net 84))
(segment (start 51.15 50.95) (end 49.8 52.3) (width 0.254) (layer F.Cu) (net 84))
(segment (start 57.6 50.95) (end 51.15 50.95) (width 0.254) (layer F.Cu) (net 84))
(segment (start 60.08 48.47) (end 57.6 50.95) (width 0.254) (layer F.Cu) (net 84))
(segment (start 60.08 45.525) (end 60.08 48.47) (width 0.254) (layer F.Cu) (net 84))
(segment (start 81.3 70.3375) (end 81.3 68.65) (width 0.381) (layer F.Cu) (net 85))
(segment (start 80.5375 71.1) (end 81.3 70.3375) (width 0.381) (layer F.Cu) (net 85))
(segment (start 82.5625 73.95) (end 80.8 73.95) (width 0.381) (layer F.Cu) (net 86))
(segment (start 82.5625 73.95) (end 82.5625 72.45) (width 0.381) (layer F.Cu) (net 86))
(segment (start 82.5625 73.95) (end 82.5625 75.45) (width 0.381) (layer F.Cu) (net 86))
(segment (start 78.8 71.2625) (end 78.9625 71.1) (width 0.381) (layer F.Cu) (net 87))
(segment (start 78.8 73) (end 78.8 71.2625) (width 0.381) (layer F.Cu) (net 87))
(segment (start 130.93 81.05) (end 130.93 80.75) (width 0.254) (layer F.Cu) (net 88))
(via (at 128.5 77.25) (size 0.45) (drill 0.3) (layers F.Cu B.Cu) (net 88))
(via (at 129.5 84.5) (size 0.45) (drill 0.3) (layers F.Cu B.Cu) (net 88))
(segment (start 128.52 80.75) (end 128.52 80.75) (width 0.254) (layer F.Cu) (net 88) (tstamp 5FC92561))
(segment (start 128.8 75.95) (end 128.63 76.12) (width 0.381) (layer F.Cu) (net 88))
(segment (start 130.93 75.95) (end 128.8 75.95) (width 0.381) (layer F.Cu) (net 88))
(segment (start 128.5 76.25) (end 128.8 75.95) (width 0.381) (layer F.Cu) (net 88))
(segment (start 128.5 77.25) (end 128.5 76.25) (width 0.381) (layer F.Cu) (net 88))
(via (at 128.360004 80.75) (size 0.45) (drill 0.3) (layers F.Cu B.Cu) (net 88))
(segment (start 130.93 80.75) (end 128.360004 80.75) (width 0.381) (layer F.Cu) (net 88))
(segment (start 128.660004 81.05) (end 128.360004 80.75) (width 0.381) (layer F.Cu) (net 88))
(segment (start 130.93 81.05) (end 128.660004 81.05) (width 0.381) (layer F.Cu) (net 88))
(segment (start 128.51 80.76) (end 128.5 80.75) (width 0.254) (layer F.Cu) (net 88))
(segment (start 128.5 80.75) (end 128.360004 80.75) (width 0.254) (layer F.Cu) (net 88))
(segment (start 128.39 83.39) (end 129.5 84.5) (width 0.381) (layer B.Cu) (net 88))
(segment (start 128.39 80.29) (end 128.39 83.39) (width 0.381) (layer B.Cu) (net 88))
(segment (start 127.83 79.73) (end 128.39 80.29) (width 0.381) (layer B.Cu) (net 88))
(segment (start 127.83 77.92) (end 127.83 79.73) (width 0.381) (layer B.Cu) (net 88))
(segment (start 128.5 77.25) (end 127.83 77.92) (width 0.381) (layer B.Cu) (net 88))
(segment (start 128.74 76.25) (end 128.5 76.49) (width 0.381) (layer F.Cu) (net 88))
(segment (start 130.93 76.25) (end 128.74 76.25) (width 0.381) (layer F.Cu) (net 88))
(segment (start 130.5175 85.7675) (end 130.5175 85.99) (width 0.508) (layer F.Cu) (net 88))
(segment (start 130.5175 85.5175) (end 130.5175 85.7675) (width 0.508) (layer F.Cu) (net 88))
(segment (start 129.5 84.5) (end 130.5175 85.5175) (width 0.508) (layer F.Cu) (net 88))
(via (at 128.4 72.799998) (size 0.45) (drill 0.3) (layers F.Cu B.Cu) (net 90))
(segment (start 129.1 72.099998) (end 128.4 72.799998) (width 0.254) (layer F.Cu) (net 90))
(segment (start 129.1 71.7875) (end 129.1 72.099998) (width 0.254) (layer F.Cu) (net 90))
(via (at 127.089998 79.55) (size 0.45) (drill 0.3) (layers F.Cu B.Cu) (net 90))
(segment (start 130.93 79.75) (end 130.905001 79.774999) (width 0.254) (layer F.Cu) (net 90))
(segment (start 130.905001 79.774999) (end 127.314997 79.774999) (width 0.254) (layer F.Cu) (net 90))
(segment (start 127.314997 79.774999) (end 127.089998 79.55) (width 0.254) (layer F.Cu) (net 90))
(segment (start 127.089998 77.140002) (end 127.089998 79.55) (width 0.254) (layer B.Cu) (net 90))
(segment (start 128.08 76.15) (end 127.089998 77.140002) (width 0.254) (layer B.Cu) (net 90))
(segment (start 128.08 73.119998) (end 128.08 76.15) (width 0.254) (layer B.Cu) (net 90))
(segment (start 128.4 72.799998) (end 128.08 73.119998) (width 0.254) (layer B.Cu) (net 90))
(segment (start 130.8 71.7875) (end 130.5125 71.7875) (width 0.254) (layer F.Cu) (net 92))
(segment (start 128.65 73.65) (end 128.65 73.65) (width 0.254) (layer B.Cu) (net 92))
(via (at 128.65 73.65) (size 0.45) (drill 0.3) (layers F.Cu B.Cu) (net 92))
(segment (start 130.6 71.7) (end 130.6 71.4875) (width 0.254) (layer F.Cu) (net 92))
(segment (start 128.65 73.65) (end 130.6 71.7) (width 0.254) (layer F.Cu) (net 92))
(via (at 129.3 76.94) (size 0.45) (drill 0.3) (layers F.Cu B.Cu) (net 92))
(segment (start 130.93 76.75) (end 129.49 76.75) (width 0.254) (layer F.Cu) (net 92))
(segment (start 129.49 76.75) (end 129.3 76.94) (width 0.254) (layer F.Cu) (net 92))
(segment (start 128.65 73.65) (end 128.65 76.29) (width 0.254) (layer B.Cu) (net 92))
(segment (start 128.65 76.29) (end 129.3 76.94) (width 0.254) (layer B.Cu) (net 92))
(segment (start 129.8625 91.2075) (end 129.8625 91.3) (width 0.381) (layer F.Cu) (net 93))
(segment (start 134.95 86.12) (end 129.8625 91.2075) (width 0.381) (layer F.Cu) (net 93))
(segment (start 134.95 86.1) (end 134.95 86.12) (width 0.381) (layer F.Cu) (net 93))
(segment (start 101.9 88.5875) (end 102.2 88.2875) (width 0.254) (layer F.Cu) (net 94))
(segment (start 101.9 90.35) (end 101.9 88.5875) (width 0.381) (layer F.Cu) (net 94))
(segment (start 125.48 88.48) (end 125.83 88.83) (width 0.254) (layer F.Cu) (net 95))
(segment (start 125.48 86.1275) (end 125.48 88.48) (width 0.381) (layer F.Cu) (net 95))
(zone (net 2) (net_name GND) (layer F.Cu) (tstamp 5FC78C9F) (hatch edge 0.508)
(connect_pads (clearance 0.1524))
(min_thickness 0.1524)
(fill yes (arc_segments 32) (thermal_gap 0.1778) (thermal_bridge_width 0.1778))
(polygon
(pts
(xy 140.05 94.1) (xy 37.77 94.1) (xy 37.23 36.9) (xy 139.6 36.6)
)
)
(filled_polygon
(pts
(xy 104.010797 37.310797) (xy 103.951139 37.38349) (xy 103.906809 37.466424) (xy 103.879511 37.556414) (xy 103.870294 37.65)
(xy 103.870294 40.55) (xy 103.879511 40.643586) (xy 103.906809 40.733576) (xy 103.951139 40.81651) (xy 104.010797 40.889203)
(xy 104.08349 40.948861) (xy 104.166424 40.993191) (xy 104.256414 41.020489) (xy 104.35 41.029706) (xy 105.35 41.029706)
(xy 105.443586 41.020489) (xy 105.533576 40.993191) (xy 105.61651 40.948861) (xy 105.689203 40.889203) (xy 105.748861 40.81651)
(xy 105.793191 40.733576) (xy 105.820489 40.643586) (xy 105.829706 40.55) (xy 105.829706 37.65) (xy 105.820489 37.556414)
(xy 105.793191 37.466424) (xy 105.748861 37.38349) (xy 105.689203 37.310797) (xy 105.619509 37.2536) (xy 114.780491 37.2536)
(xy 114.710797 37.310797) (xy 114.651139 37.38349) (xy 114.606809 37.466424) (xy 114.579511 37.556414) (xy 114.570294 37.65)
(xy 114.570294 40.55) (xy 114.579511 40.643586) (xy 114.606809 40.733576) (xy 114.651139 40.81651) (xy 114.710797 40.889203)
(xy 114.78349 40.948861) (xy 114.866424 40.993191) (xy 114.956414 41.020489) (xy 115.05 41.029706) (xy 116.05 41.029706)
(xy 116.143586 41.020489) (xy 116.233576 40.993191) (xy 116.31651 40.948861) (xy 116.389203 40.889203) (xy 116.448861 40.81651)
(xy 116.493191 40.733576) (xy 116.520489 40.643586) (xy 116.529706 40.55) (xy 116.529706 37.65) (xy 116.520489 37.556414)
(xy 116.493191 37.466424) (xy 116.448861 37.38349) (xy 116.389203 37.310797) (xy 116.319509 37.2536) (xy 135.487593 37.2536)
(xy 135.66913 37.2714) (xy 135.231256 37.2714) (xy 134.704097 37.376258) (xy 134.207523 37.581946) (xy 133.760619 37.880558)
(xy 133.380558 38.260619) (xy 133.081946 38.707523) (xy 132.876258 39.204097) (xy 132.7714 39.731256) (xy 132.7714 40.268744)
(xy 132.876258 40.795903) (xy 133.081946 41.292477) (xy 133.380558 41.739381) (xy 133.760619 42.119442) (xy 134.207523 42.418054)
(xy 134.704097 42.623742) (xy 135.231256 42.7286) (xy 135.768744 42.7286) (xy 136.295903 42.623742) (xy 136.792477 42.418054)
(xy 137.239381 42.119442) (xy 137.619442 41.739381) (xy 137.918054 41.292477) (xy 138.123742 40.795903) (xy 138.2286 40.268744)
(xy 138.2286 39.823687) (xy 138.246374 39.992794) (xy 138.2464 40.00031) (xy 138.246401 73.487541) (xy 138.2464 90.987593)
(xy 138.2286 91.16913) (xy 138.2286 90.731256) (xy 138.123742 90.204097) (xy 137.918054 89.707523) (xy 137.619442 89.260619)
(xy 137.239381 88.880558) (xy 136.792477 88.581946) (xy 136.295903 88.376258) (xy 135.768744 88.2714) (xy 135.231256 88.2714)
(xy 134.704097 88.376258) (xy 134.207523 88.581946) (xy 133.760619 88.880558) (xy 133.380558 89.260619) (xy 133.081946 89.707523)
(xy 132.876258 90.204097) (xy 132.7714 90.731256) (xy 132.7714 91.268744) (xy 132.876258 91.795903) (xy 133.081946 92.292477)
(xy 133.380558 92.739381) (xy 133.760619 93.119442) (xy 134.207523 93.418054) (xy 134.704097 93.623742) (xy 135.231256 93.7286)
(xy 135.676313 93.7286) (xy 135.507206 93.746374) (xy 135.49969 93.7464) (xy 41.512407 93.7464) (xy 41.33087 93.7286)
(xy 41.768744 93.7286) (xy 42.295903 93.623742) (xy 42.792477 93.418054) (xy 43.239381 93.119442) (xy 43.500735 92.858088)
(xy 92.6014 92.858088) (xy 92.6014 92.981912) (xy 92.625556 93.103356) (xy 92.672941 93.217754) (xy 92.741734 93.320709)
(xy 92.829291 93.408266) (xy 92.932246 93.477059) (xy 93.046644 93.524444) (xy 93.168088 93.5486) (xy 93.291912 93.5486)
(xy 93.413356 93.524444) (xy 93.527754 93.477059) (xy 93.630709 93.408266) (xy 93.718266 93.320709) (xy 93.787059 93.217754)
(xy 93.834444 93.103356) (xy 93.8586 92.981912) (xy 93.8586 92.858088) (xy 93.834444 92.736644) (xy 93.787059 92.622246)
(xy 93.7861 92.620811) (xy 93.7861 90.35) (xy 100.920294 90.35) (xy 100.920294 90.85) (xy 100.924708 90.894813)
(xy 100.937779 90.937905) (xy 100.959006 90.977618) (xy 100.977374 91) (xy 100.959006 91.022382) (xy 100.937779 91.062095)
(xy 100.924708 91.105187) (xy 100.920294 91.15) (xy 100.920294 91.65) (xy 100.922702 91.674447) (xy 100.922702 91.699009)
(xy 100.927116 91.743822) (xy 100.946238 91.839955) (xy 100.959309 91.883046) (xy 100.996818 91.973602) (xy 101.018046 92.013316)
(xy 101.072502 92.094815) (xy 101.101068 92.129624) (xy 101.170376 92.198932) (xy 101.205185 92.227498) (xy 101.286684 92.281954)
(xy 101.326398 92.303182) (xy 101.416954 92.340691) (xy 101.460045 92.353762) (xy 101.480901 92.35791) (xy 101.480901 92.379411)
(xy 101.478873 92.4) (xy 101.486965 92.482157) (xy 101.51093 92.561158) (xy 101.547645 92.629846) (xy 101.549847 92.633966)
(xy 101.602219 92.697782) (xy 101.618206 92.710902) (xy 102.149093 93.241789) (xy 102.162218 93.257782) (xy 102.226034 93.310154)
(xy 102.298842 93.349071) (xy 102.372742 93.371488) (xy 102.377842 93.373035) (xy 102.46 93.381127) (xy 102.48058 93.3791)
(xy 109.246772 93.3791) (xy 109.249431 93.387867) (xy 109.290872 93.465399) (xy 109.346644 93.533356) (xy 109.414601 93.589128)
(xy 109.492133 93.630569) (xy 109.576261 93.656089) (xy 109.66375 93.664706) (xy 110.10125 93.664706) (xy 110.188739 93.656089)
(xy 110.272867 93.630569) (xy 110.350399 93.589128) (xy 110.418356 93.533356) (xy 110.474128 93.465399) (xy 110.515569 93.387867)
(xy 110.541089 93.303739) (xy 110.549706 93.21625) (xy 110.549706 92.70375) (xy 110.790294 92.70375) (xy 110.790294 93.21625)
(xy 110.798911 93.303739) (xy 110.824431 93.387867) (xy 110.865872 93.465399) (xy 110.921644 93.533356) (xy 110.989601 93.589128)
(xy 111.067133 93.630569) (xy 111.151261 93.656089) (xy 111.23875 93.664706) (xy 111.67625 93.664706) (xy 111.763739 93.656089)
(xy 111.847867 93.630569) (xy 111.925399 93.589128) (xy 111.993356 93.533356) (xy 112.041248 93.475) (xy 129.219771 93.475)
(xy 129.224675 93.524793) (xy 129.239199 93.572672) (xy 129.262785 93.616798) (xy 129.294526 93.655474) (xy 129.333202 93.687215)
(xy 129.377328 93.710801) (xy 129.425207 93.725325) (xy 129.475 93.730229) (xy 129.8363 93.729) (xy 129.8998 93.6655)
(xy 129.8998 93.0127) (xy 129.9252 93.0127) (xy 129.9252 93.6655) (xy 129.9887 93.729) (xy 130.35 93.730229)
(xy 130.399793 93.725325) (xy 130.447672 93.710801) (xy 130.491798 93.687215) (xy 130.530474 93.655474) (xy 130.562215 93.616798)
(xy 130.585801 93.572672) (xy 130.600325 93.524793) (xy 130.605229 93.475) (xy 130.604 93.0762) (xy 130.5405 93.0127)
(xy 129.9252 93.0127) (xy 129.8998 93.0127) (xy 129.2845 93.0127) (xy 129.221 93.0762) (xy 129.219771 93.475)
(xy 112.041248 93.475) (xy 112.049128 93.465399) (xy 112.084565 93.3991) (xy 114.46942 93.3991) (xy 114.49 93.401127)
(xy 114.51058 93.3991) (xy 114.572158 93.393035) (xy 114.651158 93.369071) (xy 114.723966 93.330154) (xy 114.787782 93.277782)
(xy 114.800907 93.261789) (xy 115.537696 92.525) (xy 129.219771 92.525) (xy 129.221 92.9238) (xy 129.2845 92.9873)
(xy 129.8998 92.9873) (xy 129.8998 92.3345) (xy 129.9252 92.3345) (xy 129.9252 92.9873) (xy 130.5405 92.9873)
(xy 130.604 92.9238) (xy 130.605229 92.525) (xy 130.600325 92.475207) (xy 130.585801 92.427328) (xy 130.562215 92.383202)
(xy 130.530474 92.344526) (xy 130.491798 92.312785) (xy 130.447672 92.289199) (xy 130.399793 92.274675) (xy 130.35 92.269771)
(xy 129.9887 92.271) (xy 129.9252 92.3345) (xy 129.8998 92.3345) (xy 129.8363 92.271) (xy 129.475 92.269771)
(xy 129.425207 92.274675) (xy 129.377328 92.289199) (xy 129.333202 92.312785) (xy 129.294526 92.344526) (xy 129.262785 92.383202)
(xy 129.239199 92.427328) (xy 129.224675 92.475207) (xy 129.219771 92.525) (xy 115.537696 92.525) (xy 116.549532 91.513164)
(xy 119.2514 91.513164) (xy 119.2514 91.646836) (xy 119.277479 91.77794) (xy 119.328633 91.901437) (xy 119.402897 92.012582)
(xy 119.497418 92.107103) (xy 119.608563 92.181367) (xy 119.73206 92.232521) (xy 119.863164 92.2586) (xy 119.996836 92.2586)
(xy 120.12794 92.232521) (xy 120.251437 92.181367) (xy 120.362582 92.107103) (xy 120.457103 92.012582) (xy 120.531367 91.901437)
(xy 120.582521 91.77794) (xy 120.6086 91.646836) (xy 120.6086 91.513164) (xy 126.0514 91.513164) (xy 126.0514 91.646836)
(xy 126.077479 91.77794) (xy 126.128633 91.901437) (xy 126.202897 92.012582) (xy 126.297418 92.107103) (xy 126.408563 92.181367)
(xy 126.53206 92.232521) (xy 126.663164 92.2586) (xy 126.796836 92.2586) (xy 126.92794 92.232521) (xy 127.051437 92.181367)
(xy 127.162582 92.107103) (xy 127.257103 92.012582) (xy 127.331367 91.901437) (xy 127.382521 91.77794) (xy 127.4086 91.646836)
(xy 127.4086 91.513164) (xy 127.382521 91.38206) (xy 127.331367 91.258563) (xy 127.257103 91.147418) (xy 127.162582 91.052897)
(xy 127.148893 91.04375) (xy 129.195294 91.04375) (xy 129.195294 91.55625) (xy 129.203911 91.643739) (xy 129.229431 91.727867)
(xy 129.270872 91.805399) (xy 129.326644 91.873356) (xy 129.394601 91.929128) (xy 129.472133 91.970569) (xy 129.556261 91.996089)
(xy 129.64375 92.004706) (xy 130.08125 92.004706) (xy 130.168739 91.996089) (xy 130.252867 91.970569) (xy 130.330399 91.929128)
(xy 130.398356 91.873356) (xy 130.454128 91.805399) (xy 130.495569 91.727867) (xy 130.521089 91.643739) (xy 130.529706 91.55625)
(xy 130.529706 91.13299) (xy 130.820355 90.842342) (xy 130.804431 90.872133) (xy 130.778911 90.956261) (xy 130.770294 91.04375)
(xy 130.770294 91.55625) (xy 130.778911 91.643739) (xy 130.804431 91.727867) (xy 130.845872 91.805399) (xy 130.901644 91.873356)
(xy 130.969601 91.929128) (xy 131.0174 91.954677) (xy 131.017401 92.372678) (xy 130.951644 92.426644) (xy 130.895872 92.494601)
(xy 130.854431 92.572133) (xy 130.828911 92.656261) (xy 130.820294 92.74375) (xy 130.820294 93.25625) (xy 130.828911 93.343739)
(xy 130.854431 93.427867) (xy 130.895872 93.505399) (xy 130.951644 93.573356) (xy 131.019601 93.629128) (xy 131.097133 93.670569)
(xy 131.181261 93.696089) (xy 131.26875 93.704706) (xy 131.70625 93.704706) (xy 131.793739 93.696089) (xy 131.877867 93.670569)
(xy 131.955399 93.629128) (xy 132.023356 93.573356) (xy 132.079128 93.505399) (xy 132.120569 93.427867) (xy 132.146089 93.343739)
(xy 132.154706 93.25625) (xy 132.154706 92.74375) (xy 132.146089 92.656261) (xy 132.120569 92.572133) (xy 132.079128 92.494601)
(xy 132.023356 92.426644) (xy 131.9826 92.393196) (xy 131.9826 91.862092) (xy 132.029128 91.805399) (xy 132.070569 91.727867)
(xy 132.096089 91.643739) (xy 132.104706 91.55625) (xy 132.104706 91.04375) (xy 132.096089 90.956261) (xy 132.070569 90.872133)
(xy 132.029128 90.794601) (xy 131.973356 90.726644) (xy 131.905399 90.670872) (xy 131.827867 90.629431) (xy 131.743739 90.603911)
(xy 131.65625 90.595294) (xy 131.21875 90.595294) (xy 131.131261 90.603911) (xy 131.047133 90.629431) (xy 131.017342 90.645355)
(xy 134.649815 87.012882) (xy 134.716954 87.040691) (xy 134.760045 87.053762) (xy 134.856178 87.072884) (xy 134.900991 87.077298)
(xy 134.925553 87.077298) (xy 134.95 87.079706) (xy 135.45 87.079706) (xy 135.494813 87.075292) (xy 135.537905 87.062221)
(xy 135.577618 87.040994) (xy 135.6 87.022626) (xy 135.622382 87.040994) (xy 135.662095 87.062221) (xy 135.705187 87.075292)
(xy 135.75 87.079706) (xy 135.9809 87.079706) (xy 135.9809 87.626482) (xy 135.963831 87.66769) (xy 135.9464 87.755324)
(xy 135.9464 87.844676) (xy 135.963831 87.93231) (xy 135.998025 88.01486) (xy 136.047666 88.089153) (xy 136.110847 88.152334)
(xy 136.18514 88.201975) (xy 136.26769 88.236169) (xy 136.355324 88.2536) (xy 136.444676 88.2536) (xy 136.53231 88.236169)
(xy 136.61486 88.201975) (xy 136.689153 88.152334) (xy 136.752334 88.089153) (xy 136.801975 88.01486) (xy 136.836169 87.93231)
(xy 136.8536 87.844676) (xy 136.8536 87.755324) (xy 136.836169 87.66769) (xy 136.8191 87.626483) (xy 136.8191 86.805048)
(xy 136.827498 86.794815) (xy 136.881954 86.713316) (xy 136.903182 86.673602) (xy 136.940691 86.583046) (xy 136.953762 86.539955)
(xy 136.972884 86.443822) (xy 136.977298 86.399009) (xy 136.977298 86.374447) (xy 136.979706 86.35) (xy 136.979706 85.85)
(xy 136.977298 85.825553) (xy 136.977298 85.800991) (xy 136.972884 85.756178) (xy 136.953762 85.660045) (xy 136.940691 85.616954)
(xy 136.903182 85.526398) (xy 136.881954 85.486684) (xy 136.827498 85.405185) (xy 136.798932 85.370376) (xy 136.729624 85.301068)
(xy 136.694815 85.272502) (xy 136.613316 85.218046) (xy 136.573602 85.196818) (xy 136.483046 85.159309) (xy 136.439955 85.146238)
(xy 136.343822 85.127116) (xy 136.299009 85.122702) (xy 136.274447 85.122702) (xy 136.25 85.120294) (xy 135.75 85.120294)
(xy 135.705187 85.124708) (xy 135.662095 85.137779) (xy 135.622382 85.159006) (xy 135.6 85.177374) (xy 135.577618 85.159006)
(xy 135.537905 85.137779) (xy 135.494813 85.124708) (xy 135.45 85.120294) (xy 134.95 85.120294) (xy 134.925553 85.122702)
(xy 134.900991 85.122702) (xy 134.856178 85.127116) (xy 134.760045 85.146238) (xy 134.716954 85.159309) (xy 134.626398 85.196818)
(xy 134.586684 85.218046) (xy 134.505185 85.272502) (xy 134.470376 85.301068) (xy 134.401068 85.370376) (xy 134.372502 85.405185)
(xy 134.318046 85.486684) (xy 134.296818 85.526398) (xy 134.259309 85.616954) (xy 134.246238 85.660045) (xy 134.227116 85.756178)
(xy 134.222702 85.800991) (xy 134.222702 85.825553) (xy 134.220294 85.85) (xy 134.220294 86.257009) (xy 129.88201 90.595294)
(xy 129.64375 90.595294) (xy 129.556261 90.603911) (xy 129.472133 90.629431) (xy 129.394601 90.670872) (xy 129.326644 90.726644)
(xy 129.270872 90.794601) (xy 129.229431 90.872133) (xy 129.203911 90.956261) (xy 129.195294 91.04375) (xy 127.148893 91.04375)
(xy 127.051437 90.978633) (xy 126.92794 90.927479) (xy 126.796836 90.9014) (xy 126.663164 90.9014) (xy 126.53206 90.927479)
(xy 126.408563 90.978633) (xy 126.297418 91.052897) (xy 126.202897 91.147418) (xy 126.128633 91.258563) (xy 126.077479 91.38206)
(xy 126.0514 91.513164) (xy 120.6086 91.513164) (xy 120.582521 91.38206) (xy 120.531367 91.258563) (xy 120.457103 91.147418)
(xy 120.362582 91.052897) (xy 120.251437 90.978633) (xy 120.12794 90.927479) (xy 119.996836 90.9014) (xy 119.863164 90.9014)
(xy 119.73206 90.927479) (xy 119.608563 90.978633) (xy 119.497418 91.052897) (xy 119.402897 91.147418) (xy 119.328633 91.258563)
(xy 119.277479 91.38206) (xy 119.2514 91.513164) (xy 116.549532 91.513164) (xy 117.982696 90.08) (xy 119.949771 90.08)
(xy 119.954675 90.129793) (xy 119.969199 90.177672) (xy 119.992785 90.221798) (xy 120.024526 90.260474) (xy 120.063202 90.292215)
(xy 120.107328 90.315801) (xy 120.155207 90.330325) (xy 120.205 90.335229) (xy 120.7538 90.334) (xy 120.8173 90.2705)
(xy 120.8173 88.8427) (xy 120.8427 88.8427) (xy 120.8427 90.2705) (xy 120.9062 90.334) (xy 121.455 90.335229)
(xy 121.504793 90.330325) (xy 121.552672 90.315801) (xy 121.596798 90.292215) (xy 121.635474 90.260474) (xy 121.667215 90.221798)
(xy 121.690801 90.177672) (xy 121.705325 90.129793) (xy 121.710229 90.08) (xy 121.709 88.9062) (xy 121.6455 88.8427)
(xy 120.8427 88.8427) (xy 120.8173 88.8427) (xy 120.0145 88.8427) (xy 119.951 88.9062) (xy 119.949771 90.08)
(xy 117.982696 90.08) (xy 118.811796 89.250901) (xy 118.827782 89.237782) (xy 118.880154 89.173966) (xy 118.919071 89.101158)
(xy 118.943035 89.022158) (xy 118.9491 88.96058) (xy 118.9491 88.960579) (xy 118.951127 88.94) (xy 118.9491 88.91942)
(xy 118.9491 88.399706) (xy 118.98 88.399706) (xy 119.024813 88.395292) (xy 119.067905 88.382221) (xy 119.107618 88.360994)
(xy 119.142427 88.332427) (xy 119.170994 88.297618) (xy 119.192221 88.257905) (xy 119.205292 88.214813) (xy 119.209706 88.17)
(xy 119.209706 87.972595) (xy 119.602301 87.58) (xy 119.949771 87.58) (xy 119.951 88.7538) (xy 120.0145 88.8173)
(xy 120.8173 88.8173) (xy 120.8173 87.3895) (xy 120.8427 87.3895) (xy 120.8427 88.8173) (xy 121.6455 88.8173)
(xy 121.709 88.7538) (xy 121.710229 87.58) (xy 121.705325 87.530207) (xy 121.690801 87.482328) (xy 121.667215 87.438202)
(xy 121.635474 87.399526) (xy 121.596798 87.367785) (xy 121.552672 87.344199) (xy 121.504793 87.329675) (xy 121.455 87.324771)
(xy 120.9062 87.326) (xy 120.8427 87.3895) (xy 120.8173 87.3895) (xy 120.7538 87.326) (xy 120.205 87.324771)
(xy 120.155207 87.329675) (xy 120.107328 87.344199) (xy 120.063202 87.367785) (xy 120.024526 87.399526) (xy 119.992785 87.438202)
(xy 119.969199 87.482328) (xy 119.954675 87.530207) (xy 119.949771 87.58) (xy 119.602301 87.58) (xy 120.746977 86.435324)
(xy 122.2564 86.435324) (xy 122.2564 86.524676) (xy 122.273831 86.61231) (xy 122.308025 86.69486) (xy 122.357666 86.769153)
(xy 122.420847 86.832334) (xy 122.49514 86.881975) (xy 122.536348 86.899044) (xy 122.8309 87.193597) (xy 122.8309 87.350294)
(xy 122.705 87.350294) (xy 122.660187 87.354708) (xy 122.617095 87.367779) (xy 122.577382 87.389006) (xy 122.542573 87.417573)
(xy 122.514006 87.452382) (xy 122.492779 87.492095) (xy 122.479708 87.535187) (xy 122.475294 87.58) (xy 122.475294 90.08)
(xy 122.479708 90.124813) (xy 122.492779 90.167905) (xy 122.514006 90.207618) (xy 122.542573 90.242427) (xy 122.577382 90.270994)
(xy 122.617095 90.292221) (xy 122.660187 90.305292) (xy 122.705 90.309706) (xy 123.955 90.309706) (xy 123.999813 90.305292)
(xy 124.042905 90.292221) (xy 124.082618 90.270994) (xy 124.117427 90.242427) (xy 124.145994 90.207618) (xy 124.167221 90.167905)
(xy 124.180292 90.124813) (xy 124.184706 90.08) (xy 124.184706 87.58) (xy 124.180292 87.535187) (xy 124.167221 87.492095)
(xy 124.145994 87.452382) (xy 124.117427 87.417573) (xy 124.082618 87.389006) (xy 124.042905 87.367779) (xy 123.999813 87.354708)
(xy 123.955 87.350294) (xy 123.6691 87.350294) (xy 123.6691 87.040577) (xy 123.671127 87.019999) (xy 123.667415 86.982312)
(xy 123.663035 86.937842) (xy 123.639071 86.858842) (xy 123.600154 86.786034) (xy 123.547782 86.722218) (xy 123.531795 86.709098)
(xy 123.129044 86.306348) (xy 123.111975 86.26514) (xy 123.062334 86.190847) (xy 122.999153 86.127666) (xy 122.92486 86.078025)
(xy 122.84231 86.043831) (xy 122.754676 86.0264) (xy 122.665324 86.0264) (xy 122.57769 86.043831) (xy 122.49514 86.078025)
(xy 122.420847 86.127666) (xy 122.357666 86.190847) (xy 122.308025 86.26514) (xy 122.273831 86.34769) (xy 122.2564 86.435324)
(xy 120.746977 86.435324) (xy 121.406977 85.775324) (xy 123.3264 85.775324) (xy 123.3264 85.864676) (xy 123.343831 85.95231)
(xy 123.378025 86.03486) (xy 123.427666 86.109153) (xy 123.490847 86.172334) (xy 123.56514 86.221975) (xy 123.64769 86.256169)
(xy 123.735324 86.2736) (xy 123.824676 86.2736) (xy 123.91231 86.256169) (xy 123.99486 86.221975) (xy 124.069153 86.172334)
(xy 124.132334 86.109153) (xy 124.181975 86.03486) (xy 124.199044 85.993652) (xy 124.283946 85.90875) (xy 124.775294 85.90875)
(xy 124.775294 86.34625) (xy 124.783911 86.433739) (xy 124.809431 86.517867) (xy 124.850872 86.595399) (xy 124.906644 86.663356)
(xy 124.974601 86.719128) (xy 125.052133 86.760569) (xy 125.0609 86.763229) (xy 125.060901 87.402532) (xy 125.042573 87.417573)
(xy 125.014006 87.452382) (xy 124.992779 87.492095) (xy 124.979708 87.535187) (xy 124.975294 87.58) (xy 124.975294 90.08)
(xy 124.979708 90.124813) (xy 124.992779 90.167905) (xy 125.014006 90.207618) (xy 125.042573 90.242427) (xy 125.077382 90.270994)
(xy 125.117095 90.292221) (xy 125.160187 90.305292) (xy 125.205 90.309706) (xy 126.455 90.309706) (xy 126.499813 90.305292)
(xy 126.542905 90.292221) (xy 126.582618 90.270994) (xy 126.617427 90.242427) (xy 126.645994 90.207618) (xy 126.667221 90.167905)
(xy 126.680292 90.124813) (xy 126.684706 90.08) (xy 126.684706 87.58) (xy 126.680292 87.535187) (xy 126.667221 87.492095)
(xy 126.645994 87.452382) (xy 126.617427 87.417573) (xy 126.582618 87.389006) (xy 126.542905 87.367779) (xy 126.499813 87.354708)
(xy 126.455 87.350294) (xy 125.8991 87.350294) (xy 125.8991 86.763228) (xy 125.907867 86.760569) (xy 125.985399 86.719128)
(xy 126.053356 86.663356) (xy 126.109128 86.595399) (xy 126.150569 86.517867) (xy 126.176089 86.433739) (xy 126.184706 86.34625)
(xy 126.184706 85.90875) (xy 126.176089 85.821261) (xy 126.150569 85.737133) (xy 126.109128 85.659601) (xy 126.053356 85.591644)
(xy 125.985399 85.535872) (xy 125.907867 85.494431) (xy 125.823739 85.468911) (xy 125.73625 85.460294) (xy 125.22375 85.460294)
(xy 125.136261 85.468911) (xy 125.052133 85.494431) (xy 124.974601 85.535872) (xy 124.906644 85.591644) (xy 124.850872 85.659601)
(xy 124.809431 85.737133) (xy 124.783911 85.821261) (xy 124.775294 85.90875) (xy 124.283946 85.90875) (xy 125.022804 85.169892)
(xy 125.052133 85.185569) (xy 125.136261 85.211089) (xy 125.22375 85.219706) (xy 125.73625 85.219706) (xy 125.823739 85.211089)
(xy 125.907867 85.185569) (xy 125.985399 85.144128) (xy 126.053356 85.088356) (xy 126.109128 85.020399) (xy 126.150569 84.942867)
(xy 126.176089 84.858739) (xy 126.184706 84.77125) (xy 126.184706 84.33375) (xy 126.176089 84.246261) (xy 126.150569 84.162133)
(xy 126.109128 84.084601) (xy 126.053356 84.016644) (xy 125.985399 83.960872) (xy 125.907867 83.919431) (xy 125.823739 83.893911)
(xy 125.73625 83.885294) (xy 125.22375 83.885294) (xy 125.136261 83.893911) (xy 125.052133 83.919431) (xy 124.974601 83.960872)
(xy 124.906644 84.016644) (xy 124.850872 84.084601) (xy 124.809431 84.162133) (xy 124.791829 84.220159) (xy 124.749718 84.254718)
(xy 124.736593 84.270711) (xy 123.606348 85.400956) (xy 123.56514 85.418025) (xy 123.490847 85.467666) (xy 123.427666 85.530847)
(xy 123.378025 85.60514) (xy 123.343831 85.68769) (xy 123.3264 85.775324) (xy 121.406977 85.775324) (xy 121.506202 85.6761)
(xy 122.393183 85.6761) (xy 122.42 85.678741) (xy 122.446817 85.6761) (xy 122.446824 85.6761) (xy 122.527054 85.668198)
(xy 122.629994 85.636972) (xy 122.724865 85.586262) (xy 122.808019 85.518019) (xy 122.825122 85.497179) (xy 125.562596 82.759706)
(xy 126.207404 82.759706) (xy 126.423901 82.976203) (xy 126.4239 84.055845) (xy 126.412941 84.072246) (xy 126.365556 84.186644)
(xy 126.3414 84.308088) (xy 126.3414 84.431912) (xy 126.365556 84.553356) (xy 126.412941 84.667754) (xy 126.4239 84.684155)
(xy 126.4239 85.055193) (xy 126.423621 85.056636) (xy 126.4239 85.108831) (xy 126.4239 85.134324) (xy 126.424044 85.135787)
(xy 126.424196 85.164206) (xy 126.429303 85.18918) (xy 126.431802 85.214554) (xy 126.440054 85.241757) (xy 126.445747 85.269597)
(xy 126.455627 85.293093) (xy 126.463029 85.317494) (xy 126.476429 85.342563) (xy 126.487444 85.368759) (xy 126.50172 85.389879)
(xy 126.513739 85.412365) (xy 126.531771 85.434336) (xy 126.547686 85.457882) (xy 126.565808 85.475812) (xy 126.581982 85.495519)
(xy 126.603954 85.513551) (xy 126.624157 85.533539) (xy 126.645427 85.547588) (xy 126.665136 85.563762) (xy 126.690204 85.577161)
(xy 126.691427 85.577969) (xy 126.713879 85.589816) (xy 126.760007 85.614472) (xy 126.761418 85.6149) (xy 127.925294 86.229023)
(xy 127.925294 86.44625) (xy 127.934391 86.538617) (xy 127.961334 86.627434) (xy 128.005086 86.709288) (xy 128.063966 86.781034)
(xy 128.1339 86.838427) (xy 128.133901 87.770674) (xy 128.131259 87.7975) (xy 128.135294 87.838471) (xy 128.135294 88.17625)
(xy 128.143911 88.263739) (xy 128.169431 88.347867) (xy 128.210872 88.425399) (xy 128.266644 88.493356) (xy 128.334601 88.549128)
(xy 128.412133 88.590569) (xy 128.496261 88.616089) (xy 128.58375 88.624706) (xy 129.02125 88.624706) (xy 129.108739 88.616089)
(xy 129.192867 88.590569) (xy 129.270399 88.549128) (xy 129.338356 88.493356) (xy 129.394128 88.425399) (xy 129.410376 88.395)
(xy 129.684771 88.395) (xy 129.689675 88.444793) (xy 129.704199 88.492672) (xy 129.727785 88.536798) (xy 129.759526 88.575474)
(xy 129.798202 88.607215) (xy 129.842328 88.630801) (xy 129.890207 88.645325) (xy 129.94 88.650229) (xy 130.3013 88.649)
(xy 130.3648 88.5855) (xy 130.3648 87.9327) (xy 130.3902 87.9327) (xy 130.3902 88.5855) (xy 130.4537 88.649)
(xy 130.815 88.650229) (xy 130.864793 88.645325) (xy 130.912672 88.630801) (xy 130.956798 88.607215) (xy 130.995474 88.575474)
(xy 131.027215 88.536798) (xy 131.050801 88.492672) (xy 131.065325 88.444793) (xy 131.070229 88.395) (xy 131.069 87.9962)
(xy 131.0055 87.9327) (xy 130.3902 87.9327) (xy 130.3648 87.9327) (xy 129.7495 87.9327) (xy 129.686 87.9962)
(xy 129.684771 88.395) (xy 129.410376 88.395) (xy 129.435569 88.347867) (xy 129.461089 88.263739) (xy 129.469706 88.17625)
(xy 129.469706 87.66375) (xy 129.461089 87.576261) (xy 129.435569 87.492133) (xy 129.410377 87.445) (xy 129.684771 87.445)
(xy 129.686 87.8438) (xy 129.7495 87.9073) (xy 130.3648 87.9073) (xy 130.3648 87.2545) (xy 130.3902 87.2545)
(xy 130.3902 87.9073) (xy 131.0055 87.9073) (xy 131.069 87.8438) (xy 131.070229 87.445) (xy 131.065325 87.395207)
(xy 131.050801 87.347328) (xy 131.027215 87.303202) (xy 130.995474 87.264526) (xy 130.956798 87.232785) (xy 130.912672 87.209199)
(xy 130.864793 87.194675) (xy 130.815 87.189771) (xy 130.4537 87.191) (xy 130.3902 87.2545) (xy 130.3648 87.2545)
(xy 130.3013 87.191) (xy 129.94 87.189771) (xy 129.890207 87.194675) (xy 129.842328 87.209199) (xy 129.798202 87.232785)
(xy 129.759526 87.264526) (xy 129.727785 87.303202) (xy 129.704199 87.347328) (xy 129.689675 87.395207) (xy 129.684771 87.445)
(xy 129.410377 87.445) (xy 129.394128 87.414601) (xy 129.338356 87.346644) (xy 129.270399 87.290872) (xy 129.2261 87.267194)
(xy 129.2261 86.774861) (xy 129.279914 86.709288) (xy 129.323666 86.627434) (xy 129.350609 86.538617) (xy 129.359706 86.44625)
(xy 129.359706 85.53375) (xy 129.350609 85.441383) (xy 129.323666 85.352566) (xy 129.279914 85.270712) (xy 129.221034 85.198966)
(xy 129.149288 85.140086) (xy 129.067434 85.096334) (xy 128.978617 85.069391) (xy 128.88625 85.060294) (xy 128.39875 85.060294)
(xy 128.306383 85.069391) (xy 128.217566 85.096334) (xy 128.16862 85.122496) (xy 127.5161 84.778192) (xy 127.5161 84.684155)
(xy 127.527059 84.667754) (xy 127.574444 84.553356) (xy 127.585056 84.5) (xy 129.015065 84.5) (xy 129.024384 84.594606)
(xy 129.051978 84.685576) (xy 129.096792 84.769415) (xy 129.141987 84.824486) (xy 129.804863 85.487362) (xy 129.800294 85.53375)
(xy 129.800294 86.44625) (xy 129.809391 86.538617) (xy 129.836334 86.627434) (xy 129.880086 86.709288) (xy 129.938966 86.781034)
(xy 130.010712 86.839914) (xy 130.092566 86.883666) (xy 130.181383 86.910609) (xy 130.27375 86.919706) (xy 130.76125 86.919706)
(xy 130.853617 86.910609) (xy 130.942434 86.883666) (xy 131.024288 86.839914) (xy 131.096034 86.781034) (xy 131.154914 86.709288)
(xy 131.198666 86.627434) (xy 131.225609 86.538617) (xy 131.234706 86.44625) (xy 131.234706 85.53375) (xy 131.225609 85.441383)
(xy 131.198666 85.352566) (xy 131.154914 85.270712) (xy 131.096034 85.198966) (xy 131.024288 85.140086) (xy 130.942434 85.096334)
(xy 130.853617 85.069391) (xy 130.76125 85.060294) (xy 130.742793 85.060294) (xy 129.824486 84.141987) (xy 129.769415 84.096792)
(xy 129.685576 84.051978) (xy 129.594606 84.024384) (xy 129.5 84.015065) (xy 129.405394 84.024384) (xy 129.314424 84.051978)
(xy 129.230585 84.096792) (xy 129.1571 84.1571) (xy 129.096792 84.230585) (xy 129.051978 84.314424) (xy 129.024384 84.405394)
(xy 129.015065 84.5) (xy 127.585056 84.5) (xy 127.5986 84.431912) (xy 127.5986 84.308088) (xy 127.574444 84.186644)
(xy 127.527059 84.072246) (xy 127.5161 84.055845) (xy 127.5161 82.776813) (xy 127.518741 82.749999) (xy 127.5161 82.723185)
(xy 127.5161 82.723176) (xy 127.508198 82.642946) (xy 127.476972 82.540006) (xy 127.426262 82.445135) (xy 127.358019 82.361981)
(xy 127.337184 82.344882) (xy 127.329706 82.337404) (xy 127.329706 80.73) (xy 127.325292 80.685187) (xy 127.312221 80.642095)
(xy 127.290994 80.602382) (xy 127.262427 80.567573) (xy 127.227618 80.539006) (xy 127.187905 80.517779) (xy 127.144813 80.504708)
(xy 127.1 80.500294) (xy 124.6 80.500294) (xy 124.555187 80.504708) (xy 124.512095 80.517779) (xy 124.472382 80.539006)
(xy 124.437573 80.567573) (xy 124.409006 80.602382) (xy 124.387779 80.642095) (xy 124.374708 80.685187) (xy 124.370294 80.73)
(xy 124.370294 82.407404) (xy 122.193799 84.5839) (xy 121.306817 84.5839) (xy 121.28 84.581259) (xy 121.253183 84.5839)
(xy 121.253176 84.5839) (xy 121.172946 84.591802) (xy 121.070006 84.623028) (xy 121.070004 84.623029) (xy 120.975135 84.673738)
(xy 120.918931 84.719864) (xy 120.891981 84.741981) (xy 120.874882 84.762816) (xy 118.497405 87.140294) (xy 118.08 87.140294)
(xy 118.035187 87.144708) (xy 117.992095 87.157779) (xy 117.952382 87.179006) (xy 117.917573 87.207573) (xy 117.889006 87.242382)
(xy 117.867779 87.282095) (xy 117.854708 87.325187) (xy 117.850294 87.37) (xy 117.850294 88.17) (xy 117.854708 88.214813)
(xy 117.867779 88.257905) (xy 117.889006 88.297618) (xy 117.917573 88.332427) (xy 117.952382 88.360994) (xy 117.992095 88.382221)
(xy 118.035187 88.395292) (xy 118.08 88.399706) (xy 118.1109 88.399706) (xy 118.1109 88.766403) (xy 114.316404 92.5609)
(xy 112.099295 92.5609) (xy 112.090569 92.532133) (xy 112.049128 92.454601) (xy 111.993356 92.386644) (xy 111.925399 92.330872)
(xy 111.847867 92.289431) (xy 111.763739 92.263911) (xy 111.67625 92.255294) (xy 111.23875 92.255294) (xy 111.151261 92.263911)
(xy 111.067133 92.289431) (xy 110.989601 92.330872) (xy 110.921644 92.386644) (xy 110.865872 92.454601) (xy 110.824431 92.532133)
(xy 110.798911 92.616261) (xy 110.790294 92.70375) (xy 110.549706 92.70375) (xy 110.541089 92.616261) (xy 110.515569 92.532133)
(xy 110.474128 92.454601) (xy 110.418356 92.386644) (xy 110.350399 92.330872) (xy 110.272867 92.289431) (xy 110.188739 92.263911)
(xy 110.10125 92.255294) (xy 109.66375 92.255294) (xy 109.576261 92.263911) (xy 109.492133 92.289431) (xy 109.414601 92.330872)
(xy 109.346644 92.386644) (xy 109.290872 92.454601) (xy 109.249431 92.532133) (xy 109.246772 92.5409) (xy 102.633596 92.5409)
(xy 102.418643 92.325947) (xy 102.473602 92.303182) (xy 102.513316 92.281954) (xy 102.594815 92.227498) (xy 102.629624 92.198932)
(xy 102.698932 92.129624) (xy 102.727498 92.094815) (xy 102.781954 92.013316) (xy 102.803182 91.973602) (xy 102.840691 91.883046)
(xy 102.853762 91.839955) (xy 102.872884 91.743822) (xy 102.877298 91.699009) (xy 102.877298 91.674447) (xy 102.879706 91.65)
(xy 102.879706 91.425324) (xy 105.9364 91.425324) (xy 105.9364 91.514676) (xy 105.953831 91.60231) (xy 105.988025 91.68486)
(xy 106.037666 91.759153) (xy 106.100847 91.822334) (xy 106.17514 91.871975) (xy 106.25769 91.906169) (xy 106.345324 91.9236)
(xy 106.434676 91.9236) (xy 106.52231 91.906169) (xy 106.563517 91.8891) (xy 109.94942 91.8891) (xy 109.97 91.891127)
(xy 109.99058 91.8891) (xy 110.052158 91.883035) (xy 110.131158 91.859071) (xy 110.203966 91.820154) (xy 110.267782 91.767782)
(xy 110.280907 91.751789) (xy 111.761794 90.270903) (xy 111.777782 90.257782) (xy 111.830154 90.193966) (xy 111.869071 90.121158)
(xy 111.893035 90.042158) (xy 111.8991 89.98058) (xy 111.8991 89.980578) (xy 111.901127 89.960001) (xy 111.8991 89.939424)
(xy 111.8991 89.617582) (xy 111.913576 89.613191) (xy 111.99651 89.568861) (xy 112.069203 89.509203) (xy 112.128861 89.43651)
(xy 112.173191 89.353576) (xy 112.200489 89.263586) (xy 112.209706 89.17) (xy 112.209706 87.67) (xy 112.850294 87.67)
(xy 112.850294 90.17) (xy 112.859511 90.263586) (xy 112.886809 90.353576) (xy 112.931139 90.43651) (xy 112.990797 90.509203)
(xy 113.06349 90.568861) (xy 113.146424 90.613191) (xy 113.236414 90.640489) (xy 113.33 90.649706) (xy 114.43 90.649706)
(xy 114.523586 90.640489) (xy 114.613576 90.613191) (xy 114.69651 90.568861) (xy 114.769203 90.509203) (xy 114.828861 90.43651)
(xy 114.873191 90.353576) (xy 114.900489 90.263586) (xy 114.909706 90.17) (xy 114.909706 87.67) (xy 114.900489 87.576414)
(xy 114.873191 87.486424) (xy 114.828861 87.40349) (xy 114.769203 87.330797) (xy 114.69651 87.271139) (xy 114.613576 87.226809)
(xy 114.523586 87.199511) (xy 114.43 87.190294) (xy 113.33 87.190294) (xy 113.236414 87.199511) (xy 113.146424 87.226809)
(xy 113.06349 87.271139) (xy 112.990797 87.330797) (xy 112.931139 87.40349) (xy 112.886809 87.486424) (xy 112.859511 87.576414)
(xy 112.850294 87.67) (xy 112.209706 87.67) (xy 112.209706 86.712595) (xy 112.926202 85.9961) (xy 114.933799 85.9961)
(xy 115.850294 86.912596) (xy 115.850294 87.22) (xy 115.854708 87.264813) (xy 115.867779 87.307905) (xy 115.889006 87.347618)
(xy 115.917573 87.382427) (xy 115.952382 87.410994) (xy 115.992095 87.432221) (xy 116.035187 87.445292) (xy 116.08 87.449706)
(xy 116.98 87.449706) (xy 117.024813 87.445292) (xy 117.067905 87.432221) (xy 117.107618 87.410994) (xy 117.142427 87.382427)
(xy 117.170994 87.347618) (xy 117.192221 87.307905) (xy 117.205292 87.264813) (xy 117.209706 87.22) (xy 117.209706 86.42)
(xy 117.205292 86.375187) (xy 117.192221 86.332095) (xy 117.170994 86.292382) (xy 117.142427 86.257573) (xy 117.107618 86.229006)
(xy 117.067905 86.207779) (xy 117.024813 86.194708) (xy 116.98 86.190294) (xy 116.672596 86.190294) (xy 115.565122 85.082821)
(xy 115.548019 85.061981) (xy 115.464865 84.993738) (xy 115.369994 84.943028) (xy 115.267054 84.911802) (xy 115.186824 84.9039)
(xy 115.186817 84.9039) (xy 115.16 84.901259) (xy 115.133183 84.9039) (xy 112.726824 84.9039) (xy 112.7 84.901258)
(xy 112.673176 84.9039) (xy 112.592946 84.911802) (xy 112.490006 84.943028) (xy 112.395135 84.993738) (xy 112.311981 85.061981)
(xy 112.294882 85.082816) (xy 112.209706 85.167992) (xy 112.209706 84.17) (xy 112.200489 84.076414) (xy 112.1986 84.070187)
(xy 112.1986 80.64) (xy 113.155294 80.64) (xy 113.155294 81.7) (xy 113.159708 81.744813) (xy 113.163901 81.758635)
(xy 113.1639 82.343183) (xy 113.161259 82.37) (xy 113.1639 82.396817) (xy 113.1639 82.396823) (xy 113.171802 82.477053)
(xy 113.203028 82.579993) (xy 113.253738 82.674865) (xy 113.321981 82.758019) (xy 113.342821 82.775122) (xy 114.614882 84.047184)
(xy 114.631981 84.068019) (xy 114.652816 84.085118) (xy 114.689581 84.11529) (xy 114.715135 84.136262) (xy 114.810006 84.186972)
(xy 114.912946 84.218198) (xy 114.993176 84.2261) (xy 114.993183 84.2261) (xy 115.02 84.228741) (xy 115.046817 84.2261)
(xy 115.467857 84.2261) (xy 115.486809 84.288576) (xy 115.531139 84.371511) (xy 115.590796 84.444204) (xy 115.663489 84.503861)
(xy 115.746424 84.548191) (xy 115.836413 84.575489) (xy 115.929999 84.584706) (xy 116.472405 84.584706) (xy 117.850294 85.962596)
(xy 117.850294 86.27) (xy 117.854708 86.314813) (xy 117.867779 86.357905) (xy 117.889006 86.397618) (xy 117.917573 86.432427)
(xy 117.952382 86.460994) (xy 117.992095 86.482221) (xy 118.035187 86.495292) (xy 118.08 86.499706) (xy 118.98 86.499706)
(xy 119.024813 86.495292) (xy 119.067905 86.482221) (xy 119.107618 86.460994) (xy 119.142427 86.432427) (xy 119.170994 86.397618)
(xy 119.192221 86.357905) (xy 119.205292 86.314813) (xy 119.209706 86.27) (xy 119.209706 85.47) (xy 119.205292 85.425187)
(xy 119.192221 85.382095) (xy 119.170994 85.342382) (xy 119.142427 85.307573) (xy 119.107618 85.279006) (xy 119.067905 85.257779)
(xy 119.024813 85.244708) (xy 118.98 85.240294) (xy 118.672596 85.240294) (xy 117.787302 84.355) (xy 118.124771 84.355)
(xy 118.129675 84.404793) (xy 118.144199 84.452672) (xy 118.167785 84.496798) (xy 118.199526 84.535474) (xy 118.238202 84.567215)
(xy 118.282328 84.590801) (xy 118.330207 84.605325) (xy 118.38 84.610229) (xy 119.0038 84.609) (xy 119.0673 84.5455)
(xy 119.0673 83.6927) (xy 119.0927 83.6927) (xy 119.0927 84.5455) (xy 119.1562 84.609) (xy 119.78 84.610229)
(xy 119.829793 84.605325) (xy 119.877672 84.590801) (xy 119.921798 84.567215) (xy 119.960474 84.535474) (xy 119.992215 84.496798)
(xy 120.015801 84.452672) (xy 120.030325 84.404793) (xy 120.035229 84.355) (xy 120.034 83.7562) (xy 119.9705 83.6927)
(xy 119.0927 83.6927) (xy 119.0673 83.6927) (xy 118.1895 83.6927) (xy 118.126 83.7562) (xy 118.124771 84.355)
(xy 117.787302 84.355) (xy 117.309706 83.877405) (xy 117.309706 83.522595) (xy 117.827301 83.005) (xy 118.124771 83.005)
(xy 118.126 83.6038) (xy 118.1895 83.6673) (xy 119.0673 83.6673) (xy 119.0673 82.8145) (xy 119.0927 82.8145)
(xy 119.0927 83.6673) (xy 119.9705 83.6673) (xy 120.034 83.6038) (xy 120.035229 83.005) (xy 120.030325 82.955207)
(xy 120.015801 82.907328) (xy 119.992215 82.863202) (xy 119.960474 82.824526) (xy 119.921798 82.792785) (xy 119.877672 82.769199)
(xy 119.829793 82.754675) (xy 119.78 82.749771) (xy 119.1562 82.751) (xy 119.0927 82.8145) (xy 119.0673 82.8145)
(xy 119.0038 82.751) (xy 118.38 82.749771) (xy 118.330207 82.754675) (xy 118.282328 82.769199) (xy 118.238202 82.792785)
(xy 118.199526 82.824526) (xy 118.167785 82.863202) (xy 118.144199 82.907328) (xy 118.129675 82.955207) (xy 118.124771 83.005)
(xy 117.827301 83.005) (xy 118.656202 82.1761) (xy 120.370294 82.1761) (xy 120.370294 82.53) (xy 120.374708 82.574813)
(xy 120.387779 82.617905) (xy 120.409006 82.657618) (xy 120.437573 82.692427) (xy 120.472382 82.720994) (xy 120.512095 82.742221)
(xy 120.555187 82.755292) (xy 120.6 82.759706) (xy 121.3609 82.759706) (xy 121.3609 83.626482) (xy 121.343831 83.66769)
(xy 121.3264 83.755324) (xy 121.3264 83.844676) (xy 121.343831 83.93231) (xy 121.378025 84.01486) (xy 121.427666 84.089153)
(xy 121.490847 84.152334) (xy 121.56514 84.201975) (xy 121.64769 84.236169) (xy 121.735324 84.2536) (xy 121.824676 84.2536)
(xy 121.91231 84.236169) (xy 121.99486 84.201975) (xy 122.069153 84.152334) (xy 122.132334 84.089153) (xy 122.181975 84.01486)
(xy 122.216169 83.93231) (xy 122.2336 83.844676) (xy 122.2336 83.755324) (xy 122.216169 83.66769) (xy 122.1991 83.626483)
(xy 122.1991 82.759706) (xy 123.1 82.759706) (xy 123.144813 82.755292) (xy 123.187905 82.742221) (xy 123.227618 82.720994)
(xy 123.262427 82.692427) (xy 123.290994 82.657618) (xy 123.312221 82.617905) (xy 123.325292 82.574813) (xy 123.329706 82.53)
(xy 123.329706 80.73) (xy 123.325292 80.685187) (xy 123.312221 80.642095) (xy 123.290994 80.602382) (xy 123.262427 80.567573)
(xy 123.227618 80.539006) (xy 123.187905 80.517779) (xy 123.144813 80.504708) (xy 123.1 80.500294) (xy 120.6 80.500294)
(xy 120.555187 80.504708) (xy 120.512095 80.517779) (xy 120.472382 80.539006) (xy 120.437573 80.567573) (xy 120.409006 80.602382)
(xy 120.387779 80.642095) (xy 120.374708 80.685187) (xy 120.370294 80.73) (xy 120.370294 81.0839) (xy 118.456817 81.0839)
(xy 118.43 81.081259) (xy 118.403183 81.0839) (xy 118.403176 81.0839) (xy 118.322946 81.091802) (xy 118.220006 81.123028)
(xy 118.220004 81.123029) (xy 118.125135 81.173738) (xy 118.067392 81.221127) (xy 118.041981 81.241981) (xy 118.024882 81.262816)
(xy 116.512405 82.775294) (xy 115.929999 82.775294) (xy 115.836413 82.784511) (xy 115.746424 82.811809) (xy 115.663489 82.856139)
(xy 115.590796 82.915796) (xy 115.531139 82.988489) (xy 115.486809 83.071424) (xy 115.467857 83.1339) (xy 115.246202 83.1339)
(xy 114.2561 82.143799) (xy 114.2561 81.941495) (xy 114.285207 81.950325) (xy 114.335 81.955229) (xy 114.4822 81.954)
(xy 114.5457 81.8905) (xy 114.5457 81.2843) (xy 114.5257 81.2843) (xy 114.5257 81.0557) (xy 114.5457 81.0557)
(xy 114.5457 80.4495) (xy 114.7743 80.4495) (xy 114.7743 81.0557) (xy 114.7943 81.0557) (xy 114.7943 81.2843)
(xy 114.7743 81.2843) (xy 114.7743 81.8905) (xy 114.8378 81.954) (xy 114.985 81.955229) (xy 115.034793 81.950325)
(xy 115.082672 81.935801) (xy 115.126798 81.912215) (xy 115.155019 81.889055) (xy 115.157382 81.890994) (xy 115.197095 81.912221)
(xy 115.240187 81.925292) (xy 115.285 81.929706) (xy 115.935 81.929706) (xy 115.979813 81.925292) (xy 116.022905 81.912221)
(xy 116.062618 81.890994) (xy 116.097427 81.862427) (xy 116.125994 81.827618) (xy 116.147221 81.787905) (xy 116.160292 81.744813)
(xy 116.164706 81.7) (xy 116.164706 81.5291) (xy 117.166483 81.5291) (xy 117.20769 81.546169) (xy 117.295324 81.5636)
(xy 117.384676 81.5636) (xy 117.47231 81.546169) (xy 117.55486 81.511975) (xy 117.629153 81.462334) (xy 117.692334 81.399153)
(xy 117.741975 81.32486) (xy 117.776169 81.24231) (xy 117.7936 81.154676) (xy 117.7936 81.065324) (xy 117.776169 80.97769)
(xy 117.741975 80.89514) (xy 117.692334 80.820847) (xy 117.629153 80.757666) (xy 117.55486 80.708025) (xy 117.47231 80.673831)
(xy 117.384676 80.6564) (xy 117.295324 80.6564) (xy 117.20769 80.673831) (xy 117.166483 80.6909) (xy 116.164706 80.6909)
(xy 116.164706 80.64) (xy 116.160292 80.595187) (xy 116.147221 80.552095) (xy 116.125994 80.512382) (xy 116.097427 80.477573)
(xy 116.062618 80.449006) (xy 116.022905 80.427779) (xy 115.979813 80.414708) (xy 115.935 80.410294) (xy 115.285 80.410294)
(xy 115.240187 80.414708) (xy 115.197095 80.427779) (xy 115.157382 80.449006) (xy 115.155019 80.450945) (xy 115.126798 80.427785)
(xy 115.082672 80.404199) (xy 115.034793 80.389675) (xy 114.985 80.384771) (xy 114.8378 80.386) (xy 114.7743 80.4495)
(xy 114.5457 80.4495) (xy 114.4822 80.386) (xy 114.335 80.384771) (xy 114.285207 80.389675) (xy 114.237328 80.404199)
(xy 114.193202 80.427785) (xy 114.164981 80.450945) (xy 114.162618 80.449006) (xy 114.122905 80.427779) (xy 114.079813 80.414708)
(xy 114.035 80.410294) (xy 113.385 80.410294) (xy 113.340187 80.414708) (xy 113.297095 80.427779) (xy 113.257382 80.449006)
(xy 113.222573 80.477573) (xy 113.194006 80.512382) (xy 113.172779 80.552095) (xy 113.159708 80.595187) (xy 113.155294 80.64)
(xy 112.1986 80.64) (xy 112.1986 79.9) (xy 112.19112 79.842001) (xy 112.175556 79.799977) (xy 112.152093 79.761797)
(xy 112.121632 79.728928) (xy 111.681632 79.338928) (xy 111.657003 79.319926) (xy 111.617481 79.298801) (xy 111.574598 79.285792)
(xy 111.53 79.2814) (xy 111.081875 79.2814) (xy 111.059813 79.274708) (xy 111.015 79.270294) (xy 110.365 79.270294)
(xy 110.320187 79.274708) (xy 110.277095 79.287779) (xy 110.237382 79.309006) (xy 110.202573 79.337573) (xy 110.174006 79.372382)
(xy 110.152779 79.412095) (xy 110.139708 79.455187) (xy 110.135294 79.5) (xy 110.135294 80.56) (xy 110.139708 80.604813)
(xy 110.1414 80.610391) (xy 110.1414 81.27922) (xy 110.119601 81.290872) (xy 110.051644 81.346644) (xy 109.995872 81.414601)
(xy 109.954431 81.492133) (xy 109.928911 81.576261) (xy 109.920294 81.66375) (xy 109.920294 82.17625) (xy 109.928911 82.263739)
(xy 109.954431 82.347867) (xy 109.995872 82.425399) (xy 110.051644 82.493356) (xy 110.119601 82.549128) (xy 110.1414 82.56078)
(xy 110.1414 83.19) (xy 110.147955 83.24435) (xy 110.162825 83.286624) (xy 110.185656 83.325186) (xy 110.756793 84.104009)
(xy 110.750294 84.17) (xy 110.750294 89.17) (xy 110.759511 89.263586) (xy 110.786809 89.353576) (xy 110.831139 89.43651)
(xy 110.890797 89.509203) (xy 110.96349 89.568861) (xy 111.046424 89.613191) (xy 111.060901 89.617582) (xy 111.060901 89.786402)
(xy 109.796404 91.0509) (xy 106.563517 91.0509) (xy 106.52231 91.033831) (xy 106.434676 91.0164) (xy 106.345324 91.0164)
(xy 106.25769 91.033831) (xy 106.17514 91.068025) (xy 106.100847 91.117666) (xy 106.037666 91.180847) (xy 105.988025 91.25514)
(xy 105.953831 91.33769) (xy 105.9364 91.425324) (xy 102.879706 91.425324) (xy 102.879706 91.15) (xy 102.875292 91.105187)
(xy 102.862221 91.062095) (xy 102.840994 91.022382) (xy 102.822626 91) (xy 102.840994 90.977618) (xy 102.862221 90.937905)
(xy 102.875292 90.894813) (xy 102.879706 90.85) (xy 102.879706 90.35) (xy 102.877298 90.325553) (xy 102.877298 90.300991)
(xy 102.872884 90.256178) (xy 102.853762 90.160045) (xy 102.840691 90.116954) (xy 102.803182 90.026398) (xy 102.781954 89.986684)
(xy 102.727498 89.905185) (xy 102.698932 89.870376) (xy 102.629624 89.801068) (xy 102.594815 89.772502) (xy 102.513316 89.718046)
(xy 102.473602 89.696818) (xy 102.383046 89.659309) (xy 102.339955 89.646238) (xy 102.3191 89.64209) (xy 102.3191 88.954706)
(xy 102.45625 88.954706) (xy 102.543739 88.946089) (xy 102.627867 88.920569) (xy 102.705399 88.879128) (xy 102.773356 88.823356)
(xy 102.829128 88.755399) (xy 102.870569 88.677867) (xy 102.896089 88.593739) (xy 102.904706 88.50625) (xy 102.904706 88.06875)
(xy 102.896089 87.981261) (xy 102.870569 87.897133) (xy 102.829128 87.819601) (xy 102.773356 87.751644) (xy 102.705399 87.695872)
(xy 102.656996 87.67) (xy 106.050294 87.67) (xy 106.050294 90.17) (xy 106.059511 90.263586) (xy 106.086809 90.353576)
(xy 106.131139 90.43651) (xy 106.190797 90.509203) (xy 106.26349 90.568861) (xy 106.346424 90.613191) (xy 106.436414 90.640489)
(xy 106.53 90.649706) (xy 107.63 90.649706) (xy 107.723586 90.640489) (xy 107.813576 90.613191) (xy 107.89651 90.568861)
(xy 107.969203 90.509203) (xy 108.028861 90.43651) (xy 108.073191 90.353576) (xy 108.100489 90.263586) (xy 108.109706 90.17)
(xy 108.109706 89.419999) (xy 108.724771 89.419999) (xy 108.729675 89.469792) (xy 108.744199 89.517671) (xy 108.767785 89.561797)
(xy 108.799526 89.600473) (xy 108.838202 89.632214) (xy 108.882328 89.6558) (xy 108.930207 89.670324) (xy 108.98 89.675228)
(xy 109.3022 89.673999) (xy 109.3657 89.610499) (xy 109.3657 86.7843) (xy 109.5943 86.7843) (xy 109.5943 89.610499)
(xy 109.6578 89.673999) (xy 109.98 89.675228) (xy 110.029793 89.670324) (xy 110.077672 89.6558) (xy 110.121798 89.632214)
(xy 110.160474 89.600473) (xy 110.192215 89.561797) (xy 110.215801 89.517671) (xy 110.230325 89.469792) (xy 110.235229 89.419999)
(xy 110.234 86.8478) (xy 110.1705 86.7843) (xy 109.5943 86.7843) (xy 109.3657 86.7843) (xy 108.7895 86.7843)
(xy 108.726 86.8478) (xy 108.724771 89.419999) (xy 108.109706 89.419999) (xy 108.109706 87.67) (xy 108.100489 87.576414)
(xy 108.073191 87.486424) (xy 108.028861 87.40349) (xy 107.969203 87.330797) (xy 107.89651 87.271139) (xy 107.813576 87.226809)
(xy 107.723586 87.199511) (xy 107.63 87.190294) (xy 106.53 87.190294) (xy 106.436414 87.199511) (xy 106.346424 87.226809)
(xy 106.26349 87.271139) (xy 106.190797 87.330797) (xy 106.131139 87.40349) (xy 106.086809 87.486424) (xy 106.059511 87.576414)
(xy 106.050294 87.67) (xy 102.656996 87.67) (xy 102.627867 87.654431) (xy 102.543739 87.628911) (xy 102.45625 87.620294)
(xy 101.94375 87.620294) (xy 101.856261 87.628911) (xy 101.772133 87.654431) (xy 101.694601 87.695872) (xy 101.626644 87.751644)
(xy 101.570872 87.819601) (xy 101.529431 87.897133) (xy 101.503911 87.981261) (xy 101.495294 88.06875) (xy 101.495294 88.477888)
(xy 101.486966 88.505342) (xy 101.480901 88.56692) (xy 101.4809 89.64209) (xy 101.460045 89.646238) (xy 101.416954 89.659309)
(xy 101.326398 89.696818) (xy 101.286684 89.718046) (xy 101.205185 89.772502) (xy 101.170376 89.801068) (xy 101.101068 89.870376)
(xy 101.072502 89.905185) (xy 101.018046 89.986684) (xy 100.996818 90.026398) (xy 100.959309 90.116954) (xy 100.946238 90.160045)
(xy 100.927116 90.256178) (xy 100.922702 90.300991) (xy 100.922702 90.325553) (xy 100.920294 90.35) (xy 93.7861 90.35)
(xy 93.7861 86.805326) (xy 96.3364 86.805326) (xy 96.3364 86.894678) (xy 96.353831 86.982312) (xy 96.388025 87.064862)
(xy 96.437666 87.139155) (xy 96.500847 87.202336) (xy 96.57514 87.251977) (xy 96.65769 87.286171) (xy 96.745324 87.303602)
(xy 96.834676 87.303602) (xy 96.92231 87.286171) (xy 97.00486 87.251977) (xy 97.079153 87.202336) (xy 97.142334 87.139155)
(xy 97.191975 87.064862) (xy 97.226169 86.982312) (xy 97.2436 86.894678) (xy 97.2436 86.805326) (xy 97.226169 86.717692)
(xy 97.191975 86.635142) (xy 97.142334 86.560849) (xy 97.0948 86.513315) (xy 97.0948 80.882251) (xy 97.1209 80.856151)
(xy 97.124708 80.894813) (xy 97.137779 80.937905) (xy 97.159006 80.977618) (xy 97.187573 81.012427) (xy 97.222382 81.040994)
(xy 97.262095 81.062221) (xy 97.305187 81.075292) (xy 97.35 81.079706) (xy 98.25 81.079706) (xy 98.294813 81.075292)
(xy 98.337905 81.062221) (xy 98.377618 81.040994) (xy 98.3952 81.026565) (xy 98.3952 81.103748) (xy 97.778655 81.720294)
(xy 97.35 81.720294) (xy 97.305187 81.724708) (xy 97.262095 81.737779) (xy 97.222382 81.759006) (xy 97.187573 81.787573)
(xy 97.159006 81.822382) (xy 97.137779 81.862095) (xy 97.124708 81.905187) (xy 97.120294 81.95) (xy 97.120294 82.75)
(xy 97.124708 82.794813) (xy 97.137779 82.837905) (xy 97.159006 82.877618) (xy 97.187573 82.912427) (xy 97.222382 82.940994)
(xy 97.262095 82.962221) (xy 97.305187 82.975292) (xy 97.35 82.979706) (xy 98.25 82.979706) (xy 98.294813 82.975292)
(xy 98.337905 82.962221) (xy 98.377618 82.940994) (xy 98.412427 82.912427) (xy 98.440994 82.877618) (xy 98.462221 82.837905)
(xy 98.475292 82.794813) (xy 98.479706 82.75) (xy 98.479706 81.95) (xy 98.475292 81.905187) (xy 98.470771 81.890281)
(xy 98.904944 81.456108) (xy 98.916568 81.446568) (xy 98.920294 81.442028) (xy 98.920294 81.8) (xy 98.924708 81.844813)
(xy 98.937779 81.887905) (xy 98.959006 81.927618) (xy 98.987573 81.962427) (xy 99.022382 81.990994) (xy 99.062095 82.012221)
(xy 99.105187 82.025292) (xy 99.15 82.029706) (xy 99.1809 82.029706) (xy 99.180901 88.94058) (xy 99.186966 89.002158)
(xy 99.21093 89.081158) (xy 99.221608 89.101136) (xy 99.223831 89.11231) (xy 99.258025 89.19486) (xy 99.307666 89.269153)
(xy 99.370847 89.332334) (xy 99.44514 89.381975) (xy 99.52769 89.416169) (xy 99.615324 89.4336) (xy 99.704676 89.4336)
(xy 99.79231 89.416169) (xy 99.87486 89.381975) (xy 99.949153 89.332334) (xy 100.012334 89.269153) (xy 100.061975 89.19486)
(xy 100.096169 89.11231) (xy 100.1136 89.024676) (xy 100.1136 88.935324) (xy 100.096169 88.84769) (xy 100.061975 88.76514)
(xy 100.0191 88.700973) (xy 100.0191 88.055324) (xy 100.146408 88.055324) (xy 100.146408 88.144676) (xy 100.163839 88.23231)
(xy 100.198033 88.31486) (xy 100.247674 88.389153) (xy 100.310855 88.452334) (xy 100.385148 88.501975) (xy 100.467698 88.536169)
(xy 100.555332 88.5536) (xy 100.644684 88.5536) (xy 100.732318 88.536169) (xy 100.814868 88.501975) (xy 100.889161 88.452334)
(xy 100.952342 88.389153) (xy 101.001983 88.31486) (xy 101.036177 88.23231) (xy 101.053608 88.144676) (xy 101.053608 88.055324)
(xy 101.036177 87.96769) (xy 101.019108 87.926483) (xy 101.019108 86.49375) (xy 101.495294 86.49375) (xy 101.495294 86.93125)
(xy 101.503911 87.018739) (xy 101.529431 87.102867) (xy 101.570872 87.180399) (xy 101.626644 87.248356) (xy 101.694601 87.304128)
(xy 101.772133 87.345569) (xy 101.856261 87.371089) (xy 101.94375 87.379706) (xy 102.45625 87.379706) (xy 102.543739 87.371089)
(xy 102.627867 87.345569) (xy 102.705399 87.304128) (xy 102.773356 87.248356) (xy 102.829128 87.180399) (xy 102.855211 87.1316)
(xy 104.06692 87.1316) (xy 104.0875 87.133627) (xy 104.10808 87.1316) (xy 104.169658 87.125535) (xy 104.248658 87.101571)
(xy 104.321466 87.062654) (xy 104.385282 87.010282) (xy 104.398407 86.994289) (xy 105.290189 86.102508) (xy 105.298879 86.094515)
(xy 105.304701 86.087996) (xy 105.3109 86.081797) (xy 105.318413 86.072643) (xy 106.710966 84.513376) (xy 106.717782 84.507782)
(xy 106.738415 84.48264) (xy 106.746295 84.473817) (xy 106.751461 84.466744) (xy 106.770154 84.443966) (xy 106.77577 84.43346)
(xy 106.782789 84.423849) (xy 106.79518 84.397146) (xy 106.809071 84.371158) (xy 106.812529 84.359759) (xy 106.817538 84.348964)
(xy 106.824482 84.320354) (xy 106.833035 84.292158) (xy 106.834203 84.280304) (xy 106.83701 84.268737) (xy 106.838239 84.239317)
(xy 106.8391 84.23058) (xy 106.8391 84.218726) (xy 106.840457 84.186254) (xy 106.8391 84.177546) (xy 106.8391 83.920001)
(xy 108.724771 83.920001) (xy 108.726 86.4922) (xy 108.7895 86.5557) (xy 109.3657 86.5557) (xy 109.3657 83.729501)
(xy 109.5943 83.729501) (xy 109.5943 86.5557) (xy 110.1705 86.5557) (xy 110.234 86.4922) (xy 110.235229 83.920001)
(xy 110.230325 83.870208) (xy 110.215801 83.822329) (xy 110.192215 83.778203) (xy 110.160474 83.739527) (xy 110.121798 83.707786)
(xy 110.077672 83.6842) (xy 110.029793 83.669676) (xy 109.98 83.664772) (xy 109.6578 83.666001) (xy 109.5943 83.729501)
(xy 109.3657 83.729501) (xy 109.3022 83.666001) (xy 108.98 83.664772) (xy 108.930207 83.669676) (xy 108.882328 83.6842)
(xy 108.838202 83.707786) (xy 108.799526 83.739527) (xy 108.767785 83.778203) (xy 108.744199 83.822329) (xy 108.729675 83.870208)
(xy 108.724771 83.920001) (xy 106.8391 83.920001) (xy 106.8391 82.573596) (xy 107.017696 82.395) (xy 108.319771 82.395)
(xy 108.324675 82.444793) (xy 108.339199 82.492672) (xy 108.362785 82.536798) (xy 108.394526 82.575474) (xy 108.433202 82.607215)
(xy 108.477328 82.630801) (xy 108.525207 82.645325) (xy 108.575 82.650229) (xy 108.9363 82.649) (xy 108.9998 82.5855)
(xy 108.9998 81.9327) (xy 109.0252 81.9327) (xy 109.0252 82.5855) (xy 109.0887 82.649) (xy 109.45 82.650229)
(xy 109.499793 82.645325) (xy 109.547672 82.630801) (xy 109.591798 82.607215) (xy 109.630474 82.575474) (xy 109.662215 82.536798)
(xy 109.685801 82.492672) (xy 109.700325 82.444793) (xy 109.705229 82.395) (xy 109.704 81.9962) (xy 109.6405 81.9327)
(xy 109.0252 81.9327) (xy 108.9998 81.9327) (xy 108.3845 81.9327) (xy 108.321 81.9962) (xy 108.319771 82.395)
(xy 107.017696 82.395) (xy 107.967696 81.445) (xy 108.319771 81.445) (xy 108.321 81.8438) (xy 108.3845 81.9073)
(xy 108.9998 81.9073) (xy 108.9998 81.2545) (xy 109.0252 81.2545) (xy 109.0252 81.9073) (xy 109.6405 81.9073)
(xy 109.704 81.8438) (xy 109.705229 81.445) (xy 109.700325 81.395207) (xy 109.685801 81.347328) (xy 109.662215 81.303202)
(xy 109.630474 81.264526) (xy 109.591798 81.232785) (xy 109.547672 81.209199) (xy 109.499793 81.194675) (xy 109.45 81.189771)
(xy 109.0887 81.191) (xy 109.0252 81.2545) (xy 108.9998 81.2545) (xy 108.9363 81.191) (xy 108.575 81.189771)
(xy 108.525207 81.194675) (xy 108.477328 81.209199) (xy 108.433202 81.232785) (xy 108.394526 81.264526) (xy 108.362785 81.303202)
(xy 108.339199 81.347328) (xy 108.324675 81.395207) (xy 108.319771 81.445) (xy 107.967696 81.445) (xy 108.622991 80.789706)
(xy 109.115 80.789706) (xy 109.159813 80.785292) (xy 109.202905 80.772221) (xy 109.242618 80.750994) (xy 109.277427 80.722427)
(xy 109.305994 80.687618) (xy 109.327221 80.647905) (xy 109.340292 80.604813) (xy 109.344706 80.56) (xy 109.344706 80.256533)
(xy 109.347319 80.23) (xy 109.344706 80.203467) (xy 109.344706 79.5) (xy 109.340292 79.455187) (xy 109.327221 79.412095)
(xy 109.305994 79.372382) (xy 109.277427 79.337573) (xy 109.242618 79.309006) (xy 109.202905 79.287779) (xy 109.159813 79.274708)
(xy 109.115 79.270294) (xy 108.465 79.270294) (xy 108.420187 79.274708) (xy 108.377095 79.287779) (xy 108.337382 79.309006)
(xy 108.302573 79.337573) (xy 108.274006 79.372382) (xy 108.252779 79.412095) (xy 108.239708 79.455187) (xy 108.235294 79.5)
(xy 108.235294 79.992009) (xy 106.138206 82.089098) (xy 106.122219 82.102218) (xy 106.103412 82.125135) (xy 106.069846 82.166035)
(xy 106.03093 82.238842) (xy 106.006965 82.317843) (xy 105.998873 82.4) (xy 106.000901 82.42059) (xy 106.0009 84.050097)
(xy 104.695296 85.512007) (xy 103.913904 86.2934) (xy 102.855211 86.2934) (xy 102.829128 86.244601) (xy 102.773356 86.176644)
(xy 102.705399 86.120872) (xy 102.627867 86.079431) (xy 102.543739 86.053911) (xy 102.45625 86.045294) (xy 101.94375 86.045294)
(xy 101.856261 86.053911) (xy 101.772133 86.079431) (xy 101.694601 86.120872) (xy 101.626644 86.176644) (xy 101.570872 86.244601)
(xy 101.529431 86.322133) (xy 101.503911 86.406261) (xy 101.495294 86.49375) (xy 101.019108 86.49375) (xy 101.019108 85.473588)
(xy 102.523597 83.9691) (xy 104.003755 83.9691) (xy 104.03406 84.014455) (xy 104.135545 84.11594) (xy 104.254879 84.195677)
(xy 104.387475 84.2506) (xy 104.528239 84.2786) (xy 104.671761 84.2786) (xy 104.812525 84.2506) (xy 104.945121 84.195677)
(xy 105.064455 84.11594) (xy 105.16594 84.014455) (xy 105.245677 83.895121) (xy 105.3006 83.762525) (xy 105.3286 83.621761)
(xy 105.3286 83.478239) (xy 105.3006 83.337475) (xy 105.245677 83.204879) (xy 105.16594 83.085545) (xy 105.064455 82.98406)
(xy 104.945121 82.904323) (xy 104.812525 82.8494) (xy 104.671761 82.8214) (xy 104.528239 82.8214) (xy 104.387475 82.8494)
(xy 104.254879 82.904323) (xy 104.135545 82.98406) (xy 104.03406 83.085545) (xy 104.003755 83.1309) (xy 102.370577 83.1309)
(xy 102.349999 83.128873) (xy 102.329422 83.1309) (xy 102.32942 83.1309) (xy 102.267842 83.136965) (xy 102.188842 83.160929)
(xy 102.18884 83.16093) (xy 102.158588 83.1771) (xy 102.116034 83.199846) (xy 102.052218 83.252218) (xy 102.039097 83.268206)
(xy 100.318219 84.989085) (xy 100.302226 85.00221) (xy 100.249854 85.066027) (xy 100.210937 85.138835) (xy 100.191675 85.202334)
(xy 100.186973 85.217835) (xy 100.178881 85.299992) (xy 100.180908 85.320572) (xy 100.180909 87.92648) (xy 100.163839 87.96769)
(xy 100.146408 88.055324) (xy 100.0191 88.055324) (xy 100.0191 82.029706) (xy 100.05 82.029706) (xy 100.094813 82.025292)
(xy 100.137905 82.012221) (xy 100.177618 81.990994) (xy 100.212427 81.962427) (xy 100.222625 81.95) (xy 100.920294 81.95)
(xy 100.920294 82.75) (xy 100.924708 82.794813) (xy 100.937779 82.837905) (xy 100.959006 82.877618) (xy 100.987573 82.912427)
(xy 101.022382 82.940994) (xy 101.062095 82.962221) (xy 101.105187 82.975292) (xy 101.15 82.979706) (xy 102.05 82.979706)
(xy 102.094813 82.975292) (xy 102.137905 82.962221) (xy 102.177618 82.940994) (xy 102.212427 82.912427) (xy 102.240994 82.877618)
(xy 102.262221 82.837905) (xy 102.275292 82.794813) (xy 102.279706 82.75) (xy 102.279706 82.7056) (xy 102.584115 82.7056)
(xy 102.590847 82.712332) (xy 102.66514 82.761973) (xy 102.74769 82.796167) (xy 102.835324 82.813598) (xy 102.924676 82.813598)
(xy 103.01231 82.796167) (xy 103.09486 82.761973) (xy 103.169153 82.712332) (xy 103.232334 82.649151) (xy 103.281975 82.574858)
(xy 103.316169 82.492308) (xy 103.3336 82.404674) (xy 103.3336 82.315322) (xy 103.316169 82.227688) (xy 103.281975 82.145138)
(xy 103.232334 82.070845) (xy 103.169153 82.007664) (xy 103.09486 81.958023) (xy 103.01231 81.923829) (xy 102.924676 81.906398)
(xy 102.835324 81.906398) (xy 102.74769 81.923829) (xy 102.66514 81.958023) (xy 102.610698 81.9944) (xy 102.279706 81.9944)
(xy 102.279706 81.95) (xy 102.275292 81.905187) (xy 102.262221 81.862095) (xy 102.240994 81.822382) (xy 102.212427 81.787573)
(xy 102.177618 81.759006) (xy 102.137905 81.737779) (xy 102.094813 81.724708) (xy 102.05 81.720294) (xy 101.15 81.720294)
(xy 101.105187 81.724708) (xy 101.062095 81.737779) (xy 101.022382 81.759006) (xy 100.987573 81.787573) (xy 100.959006 81.822382)
(xy 100.937779 81.862095) (xy 100.924708 81.905187) (xy 100.920294 81.95) (xy 100.222625 81.95) (xy 100.240994 81.927618)
(xy 100.262221 81.887905) (xy 100.275292 81.844813) (xy 100.279706 81.8) (xy 100.279706 81) (xy 100.275292 80.955187)
(xy 100.262221 80.912095) (xy 100.240994 80.872382) (xy 100.212427 80.837573) (xy 100.177618 80.809006) (xy 100.137905 80.787779)
(xy 100.094813 80.774708) (xy 100.05 80.770294) (xy 99.260757 80.770294) (xy 100.217444 79.813608) (xy 100.229068 79.804068)
(xy 100.267158 79.757657) (xy 100.29546 79.704706) (xy 100.312889 79.647251) (xy 100.3173 79.602466) (xy 100.3173 79.602459)
(xy 100.318773 79.587501) (xy 100.3173 79.572543) (xy 100.3173 79.296231) (xy 100.318739 79.296089) (xy 100.402867 79.270569)
(xy 100.480399 79.229128) (xy 100.548356 79.173356) (xy 100.604128 79.105399) (xy 100.645569 79.027867) (xy 100.671089 78.943739)
(xy 100.679706 78.85625) (xy 100.679706 78.34375) (xy 100.920294 78.34375) (xy 100.920294 78.85625) (xy 100.928911 78.943739)
(xy 100.954431 79.027867) (xy 100.995872 79.105399) (xy 101.051644 79.173356) (xy 101.119601 79.229128) (xy 101.1684 79.255211)
(xy 101.168401 79.820294) (xy 101.15 79.820294) (xy 101.105187 79.824708) (xy 101.062095 79.837779) (xy 101.022382 79.859006)
(xy 100.987573 79.887573) (xy 100.959006 79.922382) (xy 100.937779 79.962095) (xy 100.924708 80.005187) (xy 100.920294 80.05)
(xy 100.920294 80.85) (xy 100.924708 80.894813) (xy 100.937779 80.937905) (xy 100.959006 80.977618) (xy 100.987573 81.012427)
(xy 101.022382 81.040994) (xy 101.062095 81.062221) (xy 101.105187 81.075292) (xy 101.15 81.079706) (xy 102.05 81.079706)
(xy 102.094813 81.075292) (xy 102.137905 81.062221) (xy 102.177618 81.040994) (xy 102.212427 81.012427) (xy 102.240994 80.977618)
(xy 102.262221 80.937905) (xy 102.275292 80.894813) (xy 102.279706 80.85) (xy 102.279706 80.235) (xy 106.139771 80.235)
(xy 106.144675 80.284793) (xy 106.159199 80.332672) (xy 106.182785 80.376798) (xy 106.214526 80.415474) (xy 106.253202 80.447215)
(xy 106.297328 80.470801) (xy 106.345207 80.485325) (xy 106.395 80.490229) (xy 106.7938 80.489) (xy 106.8573 80.4255)
(xy 106.8573 79.8102) (xy 106.8827 79.8102) (xy 106.8827 80.4255) (xy 106.9462 80.489) (xy 107.345 80.490229)
(xy 107.394793 80.485325) (xy 107.442672 80.470801) (xy 107.486798 80.447215) (xy 107.525474 80.415474) (xy 107.557215 80.376798)
(xy 107.580801 80.332672) (xy 107.595325 80.284793) (xy 107.600229 80.235) (xy 107.599 79.8737) (xy 107.5355 79.8102)
(xy 106.8827 79.8102) (xy 106.8573 79.8102) (xy 106.2045 79.8102) (xy 106.141 79.8737) (xy 106.139771 80.235)
(xy 102.279706 80.235) (xy 102.279706 80.05) (xy 102.275292 80.005187) (xy 102.262221 79.962095) (xy 102.240994 79.922382)
(xy 102.212427 79.887573) (xy 102.177618 79.859006) (xy 102.137905 79.837779) (xy 102.094813 79.824708) (xy 102.05 79.820294)
(xy 102.0066 79.820294) (xy 102.0066 79.36) (xy 106.139771 79.36) (xy 106.141 79.7213) (xy 106.2045 79.7848)
(xy 106.8573 79.7848) (xy 106.8573 79.1695) (xy 106.8827 79.1695) (xy 106.8827 79.7848) (xy 107.5355 79.7848)
(xy 107.599 79.7213) (xy 107.600229 79.36) (xy 107.595325 79.310207) (xy 107.580801 79.262328) (xy 107.557215 79.218202)
(xy 107.525474 79.179526) (xy 107.486798 79.147785) (xy 107.442672 79.124199) (xy 107.394793 79.109675) (xy 107.345 79.104771)
(xy 106.9462 79.106) (xy 106.8827 79.1695) (xy 106.8573 79.1695) (xy 106.7938 79.106) (xy 106.395 79.104771)
(xy 106.345207 79.109675) (xy 106.297328 79.124199) (xy 106.253202 79.147785) (xy 106.214526 79.179526) (xy 106.182785 79.218202)
(xy 106.159199 79.262328) (xy 106.144675 79.310207) (xy 106.139771 79.36) (xy 102.0066 79.36) (xy 102.0066 79.255211)
(xy 102.055399 79.229128) (xy 102.123356 79.173356) (xy 102.179128 79.105399) (xy 102.220569 79.027867) (xy 102.242491 78.9556)
(xy 102.415735 78.9556) (xy 102.48514 79.001975) (xy 102.56769 79.036169) (xy 102.655324 79.0536) (xy 102.744676 79.0536)
(xy 102.83231 79.036169) (xy 102.91486 79.001975) (xy 102.989153 78.952334) (xy 103.052334 78.889153) (xy 103.101975 78.81486)
(xy 103.136169 78.73231) (xy 103.1536 78.644676) (xy 103.1536 78.555324) (xy 103.136169 78.46769) (xy 103.101975 78.38514)
(xy 103.052334 78.310847) (xy 102.989153 78.247666) (xy 102.91486 78.198025) (xy 102.83231 78.163831) (xy 102.744676 78.1464)
(xy 102.655324 78.1464) (xy 102.56769 78.163831) (xy 102.48514 78.198025) (xy 102.415735 78.2444) (xy 102.242491 78.2444)
(xy 102.220569 78.172133) (xy 102.179128 78.094601) (xy 102.123356 78.026644) (xy 102.095461 78.00375) (xy 106.165294 78.00375)
(xy 106.165294 78.44125) (xy 106.173911 78.528739) (xy 106.199431 78.612867) (xy 106.240872 78.690399) (xy 106.296644 78.758356)
(xy 106.364601 78.814128) (xy 106.442133 78.855569) (xy 106.526261 78.881089) (xy 106.61375 78.889706) (xy 107.12625 78.889706)
(xy 107.213739 78.881089) (xy 107.297867 78.855569) (xy 107.375399 78.814128) (xy 107.443356 78.758356) (xy 107.499128 78.690399)
(xy 107.540569 78.612867) (xy 107.566089 78.528739) (xy 107.571963 78.4691) (xy 108.264108 78.4691) (xy 108.274006 78.487618)
(xy 108.302573 78.522427) (xy 108.337382 78.550994) (xy 108.377095 78.572221) (xy 108.420187 78.585292) (xy 108.465 78.589706)
(xy 109.115 78.589706) (xy 109.159813 78.585292) (xy 109.202905 78.572221) (xy 109.242618 78.550994) (xy 109.277427 78.522427)
(xy 109.305994 78.487618) (xy 109.327221 78.447905) (xy 109.340292 78.404813) (xy 109.344706 78.36) (xy 109.344706 77.3)
(xy 110.135294 77.3) (xy 110.135294 78.36) (xy 110.139708 78.404813) (xy 110.1414 78.410391) (xy 110.1414 78.9)
(xy 110.145792 78.944598) (xy 110.158801 78.987481) (xy 110.179926 79.027003) (xy 110.208355 79.061645) (xy 110.242997 79.090074)
(xy 110.282519 79.111199) (xy 110.325402 79.124208) (xy 110.37 79.1286) (xy 111.5963 79.1286) (xy 111.972816 79.505116)
(xy 112.035134 79.556259) (xy 112.130004 79.606969) (xy 112.232945 79.638195) (xy 112.339999 79.648739) (xy 112.447053 79.638195)
(xy 112.549994 79.606969) (xy 112.644864 79.556259) (xy 112.728018 79.488016) (xy 112.796261 79.404862) (xy 112.846971 79.309992)
(xy 112.878197 79.207051) (xy 112.888741 79.099997) (xy 112.878197 78.992943) (xy 112.846971 78.890002) (xy 112.796261 78.795132)
(xy 112.745118 78.732814) (xy 112.6786 78.666296) (xy 112.6786 77.95) (xy 112.674877 77.908911) (xy 112.66254 77.865829)
(xy 112.642035 77.825982) (xy 112.61415 77.790901) (xy 111.98415 77.140901) (xy 111.947003 77.109926) (xy 111.907481 77.088801)
(xy 111.864598 77.075792) (xy 111.82 77.0714) (xy 111.026229 77.0714) (xy 111.015 77.070294) (xy 110.365 77.070294)
(xy 110.320187 77.074708) (xy 110.277095 77.087779) (xy 110.237382 77.109006) (xy 110.202573 77.137573) (xy 110.174006 77.172382)
(xy 110.152779 77.212095) (xy 110.139708 77.255187) (xy 110.135294 77.3) (xy 109.344706 77.3) (xy 109.340292 77.255187)
(xy 109.327221 77.212095) (xy 109.305994 77.172382) (xy 109.277427 77.137573) (xy 109.242618 77.109006) (xy 109.202905 77.087779)
(xy 109.159813 77.074708) (xy 109.115 77.070294) (xy 108.465 77.070294) (xy 108.420187 77.074708) (xy 108.377095 77.087779)
(xy 108.337382 77.109006) (xy 108.302573 77.137573) (xy 108.274006 77.172382) (xy 108.252779 77.212095) (xy 108.239708 77.255187)
(xy 108.235294 77.3) (xy 108.235294 77.6309) (xy 107.375433 77.6309) (xy 107.375399 77.630872) (xy 107.297867 77.589431)
(xy 107.213739 77.563911) (xy 107.12625 77.555294) (xy 106.61375 77.555294) (xy 106.526261 77.563911) (xy 106.442133 77.589431)
(xy 106.364601 77.630872) (xy 106.296644 77.686644) (xy 106.240872 77.754601) (xy 106.199431 77.832133) (xy 106.173911 77.916261)
(xy 106.165294 78.00375) (xy 102.095461 78.00375) (xy 102.055399 77.970872) (xy 101.977867 77.929431) (xy 101.893739 77.903911)
(xy 101.80625 77.895294) (xy 101.36875 77.895294) (xy 101.281261 77.903911) (xy 101.197133 77.929431) (xy 101.119601 77.970872)
(xy 101.051644 78.026644) (xy 100.995872 78.094601) (xy 100.954431 78.172133) (xy 100.928911 78.256261) (xy 100.920294 78.34375)
(xy 100.679706 78.34375) (xy 100.671089 78.256261) (xy 100.645569 78.172133) (xy 100.604128 78.094601) (xy 100.548356 78.026644)
(xy 100.480399 77.970872) (xy 100.402867 77.929431) (xy 100.318739 77.903911) (xy 100.23125 77.895294) (xy 99.79375 77.895294)
(xy 99.706261 77.903911) (xy 99.622133 77.929431) (xy 99.544601 77.970872) (xy 99.476644 78.026644) (xy 99.420872 78.094601)
(xy 99.379431 78.172133) (xy 99.353911 78.256261) (xy 99.345294 78.34375) (xy 99.345294 78.85625) (xy 99.353911 78.943739)
(xy 99.379431 79.027867) (xy 99.420872 79.105399) (xy 99.476644 79.173356) (xy 99.544601 79.229128) (xy 99.622133 79.270569)
(xy 99.706261 79.296089) (xy 99.707701 79.296231) (xy 99.707701 79.461247) (xy 98.495061 80.673888) (xy 98.483432 80.683432)
(xy 98.479706 80.687972) (xy 98.479706 80.05) (xy 98.475292 80.005187) (xy 98.462221 79.962095) (xy 98.440994 79.922382)
(xy 98.412427 79.887573) (xy 98.377618 79.859006) (xy 98.337905 79.837779) (xy 98.294813 79.824708) (xy 98.25 79.820294)
(xy 98.1941 79.820294) (xy 98.1941 79.255211) (xy 98.242899 79.229128) (xy 98.310856 79.173356) (xy 98.366628 79.105399)
(xy 98.408069 79.027867) (xy 98.433589 78.943739) (xy 98.442206 78.85625) (xy 98.442206 78.34375) (xy 98.433589 78.256261)
(xy 98.408069 78.172133) (xy 98.366628 78.094601) (xy 98.310856 78.026644) (xy 98.242899 77.970872) (xy 98.165367 77.929431)
(xy 98.081239 77.903911) (xy 97.99375 77.895294) (xy 97.55625 77.895294) (xy 97.468761 77.903911) (xy 97.384633 77.929431)
(xy 97.307101 77.970872) (xy 97.239144 78.026644) (xy 97.183372 78.094601) (xy 97.141931 78.172133) (xy 97.116411 78.256261)
(xy 97.107794 78.34375) (xy 97.107794 78.85625) (xy 97.116411 78.943739) (xy 97.141931 79.027867) (xy 97.183372 79.105399)
(xy 97.239144 79.173356) (xy 97.307101 79.229128) (xy 97.3559 79.255211) (xy 97.355901 79.820294) (xy 97.35 79.820294)
(xy 97.305187 79.824708) (xy 97.262095 79.837779) (xy 97.222382 79.859006) (xy 97.187573 79.887573) (xy 97.159006 79.922382)
(xy 97.137779 79.962095) (xy 97.124708 80.005187) (xy 97.120294 80.05) (xy 97.120294 80.1452) (xy 97.110957 80.1452)
(xy 97.095999 80.143727) (xy 97.081041 80.1452) (xy 97.081034 80.1452) (xy 97.041889 80.149055) (xy 97.036248 80.149611)
(xy 97.02 80.15454) (xy 96.978794 80.16704) (xy 96.925843 80.195342) (xy 96.91998 80.200154) (xy 96.897172 80.218873)
(xy 96.879432 80.233432) (xy 96.869892 80.245056) (xy 96.585061 80.529888) (xy 96.573432 80.539432) (xy 96.535342 80.585844)
(xy 96.51126 80.6309) (xy 96.50704 80.638795) (xy 96.489611 80.696249) (xy 96.489257 80.699846) (xy 96.4852 80.741035)
(xy 96.4852 80.741042) (xy 96.483727 80.756) (xy 96.4852 80.770958) (xy 96.485201 86.513314) (xy 96.437666 86.560849)
(xy 96.388025 86.635142) (xy 96.353831 86.717692) (xy 96.3364 86.805326) (xy 93.7861 86.805326) (xy 93.7861 84.134155)
(xy 93.797059 84.117754) (xy 93.844444 84.003356) (xy 93.8686 83.881912) (xy 93.8686 83.758088) (xy 93.844444 83.636644)
(xy 93.797059 83.522246) (xy 93.728266 83.419291) (xy 93.640709 83.331734) (xy 93.537754 83.262941) (xy 93.423356 83.215556)
(xy 93.301912 83.1914) (xy 93.178088 83.1914) (xy 93.056644 83.215556) (xy 92.942246 83.262941) (xy 92.839291 83.331734)
(xy 92.751734 83.419291) (xy 92.682941 83.522246) (xy 92.635556 83.636644) (xy 92.6114 83.758088) (xy 92.6114 83.881912)
(xy 92.635556 84.003356) (xy 92.682941 84.117754) (xy 92.6939 84.134155) (xy 92.693901 92.590878) (xy 92.672941 92.622246)
(xy 92.625556 92.736644) (xy 92.6014 92.858088) (xy 43.500735 92.858088) (xy 43.619442 92.739381) (xy 43.918054 92.292477)
(xy 44.123742 91.795903) (xy 44.2286 91.268744) (xy 44.2286 90.731256) (xy 44.123742 90.204097) (xy 43.918054 89.707523)
(xy 43.905068 89.688088) (xy 46.4214 89.688088) (xy 46.4214 89.811912) (xy 46.445556 89.933356) (xy 46.492941 90.047754)
(xy 46.561734 90.150709) (xy 46.649291 90.238266) (xy 46.752246 90.307059) (xy 46.866644 90.354444) (xy 46.988088 90.3786)
(xy 47.111912 90.3786) (xy 47.233356 90.354444) (xy 47.347754 90.307059) (xy 47.450709 90.238266) (xy 47.538266 90.150709)
(xy 47.607059 90.047754) (xy 47.654444 89.933356) (xy 47.658292 89.914009) (xy 47.816977 89.755324) (xy 48.6464 89.755324)
(xy 48.6464 89.844676) (xy 48.663831 89.93231) (xy 48.693401 90.003696) (xy 48.6934 90.341919) (xy 48.691373 90.3625)
(xy 48.6934 90.383079) (xy 48.699465 90.444657) (xy 48.723429 90.523657) (xy 48.762346 90.596465) (xy 48.814718 90.660282)
(xy 48.830711 90.673407) (xy 50.839097 92.681794) (xy 50.852218 92.697782) (xy 50.916034 92.750154) (xy 50.988842 92.789071)
(xy 51.067552 92.812947) (xy 51.067842 92.813035) (xy 51.149999 92.821127) (xy 51.170579 92.8191) (xy 87.26942 92.8191)
(xy 87.29 92.821127) (xy 87.31058 92.8191) (xy 87.372158 92.813035) (xy 87.451158 92.789071) (xy 87.523966 92.750154)
(xy 87.587782 92.697782) (xy 87.600907 92.681789) (xy 90.913653 89.369044) (xy 90.95486 89.351975) (xy 91.029153 89.302334)
(xy 91.092334 89.239153) (xy 91.141975 89.16486) (xy 91.176169 89.08231) (xy 91.1936 88.994676) (xy 91.1936 88.905324)
(xy 91.176169 88.81769) (xy 91.141975 88.73514) (xy 91.092334 88.660847) (xy 91.029153 88.597666) (xy 90.95486 88.548025)
(xy 90.88503 88.5191) (xy 91.626483 88.5191) (xy 91.66769 88.536169) (xy 91.755324 88.5536) (xy 91.844676 88.5536)
(xy 91.93231 88.536169) (xy 92.01486 88.501975) (xy 92.089153 88.452334) (xy 92.152334 88.389153) (xy 92.201975 88.31486)
(xy 92.236169 88.23231) (xy 92.2536 88.144676) (xy 92.2536 88.055324) (xy 92.236169 87.96769) (xy 92.201975 87.88514)
(xy 92.152334 87.810847) (xy 92.089153 87.747666) (xy 92.01486 87.698025) (xy 91.93231 87.663831) (xy 91.844676 87.6464)
(xy 91.755324 87.6464) (xy 91.66769 87.663831) (xy 91.626483 87.6809) (xy 90.120579 87.6809) (xy 90.099999 87.678873)
(xy 90.017842 87.686965) (xy 89.938842 87.710929) (xy 89.866034 87.749846) (xy 89.802218 87.802218) (xy 89.789098 87.818206)
(xy 86.526404 91.0809) (xy 58.736097 91.0809) (xy 57.915197 90.26) (xy 68.194771 90.26) (xy 68.199675 90.309793)
(xy 68.214199 90.357672) (xy 68.237785 90.401798) (xy 68.269526 90.440474) (xy 68.308202 90.472215) (xy 68.352328 90.495801)
(xy 68.400207 90.510325) (xy 68.45 90.515229) (xy 68.8722 90.514) (xy 68.9357 90.4505) (xy 68.9357 89.3743)
(xy 69.1643 89.3743) (xy 69.1643 90.4505) (xy 69.2278 90.514) (xy 69.65 90.515229) (xy 69.699793 90.510325)
(xy 69.747672 90.495801) (xy 69.791798 90.472215) (xy 69.830474 90.440474) (xy 69.862215 90.401798) (xy 69.885801 90.357672)
(xy 69.900325 90.309793) (xy 69.905229 90.26) (xy 69.90421 89.578239) (xy 71.1314 89.578239) (xy 71.1314 89.721761)
(xy 71.1594 89.862525) (xy 71.214323 89.995121) (xy 71.29406 90.114455) (xy 71.395545 90.21594) (xy 71.514879 90.295677)
(xy 71.647475 90.3506) (xy 71.788239 90.3786) (xy 71.931761 90.3786) (xy 72.072525 90.3506) (xy 72.205121 90.295677)
(xy 72.324455 90.21594) (xy 72.42594 90.114455) (xy 72.505677 89.995121) (xy 72.5606 89.862525) (xy 72.5886 89.721761)
(xy 72.5886 89.578239) (xy 79.1314 89.578239) (xy 79.1314 89.721761) (xy 79.1594 89.862525) (xy 79.214323 89.995121)
(xy 79.29406 90.114455) (xy 79.395545 90.21594) (xy 79.514879 90.295677) (xy 79.647475 90.3506) (xy 79.788239 90.3786)
(xy 79.931761 90.3786) (xy 80.072525 90.3506) (xy 80.205121 90.295677) (xy 80.258515 90.26) (xy 83.714771 90.26)
(xy 83.719675 90.309793) (xy 83.734199 90.357672) (xy 83.757785 90.401798) (xy 83.789526 90.440474) (xy 83.828202 90.472215)
(xy 83.872328 90.495801) (xy 83.920207 90.510325) (xy 83.97 90.515229) (xy 84.3922 90.514) (xy 84.4557 90.4505)
(xy 84.4557 89.3743) (xy 84.6843 89.3743) (xy 84.6843 90.4505) (xy 84.7478 90.514) (xy 85.17 90.515229)
(xy 85.219793 90.510325) (xy 85.267672 90.495801) (xy 85.311798 90.472215) (xy 85.350474 90.440474) (xy 85.382215 90.401798)
(xy 85.405801 90.357672) (xy 85.420325 90.309793) (xy 85.425229 90.26) (xy 85.424 89.4378) (xy 85.3605 89.3743)
(xy 84.6843 89.3743) (xy 84.4557 89.3743) (xy 83.7795 89.3743) (xy 83.716 89.4378) (xy 83.714771 90.26)
(xy 80.258515 90.26) (xy 80.324455 90.21594) (xy 80.42594 90.114455) (xy 80.505677 89.995121) (xy 80.5606 89.862525)
(xy 80.5886 89.721761) (xy 80.5886 89.578239) (xy 80.5606 89.437475) (xy 80.505677 89.304879) (xy 80.42594 89.185545)
(xy 80.324455 89.08406) (xy 80.205121 89.004323) (xy 80.072525 88.9494) (xy 79.931761 88.9214) (xy 79.788239 88.9214)
(xy 79.647475 88.9494) (xy 79.514879 89.004323) (xy 79.395545 89.08406) (xy 79.29406 89.185545) (xy 79.214323 89.304879)
(xy 79.1594 89.437475) (xy 79.1314 89.578239) (xy 72.5886 89.578239) (xy 72.5606 89.437475) (xy 72.505677 89.304879)
(xy 72.42594 89.185545) (xy 72.324455 89.08406) (xy 72.205121 89.004323) (xy 72.072525 88.9494) (xy 71.931761 88.9214)
(xy 71.788239 88.9214) (xy 71.647475 88.9494) (xy 71.514879 89.004323) (xy 71.395545 89.08406) (xy 71.29406 89.185545)
(xy 71.214323 89.304879) (xy 71.1594 89.437475) (xy 71.1314 89.578239) (xy 69.90421 89.578239) (xy 69.904 89.4378)
(xy 69.8405 89.3743) (xy 69.1643 89.3743) (xy 68.9357 89.3743) (xy 68.2595 89.3743) (xy 68.196 89.4378)
(xy 68.194771 90.26) (xy 57.915197 90.26) (xy 55.915197 88.26) (xy 68.194771 88.26) (xy 68.196 89.0822)
(xy 68.2595 89.1457) (xy 68.9357 89.1457) (xy 68.9357 88.0695) (xy 69.1643 88.0695) (xy 69.1643 89.1457)
(xy 69.8405 89.1457) (xy 69.904 89.0822) (xy 69.905229 88.26) (xy 83.714771 88.26) (xy 83.716 89.0822)
(xy 83.7795 89.1457) (xy 84.4557 89.1457) (xy 84.4557 88.0695) (xy 84.6843 88.0695) (xy 84.6843 89.1457)
(xy 85.3605 89.1457) (xy 85.424 89.0822) (xy 85.425229 88.26) (xy 85.420325 88.210207) (xy 85.405801 88.162328)
(xy 85.382215 88.118202) (xy 85.350474 88.079526) (xy 85.311798 88.047785) (xy 85.267672 88.024199) (xy 85.219793 88.009675)
(xy 85.17 88.004771) (xy 84.7478 88.006) (xy 84.6843 88.0695) (xy 84.4557 88.0695) (xy 84.3922 88.006)
(xy 83.97 88.004771) (xy 83.920207 88.009675) (xy 83.872328 88.024199) (xy 83.828202 88.047785) (xy 83.789526 88.079526)
(xy 83.757785 88.118202) (xy 83.734199 88.162328) (xy 83.719675 88.210207) (xy 83.714771 88.26) (xy 69.905229 88.26)
(xy 69.900325 88.210207) (xy 69.885801 88.162328) (xy 69.862215 88.118202) (xy 69.830474 88.079526) (xy 69.791798 88.047785)
(xy 69.747672 88.024199) (xy 69.699793 88.009675) (xy 69.65 88.004771) (xy 69.2278 88.006) (xy 69.1643 88.0695)
(xy 68.9357 88.0695) (xy 68.8722 88.006) (xy 68.45 88.004771) (xy 68.400207 88.009675) (xy 68.352328 88.024199)
(xy 68.308202 88.047785) (xy 68.269526 88.079526) (xy 68.237785 88.118202) (xy 68.214199 88.162328) (xy 68.199675 88.210207)
(xy 68.194771 88.26) (xy 55.915197 88.26) (xy 54.954706 87.29951) (xy 54.954706 87.045324) (xy 56.7064 87.045324)
(xy 56.7064 87.134676) (xy 56.723831 87.22231) (xy 56.758025 87.30486) (xy 56.807666 87.379153) (xy 56.870847 87.442334)
(xy 56.94514 87.491975) (xy 57.02769 87.526169) (xy 57.115324 87.5436) (xy 57.204676 87.5436) (xy 57.29231 87.526169)
(xy 57.333517 87.5091) (xy 63.23942 87.5091) (xy 63.26 87.511127) (xy 63.28058 87.5091) (xy 63.342158 87.503035)
(xy 63.421158 87.479071) (xy 63.493966 87.440154) (xy 63.557782 87.387782) (xy 63.570907 87.371789) (xy 64.077378 86.865318)
(xy 65.6864 86.865318) (xy 65.6864 86.95467) (xy 65.703831 87.042304) (xy 65.738025 87.124854) (xy 65.787666 87.199147)
(xy 65.850847 87.262328) (xy 65.92514 87.311969) (xy 65.966338 87.329034) (xy 66.369097 87.731794) (xy 66.382218 87.747782)
(xy 66.409291 87.77) (xy 66.446034 87.800154) (xy 66.491253 87.824324) (xy 66.518842 87.839071) (xy 66.597842 87.863035)
(xy 66.65942 87.8691) (xy 66.659422 87.8691) (xy 66.679999 87.871127) (xy 66.700576 87.8691) (xy 86.92942 87.8691)
(xy 86.95 87.871127) (xy 86.97058 87.8691) (xy 87.032158 87.863035) (xy 87.111158 87.839071) (xy 87.183966 87.800154)
(xy 87.247782 87.747782) (xy 87.260907 87.731789) (xy 93.173597 81.8191) (xy 95.122175 81.8191) (xy 95.124708 81.844813)
(xy 95.137779 81.887905) (xy 95.159006 81.927618) (xy 95.187573 81.962427) (xy 95.222382 81.990994) (xy 95.262095 82.012221)
(xy 95.305187 82.025292) (xy 95.35 82.029706) (xy 96.25 82.029706) (xy 96.294813 82.025292) (xy 96.337905 82.012221)
(xy 96.377618 81.990994) (xy 96.412427 81.962427) (xy 96.440994 81.927618) (xy 96.462221 81.887905) (xy 96.475292 81.844813)
(xy 96.479706 81.8) (xy 96.479706 81) (xy 96.475292 80.955187) (xy 96.462221 80.912095) (xy 96.440994 80.872382)
(xy 96.412427 80.837573) (xy 96.377618 80.809006) (xy 96.337905 80.787779) (xy 96.294813 80.774708) (xy 96.25 80.770294)
(xy 95.35 80.770294) (xy 95.305187 80.774708) (xy 95.262095 80.787779) (xy 95.222382 80.809006) (xy 95.187573 80.837573)
(xy 95.159006 80.872382) (xy 95.137779 80.912095) (xy 95.124708 80.955187) (xy 95.122175 80.9809) (xy 93.020579 80.9809)
(xy 92.999999 80.978873) (xy 92.939356 80.984846) (xy 92.917842 80.986965) (xy 92.838842 81.010929) (xy 92.766034 81.049846)
(xy 92.702218 81.102218) (xy 92.689098 81.118205) (xy 86.776404 87.0309) (xy 66.853597 87.0309) (xy 66.559048 86.736352)
(xy 66.541975 86.695134) (xy 66.492334 86.620841) (xy 66.429153 86.55766) (xy 66.35486 86.508019) (xy 66.27231 86.473825)
(xy 66.184676 86.456394) (xy 66.095324 86.456394) (xy 66.00769 86.473825) (xy 65.92514 86.508019) (xy 65.850847 86.55766)
(xy 65.787666 86.620841) (xy 65.738025 86.695134) (xy 65.703831 86.777684) (xy 65.6864 86.865318) (xy 64.077378 86.865318)
(xy 69.723597 81.2191) (xy 69.755497 81.2191) (xy 69.755497 85.15) (xy 69.756961 85.164866) (xy 69.761297 85.17916)
(xy 69.768339 85.192334) (xy 69.777815 85.203882) (xy 69.789363 85.213358) (xy 69.802537 85.2204) (xy 69.816831 85.224736)
(xy 69.831697 85.2262) (xy 81.241697 85.2262) (xy 81.256563 85.224736) (xy 81.270857 85.2204) (xy 81.284031 85.213358)
(xy 81.295579 85.203882) (xy 81.305055 85.192334) (xy 81.312097 85.17916) (xy 81.316433 85.164866) (xy 81.317897 85.15)
(xy 81.317897 81.15) (xy 81.316433 81.135134) (xy 81.312097 81.12084) (xy 81.305055 81.107666) (xy 81.295579 81.096118)
(xy 81.284031 81.086642) (xy 81.270857 81.0796) (xy 81.256563 81.075264) (xy 81.241697 81.0738) (xy 77.218896 81.0738)
(xy 78.032696 80.26) (xy 82.874771 80.26) (xy 82.879675 80.309793) (xy 82.894199 80.357672) (xy 82.917785 80.401798)
(xy 82.949526 80.440474) (xy 82.988202 80.472215) (xy 83.032328 80.495801) (xy 83.080207 80.510325) (xy 83.13 80.515229)
(xy 83.5522 80.514) (xy 83.6157 80.4505) (xy 83.6157 79.6743) (xy 83.8443 79.6743) (xy 83.8443 80.4505)
(xy 83.9078 80.514) (xy 84.33 80.515229) (xy 84.379793 80.510325) (xy 84.427672 80.495801) (xy 84.471798 80.472215)
(xy 84.510474 80.440474) (xy 84.542215 80.401798) (xy 84.565801 80.357672) (xy 84.580325 80.309793) (xy 84.585229 80.26)
(xy 84.584 79.7378) (xy 84.5205 79.6743) (xy 83.8443 79.6743) (xy 83.6157 79.6743) (xy 82.9395 79.6743)
(xy 82.876 79.7378) (xy 82.874771 80.26) (xy 78.032696 80.26) (xy 78.131794 80.160903) (xy 78.147782 80.147782)
(xy 78.200154 80.083966) (xy 78.239071 80.011158) (xy 78.263035 79.932158) (xy 78.2691 79.87058) (xy 78.271127 79.85)
(xy 78.2691 79.82942) (xy 78.2691 79.777925) (xy 78.287905 79.772221) (xy 78.327618 79.750994) (xy 78.362427 79.722427)
(xy 78.390994 79.687618) (xy 78.4 79.670769) (xy 78.409006 79.687618) (xy 78.437573 79.722427) (xy 78.472382 79.750994)
(xy 78.512095 79.772221) (xy 78.555187 79.785292) (xy 78.6 79.789706) (xy 79.3 79.789706) (xy 79.344813 79.785292)
(xy 79.387905 79.772221) (xy 79.427618 79.750994) (xy 79.462427 79.722427) (xy 79.490994 79.687618) (xy 79.512221 79.647905)
(xy 79.525292 79.604813) (xy 79.529706 79.56) (xy 79.529706 78.86) (xy 82.874771 78.86) (xy 82.876 79.3822)
(xy 82.9395 79.4457) (xy 83.6157 79.4457) (xy 83.6157 78.6695) (xy 83.8443 78.6695) (xy 83.8443 79.4457)
(xy 84.5205 79.4457) (xy 84.584 79.3822) (xy 84.585229 78.86) (xy 84.580325 78.810207) (xy 84.565801 78.762328)
(xy 84.542215 78.718202) (xy 84.510474 78.679526) (xy 84.471798 78.647785) (xy 84.427672 78.624199) (xy 84.379793 78.609675)
(xy 84.33 78.604771) (xy 83.9078 78.606) (xy 83.8443 78.6695) (xy 83.6157 78.6695) (xy 83.5522 78.606)
(xy 83.13 78.604771) (xy 83.080207 78.609675) (xy 83.032328 78.624199) (xy 82.988202 78.647785) (xy 82.949526 78.679526)
(xy 82.917785 78.718202) (xy 82.894199 78.762328) (xy 82.879675 78.810207) (xy 82.874771 78.86) (xy 79.529706 78.86)
(xy 79.529706 78.26) (xy 79.525292 78.215187) (xy 79.512221 78.172095) (xy 79.490994 78.132382) (xy 79.462427 78.097573)
(xy 79.427618 78.069006) (xy 79.387905 78.047779) (xy 79.344813 78.034708) (xy 79.3 78.030294) (xy 78.6 78.030294)
(xy 78.555187 78.034708) (xy 78.512095 78.047779) (xy 78.472382 78.069006) (xy 78.437573 78.097573) (xy 78.409006 78.132382)
(xy 78.4 78.149231) (xy 78.390994 78.132382) (xy 78.362427 78.097573) (xy 78.327618 78.069006) (xy 78.287905 78.047779)
(xy 78.244813 78.034708) (xy 78.2 78.030294) (xy 77.5 78.030294) (xy 77.455187 78.034708) (xy 77.412095 78.047779)
(xy 77.372382 78.069006) (xy 77.337573 78.097573) (xy 77.309006 78.132382) (xy 77.3 78.149231) (xy 77.290994 78.132382)
(xy 77.262427 78.097573) (xy 77.227618 78.069006) (xy 77.187905 78.047779) (xy 77.1691 78.042075) (xy 77.1691 75.523596)
(xy 77.392696 75.3) (xy 78.094771 75.3) (xy 78.099675 75.349793) (xy 78.114199 75.397672) (xy 78.137785 75.441798)
(xy 78.169526 75.480474) (xy 78.208202 75.512215) (xy 78.252328 75.535801) (xy 78.300207 75.550325) (xy 78.35 75.555229)
(xy 78.7238 75.554) (xy 78.7873 75.4905) (xy 78.7873 74.9127) (xy 78.8127 74.9127) (xy 78.8127 75.4905)
(xy 78.8762 75.554) (xy 79.25 75.555229) (xy 79.299793 75.550325) (xy 79.347672 75.535801) (xy 79.391798 75.512215)
(xy 79.430474 75.480474) (xy 79.462215 75.441798) (xy 79.485801 75.397672) (xy 79.500325 75.349793) (xy 79.505229 75.3)
(xy 79.504 74.9762) (xy 79.4405 74.9127) (xy 78.8127 74.9127) (xy 78.7873 74.9127) (xy 78.1595 74.9127)
(xy 78.096 74.9762) (xy 78.094771 75.3) (xy 77.392696 75.3) (xy 77.481795 75.210902) (xy 77.497782 75.197782)
(xy 77.550154 75.133966) (xy 77.589071 75.061158) (xy 77.613035 74.982158) (xy 77.618318 74.928516) (xy 77.621127 74.900001)
(xy 77.6191 74.879421) (xy 77.6191 74.5) (xy 78.094771 74.5) (xy 78.096 74.8238) (xy 78.1595 74.8873)
(xy 78.7873 74.8873) (xy 78.7873 74.3095) (xy 78.8127 74.3095) (xy 78.8127 74.8873) (xy 79.4405 74.8873)
(xy 79.504 74.8238) (xy 79.505229 74.5) (xy 79.500325 74.450207) (xy 79.485801 74.402328) (xy 79.462215 74.358202)
(xy 79.430474 74.319526) (xy 79.391798 74.287785) (xy 79.347672 74.264199) (xy 79.299793 74.249675) (xy 79.25 74.244771)
(xy 78.8762 74.246) (xy 78.8127 74.3095) (xy 78.7873 74.3095) (xy 78.7238 74.246) (xy 78.35 74.244771)
(xy 78.300207 74.249675) (xy 78.252328 74.264199) (xy 78.208202 74.287785) (xy 78.169526 74.319526) (xy 78.137785 74.358202)
(xy 78.114199 74.402328) (xy 78.099675 74.450207) (xy 78.094771 74.5) (xy 77.6191 74.5) (xy 77.6191 72.6)
(xy 78.120294 72.6) (xy 78.120294 73.4) (xy 78.124708 73.444813) (xy 78.137779 73.487905) (xy 78.159006 73.527618)
(xy 78.187573 73.562427) (xy 78.222382 73.590994) (xy 78.262095 73.612221) (xy 78.305187 73.625292) (xy 78.35 73.629706)
(xy 79.25 73.629706) (xy 79.294813 73.625292) (xy 79.337905 73.612221) (xy 79.377618 73.590994) (xy 79.412427 73.562427)
(xy 79.422625 73.55) (xy 80.120294 73.55) (xy 80.120294 74.35) (xy 80.124708 74.394813) (xy 80.137779 74.437905)
(xy 80.159006 74.477618) (xy 80.187573 74.512427) (xy 80.222382 74.540994) (xy 80.262095 74.562221) (xy 80.305187 74.575292)
(xy 80.35 74.579706) (xy 81.25 74.579706) (xy 81.294813 74.575292) (xy 81.337905 74.562221) (xy 81.377618 74.540994)
(xy 81.412427 74.512427) (xy 81.440994 74.477618) (xy 81.462221 74.437905) (xy 81.475292 74.394813) (xy 81.477825 74.3691)
(xy 81.926772 74.3691) (xy 81.929431 74.377867) (xy 81.970872 74.455399) (xy 82.026644 74.523356) (xy 82.094601 74.579128)
(xy 82.1434 74.605211) (xy 82.143401 74.794789) (xy 82.094601 74.820872) (xy 82.026644 74.876644) (xy 81.970872 74.944601)
(xy 81.929431 75.022133) (xy 81.903911 75.106261) (xy 81.895294 75.19375) (xy 81.895294 75.70625) (xy 81.903911 75.793739)
(xy 81.929431 75.877867) (xy 81.970872 75.955399) (xy 82.026644 76.023356) (xy 82.094601 76.079128) (xy 82.172133 76.120569)
(xy 82.256261 76.146089) (xy 82.34375 76.154706) (xy 82.78125 76.154706) (xy 82.868739 76.146089) (xy 82.952867 76.120569)
(xy 83.030399 76.079128) (xy 83.098356 76.023356) (xy 83.154128 75.955399) (xy 83.195569 75.877867) (xy 83.221089 75.793739)
(xy 83.229706 75.70625) (xy 83.229706 75.19375) (xy 83.221089 75.106261) (xy 83.195569 75.022133) (xy 83.154128 74.944601)
(xy 83.098356 74.876644) (xy 83.030399 74.820872) (xy 82.9816 74.794789) (xy 82.9816 74.605211) (xy 83.030399 74.579128)
(xy 83.098356 74.523356) (xy 83.154128 74.455399) (xy 83.195569 74.377867) (xy 83.221089 74.293739) (xy 83.229706 74.20625)
(xy 83.229706 73.69375) (xy 83.221089 73.606261) (xy 83.195569 73.522133) (xy 83.154128 73.444601) (xy 83.098356 73.376644)
(xy 83.030399 73.320872) (xy 82.9816 73.294789) (xy 82.9816 73.105211) (xy 83.030399 73.079128) (xy 83.098356 73.023356)
(xy 83.154128 72.955399) (xy 83.195569 72.877867) (xy 83.221089 72.793739) (xy 83.229706 72.70625) (xy 83.229706 72.19375)
(xy 83.221089 72.106261) (xy 83.195569 72.022133) (xy 83.154128 71.944601) (xy 83.098356 71.876644) (xy 83.030399 71.820872)
(xy 82.952867 71.779431) (xy 82.868739 71.753911) (xy 82.78125 71.745294) (xy 82.34375 71.745294) (xy 82.256261 71.753911)
(xy 82.172133 71.779431) (xy 82.094601 71.820872) (xy 82.026644 71.876644) (xy 81.970872 71.944601) (xy 81.929431 72.022133)
(xy 81.903911 72.106261) (xy 81.895294 72.19375) (xy 81.895294 72.70625) (xy 81.903911 72.793739) (xy 81.929431 72.877867)
(xy 81.970872 72.955399) (xy 82.026644 73.023356) (xy 82.094601 73.079128) (xy 82.143401 73.105211) (xy 82.1434 73.294789)
(xy 82.094601 73.320872) (xy 82.026644 73.376644) (xy 81.970872 73.444601) (xy 81.929431 73.522133) (xy 81.926772 73.5309)
(xy 81.477825 73.5309) (xy 81.475292 73.505187) (xy 81.462221 73.462095) (xy 81.440994 73.422382) (xy 81.412427 73.387573)
(xy 81.377618 73.359006) (xy 81.337905 73.337779) (xy 81.294813 73.324708) (xy 81.25 73.320294) (xy 80.35 73.320294)
(xy 80.305187 73.324708) (xy 80.262095 73.337779) (xy 80.222382 73.359006) (xy 80.187573 73.387573) (xy 80.159006 73.422382)
(xy 80.137779 73.462095) (xy 80.124708 73.505187) (xy 80.120294 73.55) (xy 79.422625 73.55) (xy 79.440994 73.527618)
(xy 79.462221 73.487905) (xy 79.475292 73.444813) (xy 79.479706 73.4) (xy 79.479706 72.6) (xy 79.475292 72.555187)
(xy 79.462221 72.512095) (xy 79.440994 72.472382) (xy 79.412427 72.437573) (xy 79.377618 72.409006) (xy 79.337905 72.387779)
(xy 79.294813 72.374708) (xy 79.25 72.370294) (xy 79.2191 72.370294) (xy 79.2191 71.800978) (xy 79.268739 71.796089)
(xy 79.352867 71.770569) (xy 79.430399 71.729128) (xy 79.498356 71.673356) (xy 79.554128 71.605399) (xy 79.595569 71.527867)
(xy 79.621089 71.443739) (xy 79.629706 71.35625) (xy 79.629706 70.84375) (xy 79.621089 70.756261) (xy 79.595569 70.672133)
(xy 79.554128 70.594601) (xy 79.498356 70.526644) (xy 79.430399 70.470872) (xy 79.352867 70.429431) (xy 79.268739 70.403911)
(xy 79.18125 70.395294) (xy 78.74375 70.395294) (xy 78.656261 70.403911) (xy 78.572133 70.429431) (xy 78.494601 70.470872)
(xy 78.426644 70.526644) (xy 78.370872 70.594601) (xy 78.329431 70.672133) (xy 78.303911 70.756261) (xy 78.295294 70.84375)
(xy 78.295294 71.35625) (xy 78.303911 71.443739) (xy 78.329431 71.527867) (xy 78.370872 71.605399) (xy 78.380901 71.617619)
(xy 78.3809 72.370294) (xy 78.35 72.370294) (xy 78.305187 72.374708) (xy 78.262095 72.387779) (xy 78.222382 72.409006)
(xy 78.187573 72.437573) (xy 78.159006 72.472382) (xy 78.137779 72.512095) (xy 78.124708 72.555187) (xy 78.120294 72.6)
(xy 77.6191 72.6) (xy 77.6191 70.273594) (xy 77.723651 70.169044) (xy 77.764858 70.151975) (xy 77.839151 70.102334)
(xy 77.902332 70.039153) (xy 77.951973 69.96486) (xy 77.986167 69.88231) (xy 78.003598 69.794676) (xy 78.003598 69.705324)
(xy 77.986167 69.61769) (xy 77.951973 69.53514) (xy 77.902332 69.460847) (xy 77.839151 69.397666) (xy 77.764858 69.348025)
(xy 77.682308 69.313831) (xy 77.594674 69.2964) (xy 77.505322 69.2964) (xy 77.417688 69.313831) (xy 77.335138 69.348025)
(xy 77.260845 69.397666) (xy 77.197664 69.460847) (xy 77.148023 69.53514) (xy 77.130954 69.576347) (xy 76.918206 69.789096)
(xy 76.902219 69.802216) (xy 76.874329 69.8362) (xy 76.849846 69.866033) (xy 76.81093 69.93884) (xy 76.786965 70.017841)
(xy 76.778873 70.099998) (xy 76.780901 70.120588) (xy 76.7809 74.726403) (xy 76.468206 75.039098) (xy 76.452219 75.052218)
(xy 76.4391 75.068204) (xy 76.399846 75.116035) (xy 76.36093 75.188842) (xy 76.336965 75.267843) (xy 76.328873 75.35)
(xy 76.330901 75.37059) (xy 76.3309 78.042075) (xy 76.312095 78.047779) (xy 76.272382 78.069006) (xy 76.237573 78.097573)
(xy 76.209006 78.132382) (xy 76.2 78.149231) (xy 76.190994 78.132382) (xy 76.162427 78.097573) (xy 76.127618 78.069006)
(xy 76.087905 78.047779) (xy 76.0691 78.042075) (xy 76.0691 73.323596) (xy 76.223653 73.169044) (xy 76.26486 73.151975)
(xy 76.339153 73.102334) (xy 76.402334 73.039153) (xy 76.451975 72.96486) (xy 76.486169 72.88231) (xy 76.5036 72.794676)
(xy 76.5036 72.705324) (xy 76.486169 72.61769) (xy 76.451975 72.53514) (xy 76.402334 72.460847) (xy 76.339153 72.397666)
(xy 76.26486 72.348025) (xy 76.18231 72.313831) (xy 76.094676 72.2964) (xy 76.005324 72.2964) (xy 75.91769 72.313831)
(xy 75.83514 72.348025) (xy 75.760847 72.397666) (xy 75.697666 72.460847) (xy 75.648025 72.53514) (xy 75.630956 72.576347)
(xy 75.368206 72.839098) (xy 75.352219 72.852218) (xy 75.328673 72.880909) (xy 75.299846 72.916035) (xy 75.26093 72.988842)
(xy 75.236965 73.067843) (xy 75.228873 73.15) (xy 75.230901 73.17059) (xy 75.2309 78.042075) (xy 75.212095 78.047779)
(xy 75.172382 78.069006) (xy 75.137573 78.097573) (xy 75.109006 78.132382) (xy 75.1 78.149231) (xy 75.090994 78.132382)
(xy 75.062427 78.097573) (xy 75.027618 78.069006) (xy 74.987905 78.047779) (xy 74.9691 78.042075) (xy 74.9691 73.006888)
(xy 76.358143 71.617846) (xy 76.39935 71.600777) (xy 76.473643 71.551136) (xy 76.536824 71.487955) (xy 76.586465 71.413662)
(xy 76.620659 71.331112) (xy 76.63809 71.243478) (xy 76.63809 71.154126) (xy 76.620659 71.066492) (xy 76.586465 70.983942)
(xy 76.536824 70.909649) (xy 76.473643 70.846468) (xy 76.39935 70.796827) (xy 76.3168 70.762633) (xy 76.229166 70.745202)
(xy 76.139814 70.745202) (xy 76.05218 70.762633) (xy 75.96963 70.796827) (xy 75.895337 70.846468) (xy 75.832156 70.909649)
(xy 75.782515 70.983942) (xy 75.765446 71.025149) (xy 74.268206 72.52239) (xy 74.252219 72.53551) (xy 74.229737 72.562905)
(xy 74.199846 72.599327) (xy 74.16093 72.672134) (xy 74.136965 72.751135) (xy 74.128873 72.833292) (xy 74.130901 72.853882)
(xy 74.1309 78.042075) (xy 74.112095 78.047779) (xy 74.072382 78.069006) (xy 74.037573 78.097573) (xy 74.015539 78.124421)
(xy 74.012215 78.118202) (xy 73.980474 78.079526) (xy 73.941798 78.047785) (xy 73.897672 78.024199) (xy 73.849793 78.009675)
(xy 73.8 78.004771) (xy 73.5262 78.006) (xy 73.4627 78.0695) (xy 73.4627 78.8973) (xy 73.4827 78.8973)
(xy 73.4827 78.9227) (xy 73.4627 78.9227) (xy 73.4627 79.7505) (xy 73.5262 79.814) (xy 73.8 79.815229)
(xy 73.849793 79.810325) (xy 73.897672 79.795801) (xy 73.941798 79.772215) (xy 73.980474 79.740474) (xy 74.012215 79.701798)
(xy 74.015539 79.695579) (xy 74.037573 79.722427) (xy 74.072382 79.750994) (xy 74.112095 79.772221) (xy 74.155187 79.785292)
(xy 74.2 79.789706) (xy 74.9 79.789706) (xy 74.944813 79.785292) (xy 74.987905 79.772221) (xy 75.027618 79.750994)
(xy 75.062427 79.722427) (xy 75.090994 79.687618) (xy 75.1 79.670769) (xy 75.109006 79.687618) (xy 75.137573 79.722427)
(xy 75.172382 79.750994) (xy 75.212095 79.772221) (xy 75.255187 79.785292) (xy 75.3 79.789706) (xy 76 79.789706)
(xy 76.044813 79.785292) (xy 76.087905 79.772221) (xy 76.127618 79.750994) (xy 76.162427 79.722427) (xy 76.190994 79.687618)
(xy 76.2 79.670769) (xy 76.209006 79.687618) (xy 76.237573 79.722427) (xy 76.272382 79.750994) (xy 76.312095 79.772221)
(xy 76.355187 79.785292) (xy 76.4 79.789706) (xy 77.1 79.789706) (xy 77.144813 79.785292) (xy 77.187905 79.772221)
(xy 77.227618 79.750994) (xy 77.262427 79.722427) (xy 77.290994 79.687618) (xy 77.3 79.670769) (xy 77.309006 79.687618)
(xy 77.337573 79.722427) (xy 77.363554 79.743749) (xy 76.726404 80.3809) (xy 69.873385 80.3809) (xy 69.885801 80.357672)
(xy 69.900325 80.309793) (xy 69.905229 80.26) (xy 69.904122 79.789706) (xy 70.5 79.789706) (xy 70.544813 79.785292)
(xy 70.587905 79.772221) (xy 70.627618 79.750994) (xy 70.662427 79.722427) (xy 70.690994 79.687618) (xy 70.7 79.670769)
(xy 70.709006 79.687618) (xy 70.737573 79.722427) (xy 70.772382 79.750994) (xy 70.812095 79.772221) (xy 70.855187 79.785292)
(xy 70.9 79.789706) (xy 71.6 79.789706) (xy 71.644813 79.785292) (xy 71.687905 79.772221) (xy 71.727618 79.750994)
(xy 71.762427 79.722427) (xy 71.790994 79.687618) (xy 71.8 79.670769) (xy 71.809006 79.687618) (xy 71.837573 79.722427)
(xy 71.872382 79.750994) (xy 71.912095 79.772221) (xy 71.955187 79.785292) (xy 72 79.789706) (xy 72.7 79.789706)
(xy 72.744813 79.785292) (xy 72.787905 79.772221) (xy 72.827618 79.750994) (xy 72.862427 79.722427) (xy 72.884461 79.695579)
(xy 72.887785 79.701798) (xy 72.919526 79.740474) (xy 72.958202 79.772215) (xy 73.002328 79.795801) (xy 73.050207 79.810325)
(xy 73.1 79.815229) (xy 73.3738 79.814) (xy 73.4373 79.7505) (xy 73.4373 78.9227) (xy 73.4173 78.9227)
(xy 73.4173 78.8973) (xy 73.4373 78.8973) (xy 73.4373 78.0695) (xy 73.3738 78.006) (xy 73.1 78.004771)
(xy 73.050207 78.009675) (xy 73.002328 78.024199) (xy 72.958202 78.047785) (xy 72.919526 78.079526) (xy 72.887785 78.118202)
(xy 72.884461 78.124421) (xy 72.862427 78.097573) (xy 72.827618 78.069006) (xy 72.787905 78.047779) (xy 72.7691 78.042075)
(xy 72.7691 76.673517) (xy 72.786169 76.63231) (xy 72.8036 76.544676) (xy 72.8036 76.455324) (xy 72.786169 76.36769)
(xy 72.751975 76.28514) (xy 72.702334 76.210847) (xy 72.639153 76.147666) (xy 72.56486 76.098025) (xy 72.48231 76.063831)
(xy 72.394676 76.0464) (xy 72.305324 76.0464) (xy 72.21769 76.063831) (xy 72.13514 76.098025) (xy 72.060847 76.147666)
(xy 71.997666 76.210847) (xy 71.948025 76.28514) (xy 71.913831 76.36769) (xy 71.8964 76.455324) (xy 71.8964 76.544676)
(xy 71.913831 76.63231) (xy 71.9309 76.673518) (xy 71.930901 78.042075) (xy 71.912095 78.047779) (xy 71.872382 78.069006)
(xy 71.837573 78.097573) (xy 71.809006 78.132382) (xy 71.8 78.149231) (xy 71.790994 78.132382) (xy 71.762427 78.097573)
(xy 71.727618 78.069006) (xy 71.687905 78.047779) (xy 71.644813 78.034708) (xy 71.6 78.030294) (xy 70.9 78.030294)
(xy 70.855187 78.034708) (xy 70.812095 78.047779) (xy 70.772382 78.069006) (xy 70.737573 78.097573) (xy 70.709006 78.132382)
(xy 70.7 78.149231) (xy 70.690994 78.132382) (xy 70.662427 78.097573) (xy 70.627618 78.069006) (xy 70.587905 78.047779)
(xy 70.544813 78.034708) (xy 70.5 78.030294) (xy 69.8 78.030294) (xy 69.755187 78.034708) (xy 69.712095 78.047779)
(xy 69.672382 78.069006) (xy 69.637573 78.097573) (xy 69.609006 78.132382) (xy 69.587779 78.172095) (xy 69.574708 78.215187)
(xy 69.570294 78.26) (xy 69.570294 78.605003) (xy 69.2278 78.606) (xy 69.1643 78.6695) (xy 69.1643 79.4457)
(xy 69.1843 79.4457) (xy 69.1843 79.6743) (xy 69.1643 79.6743) (xy 69.1643 80.4505) (xy 69.2278 80.514)
(xy 69.242514 80.514043) (xy 69.239099 80.518204) (xy 63.086404 86.6709) (xy 57.333517 86.6709) (xy 57.29231 86.653831)
(xy 57.204676 86.6364) (xy 57.115324 86.6364) (xy 57.02769 86.653831) (xy 56.94514 86.688025) (xy 56.870847 86.737666)
(xy 56.807666 86.800847) (xy 56.758025 86.87514) (xy 56.723831 86.95769) (xy 56.7064 87.045324) (xy 54.954706 87.045324)
(xy 54.954706 86.96875) (xy 54.946089 86.881261) (xy 54.920569 86.797133) (xy 54.879128 86.719601) (xy 54.823356 86.651644)
(xy 54.755399 86.595872) (xy 54.677867 86.554431) (xy 54.593739 86.528911) (xy 54.50625 86.520294) (xy 53.99375 86.520294)
(xy 53.906261 86.528911) (xy 53.822133 86.554431) (xy 53.744601 86.595872) (xy 53.676644 86.651644) (xy 53.620872 86.719601)
(xy 53.579431 86.797133) (xy 53.553911 86.881261) (xy 53.545294 86.96875) (xy 53.545294 87.40625) (xy 53.553911 87.493739)
(xy 53.579431 87.577867) (xy 53.620872 87.655399) (xy 53.676644 87.723356) (xy 53.744601 87.779128) (xy 53.822133 87.820569)
(xy 53.906261 87.846089) (xy 53.99375 87.854706) (xy 54.32451 87.854706) (xy 58.251598 91.781795) (xy 58.264718 91.797782)
(xy 58.328534 91.850154) (xy 58.401342 91.889071) (xy 58.470858 91.910158) (xy 58.480342 91.913035) (xy 58.5625 91.921127)
(xy 58.58308 91.9191) (xy 86.67942 91.9191) (xy 86.7 91.921127) (xy 86.72058 91.9191) (xy 86.782158 91.913035)
(xy 86.861158 91.889071) (xy 86.933966 91.850154) (xy 86.997782 91.797782) (xy 87.010907 91.781789) (xy 90.273597 88.5191)
(xy 90.59497 88.5191) (xy 90.52514 88.548025) (xy 90.450847 88.597666) (xy 90.387666 88.660847) (xy 90.338025 88.73514)
(xy 90.320956 88.776347) (xy 87.116404 91.9809) (xy 51.323597 91.9809) (xy 49.5316 90.188904) (xy 49.5316 89.94334)
(xy 49.536169 89.93231) (xy 49.5536 89.844676) (xy 49.5536 89.755324) (xy 49.536169 89.66769) (xy 49.501975 89.58514)
(xy 49.452334 89.510847) (xy 49.389153 89.447666) (xy 49.31486 89.398025) (xy 49.23231 89.363831) (xy 49.144676 89.3464)
(xy 49.055324 89.3464) (xy 48.96769 89.363831) (xy 48.88514 89.398025) (xy 48.810847 89.447666) (xy 48.747666 89.510847)
(xy 48.698025 89.58514) (xy 48.663831 89.66769) (xy 48.6464 89.755324) (xy 47.816977 89.755324) (xy 49.417184 88.155118)
(xy 49.438019 88.138019) (xy 49.506262 88.054865) (xy 49.556972 87.959994) (xy 49.588198 87.857054) (xy 49.5961 87.776824)
(xy 49.5961 87.776815) (xy 49.598741 87.750001) (xy 49.598154 87.744039) (xy 49.623356 87.723356) (xy 49.679128 87.655399)
(xy 49.695376 87.625) (xy 50.069771 87.625) (xy 50.074675 87.674793) (xy 50.089199 87.722672) (xy 50.112785 87.766798)
(xy 50.144526 87.805474) (xy 50.183202 87.837215) (xy 50.227328 87.860801) (xy 50.275207 87.875325) (xy 50.325 87.880229)
(xy 50.7238 87.879) (xy 50.7873 87.8155) (xy 50.7873 87.2002) (xy 50.8127 87.2002) (xy 50.8127 87.8155)
(xy 50.8762 87.879) (xy 51.275 87.880229) (xy 51.324793 87.875325) (xy 51.372672 87.860801) (xy 51.416798 87.837215)
(xy 51.455474 87.805474) (xy 51.487215 87.766798) (xy 51.510801 87.722672) (xy 51.525325 87.674793) (xy 51.530229 87.625)
(xy 51.819771 87.625) (xy 51.824675 87.674793) (xy 51.839199 87.722672) (xy 51.862785 87.766798) (xy 51.894526 87.805474)
(xy 51.933202 87.837215) (xy 51.977328 87.860801) (xy 52.025207 87.875325) (xy 52.075 87.880229) (xy 52.4738 87.879)
(xy 52.5373 87.8155) (xy 52.5373 87.2002) (xy 52.5627 87.2002) (xy 52.5627 87.8155) (xy 52.6262 87.879)
(xy 53.025 87.880229) (xy 53.074793 87.875325) (xy 53.122672 87.860801) (xy 53.166798 87.837215) (xy 53.205474 87.805474)
(xy 53.237215 87.766798) (xy 53.260801 87.722672) (xy 53.275325 87.674793) (xy 53.280229 87.625) (xy 53.279 87.2637)
(xy 53.2155 87.2002) (xy 52.5627 87.2002) (xy 52.5373 87.2002) (xy 51.8845 87.2002) (xy 51.821 87.2637)
(xy 51.819771 87.625) (xy 51.530229 87.625) (xy 51.529 87.2637) (xy 51.4655 87.2002) (xy 50.8127 87.2002)
(xy 50.7873 87.2002) (xy 50.1345 87.2002) (xy 50.071 87.2637) (xy 50.069771 87.625) (xy 49.695376 87.625)
(xy 49.720569 87.577867) (xy 49.746089 87.493739) (xy 49.754706 87.40625) (xy 49.754706 86.96875) (xy 49.746089 86.881261)
(xy 49.720569 86.797133) (xy 49.695377 86.75) (xy 50.069771 86.75) (xy 50.071 87.1113) (xy 50.1345 87.1748)
(xy 50.7873 87.1748) (xy 50.7873 86.5595) (xy 50.8127 86.5595) (xy 50.8127 87.1748) (xy 51.4655 87.1748)
(xy 51.529 87.1113) (xy 51.530229 86.75) (xy 51.819771 86.75) (xy 51.821 87.1113) (xy 51.8845 87.1748)
(xy 52.5373 87.1748) (xy 52.5373 86.5595) (xy 52.5627 86.5595) (xy 52.5627 87.1748) (xy 53.2155 87.1748)
(xy 53.279 87.1113) (xy 53.280229 86.75) (xy 53.275325 86.700207) (xy 53.260801 86.652328) (xy 53.237215 86.608202)
(xy 53.205474 86.569526) (xy 53.166798 86.537785) (xy 53.122672 86.514199) (xy 53.074793 86.499675) (xy 53.025 86.494771)
(xy 52.6262 86.496) (xy 52.5627 86.5595) (xy 52.5373 86.5595) (xy 52.4738 86.496) (xy 52.075 86.494771)
(xy 52.025207 86.499675) (xy 51.977328 86.514199) (xy 51.933202 86.537785) (xy 51.894526 86.569526) (xy 51.862785 86.608202)
(xy 51.839199 86.652328) (xy 51.824675 86.700207) (xy 51.819771 86.75) (xy 51.530229 86.75) (xy 51.525325 86.700207)
(xy 51.510801 86.652328) (xy 51.487215 86.608202) (xy 51.455474 86.569526) (xy 51.416798 86.537785) (xy 51.372672 86.514199)
(xy 51.324793 86.499675) (xy 51.275 86.494771) (xy 50.8762 86.496) (xy 50.8127 86.5595) (xy 50.7873 86.5595)
(xy 50.7238 86.496) (xy 50.325 86.494771) (xy 50.275207 86.499675) (xy 50.227328 86.514199) (xy 50.183202 86.537785)
(xy 50.144526 86.569526) (xy 50.112785 86.608202) (xy 50.089199 86.652328) (xy 50.074675 86.700207) (xy 50.069771 86.75)
(xy 49.695377 86.75) (xy 49.679128 86.719601) (xy 49.623356 86.651644) (xy 49.555399 86.595872) (xy 49.477867 86.554431)
(xy 49.393739 86.528911) (xy 49.30625 86.520294) (xy 48.79375 86.520294) (xy 48.706261 86.528911) (xy 48.622133 86.554431)
(xy 48.544601 86.595872) (xy 48.476644 86.651644) (xy 48.420872 86.719601) (xy 48.379431 86.797133) (xy 48.353911 86.881261)
(xy 48.345294 86.96875) (xy 48.345294 87.40625) (xy 48.353911 87.493739) (xy 48.379431 87.577867) (xy 48.403953 87.623745)
(xy 46.885991 89.141708) (xy 46.866644 89.145556) (xy 46.752246 89.192941) (xy 46.649291 89.261734) (xy 46.561734 89.349291)
(xy 46.492941 89.452246) (xy 46.445556 89.566644) (xy 46.4214 89.688088) (xy 43.905068 89.688088) (xy 43.619442 89.260619)
(xy 43.239381 88.880558) (xy 42.792477 88.581946) (xy 42.295903 88.376258) (xy 41.768744 88.2714) (xy 41.231256 88.2714)
(xy 40.704097 88.376258) (xy 40.207523 88.581946) (xy 39.760619 88.880558) (xy 39.380558 89.260619) (xy 39.081946 89.707523)
(xy 38.876258 90.204097) (xy 38.7714 90.731256) (xy 38.7714 91.176313) (xy 38.753626 91.007206) (xy 38.7536 90.99969)
(xy 38.7536 87.625) (xy 46.569771 87.625) (xy 46.574675 87.674793) (xy 46.589199 87.722672) (xy 46.612785 87.766798)
(xy 46.644526 87.805474) (xy 46.683202 87.837215) (xy 46.727328 87.860801) (xy 46.775207 87.875325) (xy 46.825 87.880229)
(xy 47.2238 87.879) (xy 47.2873 87.8155) (xy 47.2873 87.2002) (xy 47.3127 87.2002) (xy 47.3127 87.8155)
(xy 47.3762 87.879) (xy 47.775 87.880229) (xy 47.824793 87.875325) (xy 47.872672 87.860801) (xy 47.916798 87.837215)
(xy 47.955474 87.805474) (xy 47.987215 87.766798) (xy 48.010801 87.722672) (xy 48.025325 87.674793) (xy 48.030229 87.625)
(xy 48.029 87.2637) (xy 47.9655 87.2002) (xy 47.3127 87.2002) (xy 47.2873 87.2002) (xy 46.6345 87.2002)
(xy 46.571 87.2637) (xy 46.569771 87.625) (xy 38.7536 87.625) (xy 38.7536 87.0762) (xy 43 87.0762)
(xy 43.014866 87.074736) (xy 43.02916 87.0704) (xy 43.042334 87.063358) (xy 43.053882 87.053882) (xy 43.357764 86.75)
(xy 46.569771 86.75) (xy 46.571 87.1113) (xy 46.6345 87.1748) (xy 47.2873 87.1748) (xy 47.2873 86.5595)
(xy 47.3127 86.5595) (xy 47.3127 87.1748) (xy 47.9655 87.1748) (xy 48.029 87.1113) (xy 48.030229 86.75)
(xy 48.025325 86.700207) (xy 48.010801 86.652328) (xy 47.987215 86.608202) (xy 47.955474 86.569526) (xy 47.916798 86.537785)
(xy 47.872672 86.514199) (xy 47.824793 86.499675) (xy 47.775 86.494771) (xy 47.3762 86.496) (xy 47.3127 86.5595)
(xy 47.2873 86.5595) (xy 47.2238 86.496) (xy 46.825 86.494771) (xy 46.775207 86.499675) (xy 46.727328 86.514199)
(xy 46.683202 86.537785) (xy 46.644526 86.569526) (xy 46.612785 86.608202) (xy 46.589199 86.652328) (xy 46.574675 86.700207)
(xy 46.569771 86.75) (xy 43.357764 86.75) (xy 44.553882 85.553882) (xy 44.563358 85.542334) (xy 44.5704 85.52916)
(xy 44.574736 85.514866) (xy 44.5762 85.5) (xy 44.5762 83.43) (xy 45.469771 83.43) (xy 45.474675 83.479793)
(xy 45.489199 83.527672) (xy 45.512785 83.571798) (xy 45.544526 83.610474) (xy 45.583202 83.642215) (xy 45.627328 83.665801)
(xy 45.675207 83.680325) (xy 45.725 83.685229) (xy 46.0988 83.684) (xy 46.1623 83.6205) (xy 46.1623 82.4427)
(xy 45.5345 82.4427) (xy 45.471 82.5062) (xy 45.469771 83.43) (xy 44.5762 83.43) (xy 44.5762 81.3762)
(xy 45.475891 81.3762) (xy 45.474675 81.380207) (xy 45.469771 81.43) (xy 45.471 82.3538) (xy 45.5345 82.4173)
(xy 46.1623 82.4173) (xy 46.1623 82.3973) (xy 46.1877 82.3973) (xy 46.1877 82.4173) (xy 46.2077 82.4173)
(xy 46.2077 82.4427) (xy 46.1877 82.4427) (xy 46.1877 83.6205) (xy 46.2512 83.684) (xy 46.625 83.685229)
(xy 46.674793 83.680325) (xy 46.722672 83.665801) (xy 46.766798 83.642215) (xy 46.805474 83.610474) (xy 46.826429 83.584941)
(xy 46.832573 83.592427) (xy 46.853901 83.60993) (xy 46.8539 84.989176) (xy 46.794601 85.020872) (xy 46.754357 85.0539)
(xy 46.314155 85.0539) (xy 46.297754 85.042941) (xy 46.183356 84.995556) (xy 46.061912 84.9714) (xy 45.938088 84.9714)
(xy 45.816644 84.995556) (xy 45.702246 85.042941) (xy 45.599291 85.111734) (xy 45.511734 85.199291) (xy 45.442941 85.302246)
(xy 45.395556 85.416644) (xy 45.3714 85.538088) (xy 45.3714 85.661912) (xy 45.395556 85.783356) (xy 45.442941 85.897754)
(xy 45.511734 86.000709) (xy 45.599291 86.088266) (xy 45.702246 86.157059) (xy 45.816644 86.204444) (xy 45.938088 86.2286)
(xy 46.061912 86.2286) (xy 46.183356 86.204444) (xy 46.297754 86.157059) (xy 46.314155 86.1461) (xy 46.724793 86.1461)
(xy 46.726644 86.148356) (xy 46.794601 86.204128) (xy 46.872133 86.245569) (xy 46.956261 86.271089) (xy 47.04375 86.279706)
(xy 47.55625 86.279706) (xy 47.643739 86.271089) (xy 47.727867 86.245569) (xy 47.805399 86.204128) (xy 47.873356 86.148356)
(xy 47.929128 86.080399) (xy 47.970569 86.002867) (xy 47.996089 85.918739) (xy 48.004706 85.83125) (xy 48.004706 85.39375)
(xy 47.996089 85.306261) (xy 47.970569 85.222133) (xy 47.9461 85.176354) (xy 47.9461 83.653385) (xy 47.982905 83.642221)
(xy 48.022618 83.620994) (xy 48.057427 83.592427) (xy 48.08 83.564922) (xy 48.102573 83.592427) (xy 48.137382 83.620994)
(xy 48.1689 83.637841) (xy 48.1689 84.388183) (xy 48.166259 84.415) (xy 48.1689 84.441817) (xy 48.1689 84.441823)
(xy 48.176802 84.522053) (xy 48.208028 84.624993) (xy 48.258738 84.719865) (xy 48.326981 84.803019) (xy 48.347821 84.820122)
(xy 48.5039 84.976201) (xy 48.5039 85.054275) (xy 48.476644 85.076644) (xy 48.420872 85.144601) (xy 48.379431 85.222133)
(xy 48.353911 85.306261) (xy 48.345294 85.39375) (xy 48.345294 85.83125) (xy 48.353911 85.918739) (xy 48.379431 86.002867)
(xy 48.420872 86.080399) (xy 48.476644 86.148356) (xy 48.544601 86.204128) (xy 48.622133 86.245569) (xy 48.706261 86.271089)
(xy 48.79375 86.279706) (xy 49.30625 86.279706) (xy 49.393739 86.271089) (xy 49.477867 86.245569) (xy 49.555399 86.204128)
(xy 49.610874 86.1586) (xy 50.239126 86.1586) (xy 50.294601 86.204128) (xy 50.372133 86.245569) (xy 50.456261 86.271089)
(xy 50.54375 86.279706) (xy 51.05625 86.279706) (xy 51.143739 86.271089) (xy 51.227867 86.245569) (xy 51.305399 86.204128)
(xy 51.373356 86.148356) (xy 51.429128 86.080399) (xy 51.470569 86.002867) (xy 51.496089 85.918739) (xy 51.504706 85.83125)
(xy 51.504706 85.39375) (xy 51.496089 85.306261) (xy 51.470569 85.222133) (xy 51.429128 85.144601) (xy 51.373356 85.076644)
(xy 51.305399 85.020872) (xy 51.227867 84.979431) (xy 51.143739 84.953911) (xy 51.05625 84.945294) (xy 50.54375 84.945294)
(xy 50.456261 84.953911) (xy 50.372133 84.979431) (xy 50.294601 85.020872) (xy 50.239126 85.0664) (xy 49.610874 85.0664)
(xy 49.5961 85.054275) (xy 49.5961 84.776824) (xy 49.598742 84.75) (xy 49.588198 84.642946) (xy 49.578478 84.610902)
(xy 49.556972 84.540006) (xy 49.506262 84.445135) (xy 49.438019 84.361981) (xy 49.417179 84.344878) (xy 49.2611 84.188799)
(xy 49.2611 83.637841) (xy 49.292618 83.620994) (xy 49.327427 83.592427) (xy 49.35 83.564922) (xy 49.372573 83.592427)
(xy 49.407382 83.620994) (xy 49.447095 83.642221) (xy 49.490187 83.655292) (xy 49.535 83.659706) (xy 50.435 83.659706)
(xy 50.479813 83.655292) (xy 50.522905 83.642221) (xy 50.562618 83.620994) (xy 50.597427 83.592427) (xy 50.62 83.564922)
(xy 50.642573 83.592427) (xy 50.677382 83.620994) (xy 50.717095 83.642221) (xy 50.760187 83.655292) (xy 50.805 83.659706)
(xy 51.705 83.659706) (xy 51.749813 83.655292) (xy 51.792905 83.642221) (xy 51.832618 83.620994) (xy 51.867427 83.592427)
(xy 51.89 83.564922) (xy 51.912573 83.592427) (xy 51.947382 83.620994) (xy 51.987095 83.642221) (xy 52.030187 83.655292)
(xy 52.075 83.659706) (xy 52.975 83.659706) (xy 53.019813 83.655292) (xy 53.062905 83.642221) (xy 53.102618 83.620994)
(xy 53.137427 83.592427) (xy 53.16 83.564922) (xy 53.182573 83.592427) (xy 53.217382 83.620994) (xy 53.257095 83.642221)
(xy 53.300187 83.655292) (xy 53.312401 83.656495) (xy 53.3124 85.1299) (xy 53.167063 85.1299) (xy 53.123356 85.076644)
(xy 53.055399 85.020872) (xy 52.977867 84.979431) (xy 52.893739 84.953911) (xy 52.80625 84.945294) (xy 52.29375 84.945294)
(xy 52.206261 84.953911) (xy 52.122133 84.979431) (xy 52.044601 85.020872) (xy 51.976644 85.076644) (xy 51.920872 85.144601)
(xy 51.879431 85.222133) (xy 51.853911 85.306261) (xy 51.845294 85.39375) (xy 51.845294 85.83125) (xy 51.853911 85.918739)
(xy 51.879431 86.002867) (xy 51.920872 86.080399) (xy 51.976644 86.148356) (xy 52.044601 86.204128) (xy 52.122133 86.245569)
(xy 52.206261 86.271089) (xy 52.29375 86.279706) (xy 52.80625 86.279706) (xy 52.893739 86.271089) (xy 52.977867 86.245569)
(xy 53.055399 86.204128) (xy 53.123356 86.148356) (xy 53.167063 86.0951) (xy 53.632937 86.0951) (xy 53.676644 86.148356)
(xy 53.744601 86.204128) (xy 53.822133 86.245569) (xy 53.906261 86.271089) (xy 53.99375 86.279706) (xy 54.50625 86.279706)
(xy 54.593739 86.271089) (xy 54.677867 86.245569) (xy 54.755399 86.204128) (xy 54.823356 86.148356) (xy 54.879128 86.080399)
(xy 54.920569 86.002867) (xy 54.946089 85.918739) (xy 54.954706 85.83125) (xy 54.954706 85.39375) (xy 54.946089 85.306261)
(xy 54.920569 85.222133) (xy 54.879128 85.144601) (xy 54.823356 85.076644) (xy 54.755399 85.020872) (xy 54.677867 84.979431)
(xy 54.593739 84.953911) (xy 54.50625 84.945294) (xy 54.2776 84.945294) (xy 54.2776 83.656495) (xy 54.289813 83.655292)
(xy 54.332905 83.642221) (xy 54.372618 83.620994) (xy 54.407427 83.592427) (xy 54.43 83.564922) (xy 54.452573 83.592427)
(xy 54.487382 83.620994) (xy 54.527095 83.642221) (xy 54.570187 83.655292) (xy 54.615 83.659706) (xy 54.645901 83.659706)
(xy 54.645901 84.04441) (xy 54.643873 84.065) (xy 54.651965 84.147157) (xy 54.67593 84.226158) (xy 54.714846 84.298965)
(xy 54.722217 84.307947) (xy 54.767219 84.362782) (xy 54.783206 84.375902) (xy 56.539097 86.131794) (xy 56.552218 86.147782)
(xy 56.616034 86.200154) (xy 56.688842 86.239071) (xy 56.767842 86.263035) (xy 56.849999 86.271127) (xy 56.870579 86.2691)
(xy 62.72942 86.2691) (xy 62.75 86.271127) (xy 62.77058 86.2691) (xy 62.832158 86.263035) (xy 62.911158 86.239071)
(xy 62.983966 86.200154) (xy 63.047782 86.147782) (xy 63.060907 86.131789) (xy 67.381796 81.810901) (xy 67.397782 81.797782)
(xy 67.450154 81.733966) (xy 67.489071 81.661158) (xy 67.513035 81.582158) (xy 67.515189 81.56029) (xy 67.521127 81.5)
(xy 67.5191 81.47942) (xy 67.5191 80.26) (xy 68.194771 80.26) (xy 68.199675 80.309793) (xy 68.214199 80.357672)
(xy 68.237785 80.401798) (xy 68.269526 80.440474) (xy 68.308202 80.472215) (xy 68.352328 80.495801) (xy 68.400207 80.510325)
(xy 68.45 80.515229) (xy 68.8722 80.514) (xy 68.9357 80.4505) (xy 68.9357 79.6743) (xy 68.2595 79.6743)
(xy 68.196 79.7378) (xy 68.194771 80.26) (xy 67.5191 80.26) (xy 67.5191 78.86) (xy 68.194771 78.86)
(xy 68.196 79.3822) (xy 68.2595 79.4457) (xy 68.9357 79.4457) (xy 68.9357 78.6695) (xy 68.8722 78.606)
(xy 68.45 78.604771) (xy 68.400207 78.609675) (xy 68.352328 78.624199) (xy 68.308202 78.647785) (xy 68.269526 78.679526)
(xy 68.237785 78.718202) (xy 68.214199 78.762328) (xy 68.199675 78.810207) (xy 68.194771 78.86) (xy 67.5191 78.86)
(xy 67.5191 78.823596) (xy 77.273596 69.0691) (xy 79.29209 69.0691) (xy 79.296238 69.089955) (xy 79.309309 69.133046)
(xy 79.346818 69.223602) (xy 79.368046 69.263316) (xy 79.422502 69.344815) (xy 79.451068 69.379624) (xy 79.520376 69.448932)
(xy 79.555185 69.477498) (xy 79.636684 69.531954) (xy 79.676398 69.553182) (xy 79.766954 69.590691) (xy 79.810045 69.603762)
(xy 79.906178 69.622884) (xy 79.950991 69.627298) (xy 79.975553 69.627298) (xy 80 69.629706) (xy 80.55 69.629706)
(xy 80.594813 69.625292) (xy 80.637905 69.612221) (xy 80.675 69.592393) (xy 80.712095 69.612221) (xy 80.755187 69.625292)
(xy 80.8 69.629706) (xy 80.8809 69.629706) (xy 80.8809 70.163903) (xy 80.649509 70.395294) (xy 80.31875 70.395294)
(xy 80.231261 70.403911) (xy 80.147133 70.429431) (xy 80.069601 70.470872) (xy 80.001644 70.526644) (xy 79.945872 70.594601)
(xy 79.904431 70.672133) (xy 79.878911 70.756261) (xy 79.870294 70.84375) (xy 79.870294 71.35625) (xy 79.878911 71.443739)
(xy 79.904431 71.527867) (xy 79.945872 71.605399) (xy 80.001644 71.673356) (xy 80.069601 71.729128) (xy 80.147133 71.770569)
(xy 80.231261 71.796089) (xy 80.31875 71.804706) (xy 80.75625 71.804706) (xy 80.843739 71.796089) (xy 80.927867 71.770569)
(xy 81.005399 71.729128) (xy 81.073356 71.673356) (xy 81.129128 71.605399) (xy 81.170569 71.527867) (xy 81.196089 71.443739)
(xy 81.204706 71.35625) (xy 81.204706 71.02549) (xy 81.581795 70.648401) (xy 81.597782 70.635282) (xy 81.650154 70.571466)
(xy 81.689071 70.498658) (xy 81.713035 70.419658) (xy 81.7191 70.35808) (xy 81.7191 70.358079) (xy 81.721127 70.3375)
(xy 81.7191 70.31692) (xy 81.7191 69.629706) (xy 81.8 69.629706) (xy 81.844813 69.625292) (xy 81.887905 69.612221)
(xy 81.925 69.592393) (xy 81.962095 69.612221) (xy 82.005187 69.625292) (xy 82.05 69.629706) (xy 82.1809 69.629706)
(xy 82.180901 70.580124) (xy 82.111734 70.649291) (xy 82.042941 70.752246) (xy 81.995556 70.866644) (xy 81.9714 70.988088)
(xy 81.9714 71.111912) (xy 81.995556 71.233356) (xy 82.042941 71.347754) (xy 82.111734 71.450709) (xy 82.199291 71.538266)
(xy 82.302246 71.607059) (xy 82.416644 71.654444) (xy 82.538088 71.6786) (xy 82.661912 71.6786) (xy 82.783356 71.654444)
(xy 82.897754 71.607059) (xy 83.000709 71.538266) (xy 83.019875 71.5191) (xy 84.876404 71.5191) (xy 85.261703 71.9044)
(xy 84.696135 71.9044) (xy 84.673356 71.876644) (xy 84.605399 71.820872) (xy 84.527867 71.779431) (xy 84.443739 71.753911)
(xy 84.35625 71.745294) (xy 83.91875 71.745294) (xy 83.831261 71.753911) (xy 83.747133 71.779431) (xy 83.669601 71.820872)
(xy 83.601644 71.876644) (xy 83.545872 71.944601) (xy 83.504431 72.022133) (xy 83.478911 72.106261) (xy 83.470294 72.19375)
(xy 83.470294 72.70625) (xy 83.478911 72.793739) (xy 83.504431 72.877867) (xy 83.545872 72.955399) (xy 83.601644 73.023356)
(xy 83.669601 73.079128) (xy 83.747133 73.120569) (xy 83.831261 73.146089) (xy 83.91875 73.154706) (xy 84.35625 73.154706)
(xy 84.443739 73.146089) (xy 84.527867 73.120569) (xy 84.605399 73.079128) (xy 84.673356 73.023356) (xy 84.729128 72.955399)
(xy 84.770569 72.877867) (xy 84.796089 72.793739) (xy 84.804706 72.70625) (xy 84.804706 72.6156) (xy 85.331505 72.6156)
(xy 84.616843 73.330264) (xy 84.605399 73.320872) (xy 84.527867 73.279431) (xy 84.443739 73.253911) (xy 84.35625 73.245294)
(xy 83.91875 73.245294) (xy 83.831261 73.253911) (xy 83.747133 73.279431) (xy 83.669601 73.320872) (xy 83.601644 73.376644)
(xy 83.545872 73.444601) (xy 83.504431 73.522133) (xy 83.478911 73.606261) (xy 83.470294 73.69375) (xy 83.470294 74.20625)
(xy 83.478911 74.293739) (xy 83.504431 74.377867) (xy 83.545872 74.455399) (xy 83.601644 74.523356) (xy 83.669601 74.579128)
(xy 83.747133 74.620569) (xy 83.831261 74.646089) (xy 83.91875 74.654706) (xy 84.35625 74.654706) (xy 84.443739 74.646089)
(xy 84.527867 74.620569) (xy 84.605399 74.579128) (xy 84.673356 74.523356) (xy 84.729128 74.455399) (xy 84.770569 74.377867)
(xy 84.796089 74.293739) (xy 84.804706 74.20625) (xy 84.804706 74.148187) (xy 85.193597 73.759297) (xy 85.1944 73.767456)
(xy 85.194401 74.652705) (xy 84.783231 75.063875) (xy 84.770569 75.022133) (xy 84.729128 74.944601) (xy 84.673356 74.876644)
(xy 84.605399 74.820872) (xy 84.527867 74.779431) (xy 84.443739 74.753911) (xy 84.35625 74.745294) (xy 83.91875 74.745294)
(xy 83.831261 74.753911) (xy 83.747133 74.779431) (xy 83.669601 74.820872) (xy 83.601644 74.876644) (xy 83.545872 74.944601)
(xy 83.504431 75.022133) (xy 83.478911 75.106261) (xy 83.470294 75.19375) (xy 83.470294 75.70625) (xy 83.478911 75.793739)
(xy 83.504431 75.877867) (xy 83.545872 75.955399) (xy 83.601644 76.023356) (xy 83.669601 76.079128) (xy 83.747133 76.120569)
(xy 83.831261 76.146089) (xy 83.91875 76.154706) (xy 84.35625 76.154706) (xy 84.443739 76.146089) (xy 84.527867 76.120569)
(xy 84.605399 76.079128) (xy 84.673356 76.023356) (xy 84.729128 75.955399) (xy 84.770569 75.877867) (xy 84.792491 75.8056)
(xy 84.882545 75.8056) (xy 84.9 75.807319) (xy 84.917455 75.8056) (xy 84.917463 75.8056) (xy 84.96971 75.800454)
(xy 85.03674 75.780121) (xy 85.098516 75.747101) (xy 85.152663 75.702663) (xy 85.163799 75.689094) (xy 85.7891 75.063794)
(xy 85.802663 75.052663) (xy 85.847101 74.998516) (xy 85.880121 74.93674) (xy 85.900454 74.86971) (xy 85.9056 74.817463)
(xy 85.9056 74.817455) (xy 85.907319 74.8) (xy 85.9056 74.782545) (xy 85.9056 73.897293) (xy 86.082547 73.720347)
(xy 86.1095 73.7473) (xy 86.3638 73.7473) (xy 86.3638 73.7727) (xy 86.1095 73.7727) (xy 86.046 73.8362)
(xy 86.044771 73.91) (xy 86.049675 73.959793) (xy 86.064199 74.007672) (xy 86.082033 74.041037) (xy 86.074708 74.065187)
(xy 86.070294 74.11) (xy 86.070294 74.41) (xy 86.074708 74.454813) (xy 86.087779 74.497905) (xy 86.094244 74.51)
(xy 86.087779 74.522095) (xy 86.074708 74.565187) (xy 86.070294 74.61) (xy 86.070294 74.91) (xy 86.074708 74.954813)
(xy 86.087779 74.997905) (xy 86.094244 75.01) (xy 86.087779 75.022095) (xy 86.074708 75.065187) (xy 86.070294 75.11)
(xy 86.070294 75.41) (xy 86.074708 75.454813) (xy 86.087779 75.497905) (xy 86.109006 75.537618) (xy 86.137573 75.572427)
(xy 86.172382 75.600994) (xy 86.212095 75.622221) (xy 86.255187 75.635292) (xy 86.3 75.639706) (xy 87.6 75.639706)
(xy 87.644813 75.635292) (xy 87.687905 75.622221) (xy 87.700292 75.6156) (xy 88.1209 75.6156) (xy 88.1209 75.726403)
(xy 86.418211 77.429093) (xy 86.402218 77.442218) (xy 86.349846 77.506035) (xy 86.310929 77.578843) (xy 86.292924 77.638198)
(xy 86.286965 77.657843) (xy 86.278873 77.74) (xy 86.2809 77.76058) (xy 86.280901 79.426486) (xy 86.263831 79.467696)
(xy 86.2464 79.55533) (xy 86.2464 79.644682) (xy 86.263831 79.732316) (xy 86.298025 79.814866) (xy 86.347666 79.889159)
(xy 86.410847 79.95234) (xy 86.48514 80.001981) (xy 86.56769 80.036175) (xy 86.655324 80.053606) (xy 86.744676 80.053606)
(xy 86.83231 80.036175) (xy 86.91486 80.001981) (xy 86.989153 79.95234) (xy 87.052334 79.889159) (xy 87.101975 79.814866)
(xy 87.136169 79.732316) (xy 87.1536 79.644682) (xy 87.1536 79.55533) (xy 87.136169 79.467696) (xy 87.1191 79.426489)
(xy 87.1191 78.555324) (xy 94.6464 78.555324) (xy 94.6464 78.644676) (xy 94.663831 78.73231) (xy 94.698025 78.81486)
(xy 94.747666 78.889153) (xy 94.810847 78.952334) (xy 94.88514 79.001975) (xy 94.96769 79.036169) (xy 95.055324 79.0536)
(xy 95.144676 79.0536) (xy 95.23231 79.036169) (xy 95.31486 79.001975) (xy 95.384265 78.9556) (xy 95.545009 78.9556)
(xy 95.566931 79.027867) (xy 95.608372 79.105399) (xy 95.664144 79.173356) (xy 95.732101 79.229128) (xy 95.809633 79.270569)
(xy 95.893761 79.296089) (xy 95.98125 79.304706) (xy 96.41875 79.304706) (xy 96.506239 79.296089) (xy 96.590367 79.270569)
(xy 96.667899 79.229128) (xy 96.735856 79.173356) (xy 96.791628 79.105399) (xy 96.833069 79.027867) (xy 96.858589 78.943739)
(xy 96.867206 78.85625) (xy 96.867206 78.34375) (xy 96.858589 78.256261) (xy 96.833069 78.172133) (xy 96.791628 78.094601)
(xy 96.735856 78.026644) (xy 96.667899 77.970872) (xy 96.590367 77.929431) (xy 96.506239 77.903911) (xy 96.41875 77.895294)
(xy 95.98125 77.895294) (xy 95.893761 77.903911) (xy 95.809633 77.929431) (xy 95.732101 77.970872) (xy 95.664144 78.026644)
(xy 95.608372 78.094601) (xy 95.566931 78.172133) (xy 95.545009 78.2444) (xy 95.384265 78.2444) (xy 95.31486 78.198025)
(xy 95.23231 78.163831) (xy 95.144676 78.1464) (xy 95.055324 78.1464) (xy 94.96769 78.163831) (xy 94.88514 78.198025)
(xy 94.810847 78.247666) (xy 94.747666 78.310847) (xy 94.698025 78.38514) (xy 94.663831 78.46769) (xy 94.6464 78.555324)
(xy 87.1191 78.555324) (xy 87.1191 77.913596) (xy 88.772696 76.26) (xy 88.870294 76.26) (xy 88.870294 78.06)
(xy 88.874708 78.104813) (xy 88.887779 78.147905) (xy 88.909006 78.187618) (xy 88.937573 78.222427) (xy 88.972382 78.250994)
(xy 89.012095 78.272221) (xy 89.055187 78.285292) (xy 89.1 78.289706) (xy 91.3 78.289706) (xy 91.344813 78.285292)
(xy 91.387905 78.272221) (xy 91.427618 78.250994) (xy 91.462427 78.222427) (xy 91.490994 78.187618) (xy 91.512221 78.147905)
(xy 91.525292 78.104813) (xy 91.529706 78.06) (xy 91.529706 76.26) (xy 91.525292 76.215187) (xy 91.512221 76.172095)
(xy 91.490994 76.132382) (xy 91.462427 76.097573) (xy 91.427618 76.069006) (xy 91.387905 76.047779) (xy 91.344813 76.034708)
(xy 91.3 76.030294) (xy 89.1 76.030294) (xy 89.055187 76.034708) (xy 89.012095 76.047779) (xy 88.972382 76.069006)
(xy 88.937573 76.097573) (xy 88.909006 76.132382) (xy 88.887779 76.172095) (xy 88.874708 76.215187) (xy 88.870294 76.26)
(xy 88.772696 76.26) (xy 88.821796 76.210901) (xy 88.837782 76.197782) (xy 88.890154 76.133966) (xy 88.929071 76.061158)
(xy 88.953035 75.982158) (xy 88.9591 75.92058) (xy 88.9591 75.920579) (xy 88.961127 75.9) (xy 88.9591 75.87942)
(xy 88.9591 75.220579) (xy 88.961127 75.2) (xy 88.9591 75.17942) (xy 88.9591 74.813596) (xy 89.2309 74.541797)
(xy 89.230904 74.541792) (xy 89.861795 73.910902) (xy 89.877782 73.897782) (xy 89.930154 73.833966) (xy 89.969071 73.761158)
(xy 89.993035 73.682158) (xy 89.9991 73.62058) (xy 89.9991 73.620579) (xy 90.001127 73.6) (xy 89.9991 73.57942)
(xy 89.9991 61.23942) (xy 89.993035 61.177842) (xy 89.9891 61.16487) (xy 89.9891 59.0361) (xy 90.313183 59.0361)
(xy 90.34 59.038741) (xy 90.366817 59.0361) (xy 90.366824 59.0361) (xy 90.447054 59.028198) (xy 90.549994 58.996972)
(xy 90.644865 58.946262) (xy 90.728019 58.878019) (xy 90.745122 58.857179) (xy 94.614759 54.987542) (xy 99.7464 54.987542)
(xy 99.746401 76.012459) (xy 99.747511 76.023734) (xy 99.747468 76.029966) (xy 99.747813 76.03349) (xy 99.768214 76.227587)
(xy 99.772839 76.250117) (xy 99.777143 76.272679) (xy 99.778166 76.276069) (xy 99.835878 76.462507) (xy 99.844795 76.483719)
(xy 99.853396 76.505007) (xy 99.855058 76.508134) (xy 99.947883 76.679811) (xy 99.960726 76.698851) (xy 99.973322 76.7181)
(xy 99.97556 76.720844) (xy 100.099964 76.871222) (xy 100.11626 76.887404) (xy 100.132355 76.90384) (xy 100.135083 76.906097)
(xy 100.286325 77.029447) (xy 100.305484 77.042176) (xy 100.324435 77.055152) (xy 100.32755 77.056837) (xy 100.499873 77.148462)
(xy 100.521138 77.157227) (xy 100.542249 77.166275) (xy 100.545632 77.167322) (xy 100.732468 77.223731) (xy 100.755028 77.228198)
(xy 100.777497 77.232974) (xy 100.781019 77.233345) (xy 100.975252 77.25239) (xy 100.975255 77.25239) (xy 100.987541 77.2536)
(xy 104.012459 77.2536) (xy 104.023744 77.252489) (xy 104.029966 77.252532) (xy 104.03349 77.252187) (xy 104.227587 77.231786)
(xy 104.250117 77.227161) (xy 104.272679 77.222857) (xy 104.276069 77.221834) (xy 104.462507 77.164122) (xy 104.483719 77.155205)
(xy 104.505007 77.146604) (xy 104.508134 77.144942) (xy 104.679811 77.052117) (xy 104.698851 77.039274) (xy 104.7181 77.026678)
(xy 104.720844 77.02444) (xy 104.871222 76.900036) (xy 104.887404 76.88374) (xy 104.90384 76.867645) (xy 104.906097 76.864917)
(xy 105.029447 76.713675) (xy 105.042176 76.694516) (xy 105.055152 76.675565) (xy 105.056837 76.67245) (xy 105.148462 76.500127)
(xy 105.157227 76.478862) (xy 105.166275 76.457751) (xy 105.167322 76.454368) (xy 105.223731 76.267532) (xy 105.228192 76.245)
(xy 107.584771 76.245) (xy 107.589675 76.294793) (xy 107.604199 76.342672) (xy 107.627785 76.386798) (xy 107.659526 76.425474)
(xy 107.698202 76.457215) (xy 107.742328 76.480801) (xy 107.790207 76.495325) (xy 107.84 76.500229) (xy 108.4638 76.499)
(xy 108.5273 76.4355) (xy 108.5273 75.5827) (xy 108.5527 75.5827) (xy 108.5527 76.4355) (xy 108.6162 76.499)
(xy 109.24 76.500229) (xy 109.289793 76.495325) (xy 109.337672 76.480801) (xy 109.381798 76.457215) (xy 109.420474 76.425474)
(xy 109.452215 76.386798) (xy 109.475801 76.342672) (xy 109.490325 76.294793) (xy 109.495229 76.245) (xy 109.494 75.6462)
(xy 109.4305 75.5827) (xy 108.5527 75.5827) (xy 108.5273 75.5827) (xy 107.6495 75.5827) (xy 107.586 75.6462)
(xy 107.584771 76.245) (xy 105.228192 76.245) (xy 105.228198 76.244972) (xy 105.232974 76.222503) (xy 105.233345 76.218981)
(xy 105.25239 76.024748) (xy 105.25239 76.024745) (xy 105.2536 76.012459) (xy 105.2536 74.895) (xy 107.584771 74.895)
(xy 107.586 75.4938) (xy 107.6495 75.5573) (xy 108.5273 75.5573) (xy 108.5273 74.7045) (xy 108.5527 74.7045)
(xy 108.5527 75.5573) (xy 109.4305 75.5573) (xy 109.494 75.4938) (xy 109.495229 74.895) (xy 109.490325 74.845207)
(xy 109.475801 74.797328) (xy 109.452215 74.753202) (xy 109.420474 74.714526) (xy 109.381798 74.682785) (xy 109.337672 74.659199)
(xy 109.289793 74.644675) (xy 109.24 74.639771) (xy 108.6162 74.641) (xy 108.5527 74.7045) (xy 108.5273 74.7045)
(xy 108.4638 74.641) (xy 107.84 74.639771) (xy 107.790207 74.644675) (xy 107.742328 74.659199) (xy 107.698202 74.682785)
(xy 107.659526 74.714526) (xy 107.627785 74.753202) (xy 107.604199 74.797328) (xy 107.589675 74.845207) (xy 107.584771 74.895)
(xy 105.2536 74.895) (xy 105.2536 73.985) (xy 108.479771 73.985) (xy 108.484675 74.034793) (xy 108.499199 74.082672)
(xy 108.522785 74.126798) (xy 108.554526 74.165474) (xy 108.593202 74.197215) (xy 108.637328 74.220801) (xy 108.685207 74.235325)
(xy 108.735 74.240229) (xy 109.0963 74.239) (xy 109.1598 74.1755) (xy 109.1598 73.5227) (xy 109.1852 73.5227)
(xy 109.1852 74.1755) (xy 109.2487 74.239) (xy 109.61 74.240229) (xy 109.659793 74.235325) (xy 109.707672 74.220801)
(xy 109.751798 74.197215) (xy 109.790474 74.165474) (xy 109.822215 74.126798) (xy 109.845801 74.082672) (xy 109.860325 74.034793)
(xy 109.865229 73.985) (xy 109.864 73.5862) (xy 109.8005 73.5227) (xy 109.1852 73.5227) (xy 109.1598 73.5227)
(xy 108.5445 73.5227) (xy 108.481 73.5862) (xy 108.479771 73.985) (xy 105.2536 73.985) (xy 105.2536 73.035)
(xy 108.479771 73.035) (xy 108.481 73.4338) (xy 108.5445 73.4973) (xy 109.1598 73.4973) (xy 109.1598 72.8445)
(xy 109.1852 72.8445) (xy 109.1852 73.4973) (xy 109.8005 73.4973) (xy 109.864 73.4338) (xy 109.864554 73.25375)
(xy 110.080294 73.25375) (xy 110.080294 73.76625) (xy 110.088911 73.853739) (xy 110.114431 73.937867) (xy 110.155872 74.015399)
(xy 110.211644 74.083356) (xy 110.279601 74.139128) (xy 110.357133 74.180569) (xy 110.441261 74.206089) (xy 110.52875 74.214706)
(xy 110.679904 74.214706) (xy 110.693901 74.228703) (xy 110.693901 74.675273) (xy 110.606424 74.701809) (xy 110.523489 74.746139)
(xy 110.450796 74.805796) (xy 110.391139 74.878489) (xy 110.346809 74.961424) (xy 110.319511 75.051413) (xy 110.310294 75.144999)
(xy 110.310294 75.995001) (xy 110.319511 76.088587) (xy 110.346809 76.178576) (xy 110.391139 76.261511) (xy 110.450796 76.334204)
(xy 110.523489 76.393861) (xy 110.606424 76.438191) (xy 110.696413 76.465489) (xy 110.789999 76.474706) (xy 111.690001 76.474706)
(xy 111.783587 76.465489) (xy 111.873576 76.438191) (xy 111.956511 76.393861) (xy 112.003224 76.355525) (xy 113.168112 77.520414)
(xy 113.1639 77.563177) (xy 113.1639 77.563183) (xy 113.161259 77.59) (xy 113.1639 77.616817) (xy 113.163901 78.381365)
(xy 113.159708 78.395187) (xy 113.155294 78.44) (xy 113.155294 79.5) (xy 113.159708 79.544813) (xy 113.172779 79.587905)
(xy 113.194006 79.627618) (xy 113.222573 79.662427) (xy 113.257382 79.690994) (xy 113.297095 79.712221) (xy 113.340187 79.725292)
(xy 113.385 79.729706) (xy 114.035 79.729706) (xy 114.079813 79.725292) (xy 114.122905 79.712221) (xy 114.162618 79.690994)
(xy 114.197427 79.662427) (xy 114.225994 79.627618) (xy 114.247221 79.587905) (xy 114.260292 79.544813) (xy 114.264706 79.5)
(xy 114.264706 78.44) (xy 115.055294 78.44) (xy 115.055294 79.5) (xy 115.059708 79.544813) (xy 115.072779 79.587905)
(xy 115.094006 79.627618) (xy 115.122573 79.662427) (xy 115.157382 79.690994) (xy 115.197095 79.712221) (xy 115.240187 79.725292)
(xy 115.285 79.729706) (xy 115.935 79.729706) (xy 115.979813 79.725292) (xy 116.022905 79.712221) (xy 116.062618 79.690994)
(xy 116.097427 79.662427) (xy 116.125994 79.627618) (xy 116.147221 79.587905) (xy 116.160292 79.544813) (xy 116.164706 79.5)
(xy 116.164706 79.385) (xy 117.949771 79.385) (xy 117.954675 79.434793) (xy 117.969199 79.482672) (xy 117.992785 79.526798)
(xy 118.024526 79.565474) (xy 118.063202 79.597215) (xy 118.107328 79.620801) (xy 118.155207 79.635325) (xy 118.205 79.640229)
(xy 118.5663 79.639) (xy 118.6298 79.5755) (xy 118.6298 78.9227) (xy 118.6552 78.9227) (xy 118.6552 79.5755)
(xy 118.7187 79.639) (xy 119.08 79.640229) (xy 119.129793 79.635325) (xy 119.177672 79.620801) (xy 119.221798 79.597215)
(xy 119.260474 79.565474) (xy 119.292215 79.526798) (xy 119.315801 79.482672) (xy 119.330325 79.434793) (xy 119.335229 79.385)
(xy 119.334 78.9862) (xy 119.2705 78.9227) (xy 118.6552 78.9227) (xy 118.6298 78.9227) (xy 118.0145 78.9227)
(xy 117.951 78.9862) (xy 117.949771 79.385) (xy 116.164706 79.385) (xy 116.164706 78.44) (xy 116.164214 78.435)
(xy 117.949771 78.435) (xy 117.951 78.8338) (xy 118.0145 78.8973) (xy 118.6298 78.8973) (xy 118.6298 78.2445)
(xy 118.6552 78.2445) (xy 118.6552 78.8973) (xy 119.2705 78.8973) (xy 119.334 78.8338) (xy 119.335229 78.435)
(xy 119.330325 78.385207) (xy 119.315801 78.337328) (xy 119.292215 78.293202) (xy 119.260474 78.254526) (xy 119.221798 78.222785)
(xy 119.177672 78.199199) (xy 119.129793 78.184675) (xy 119.08 78.179771) (xy 118.7187 78.181) (xy 118.6552 78.2445)
(xy 118.6298 78.2445) (xy 118.5663 78.181) (xy 118.205 78.179771) (xy 118.155207 78.184675) (xy 118.107328 78.199199)
(xy 118.063202 78.222785) (xy 118.024526 78.254526) (xy 117.992785 78.293202) (xy 117.969199 78.337328) (xy 117.954675 78.385207)
(xy 117.949771 78.435) (xy 116.164214 78.435) (xy 116.160292 78.395187) (xy 116.147221 78.352095) (xy 116.125994 78.312382)
(xy 116.097427 78.277573) (xy 116.062618 78.249006) (xy 116.022905 78.227779) (xy 115.979813 78.214708) (xy 115.935 78.210294)
(xy 115.285 78.210294) (xy 115.240187 78.214708) (xy 115.197095 78.227779) (xy 115.157382 78.249006) (xy 115.122573 78.277573)
(xy 115.094006 78.312382) (xy 115.072779 78.352095) (xy 115.059708 78.395187) (xy 115.055294 78.44) (xy 114.264706 78.44)
(xy 114.260292 78.395187) (xy 114.2561 78.381367) (xy 114.2561 77.9861) (xy 119.068563 77.9861) (xy 119.111734 78.050709)
(xy 119.199291 78.138266) (xy 119.302246 78.207059) (xy 119.416644 78.254444) (xy 119.423508 78.255809) (xy 119.607211 78.439513)
(xy 119.584431 78.482133) (xy 119.558911 78.566261) (xy 119.550294 78.65375) (xy 119.550294 79.16625) (xy 119.558911 79.253739)
(xy 119.584431 79.337867) (xy 119.625872 79.415399) (xy 119.681644 79.483356) (xy 119.749601 79.539128) (xy 119.827133 79.580569)
(xy 119.911261 79.606089) (xy 119.99875 79.614706) (xy 120.43625 79.614706) (xy 120.523739 79.606089) (xy 120.607867 79.580569)
(xy 120.685399 79.539128) (xy 120.753356 79.483356) (xy 120.809128 79.415399) (xy 120.850569 79.337867) (xy 120.876089 79.253739)
(xy 120.884706 79.16625) (xy 120.884706 78.65375) (xy 120.876089 78.566261) (xy 120.850569 78.482133) (xy 120.809128 78.404601)
(xy 120.7636 78.349126) (xy 120.7636 78.304317) (xy 120.766241 78.2775) (xy 120.7636 78.250683) (xy 120.7636 78.250676)
(xy 120.755698 78.170446) (xy 120.724472 78.067506) (xy 120.715358 78.050454) (xy 120.673762 77.972635) (xy 120.622618 77.910316)
(xy 120.605519 77.889481) (xy 120.584684 77.872382) (xy 120.210775 77.498474) (xy 120.204444 77.466644) (xy 120.157059 77.352246)
(xy 120.088266 77.249291) (xy 120.000709 77.161734) (xy 119.897754 77.092941) (xy 119.783356 77.045556) (xy 119.765978 77.042099)
(xy 119.694865 76.983738) (xy 119.599994 76.933028) (xy 119.497054 76.901802) (xy 119.416824 76.8939) (xy 119.416817 76.8939)
(xy 119.39 76.891259) (xy 119.363183 76.8939) (xy 114.086202 76.8939) (xy 112.395122 75.202821) (xy 112.378019 75.181981)
(xy 112.294865 75.113738) (xy 112.199994 75.063028) (xy 112.160366 75.051007) (xy 112.133191 74.961424) (xy 112.088861 74.878489)
(xy 112.029204 74.805796) (xy 111.956511 74.746139) (xy 111.873576 74.701809) (xy 111.7861 74.675273) (xy 111.7861 74.255324)
(xy 112.7364 74.255324) (xy 112.7364 74.344676) (xy 112.753831 74.43231) (xy 112.788025 74.51486) (xy 112.837666 74.589153)
(xy 112.900847 74.652334) (xy 112.97514 74.701975) (xy 113.05769 74.736169) (xy 113.145324 74.7536) (xy 113.234676 74.7536)
(xy 113.32231 74.736169) (xy 113.339376 74.7291) (xy 113.746772 74.7291) (xy 113.749431 74.737867) (xy 113.790872 74.815399)
(xy 113.846644 74.883356) (xy 113.914601 74.939128) (xy 113.992133 74.980569) (xy 114.076261 75.006089) (xy 114.16375 75.014706)
(xy 114.60125 75.014706) (xy 114.688739 75.006089) (xy 114.772867 74.980569) (xy 114.850399 74.939128) (xy 114.918356 74.883356)
(xy 114.974128 74.815399) (xy 115.015569 74.737867) (xy 115.041089 74.653739) (xy 115.049706 74.56625) (xy 115.049706 74.05375)
(xy 115.041089 73.966261) (xy 115.015569 73.882133) (xy 114.974128 73.804601) (xy 114.918356 73.736644) (xy 114.850399 73.680872)
(xy 114.772867 73.639431) (xy 114.688739 73.613911) (xy 114.60125 73.605294) (xy 114.16375 73.605294) (xy 114.076261 73.613911)
(xy 113.992133 73.639431) (xy 113.914601 73.680872) (xy 113.846644 73.736644) (xy 113.790872 73.804601) (xy 113.749431 73.882133)
(xy 113.746772 73.8909) (xy 113.387659 73.8909) (xy 113.32231 73.863831) (xy 113.234676 73.8464) (xy 113.145324 73.8464)
(xy 113.05769 73.863831) (xy 112.97514 73.898025) (xy 112.900847 73.947666) (xy 112.837666 74.010847) (xy 112.788025 74.08514)
(xy 112.753831 74.16769) (xy 112.7364 74.255324) (xy 111.7861 74.255324) (xy 111.7861 74.029313) (xy 111.788741 74.002499)
(xy 111.7861 73.975685) (xy 111.7861 73.975676) (xy 111.778198 73.895446) (xy 111.746972 73.792506) (xy 111.696262 73.697635)
(xy 111.628019 73.614481) (xy 111.607184 73.597382) (xy 111.414706 73.404904) (xy 111.414706 73.335324) (xy 112.1564 73.335324)
(xy 112.1564 73.424676) (xy 112.173831 73.51231) (xy 112.208025 73.59486) (xy 112.257666 73.669153) (xy 112.320847 73.732334)
(xy 112.39514 73.781975) (xy 112.47769 73.816169) (xy 112.565324 73.8336) (xy 112.654676 73.8336) (xy 112.74231 73.816169)
(xy 112.82486 73.781975) (xy 112.899153 73.732334) (xy 112.962334 73.669153) (xy 113.011975 73.59486) (xy 113.029044 73.553652)
(xy 113.553597 73.0291) (xy 113.746772 73.0291) (xy 113.749431 73.037867) (xy 113.790872 73.115399) (xy 113.846644 73.183356)
(xy 113.914601 73.239128) (xy 113.992133 73.280569) (xy 114.076261 73.306089) (xy 114.16375 73.314706) (xy 114.60125 73.314706)
(xy 114.688739 73.306089) (xy 114.772867 73.280569) (xy 114.850399 73.239128) (xy 114.918356 73.183356) (xy 114.974128 73.115399)
(xy 115.015569 73.037867) (xy 115.041089 72.953739) (xy 115.049706 72.86625) (xy 115.049706 72.35375) (xy 115.290294 72.35375)
(xy 115.290294 72.86625) (xy 115.298911 72.953739) (xy 115.324431 73.037867) (xy 115.365872 73.115399) (xy 115.421644 73.183356)
(xy 115.489601 73.239128) (xy 115.567133 73.280569) (xy 115.651261 73.306089) (xy 115.73875 73.314706) (xy 116.159312 73.314706)
(xy 116.719005 73.8744) (xy 116.586436 73.8744) (xy 116.549128 73.804601) (xy 116.493356 73.736644) (xy 116.425399 73.680872)
(xy 116.347867 73.639431) (xy 116.263739 73.613911) (xy 116.17625 73.605294) (xy 115.73875 73.605294) (xy 115.651261 73.613911)
(xy 115.567133 73.639431) (xy 115.489601 73.680872) (xy 115.421644 73.736644) (xy 115.365872 73.804601) (xy 115.324431 73.882133)
(xy 115.298911 73.966261) (xy 115.290294 74.05375) (xy 115.290294 74.56625) (xy 115.298911 74.653739) (xy 115.324431 74.737867)
(xy 115.365872 74.815399) (xy 115.421644 74.883356) (xy 115.489601 74.939128) (xy 115.567133 74.980569) (xy 115.651261 75.006089)
(xy 115.73875 75.014706) (xy 116.17625 75.014706) (xy 116.263739 75.006089) (xy 116.347867 74.980569) (xy 116.425399 74.939128)
(xy 116.493356 74.883356) (xy 116.549128 74.815399) (xy 116.590569 74.737867) (xy 116.616089 74.653739) (xy 116.6228 74.5856)
(xy 117.70346 74.5856) (xy 117.695909 74.610493) (xy 117.690294 74.6675) (xy 117.690294 74.7925) (xy 117.695909 74.849507)
(xy 117.70346 74.8744) (xy 117.479299 74.8744) (xy 117.42486 74.838025) (xy 117.34231 74.803831) (xy 117.254676 74.7864)
(xy 117.165324 74.7864) (xy 117.07769 74.803831) (xy 116.99514 74.838025) (xy 116.920847 74.887666) (xy 116.857666 74.950847)
(xy 116.808025 75.02514) (xy 116.773831 75.10769) (xy 116.7564 75.195324) (xy 116.7564 75.284676) (xy 116.773831 75.37231)
(xy 116.808025 75.45486) (xy 116.857666 75.529153) (xy 116.920847 75.592334) (xy 116.99514 75.641975) (xy 117.07769 75.676169)
(xy 117.165324 75.6936) (xy 117.254676 75.6936) (xy 117.34231 75.676169) (xy 117.42486 75.641975) (xy 117.499153 75.592334)
(xy 117.505887 75.5856) (xy 118.337463 75.5856) (xy 118.34654 75.584706) (xy 118.6575 75.584706) (xy 118.714507 75.579091)
(xy 118.769322 75.562463) (xy 118.819841 75.53546) (xy 118.85938 75.503011) (xy 118.882785 75.546798) (xy 118.914526 75.585474)
(xy 118.953202 75.617215) (xy 118.996989 75.64062) (xy 118.96454 75.680159) (xy 118.937537 75.730678) (xy 118.920909 75.785493)
(xy 118.915294 75.8425) (xy 118.915294 76.5175) (xy 118.920909 76.574507) (xy 118.937537 76.629322) (xy 118.96454 76.679841)
(xy 119.000879 76.724121) (xy 119.045159 76.76046) (xy 119.095678 76.787463) (xy 119.150493 76.804091) (xy 119.2075 76.809706)
(xy 119.3325 76.809706) (xy 119.389507 76.804091) (xy 119.444322 76.787463) (xy 119.494841 76.76046) (xy 119.52 76.739813)
(xy 119.545159 76.76046) (xy 119.595678 76.787463) (xy 119.650493 76.804091) (xy 119.7075 76.809706) (xy 119.8325 76.809706)
(xy 119.889507 76.804091) (xy 119.944322 76.787463) (xy 119.976472 76.770278) (xy 120.003202 76.792215) (xy 120.047328 76.815801)
(xy 120.095207 76.830325) (xy 120.145 76.835229) (xy 120.1938 76.834) (xy 120.2573 76.7705) (xy 120.2573 76.1927)
(xy 120.2373 76.1927) (xy 120.2373 76.1673) (xy 120.2573 76.1673) (xy 120.2573 76.1473) (xy 120.2827 76.1473)
(xy 120.2827 76.1673) (xy 120.3027 76.1673) (xy 120.3027 76.1927) (xy 120.2827 76.1927) (xy 120.2827 76.7705)
(xy 120.3462 76.834) (xy 120.395 76.835229) (xy 120.441401 76.830659) (xy 120.4414 77.572068) (xy 120.439811 77.5882)
(xy 120.4414 77.604332) (xy 120.4414 77.604334) (xy 120.446155 77.652616) (xy 120.460529 77.7) (xy 120.464945 77.714557)
(xy 120.495458 77.771643) (xy 120.503949 77.781989) (xy 120.536521 77.821679) (xy 120.549056 77.831966) (xy 121.688038 78.970949)
(xy 121.698321 78.983479) (xy 121.710851 78.993762) (xy 121.710853 78.993764) (xy 121.748356 79.024542) (xy 121.778869 79.040851)
(xy 121.805442 79.055055) (xy 121.867383 79.073845) (xy 121.915665 79.0786) (xy 121.915675 79.0786) (xy 121.931799 79.080188)
(xy 121.947924 79.0786) (xy 128.180023 79.0786) (xy 128.163831 79.11769) (xy 128.1464 79.205324) (xy 128.1464 79.294676)
(xy 128.163831 79.38231) (xy 128.179194 79.419399) (xy 127.526507 79.419399) (xy 127.526167 79.41769) (xy 127.491973 79.33514)
(xy 127.442332 79.260847) (xy 127.379151 79.197666) (xy 127.304858 79.148025) (xy 127.222308 79.113831) (xy 127.134674 79.0964)
(xy 127.045322 79.0964) (xy 126.957688 79.113831) (xy 126.875138 79.148025) (xy 126.800845 79.197666) (xy 126.737664 79.260847)
(xy 126.688023 79.33514) (xy 126.653829 79.41769) (xy 126.636398 79.505324) (xy 126.636398 79.594676) (xy 126.653829 79.68231)
(xy 126.688023 79.76486) (xy 126.737664 79.839153) (xy 126.800845 79.902334) (xy 126.875138 79.951975) (xy 126.957688 79.986169)
(xy 127.039559 80.002454) (xy 127.051198 80.014093) (xy 127.062334 80.027662) (xy 127.116481 80.0721) (xy 127.178257 80.10512)
(xy 127.245287 80.125453) (xy 127.297534 80.130599) (xy 127.297542 80.130599) (xy 127.314997 80.132318) (xy 127.332452 80.130599)
(xy 130.125294 80.130599) (xy 130.125294 80.3309) (xy 128.533521 80.3309) (xy 128.492314 80.313831) (xy 128.40468 80.2964)
(xy 128.315328 80.2964) (xy 128.227694 80.313831) (xy 128.145144 80.348025) (xy 128.070851 80.397666) (xy 128.00767 80.460847)
(xy 127.958029 80.53514) (xy 127.923835 80.61769) (xy 127.906404 80.705324) (xy 127.906404 80.794676) (xy 127.923835 80.88231)
(xy 127.958029 80.96486) (xy 128.00767 81.039153) (xy 128.070851 81.102334) (xy 128.145144 81.151975) (xy 128.186352 81.169044)
(xy 128.349101 81.331794) (xy 128.362222 81.347782) (xy 128.426038 81.400154) (xy 128.498846 81.439071) (xy 128.575535 81.462334)
(xy 128.577846 81.463035) (xy 128.660003 81.471127) (xy 128.680583 81.4691) (xy 130.100922 81.4691) (xy 130.101 81.4738)
(xy 130.161995 81.534795) (xy 130.142785 81.558202) (xy 130.119199 81.602328) (xy 130.116729 81.610471) (xy 130.101 81.6262)
(xy 130.099771 81.7) (xy 130.101 81.7738) (xy 130.116729 81.789529) (xy 130.119199 81.797672) (xy 130.142785 81.841798)
(xy 130.161995 81.865205) (xy 130.101 81.9262) (xy 130.099771 82) (xy 130.104675 82.049793) (xy 130.119199 82.097672)
(xy 130.142785 82.141798) (xy 130.174526 82.180474) (xy 130.213202 82.212215) (xy 130.257328 82.235801) (xy 130.305207 82.250325)
(xy 130.355 82.255229) (xy 130.485067 82.254909) (xy 130.427309 82.302309) (xy 130.33626 82.413253) (xy 130.268605 82.539828)
(xy 130.226943 82.677169) (xy 130.212875 82.82) (xy 130.226943 82.962831) (xy 130.268605 83.100172) (xy 130.33626 83.226747)
(xy 130.427309 83.337691) (xy 130.538253 83.42874) (xy 130.664828 83.496395) (xy 130.802169 83.538057) (xy 130.909211 83.5486)
(xy 132.080789 83.5486) (xy 132.187831 83.538057) (xy 132.325172 83.496395) (xy 132.451747 83.42874) (xy 132.562691 83.337691)
(xy 132.65374 83.226747) (xy 132.721395 83.100172) (xy 132.763057 82.962831) (xy 132.777125 82.82) (xy 134.642875 82.82)
(xy 134.656943 82.962831) (xy 134.698605 83.100172) (xy 134.76626 83.226747) (xy 134.857309 83.337691) (xy 134.968253 83.42874)
(xy 135.094828 83.496395) (xy 135.232169 83.538057) (xy 135.339211 83.5486) (xy 136.010789 83.5486) (xy 136.117831 83.538057)
(xy 136.255172 83.496395) (xy 136.381747 83.42874) (xy 136.492691 83.337691) (xy 136.58374 83.226747) (xy 136.651395 83.100172)
(xy 136.693057 82.962831) (xy 136.707125 82.82) (xy 136.693057 82.677169) (xy 136.651395 82.539828) (xy 136.58374 82.413253)
(xy 136.492691 82.302309) (xy 136.381747 82.21126) (xy 136.255172 82.143605) (xy 136.117831 82.101943) (xy 136.010789 82.0914)
(xy 135.339211 82.0914) (xy 135.232169 82.101943) (xy 135.094828 82.143605) (xy 134.968253 82.21126) (xy 134.857309 82.302309)
(xy 134.76626 82.413253) (xy 134.698605 82.539828) (xy 134.656943 82.677169) (xy 134.642875 82.82) (xy 132.777125 82.82)
(xy 132.763057 82.677169) (xy 132.721395 82.539828) (xy 132.65374 82.413253) (xy 132.562691 82.302309) (xy 132.451747 82.21126)
(xy 132.325172 82.143605) (xy 132.187831 82.101943) (xy 132.080789 82.0914) (xy 131.742704 82.0914) (xy 131.755325 82.049793)
(xy 131.760229 82) (xy 131.759 81.9262) (xy 131.698005 81.865205) (xy 131.700059 81.862702) (xy 131.705994 81.862702)
(xy 131.732772 81.880594) (xy 131.833521 81.922326) (xy 131.940475 81.9436) (xy 132.049525 81.9436) (xy 132.156479 81.922326)
(xy 132.257228 81.880594) (xy 132.3479 81.820009) (xy 132.425009 81.7429) (xy 132.485594 81.652228) (xy 132.527326 81.551479)
(xy 132.5486 81.444525) (xy 132.5486 81.335475) (xy 132.527326 81.228521) (xy 132.485594 81.127772) (xy 132.425009 81.0371)
(xy 132.3479 80.959991) (xy 132.257228 80.899406) (xy 132.156479 80.857674) (xy 132.049525 80.8364) (xy 131.940475 80.8364)
(xy 131.833521 80.857674) (xy 131.734706 80.898605) (xy 131.734706 80.6) (xy 131.730292 80.555187) (xy 131.717221 80.512095)
(xy 131.710756 80.5) (xy 131.717221 80.487905) (xy 131.730292 80.444813) (xy 131.734706 80.4) (xy 131.734706 80.1)
(xy 131.730292 80.055187) (xy 131.717221 80.012095) (xy 131.710756 80) (xy 131.717221 79.987905) (xy 131.730292 79.944813)
(xy 131.734706 79.9) (xy 131.734706 79.6) (xy 131.730292 79.555187) (xy 131.717221 79.512095) (xy 131.710756 79.5)
(xy 131.717221 79.487905) (xy 131.730292 79.444813) (xy 131.734706 79.4) (xy 131.734706 79.1) (xy 131.730292 79.055187)
(xy 131.717221 79.012095) (xy 131.710756 79) (xy 131.717221 78.987905) (xy 131.730292 78.944813) (xy 131.734706 78.9)
(xy 131.734706 78.6) (xy 131.730292 78.555187) (xy 131.717221 78.512095) (xy 131.710756 78.5) (xy 131.717221 78.487905)
(xy 131.730292 78.444813) (xy 131.734706 78.4) (xy 131.734706 78.1) (xy 131.730292 78.055187) (xy 131.717221 78.012095)
(xy 131.710756 78) (xy 131.717221 77.987905) (xy 131.730292 77.944813) (xy 131.734706 77.9) (xy 131.734706 77.6)
(xy 131.730292 77.555187) (xy 131.717221 77.512095) (xy 131.710756 77.5) (xy 131.717221 77.487905) (xy 131.730292 77.444813)
(xy 131.734706 77.4) (xy 131.734706 77.1) (xy 131.730292 77.055187) (xy 131.717221 77.012095) (xy 131.710756 77)
(xy 131.717221 76.987905) (xy 131.730292 76.944813) (xy 131.734706 76.9) (xy 131.734706 76.6) (xy 131.730292 76.555187)
(xy 131.717221 76.512095) (xy 131.710756 76.5) (xy 131.717221 76.487905) (xy 131.730292 76.444813) (xy 131.734706 76.4)
(xy 131.734706 76.101395) (xy 131.833521 76.142326) (xy 131.940475 76.1636) (xy 132.049525 76.1636) (xy 132.156479 76.142326)
(xy 132.257228 76.100594) (xy 132.3479 76.040009) (xy 132.425009 75.9629) (xy 132.485594 75.872228) (xy 132.527326 75.771479)
(xy 132.5486 75.664525) (xy 132.5486 75.555475) (xy 132.527326 75.448521) (xy 132.485594 75.347772) (xy 132.425009 75.2571)
(xy 132.3479 75.179991) (xy 132.257228 75.119406) (xy 132.156479 75.077674) (xy 132.049525 75.0564) (xy 131.940475 75.0564)
(xy 131.833521 75.077674) (xy 131.732772 75.119406) (xy 131.705994 75.137298) (xy 131.700059 75.137298) (xy 131.698005 75.134795)
(xy 131.759 75.0738) (xy 131.760229 75) (xy 131.755325 74.950207) (xy 131.742704 74.9086) (xy 132.080789 74.9086)
(xy 132.187831 74.898057) (xy 132.325172 74.856395) (xy 132.451747 74.78874) (xy 132.562691 74.697691) (xy 132.65374 74.586747)
(xy 132.721395 74.460172) (xy 132.763057 74.322831) (xy 132.777125 74.18) (xy 134.642875 74.18) (xy 134.656943 74.322831)
(xy 134.698605 74.460172) (xy 134.76626 74.586747) (xy 134.857309 74.697691) (xy 134.968253 74.78874) (xy 135.094828 74.856395)
(xy 135.232169 74.898057) (xy 135.339211 74.9086) (xy 136.010789 74.9086) (xy 136.117831 74.898057) (xy 136.255172 74.856395)
(xy 136.381747 74.78874) (xy 136.492691 74.697691) (xy 136.58374 74.586747) (xy 136.651395 74.460172) (xy 136.693057 74.322831)
(xy 136.707125 74.18) (xy 136.693057 74.037169) (xy 136.651395 73.899828) (xy 136.58374 73.773253) (xy 136.492691 73.662309)
(xy 136.381747 73.57126) (xy 136.255172 73.503605) (xy 136.117831 73.461943) (xy 136.010789 73.4514) (xy 135.339211 73.4514)
(xy 135.232169 73.461943) (xy 135.094828 73.503605) (xy 134.968253 73.57126) (xy 134.857309 73.662309) (xy 134.76626 73.773253)
(xy 134.698605 73.899828) (xy 134.656943 74.037169) (xy 134.642875 74.18) (xy 132.777125 74.18) (xy 132.763057 74.037169)
(xy 132.721395 73.899828) (xy 132.65374 73.773253) (xy 132.562691 73.662309) (xy 132.451747 73.57126) (xy 132.325172 73.503605)
(xy 132.187831 73.461943) (xy 132.080789 73.4514) (xy 130.909211 73.4514) (xy 130.802169 73.461943) (xy 130.664828 73.503605)
(xy 130.538253 73.57126) (xy 130.427309 73.662309) (xy 130.33626 73.773253) (xy 130.268605 73.899828) (xy 130.226943 74.037169)
(xy 130.212875 74.18) (xy 130.226943 74.322831) (xy 130.268605 74.460172) (xy 130.33626 74.586747) (xy 130.427309 74.697691)
(xy 130.485067 74.745091) (xy 130.355 74.744771) (xy 130.305207 74.749675) (xy 130.257328 74.764199) (xy 130.213202 74.787785)
(xy 130.174526 74.819526) (xy 130.142785 74.858202) (xy 130.119199 74.902328) (xy 130.104675 74.950207) (xy 130.099771 75)
(xy 130.101 75.0738) (xy 130.161995 75.134795) (xy 130.142785 75.158202) (xy 130.119199 75.202328) (xy 130.116729 75.210471)
(xy 130.101 75.2262) (xy 130.099771 75.3) (xy 130.101 75.3738) (xy 130.116729 75.389529) (xy 130.119199 75.397672)
(xy 130.142785 75.441798) (xy 130.161995 75.465205) (xy 130.101 75.5262) (xy 130.100922 75.5309) (xy 128.820579 75.5309)
(xy 128.799999 75.528873) (xy 128.733122 75.53546) (xy 128.717842 75.536965) (xy 128.638842 75.560929) (xy 128.566034 75.599846)
(xy 128.502218 75.652218) (xy 128.489097 75.668206) (xy 128.218206 75.939098) (xy 128.202219 75.952218) (xy 128.179032 75.980472)
(xy 128.149846 76.016035) (xy 128.11093 76.088842) (xy 128.086965 76.167843) (xy 128.078873 76.25) (xy 128.080901 76.27059)
(xy 128.080901 76.469412) (xy 128.078873 76.49) (xy 128.080901 76.510587) (xy 128.0809 77.076482) (xy 128.063831 77.11769)
(xy 128.0464 77.205324) (xy 128.0464 77.294676) (xy 128.063831 77.38231) (xy 128.098025 77.46486) (xy 128.147666 77.539153)
(xy 128.210847 77.602334) (xy 128.28514 77.651975) (xy 128.36769 77.686169) (xy 128.455324 77.7036) (xy 128.544676 77.7036)
(xy 128.63231 77.686169) (xy 128.71486 77.651975) (xy 128.789153 77.602334) (xy 128.852334 77.539153) (xy 128.901975 77.46486)
(xy 128.936169 77.38231) (xy 128.9536 77.294676) (xy 128.9536 77.235087) (xy 129.010847 77.292334) (xy 129.08514 77.341975)
(xy 129.16769 77.376169) (xy 129.179913 77.3786) (xy 129.147666 77.410847) (xy 129.098025 77.48514) (xy 129.063831 77.56769)
(xy 129.0464 77.655324) (xy 129.0464 77.744676) (xy 129.063831 77.83231) (xy 129.098025 77.91486) (xy 129.102395 77.9214)
(xy 128.892258 77.9214) (xy 128.81986 77.873025) (xy 128.73731 77.838831) (xy 128.649676 77.8214) (xy 128.560324 77.8214)
(xy 128.47269 77.838831) (xy 128.39014 77.873025) (xy 128.317742 77.9214) (xy 122.204311 77.9214) (xy 121.5986 77.31569)
(xy 121.5986 77.129651) (xy 121.773892 77.304944) (xy 121.783432 77.316568) (xy 121.829843 77.354658) (xy 121.877267 77.380006)
(xy 121.882794 77.38296) (xy 121.940248 77.400389) (xy 121.941406 77.400503) (xy 121.943738 77.404865) (xy 122.011981 77.488019)
(xy 122.095135 77.556262) (xy 122.190006 77.606972) (xy 122.292946 77.638198) (xy 122.373176 77.6461) (xy 123.413183 77.6461)
(xy 123.44 77.648741) (xy 123.466817 77.6461) (xy 123.466824 77.6461) (xy 123.547054 77.638198) (xy 123.649994 77.606972)
(xy 123.744865 77.556262) (xy 123.803094 77.508474) (xy 123.823356 77.504444) (xy 123.937754 77.457059) (xy 123.985733 77.425)
(xy 124.769771 77.425) (xy 124.774675 77.474793) (xy 124.789199 77.522672) (xy 124.812785 77.566798) (xy 124.844526 77.605474)
(xy 124.883202 77.637215) (xy 124.927328 77.660801) (xy 124.975207 77.675325) (xy 125.025 77.680229) (xy 125.4238 77.679)
(xy 125.4873 77.6155) (xy 125.4873 77.0002) (xy 125.5127 77.0002) (xy 125.5127 77.6155) (xy 125.5762 77.679)
(xy 125.975 77.680229) (xy 126.024793 77.675325) (xy 126.072672 77.660801) (xy 126.116798 77.637215) (xy 126.155474 77.605474)
(xy 126.187215 77.566798) (xy 126.210801 77.522672) (xy 126.225325 77.474793) (xy 126.230229 77.425) (xy 126.229 77.0637)
(xy 126.1655 77.0002) (xy 125.5127 77.0002) (xy 125.4873 77.0002) (xy 124.8345 77.0002) (xy 124.771 77.0637)
(xy 124.769771 77.425) (xy 123.985733 77.425) (xy 124.040709 77.388266) (xy 124.128266 77.300709) (xy 124.197059 77.197754)
(xy 124.244444 77.083356) (xy 124.2686 76.961912) (xy 124.2686 76.838088) (xy 124.244444 76.716644) (xy 124.197059 76.602246)
(xy 124.162149 76.55) (xy 124.769771 76.55) (xy 124.771 76.9113) (xy 124.8345 76.9748) (xy 125.4873 76.9748)
(xy 125.4873 76.3595) (xy 125.5127 76.3595) (xy 125.5127 76.9748) (xy 126.1655 76.9748) (xy 126.229 76.9113)
(xy 126.230229 76.55) (xy 126.225325 76.500207) (xy 126.210801 76.452328) (xy 126.187215 76.408202) (xy 126.155474 76.369526)
(xy 126.116798 76.337785) (xy 126.072672 76.314199) (xy 126.024793 76.299675) (xy 125.975 76.294771) (xy 125.5762 76.296)
(xy 125.5127 76.3595) (xy 125.4873 76.3595) (xy 125.4238 76.296) (xy 125.025 76.294771) (xy 124.975207 76.299675)
(xy 124.927328 76.314199) (xy 124.883202 76.337785) (xy 124.844526 76.369526) (xy 124.812785 76.408202) (xy 124.789199 76.452328)
(xy 124.774675 76.500207) (xy 124.769771 76.55) (xy 124.162149 76.55) (xy 124.128266 76.499291) (xy 124.040709 76.411734)
(xy 123.937754 76.342941) (xy 123.823356 76.295556) (xy 123.701912 76.2714) (xy 123.578088 76.2714) (xy 123.456644 76.295556)
(xy 123.342246 76.342941) (xy 123.239291 76.411734) (xy 123.151734 76.499291) (xy 123.115245 76.5539) (xy 122.621121 76.5539)
(xy 122.624706 76.5175) (xy 122.624706 75.8425) (xy 122.619091 75.785493) (xy 122.602463 75.730678) (xy 122.57546 75.680159)
(xy 122.543011 75.64062) (xy 122.586798 75.617215) (xy 122.625474 75.585474) (xy 122.657215 75.546798) (xy 122.68062 75.503011)
(xy 122.720159 75.53546) (xy 122.770678 75.562463) (xy 122.825493 75.579091) (xy 122.8825 75.584706) (xy 123.141341 75.584706)
(xy 123.16029 75.590454) (xy 123.212537 75.5956) (xy 123.212544 75.5956) (xy 123.229999 75.597319) (xy 123.247455 75.5956)
(xy 124.205375 75.5956) (xy 124.231981 75.628019) (xy 124.315135 75.696262) (xy 124.410006 75.746972) (xy 124.512946 75.778198)
(xy 124.593176 75.7861) (xy 124.824345 75.7861) (xy 124.829431 75.802867) (xy 124.870872 75.880399) (xy 124.926644 75.948356)
(xy 124.994601 76.004128) (xy 125.072133 76.045569) (xy 125.156261 76.071089) (xy 125.24375 76.079706) (xy 125.75625 76.079706)
(xy 125.843739 76.071089) (xy 125.927867 76.045569) (xy 126.005399 76.004128) (xy 126.073356 75.948356) (xy 126.129128 75.880399)
(xy 126.170569 75.802867) (xy 126.175655 75.7861) (xy 126.485847 75.7861) (xy 126.502248 75.797059) (xy 126.616646 75.844444)
(xy 126.73809 75.8686) (xy 126.861914 75.8686) (xy 126.983358 75.844444) (xy 127.097756 75.797059) (xy 127.200711 75.728266)
(xy 127.288268 75.640709) (xy 127.357061 75.537754) (xy 127.404446 75.423356) (xy 127.408294 75.404009) (xy 127.567184 75.24512)
(xy 127.588019 75.228021) (xy 127.656262 75.144867) (xy 127.706972 75.049996) (xy 127.738198 74.947056) (xy 127.744047 74.887666)
(xy 127.748742 74.840002) (xy 127.7461 74.813178) (xy 127.7461 74.145725) (xy 127.773356 74.123356) (xy 127.829128 74.055399)
(xy 127.870569 73.977867) (xy 127.896089 73.893739) (xy 127.904706 73.80625) (xy 127.904706 73.36875) (xy 127.896089 73.281261)
(xy 127.870569 73.197133) (xy 127.829128 73.119601) (xy 127.773356 73.051644) (xy 127.705399 72.995872) (xy 127.627867 72.954431)
(xy 127.543739 72.928911) (xy 127.45625 72.920294) (xy 126.94375 72.920294) (xy 126.856261 72.928911) (xy 126.772133 72.954431)
(xy 126.694601 72.995872) (xy 126.626644 73.051644) (xy 126.570872 73.119601) (xy 126.529431 73.197133) (xy 126.503911 73.281261)
(xy 126.495294 73.36875) (xy 126.495294 73.80625) (xy 126.503911 73.893739) (xy 126.529431 73.977867) (xy 126.570872 74.055399)
(xy 126.626644 74.123356) (xy 126.653901 74.145725) (xy 126.6539 74.6138) (xy 126.635993 74.631708) (xy 126.616646 74.635556)
(xy 126.502248 74.682941) (xy 126.485847 74.6939) (xy 124.593176 74.6939) (xy 124.512946 74.701802) (xy 124.410006 74.733028)
(xy 124.345255 74.767639) (xy 124.961188 74.151706) (xy 124.994601 74.179128) (xy 125.072133 74.220569) (xy 125.156261 74.246089)
(xy 125.24375 74.254706) (xy 125.75625 74.254706) (xy 125.843739 74.246089) (xy 125.927867 74.220569) (xy 126.005399 74.179128)
(xy 126.073356 74.123356) (xy 126.129128 74.055399) (xy 126.170569 73.977867) (xy 126.196089 73.893739) (xy 126.204706 73.80625)
(xy 126.204706 73.36875) (xy 126.196089 73.281261) (xy 126.170569 73.197133) (xy 126.129128 73.119601) (xy 126.073356 73.051644)
(xy 126.005399 72.995872) (xy 125.927867 72.954431) (xy 125.843739 72.928911) (xy 125.75625 72.920294) (xy 125.24375 72.920294)
(xy 125.156261 72.928911) (xy 125.072133 72.954431) (xy 124.994601 72.995872) (xy 124.926644 73.051644) (xy 124.870872 73.119601)
(xy 124.829431 73.197133) (xy 124.803911 73.281261) (xy 124.798458 73.336627) (xy 124.753984 73.360399) (xy 124.699837 73.404837)
(xy 124.688706 73.4184) (xy 123.849706 74.257401) (xy 123.849706 74.1675) (xy 123.844091 74.110493) (xy 123.827463 74.055678)
(xy 123.80046 74.005159) (xy 123.779813 73.98) (xy 123.80046 73.954841) (xy 123.827463 73.904322) (xy 123.844091 73.849507)
(xy 123.849706 73.7925) (xy 123.849706 73.6675) (xy 123.844091 73.610493) (xy 123.827463 73.555678) (xy 123.80046 73.505159)
(xy 123.779813 73.48) (xy 123.80046 73.454841) (xy 123.827463 73.404322) (xy 123.844091 73.349507) (xy 123.849706 73.2925)
(xy 123.849706 73.1675) (xy 123.844091 73.110493) (xy 123.827463 73.055678) (xy 123.80046 73.005159) (xy 123.779813 72.98)
(xy 123.80046 72.954841) (xy 123.827463 72.904322) (xy 123.844091 72.849507) (xy 123.849706 72.7925) (xy 123.849706 72.755322)
(xy 127.9464 72.755322) (xy 127.9464 72.844674) (xy 127.963831 72.932308) (xy 127.998025 73.014858) (xy 128.047666 73.089151)
(xy 128.110847 73.152332) (xy 128.18514 73.201973) (xy 128.26769 73.236167) (xy 128.355324 73.253598) (xy 128.426799 73.253598)
(xy 128.360847 73.297666) (xy 128.297666 73.360847) (xy 128.248025 73.43514) (xy 128.213831 73.51769) (xy 128.1964 73.605324)
(xy 128.1964 73.694676) (xy 128.213831 73.78231) (xy 128.248025 73.86486) (xy 128.297666 73.939153) (xy 128.360847 74.002334)
(xy 128.43514 74.051975) (xy 128.51769 74.086169) (xy 128.605324 74.1036) (xy 128.694676 74.1036) (xy 128.78231 74.086169)
(xy 128.86486 74.051975) (xy 128.939153 74.002334) (xy 129.002334 73.939153) (xy 129.051975 73.86486) (xy 129.086169 73.78231)
(xy 129.102454 73.70044) (xy 130.648189 72.154706) (xy 130.85625 72.154706) (xy 130.943739 72.146089) (xy 131.027867 72.120569)
(xy 131.105399 72.079128) (xy 131.173356 72.023356) (xy 131.229128 71.955399) (xy 131.270569 71.877867) (xy 131.296089 71.793739)
(xy 131.304706 71.70625) (xy 131.304706 71.26875) (xy 131.296089 71.181261) (xy 131.270569 71.097133) (xy 131.229128 71.019601)
(xy 131.173356 70.951644) (xy 131.105399 70.895872) (xy 131.027867 70.854431) (xy 130.943739 70.828911) (xy 130.85625 70.820294)
(xy 130.34375 70.820294) (xy 130.256261 70.828911) (xy 130.172133 70.854431) (xy 130.094601 70.895872) (xy 130.026644 70.951644)
(xy 129.970872 71.019601) (xy 129.929431 71.097133) (xy 129.903911 71.181261) (xy 129.895294 71.26875) (xy 129.895294 71.70625)
(xy 129.903911 71.793739) (xy 129.927059 71.870047) (xy 128.815924 72.981182) (xy 128.836169 72.932308) (xy 128.852453 72.850438)
(xy 129.339105 72.363788) (xy 129.352663 72.352661) (xy 129.36379 72.339103) (xy 129.363795 72.339098) (xy 129.3971 72.298515)
(xy 129.400316 72.2925) (xy 129.430121 72.236738) (xy 129.450454 72.169708) (xy 129.4556 72.117461) (xy 129.4556 72.117452)
(xy 129.457319 72.099999) (xy 129.4556 72.082546) (xy 129.4556 72.037928) (xy 129.473356 72.023356) (xy 129.529128 71.955399)
(xy 129.570569 71.877867) (xy 129.596089 71.793739) (xy 129.604706 71.70625) (xy 129.604706 71.26875) (xy 129.596089 71.181261)
(xy 129.570569 71.097133) (xy 129.529128 71.019601) (xy 129.473356 70.951644) (xy 129.405399 70.895872) (xy 129.327867 70.854431)
(xy 129.243739 70.828911) (xy 129.15625 70.820294) (xy 128.64375 70.820294) (xy 128.556261 70.828911) (xy 128.472133 70.854431)
(xy 128.394601 70.895872) (xy 128.326644 70.951644) (xy 128.270872 71.019601) (xy 128.229431 71.097133) (xy 128.203911 71.181261)
(xy 128.195294 71.26875) (xy 128.195294 71.70625) (xy 128.203911 71.793739) (xy 128.229431 71.877867) (xy 128.270872 71.955399)
(xy 128.326644 72.023356) (xy 128.394601 72.079128) (xy 128.472133 72.120569) (xy 128.552236 72.144868) (xy 128.34956 72.347545)
(xy 128.26769 72.363829) (xy 128.18514 72.398023) (xy 128.110847 72.447664) (xy 128.047666 72.510845) (xy 127.998025 72.585138)
(xy 127.963831 72.667688) (xy 127.9464 72.755322) (xy 123.849706 72.755322) (xy 123.849706 72.6675) (xy 123.844091 72.610493)
(xy 123.827463 72.555678) (xy 123.80046 72.505159) (xy 123.779813 72.48) (xy 123.80046 72.454841) (xy 123.827463 72.404322)
(xy 123.844091 72.349507) (xy 123.849706 72.2925) (xy 123.849706 72.1675) (xy 123.844091 72.110493) (xy 123.827463 72.055678)
(xy 123.80046 72.005159) (xy 123.764121 71.960879) (xy 123.719841 71.92454) (xy 123.669322 71.897537) (xy 123.614507 71.880909)
(xy 123.5575 71.875294) (xy 122.8825 71.875294) (xy 122.825493 71.880909) (xy 122.770678 71.897537) (xy 122.720159 71.92454)
(xy 122.68062 71.956989) (xy 122.657215 71.913202) (xy 122.625474 71.874526) (xy 122.586798 71.842785) (xy 122.543011 71.81938)
(xy 122.564045 71.79375) (xy 124.795294 71.79375) (xy 124.795294 72.23125) (xy 124.803911 72.318739) (xy 124.829431 72.402867)
(xy 124.870872 72.480399) (xy 124.926644 72.548356) (xy 124.994601 72.604128) (xy 125.072133 72.645569) (xy 125.156261 72.671089)
(xy 125.24375 72.679706) (xy 125.75625 72.679706) (xy 125.843739 72.671089) (xy 125.927867 72.645569) (xy 126.005399 72.604128)
(xy 126.073356 72.548356) (xy 126.129128 72.480399) (xy 126.145376 72.45) (xy 126.469771 72.45) (xy 126.474675 72.499793)
(xy 126.489199 72.547672) (xy 126.512785 72.591798) (xy 126.544526 72.630474) (xy 126.583202 72.662215) (xy 126.627328 72.685801)
(xy 126.675207 72.700325) (xy 126.725 72.705229) (xy 127.1238 72.704) (xy 127.1873 72.6405) (xy 127.1873 72.0252)
(xy 127.2127 72.0252) (xy 127.2127 72.6405) (xy 127.2762 72.704) (xy 127.675 72.705229) (xy 127.724793 72.700325)
(xy 127.772672 72.685801) (xy 127.816798 72.662215) (xy 127.855474 72.630474) (xy 127.887215 72.591798) (xy 127.910801 72.547672)
(xy 127.925325 72.499793) (xy 127.930229 72.45) (xy 127.929 72.0887) (xy 127.8655 72.0252) (xy 127.2127 72.0252)
(xy 127.1873 72.0252) (xy 126.5345 72.0252) (xy 126.471 72.0887) (xy 126.469771 72.45) (xy 126.145376 72.45)
(xy 126.170569 72.402867) (xy 126.196089 72.318739) (xy 126.204706 72.23125) (xy 126.204706 71.79375) (xy 126.196089 71.706261)
(xy 126.170569 71.622133) (xy 126.145377 71.575) (xy 126.469771 71.575) (xy 126.471 71.9363) (xy 126.5345 71.9998)
(xy 127.1873 71.9998) (xy 127.1873 71.3845) (xy 127.2127 71.3845) (xy 127.2127 71.9998) (xy 127.8655 71.9998)
(xy 127.929 71.9363) (xy 127.930229 71.575) (xy 127.925325 71.525207) (xy 127.910801 71.477328) (xy 127.887215 71.433202)
(xy 127.855474 71.394526) (xy 127.816798 71.362785) (xy 127.772672 71.339199) (xy 127.724793 71.324675) (xy 127.675 71.319771)
(xy 127.2762 71.321) (xy 127.2127 71.3845) (xy 127.1873 71.3845) (xy 127.1238 71.321) (xy 126.725 71.319771)
(xy 126.675207 71.324675) (xy 126.627328 71.339199) (xy 126.583202 71.362785) (xy 126.544526 71.394526) (xy 126.512785 71.433202)
(xy 126.489199 71.477328) (xy 126.474675 71.525207) (xy 126.469771 71.575) (xy 126.145377 71.575) (xy 126.129128 71.544601)
(xy 126.073356 71.476644) (xy 126.005399 71.420872) (xy 125.927867 71.379431) (xy 125.843739 71.353911) (xy 125.75625 71.345294)
(xy 125.7556 71.345294) (xy 125.7556 71.084265) (xy 125.801975 71.01486) (xy 125.836169 70.93231) (xy 125.8536 70.844676)
(xy 125.8536 70.755324) (xy 125.836169 70.66769) (xy 125.801975 70.58514) (xy 125.752334 70.510847) (xy 125.689153 70.447666)
(xy 125.61486 70.398025) (xy 125.53231 70.363831) (xy 125.462775 70.35) (xy 128.169771 70.35) (xy 128.174675 70.399793)
(xy 128.189199 70.447672) (xy 128.212785 70.491798) (xy 128.244526 70.530474) (xy 128.283202 70.562215) (xy 128.327328 70.585801)
(xy 128.375207 70.600325) (xy 128.425 70.605229) (xy 128.8238 70.604) (xy 128.8873 70.5405) (xy 128.8873 69.9252)
(xy 128.9127 69.9252) (xy 128.9127 70.5405) (xy 128.9762 70.604) (xy 129.375 70.605229) (xy 129.424793 70.600325)
(xy 129.472672 70.585801) (xy 129.516798 70.562215) (xy 129.555474 70.530474) (xy 129.587215 70.491798) (xy 129.610801 70.447672)
(xy 129.625325 70.399793) (xy 129.630229 70.35) (xy 129.869771 70.35) (xy 129.874675 70.399793) (xy 129.889199 70.447672)
(xy 129.912785 70.491798) (xy 129.944526 70.530474) (xy 129.983202 70.562215) (xy 130.027328 70.585801) (xy 130.075207 70.600325)
(xy 130.125 70.605229) (xy 130.5238 70.604) (xy 130.5873 70.5405) (xy 130.5873 69.9252) (xy 130.6127 69.9252)
(xy 130.6127 70.5405) (xy 130.6762 70.604) (xy 131.075 70.605229) (xy 131.124793 70.600325) (xy 131.172672 70.585801)
(xy 131.216798 70.562215) (xy 131.255474 70.530474) (xy 131.287215 70.491798) (xy 131.310801 70.447672) (xy 131.325325 70.399793)
(xy 131.330229 70.35) (xy 131.329 69.9887) (xy 131.2655 69.9252) (xy 130.6127 69.9252) (xy 130.5873 69.9252)
(xy 129.9345 69.9252) (xy 129.871 69.9887) (xy 129.869771 70.35) (xy 129.630229 70.35) (xy 129.629 69.9887)
(xy 129.5655 69.9252) (xy 128.9127 69.9252) (xy 128.8873 69.9252) (xy 128.2345 69.9252) (xy 128.171 69.9887)
(xy 128.169771 70.35) (xy 125.462775 70.35) (xy 125.444676 70.3464) (xy 125.355324 70.3464) (xy 125.26769 70.363831)
(xy 125.18514 70.398025) (xy 125.110847 70.447666) (xy 125.047666 70.510847) (xy 124.998025 70.58514) (xy 124.963831 70.66769)
(xy 124.9464 70.755324) (xy 124.9464 70.844676) (xy 124.963831 70.93231) (xy 124.998025 71.01486) (xy 125.0444 71.084265)
(xy 125.044401 71.394254) (xy 124.994601 71.420872) (xy 124.926644 71.476644) (xy 124.870872 71.544601) (xy 124.829431 71.622133)
(xy 124.803911 71.706261) (xy 124.795294 71.79375) (xy 122.564045 71.79375) (xy 122.57546 71.779841) (xy 122.602463 71.729322)
(xy 122.619091 71.674507) (xy 122.624706 71.6175) (xy 122.624706 70.9425) (xy 122.619091 70.885493) (xy 122.602463 70.830678)
(xy 122.57546 70.780159) (xy 122.539121 70.735879) (xy 122.494841 70.69954) (xy 122.444322 70.672537) (xy 122.389507 70.655909)
(xy 122.3325 70.650294) (xy 122.2075 70.650294) (xy 122.150493 70.655909) (xy 122.095678 70.672537) (xy 122.045159 70.69954)
(xy 122.02 70.720187) (xy 121.994841 70.69954) (xy 121.944322 70.672537) (xy 121.889507 70.655909) (xy 121.8325 70.650294)
(xy 121.7075 70.650294) (xy 121.650493 70.655909) (xy 121.595678 70.672537) (xy 121.545159 70.69954) (xy 121.52 70.720187)
(xy 121.494841 70.69954) (xy 121.444322 70.672537) (xy 121.389507 70.655909) (xy 121.3325 70.650294) (xy 121.2075 70.650294)
(xy 121.150493 70.655909) (xy 121.095678 70.672537) (xy 121.045159 70.69954) (xy 121.02 70.720187) (xy 120.994841 70.69954)
(xy 120.944322 70.672537) (xy 120.889507 70.655909) (xy 120.8325 70.650294) (xy 120.7075 70.650294) (xy 120.650493 70.655909)
(xy 120.595678 70.672537) (xy 120.545159 70.69954) (xy 120.52 70.720187) (xy 120.494841 70.69954) (xy 120.444322 70.672537)
(xy 120.389507 70.655909) (xy 120.3325 70.650294) (xy 120.2075 70.650294) (xy 120.150493 70.655909) (xy 120.095678 70.672537)
(xy 120.045159 70.69954) (xy 120.02 70.720187) (xy 119.994841 70.69954) (xy 119.944322 70.672537) (xy 119.889507 70.655909)
(xy 119.8325 70.650294) (xy 119.7075 70.650294) (xy 119.650493 70.655909) (xy 119.595678 70.672537) (xy 119.545159 70.69954)
(xy 119.52 70.720187) (xy 119.494841 70.69954) (xy 119.444322 70.672537) (xy 119.389507 70.655909) (xy 119.3325 70.650294)
(xy 119.2075 70.650294) (xy 119.150493 70.655909) (xy 119.095678 70.672537) (xy 119.045159 70.69954) (xy 119.000879 70.735879)
(xy 118.96454 70.780159) (xy 118.937537 70.830678) (xy 118.920909 70.885493) (xy 118.915294 70.9425) (xy 118.915294 71.6175)
(xy 118.920909 71.674507) (xy 118.937537 71.729322) (xy 118.96454 71.779841) (xy 118.996989 71.81938) (xy 118.953202 71.842785)
(xy 118.914526 71.874526) (xy 118.882785 71.913202) (xy 118.85938 71.956989) (xy 118.819841 71.92454) (xy 118.769322 71.897537)
(xy 118.714507 71.880909) (xy 118.6575 71.875294) (xy 117.9825 71.875294) (xy 117.925493 71.880909) (xy 117.870678 71.897537)
(xy 117.820159 71.92454) (xy 117.775879 71.960879) (xy 117.73954 72.005159) (xy 117.712537 72.055678) (xy 117.695909 72.110493)
(xy 117.690294 72.1675) (xy 117.690294 72.2925) (xy 117.695909 72.349507) (xy 117.712537 72.404322) (xy 117.73954 72.454841)
(xy 117.760187 72.48) (xy 117.73954 72.505159) (xy 117.712537 72.555678) (xy 117.695909 72.610493) (xy 117.690294 72.6675)
(xy 117.690294 72.7925) (xy 117.694107 72.831213) (xy 117.514235 72.651342) (xy 117.551975 72.59486) (xy 117.586169 72.51231)
(xy 117.6036 72.424676) (xy 117.6036 72.335324) (xy 117.586169 72.24769) (xy 117.551975 72.16514) (xy 117.502334 72.090847)
(xy 117.439153 72.027666) (xy 117.36486 71.978025) (xy 117.28231 71.943831) (xy 117.194676 71.9264) (xy 117.105324 71.9264)
(xy 117.01769 71.943831) (xy 116.93514 71.978025) (xy 116.860847 72.027666) (xy 116.797666 72.090847) (xy 116.748025 72.16514)
(xy 116.713831 72.24769) (xy 116.6964 72.335324) (xy 116.6964 72.424676) (xy 116.713831 72.51231) (xy 116.748025 72.59486)
(xy 116.7944 72.664265) (xy 116.7944 72.772545) (xy 116.792681 72.79) (xy 116.7944 72.807455) (xy 116.7944 72.807462)
(xy 116.798048 72.8445) (xy 116.799546 72.85971) (xy 116.805054 72.877867) (xy 116.819879 72.926739) (xy 116.852899 72.988515)
(xy 116.897337 73.042662) (xy 116.910901 73.053794) (xy 117.231506 73.3744) (xy 117.224795 73.3744) (xy 116.624706 72.774312)
(xy 116.624706 72.35375) (xy 116.616089 72.266261) (xy 116.590569 72.182133) (xy 116.549128 72.104601) (xy 116.493356 72.036644)
(xy 116.425399 71.980872) (xy 116.347867 71.939431) (xy 116.263739 71.913911) (xy 116.17625 71.905294) (xy 115.73875 71.905294)
(xy 115.651261 71.913911) (xy 115.567133 71.939431) (xy 115.489601 71.980872) (xy 115.421644 72.036644) (xy 115.365872 72.104601)
(xy 115.324431 72.182133) (xy 115.298911 72.266261) (xy 115.290294 72.35375) (xy 115.049706 72.35375) (xy 115.041089 72.266261)
(xy 115.015569 72.182133) (xy 114.974128 72.104601) (xy 114.918356 72.036644) (xy 114.850399 71.980872) (xy 114.772867 71.939431)
(xy 114.688739 71.913911) (xy 114.60125 71.905294) (xy 114.16375 71.905294) (xy 114.076261 71.913911) (xy 113.992133 71.939431)
(xy 113.914601 71.980872) (xy 113.846644 72.036644) (xy 113.790872 72.104601) (xy 113.749431 72.182133) (xy 113.746772 72.1909)
(xy 113.400577 72.1909) (xy 113.379999 72.188873) (xy 113.359422 72.1909) (xy 113.35942 72.1909) (xy 113.297842 72.196965)
(xy 113.218842 72.220929) (xy 113.146034 72.259846) (xy 113.082218 72.312218) (xy 113.069097 72.328206) (xy 112.436348 72.960956)
(xy 112.39514 72.978025) (xy 112.320847 73.027666) (xy 112.257666 73.090847) (xy 112.208025 73.16514) (xy 112.173831 73.24769)
(xy 112.1564 73.335324) (xy 111.414706 73.335324) (xy 111.414706 73.25375) (xy 111.406089 73.166261) (xy 111.380569 73.082133)
(xy 111.339128 73.004601) (xy 111.283356 72.936644) (xy 111.215399 72.880872) (xy 111.137867 72.839431) (xy 111.053739 72.813911)
(xy 110.96625 72.805294) (xy 110.52875 72.805294) (xy 110.441261 72.813911) (xy 110.357133 72.839431) (xy 110.279601 72.880872)
(xy 110.211644 72.936644) (xy 110.155872 73.004601) (xy 110.114431 73.082133) (xy 110.088911 73.166261) (xy 110.080294 73.25375)
(xy 109.864554 73.25375) (xy 109.865229 73.035) (xy 109.860325 72.985207) (xy 109.845801 72.937328) (xy 109.822215 72.893202)
(xy 109.790474 72.854526) (xy 109.751798 72.822785) (xy 109.707672 72.799199) (xy 109.659793 72.784675) (xy 109.61 72.779771)
(xy 109.2487 72.781) (xy 109.1852 72.8445) (xy 109.1598 72.8445) (xy 109.0963 72.781) (xy 108.735 72.779771)
(xy 108.685207 72.784675) (xy 108.637328 72.799199) (xy 108.593202 72.822785) (xy 108.554526 72.854526) (xy 108.522785 72.893202)
(xy 108.499199 72.937328) (xy 108.484675 72.985207) (xy 108.479771 73.035) (xy 105.2536 73.035) (xy 105.2536 69.475)
(xy 128.169771 69.475) (xy 128.171 69.8363) (xy 128.2345 69.8998) (xy 128.8873 69.8998) (xy 128.8873 69.2845)
(xy 128.9127 69.2845) (xy 128.9127 69.8998) (xy 129.5655 69.8998) (xy 129.629 69.8363) (xy 129.630229 69.475)
(xy 129.869771 69.475) (xy 129.871 69.8363) (xy 129.9345 69.8998) (xy 130.5873 69.8998) (xy 130.5873 69.2845)
(xy 130.6127 69.2845) (xy 130.6127 69.8998) (xy 131.2655 69.8998) (xy 131.329 69.8363) (xy 131.330229 69.475)
(xy 131.325325 69.425207) (xy 131.310801 69.377328) (xy 131.287215 69.333202) (xy 131.255474 69.294526) (xy 131.216798 69.262785)
(xy 131.172672 69.239199) (xy 131.124793 69.224675) (xy 131.075 69.219771) (xy 130.6762 69.221) (xy 130.6127 69.2845)
(xy 130.5873 69.2845) (xy 130.5238 69.221) (xy 130.125 69.219771) (xy 130.075207 69.224675) (xy 130.027328 69.239199)
(xy 129.983202 69.262785) (xy 129.944526 69.294526) (xy 129.912785 69.333202) (xy 129.889199 69.377328) (xy 129.874675 69.425207)
(xy 129.869771 69.475) (xy 129.630229 69.475) (xy 129.625325 69.425207) (xy 129.610801 69.377328) (xy 129.587215 69.333202)
(xy 129.555474 69.294526) (xy 129.516798 69.262785) (xy 129.472672 69.239199) (xy 129.424793 69.224675) (xy 129.375 69.219771)
(xy 128.9762 69.221) (xy 128.9127 69.2845) (xy 128.8873 69.2845) (xy 128.8238 69.221) (xy 128.425 69.219771)
(xy 128.375207 69.224675) (xy 128.327328 69.239199) (xy 128.283202 69.262785) (xy 128.244526 69.294526) (xy 128.212785 69.333202)
(xy 128.189199 69.377328) (xy 128.174675 69.425207) (xy 128.169771 69.475) (xy 105.2536 69.475) (xy 105.2536 67.598239)
(xy 125.3414 67.598239) (xy 125.3414 67.741761) (xy 125.3694 67.882525) (xy 125.424323 68.015121) (xy 125.50406 68.134455)
(xy 125.605545 68.23594) (xy 125.724879 68.315677) (xy 125.857475 68.3706) (xy 125.998239 68.3986) (xy 126.141761 68.3986)
(xy 126.270165 68.373059) (xy 126.749059 68.851954) (xy 126.7464 68.865324) (xy 126.7464 68.954676) (xy 126.763831 69.04231)
(xy 126.798025 69.12486) (xy 126.847666 69.199153) (xy 126.910847 69.262334) (xy 126.98514 69.311975) (xy 127.06769 69.346169)
(xy 127.155324 69.3636) (xy 127.244676 69.3636) (xy 127.33231 69.346169) (xy 127.41486 69.311975) (xy 127.489153 69.262334)
(xy 127.552334 69.199153) (xy 127.601975 69.12486) (xy 127.636169 69.04231) (xy 127.6536 68.954676) (xy 127.6536 68.865324)
(xy 127.636169 68.77769) (xy 127.601975 68.69514) (xy 127.552334 68.620847) (xy 127.489153 68.557666) (xy 127.41486 68.508025)
(xy 127.408134 68.505239) (xy 126.773059 67.870165) (xy 126.7986 67.741761) (xy 126.7986 67.598239) (xy 126.7706 67.457475)
(xy 126.715677 67.324879) (xy 126.63594 67.205545) (xy 126.534455 67.10406) (xy 126.415121 67.024323) (xy 126.282525 66.9694)
(xy 126.141761 66.9414) (xy 125.998239 66.9414) (xy 125.857475 66.9694) (xy 125.724879 67.024323) (xy 125.605545 67.10406)
(xy 125.50406 67.205545) (xy 125.424323 67.324879) (xy 125.3694 67.457475) (xy 125.3414 67.598239) (xy 105.2536 67.598239)
(xy 105.2536 60.32) (xy 111.250294 60.32) (xy 111.250294 62.12) (xy 111.254708 62.164813) (xy 111.267779 62.207905)
(xy 111.289006 62.247618) (xy 111.317573 62.282427) (xy 111.352382 62.310994) (xy 111.392095 62.332221) (xy 111.435187 62.345292)
(xy 111.48 62.349706) (xy 113.68 62.349706) (xy 113.724813 62.345292) (xy 113.767905 62.332221) (xy 113.807618 62.310994)
(xy 113.842427 62.282427) (xy 113.870994 62.247618) (xy 113.892221 62.207905) (xy 113.905292 62.164813) (xy 113.909706 62.12)
(xy 113.909706 60.32) (xy 113.905292 60.275187) (xy 113.892221 60.232095) (xy 113.870994 60.192382) (xy 113.842427 60.157573)
(xy 113.807618 60.129006) (xy 113.767905 60.107779) (xy 113.724813 60.094708) (xy 113.68 60.090294) (xy 111.48 60.090294)
(xy 111.435187 60.094708) (xy 111.392095 60.107779) (xy 111.352382 60.129006) (xy 111.317573 60.157573) (xy 111.289006 60.192382)
(xy 111.267779 60.232095) (xy 111.254708 60.275187) (xy 111.250294 60.32) (xy 105.2536 60.32) (xy 105.2536 54.987541)
(xy 105.252489 54.976256) (xy 105.252532 54.970034) (xy 105.252187 54.96651) (xy 105.231786 54.772413) (xy 105.227162 54.749889)
(xy 105.222857 54.727321) (xy 105.221834 54.723931) (xy 105.164122 54.537493) (xy 105.155205 54.516281) (xy 105.146604 54.494993)
(xy 105.144942 54.491866) (xy 105.052117 54.320189) (xy 105.039251 54.301114) (xy 105.026677 54.2819) (xy 105.02444 54.279156)
(xy 104.900036 54.128778) (xy 104.883721 54.112577) (xy 104.867645 54.096161) (xy 104.864917 54.093903) (xy 104.713675 53.970553)
(xy 104.694532 53.957835) (xy 104.675565 53.944848) (xy 104.67245 53.943164) (xy 104.500128 53.851538) (xy 104.478848 53.842768)
(xy 104.457751 53.833725) (xy 104.454369 53.832678) (xy 104.267532 53.776269) (xy 104.244951 53.771797) (xy 104.222502 53.767026)
(xy 104.218981 53.766655) (xy 104.024747 53.74761) (xy 104.024745 53.74761) (xy 104.012459 53.7464) (xy 100.987541 53.7464)
(xy 100.976256 53.747511) (xy 100.970034 53.747468) (xy 100.96651 53.747813) (xy 100.772413 53.768214) (xy 100.749889 53.772838)
(xy 100.727321 53.777143) (xy 100.723931 53.778166) (xy 100.537493 53.835878) (xy 100.516281 53.844795) (xy 100.494993 53.853396)
(xy 100.491866 53.855058) (xy 100.320189 53.947883) (xy 100.301114 53.960749) (xy 100.2819 53.973323) (xy 100.279156 53.97556)
(xy 100.128778 54.099964) (xy 100.112577 54.116279) (xy 100.096161 54.132355) (xy 100.093903 54.135083) (xy 99.970553 54.286325)
(xy 99.957835 54.305468) (xy 99.944848 54.324435) (xy 99.943164 54.32755) (xy 99.851538 54.499872) (xy 99.842768 54.521152)
(xy 99.833725 54.542249) (xy 99.832678 54.545631) (xy 99.776269 54.732468) (xy 99.771797 54.755049) (xy 99.767026 54.777498)
(xy 99.766655 54.781019) (xy 99.74761 54.975253) (xy 99.7464 54.987542) (xy 94.614759 54.987542) (xy 98.856202 50.7461)
(xy 106.235843 50.7461) (xy 106.252244 50.757059) (xy 106.366642 50.804444) (xy 106.488086 50.8286) (xy 106.61191 50.8286)
(xy 106.733354 50.804444) (xy 106.847752 50.757059) (xy 106.950707 50.688266) (xy 107.019873 50.6191) (xy 108.597545 50.6191)
(xy 108.603911 50.683739) (xy 108.629431 50.767867) (xy 108.670872 50.845399) (xy 108.726644 50.913356) (xy 108.794601 50.969128)
(xy 108.863401 51.005901) (xy 108.8634 51.214789) (xy 108.814601 51.240872) (xy 108.746644 51.296644) (xy 108.690872 51.364601)
(xy 108.649431 51.442133) (xy 108.623911 51.526261) (xy 108.615294 51.61375) (xy 108.615294 52.12625) (xy 108.623911 52.213739)
(xy 108.649431 52.297867) (xy 108.690872 52.375399) (xy 108.746644 52.443356) (xy 108.814601 52.499128) (xy 108.892133 52.540569)
(xy 108.976261 52.566089) (xy 109.06375 52.574706) (xy 109.50125 52.574706) (xy 109.588739 52.566089) (xy 109.672867 52.540569)
(xy 109.750399 52.499128) (xy 109.818356 52.443356) (xy 109.874128 52.375399) (xy 109.915569 52.297867) (xy 109.941089 52.213739)
(xy 109.949706 52.12625) (xy 109.949706 51.61375) (xy 109.941089 51.526261) (xy 109.915569 51.442133) (xy 109.874128 51.364601)
(xy 109.818356 51.296644) (xy 109.750399 51.240872) (xy 109.7016 51.214789) (xy 109.7016 50.984521) (xy 109.730399 50.969128)
(xy 109.798356 50.913356) (xy 109.854128 50.845399) (xy 109.895569 50.767867) (xy 109.921089 50.683739) (xy 109.929706 50.59625)
(xy 109.929706 50.08375) (xy 109.921089 49.996261) (xy 109.895569 49.912133) (xy 109.854128 49.834601) (xy 109.798356 49.766644)
(xy 109.7451 49.722937) (xy 109.7451 46.725398) (xy 109.789203 46.689203) (xy 109.848861 46.61651) (xy 109.893191 46.533576)
(xy 109.920489 46.443586) (xy 109.929706 46.35) (xy 109.929706 43.35) (xy 109.920489 43.256414) (xy 109.893191 43.166424)
(xy 109.848861 43.08349) (xy 109.789203 43.010797) (xy 109.71651 42.951139) (xy 109.633576 42.906809) (xy 109.543586 42.879511)
(xy 109.45 42.870294) (xy 108.95 42.870294) (xy 108.856414 42.879511) (xy 108.766424 42.906809) (xy 108.68349 42.951139)
(xy 108.610797 43.010797) (xy 108.551139 43.08349) (xy 108.506809 43.166424) (xy 108.479511 43.256414) (xy 108.470294 43.35)
(xy 108.470294 46.35) (xy 108.479511 46.443586) (xy 108.506809 46.533576) (xy 108.551139 46.61651) (xy 108.610797 46.689203)
(xy 108.68349 46.748861) (xy 108.766424 46.793191) (xy 108.779901 46.797279) (xy 108.779901 47.588782) (xy 108.7799 47.588792)
(xy 108.779901 49.722936) (xy 108.726644 49.766644) (xy 108.714944 49.7809) (xy 107.019873 49.7809) (xy 106.950707 49.711734)
(xy 106.847752 49.642941) (xy 106.733354 49.595556) (xy 106.61191 49.5714) (xy 106.488086 49.5714) (xy 106.366642 49.595556)
(xy 106.252244 49.642941) (xy 106.235843 49.6539) (xy 104.9691 49.6539) (xy 104.9691 49.296245) (xy 105.014455 49.26594)
(xy 105.11594 49.164455) (xy 105.195677 49.045121) (xy 105.2506 48.912525) (xy 105.2786 48.771761) (xy 105.2786 48.628239)
(xy 105.2506 48.487475) (xy 105.195677 48.354879) (xy 105.11594 48.235545) (xy 105.014455 48.13406) (xy 104.895121 48.054323)
(xy 104.762525 47.9994) (xy 104.621761 47.9714) (xy 104.478239 47.9714) (xy 104.337475 47.9994) (xy 104.204879 48.054323)
(xy 104.085545 48.13406) (xy 103.98406 48.235545) (xy 103.904323 48.354879) (xy 103.8494 48.487475) (xy 103.8214 48.628239)
(xy 103.8214 48.771761) (xy 103.8494 48.912525) (xy 103.904323 49.045121) (xy 103.98406 49.164455) (xy 104.085545 49.26594)
(xy 104.1309 49.296246) (xy 104.130901 49.6539) (xy 98.656813 49.6539) (xy 98.629999 49.651259) (xy 98.603185 49.6539)
(xy 98.603176 49.6539) (xy 98.522946 49.661802) (xy 98.420006 49.693028) (xy 98.325135 49.743738) (xy 98.241981 49.811981)
(xy 98.224882 49.832816) (xy 90.113799 57.9439) (xy 89.593176 57.9439) (xy 89.512946 57.951802) (xy 89.410006 57.983028)
(xy 89.315135 58.033738) (xy 89.231981 58.101981) (xy 89.205375 58.1344) (xy 88.757294 58.1344) (xy 88.643798 58.020905)
(xy 88.632663 58.007337) (xy 88.578516 57.962899) (xy 88.51674 57.929879) (xy 88.44971 57.909546) (xy 88.397463 57.9044)
(xy 88.397455 57.9044) (xy 88.38 57.902681) (xy 88.362545 57.9044) (xy 87.855136 57.9044) (xy 87.854 57.8362)
(xy 87.7905 57.7727) (xy 86.9627 57.7727) (xy 86.9627 57.7927) (xy 86.9373 57.7927) (xy 86.9373 57.7727)
(xy 86.1095 57.7727) (xy 86.046 57.8362) (xy 86.044771 57.91) (xy 86.049675 57.959793) (xy 86.064199 58.007672)
(xy 86.082033 58.041037) (xy 86.074708 58.065187) (xy 86.070294 58.11) (xy 86.070294 58.41) (xy 86.074708 58.454813)
(xy 86.087779 58.497905) (xy 86.094244 58.51) (xy 86.087779 58.522095) (xy 86.074708 58.565187) (xy 86.070294 58.61)
(xy 86.070294 58.91) (xy 86.074708 58.954813) (xy 86.087779 58.997905) (xy 86.094244 59.01) (xy 86.087779 59.022095)
(xy 86.074708 59.065187) (xy 86.070294 59.11) (xy 86.070294 59.4044) (xy 84.643777 59.4044) (xy 84.581158 59.370929)
(xy 84.502158 59.346965) (xy 84.44058 59.3409) (xy 81.86058 59.3409) (xy 81.84 59.338873) (xy 81.81942 59.3409)
(xy 81.757842 59.346965) (xy 81.678842 59.370929) (xy 81.606034 59.409846) (xy 81.542218 59.462218) (xy 81.529098 59.478205)
(xy 71.871404 69.1359) (xy 64.914706 69.1359) (xy 64.914706 69.035) (xy 64.910292 68.990187) (xy 64.897221 68.947095)
(xy 64.875994 68.907382) (xy 64.847427 68.872573) (xy 64.819922 68.85) (xy 64.847427 68.827427) (xy 64.875994 68.792618)
(xy 64.897221 68.752905) (xy 64.907475 68.7191) (xy 66.158151 68.7191) (xy 66.170872 68.742899) (xy 66.226644 68.810856)
(xy 66.294601 68.866628) (xy 66.372133 68.908069) (xy 66.456261 68.933589) (xy 66.54375 68.942206) (xy 67.05625 68.942206)
(xy 67.143739 68.933589) (xy 67.227867 68.908069) (xy 67.305399 68.866628) (xy 67.373356 68.810856) (xy 67.429128 68.742899)
(xy 67.441849 68.7191) (xy 71.37942 68.7191) (xy 71.4 68.721127) (xy 71.42058 68.7191) (xy 71.482158 68.713035)
(xy 71.561158 68.689071) (xy 71.633966 68.650154) (xy 71.697782 68.597782) (xy 71.710907 68.581789) (xy 79.485901 60.806796)
(xy 79.485906 60.80679) (xy 79.573651 60.719045) (xy 79.61486 60.701975) (xy 79.689153 60.652334) (xy 79.752334 60.589153)
(xy 79.801975 60.51486) (xy 79.836169 60.43231) (xy 79.8536 60.344676) (xy 79.8536 60.255324) (xy 79.836169 60.16769)
(xy 79.801975 60.08514) (xy 79.752334 60.010847) (xy 79.689153 59.947666) (xy 79.61486 59.898025) (xy 79.53231 59.863831)
(xy 79.444676 59.8464) (xy 79.355324 59.8464) (xy 79.26769 59.863831) (xy 79.18514 59.898025) (xy 79.110847 59.947666)
(xy 79.047666 60.010847) (xy 78.998025 60.08514) (xy 78.980955 60.126349) (xy 78.89321 60.214094) (xy 78.893204 60.214099)
(xy 71.226404 67.8809) (xy 67.468574 67.8809) (xy 67.429128 67.807101) (xy 67.373356 67.739144) (xy 67.305399 67.683372)
(xy 67.227867 67.641931) (xy 67.143739 67.616411) (xy 67.05625 67.607794) (xy 66.54375 67.607794) (xy 66.456261 67.616411)
(xy 66.372133 67.641931) (xy 66.294601 67.683372) (xy 66.226644 67.739144) (xy 66.170872 67.807101) (xy 66.131426 67.8809)
(xy 64.914706 67.8809) (xy 64.914706 67.765) (xy 64.910292 67.720187) (xy 64.897221 67.677095) (xy 64.875994 67.637382)
(xy 64.847427 67.602573) (xy 64.812618 67.574006) (xy 64.772905 67.552779) (xy 64.729813 67.539708) (xy 64.685 67.535294)
(xy 62.685 67.535294) (xy 62.640187 67.539708) (xy 62.597095 67.552779) (xy 62.557382 67.574006) (xy 62.522573 67.602573)
(xy 62.494006 67.637382) (xy 62.472779 67.677095) (xy 62.459708 67.720187) (xy 62.455294 67.765) (xy 62.455294 68.665)
(xy 62.459708 68.709813) (xy 62.472779 68.752905) (xy 62.494006 68.792618) (xy 62.522573 68.827427) (xy 62.550078 68.85)
(xy 62.522573 68.872573) (xy 62.494006 68.907382) (xy 62.472779 68.947095) (xy 62.459708 68.990187) (xy 62.455294 69.035)
(xy 62.455294 69.935) (xy 62.459708 69.979813) (xy 62.472779 70.022905) (xy 62.494006 70.062618) (xy 62.522573 70.097427)
(xy 62.550078 70.12) (xy 62.522573 70.142573) (xy 62.494006 70.177382) (xy 62.472779 70.217095) (xy 62.459708 70.260187)
(xy 62.455294 70.305) (xy 62.455294 71.205) (xy 62.459708 71.249813) (xy 62.472779 71.292905) (xy 62.494006 71.332618)
(xy 62.522573 71.367427) (xy 62.550078 71.39) (xy 62.522573 71.412573) (xy 62.494006 71.447382) (xy 62.472779 71.487095)
(xy 62.459708 71.530187) (xy 62.455294 71.575) (xy 62.455294 72.475) (xy 62.459708 72.519813) (xy 62.472779 72.562905)
(xy 62.494006 72.602618) (xy 62.522573 72.637427) (xy 62.550078 72.66) (xy 62.522573 72.682573) (xy 62.494006 72.717382)
(xy 62.472779 72.757095) (xy 62.459708 72.800187) (xy 62.455294 72.845) (xy 62.455294 73.745) (xy 62.459708 73.789813)
(xy 62.472779 73.832905) (xy 62.494006 73.872618) (xy 62.522573 73.907427) (xy 62.550078 73.93) (xy 62.522573 73.952573)
(xy 62.494006 73.987382) (xy 62.472779 74.027095) (xy 62.459708 74.070187) (xy 62.455294 74.115) (xy 62.455294 75.015)
(xy 62.459708 75.059813) (xy 62.472779 75.102905) (xy 62.494006 75.142618) (xy 62.522573 75.177427) (xy 62.550078 75.2)
(xy 62.522573 75.222573) (xy 62.494006 75.257382) (xy 62.472779 75.297095) (xy 62.459708 75.340187) (xy 62.455294 75.385)
(xy 62.455294 76.285) (xy 62.459708 76.329813) (xy 62.472779 76.372905) (xy 62.494006 76.412618) (xy 62.522573 76.447427)
(xy 62.550078 76.47) (xy 62.522573 76.492573) (xy 62.494006 76.527382) (xy 62.472779 76.567095) (xy 62.459708 76.610187)
(xy 62.455294 76.655) (xy 62.455294 77.555) (xy 62.459708 77.599813) (xy 62.472779 77.642905) (xy 62.494006 77.682618)
(xy 62.522573 77.717427) (xy 62.550078 77.74) (xy 62.522573 77.762573) (xy 62.494006 77.797382) (xy 62.472779 77.837095)
(xy 62.459708 77.880187) (xy 62.455294 77.925) (xy 62.455294 78.0259) (xy 62.174596 78.0259) (xy 59.2941 75.145404)
(xy 59.2941 71.448517) (xy 59.311169 71.40731) (xy 59.3286 71.319676) (xy 59.3286 71.230324) (xy 59.311169 71.14269)
(xy 59.276975 71.06014) (xy 59.227334 70.985847) (xy 59.164153 70.922666) (xy 59.08986 70.873025) (xy 59.00731 70.838831)
(xy 58.919676 70.8214) (xy 58.830324 70.8214) (xy 58.74269 70.838831) (xy 58.66014 70.873025) (xy 58.585847 70.922666)
(xy 58.522666 70.985847) (xy 58.473025 71.06014) (xy 58.438831 71.14269) (xy 58.4214 71.230324) (xy 58.4214 71.319676)
(xy 58.438831 71.40731) (xy 58.455901 71.44852) (xy 58.4559 75.29842) (xy 58.453873 75.319) (xy 58.45956 75.37674)
(xy 58.461965 75.401157) (xy 58.485929 75.480157) (xy 58.524846 75.552965) (xy 58.577218 75.616782) (xy 58.593211 75.629907)
(xy 61.690093 78.726789) (xy 61.703218 78.742782) (xy 61.767034 78.795154) (xy 61.839842 78.834071) (xy 61.901133 78.852663)
(xy 61.918842 78.858035) (xy 62.001 78.866127) (xy 62.02158 78.8641) (xy 62.459145 78.8641) (xy 62.459708 78.869813)
(xy 62.472779 78.912905) (xy 62.494006 78.952618) (xy 62.522573 78.987427) (xy 62.530059 78.993571) (xy 62.504526 79.014526)
(xy 62.472785 79.053202) (xy 62.449199 79.097328) (xy 62.434675 79.145207) (xy 62.429771 79.195) (xy 62.431 79.5688)
(xy 62.4945 79.6323) (xy 63.6723 79.6323) (xy 63.6723 79.6123) (xy 63.6977 79.6123) (xy 63.6977 79.6323)
(xy 64.8755 79.6323) (xy 64.939 79.5688) (xy 64.940229 79.195) (xy 64.935325 79.145207) (xy 64.920801 79.097328)
(xy 64.897215 79.053202) (xy 64.865474 79.014526) (xy 64.839941 78.993571) (xy 64.847427 78.987427) (xy 64.875994 78.952618)
(xy 64.897221 78.912905) (xy 64.910292 78.869813) (xy 64.914706 78.825) (xy 64.914706 77.925) (xy 64.910292 77.880187)
(xy 64.897221 77.837095) (xy 64.875994 77.797382) (xy 64.847427 77.762573) (xy 64.819922 77.74) (xy 64.847427 77.717427)
(xy 64.875994 77.682618) (xy 64.897221 77.642905) (xy 64.910292 77.599813) (xy 64.914706 77.555) (xy 64.914706 76.655)
(xy 64.910292 76.610187) (xy 64.897221 76.567095) (xy 64.875994 76.527382) (xy 64.847427 76.492573) (xy 64.819922 76.47)
(xy 64.847427 76.447427) (xy 64.875994 76.412618) (xy 64.897221 76.372905) (xy 64.910292 76.329813) (xy 64.914706 76.285)
(xy 64.914706 75.385) (xy 64.910292 75.340187) (xy 64.897221 75.297095) (xy 64.875994 75.257382) (xy 64.847427 75.222573)
(xy 64.819922 75.2) (xy 64.847427 75.177427) (xy 64.875994 75.142618) (xy 64.897221 75.102905) (xy 64.910292 75.059813)
(xy 64.914706 75.015) (xy 64.914706 74.115) (xy 64.910292 74.070187) (xy 64.897221 74.027095) (xy 64.875994 73.987382)
(xy 64.847427 73.952573) (xy 64.819922 73.93) (xy 64.847427 73.907427) (xy 64.875994 73.872618) (xy 64.897221 73.832905)
(xy 64.910292 73.789813) (xy 64.914706 73.745) (xy 64.914706 72.845) (xy 64.910292 72.800187) (xy 64.897221 72.757095)
(xy 64.875994 72.717382) (xy 64.847427 72.682573) (xy 64.819922 72.66) (xy 64.847427 72.637427) (xy 64.875994 72.602618)
(xy 64.897221 72.562905) (xy 64.910292 72.519813) (xy 64.914706 72.475) (xy 64.914706 71.575) (xy 64.910292 71.530187)
(xy 64.897221 71.487095) (xy 64.875994 71.447382) (xy 64.847427 71.412573) (xy 64.819922 71.39) (xy 64.847427 71.367427)
(xy 64.875994 71.332618) (xy 64.897221 71.292905) (xy 64.910292 71.249813) (xy 64.914706 71.205) (xy 64.914706 70.305)
(xy 64.910292 70.260187) (xy 64.897221 70.217095) (xy 64.875994 70.177382) (xy 64.847427 70.142573) (xy 64.819922 70.12)
(xy 64.847427 70.097427) (xy 64.875994 70.062618) (xy 64.897221 70.022905) (xy 64.910292 69.979813) (xy 64.910855 69.9741)
(xy 72.02442 69.9741) (xy 72.045 69.976127) (xy 72.06558 69.9741) (xy 72.127158 69.968035) (xy 72.206158 69.944071)
(xy 72.278966 69.905154) (xy 72.342782 69.852782) (xy 72.355907 69.836789) (xy 82.013597 60.1791) (xy 84.397389 60.1791)
(xy 84.393831 60.18769) (xy 84.3764 60.275324) (xy 84.3764 60.364676) (xy 84.393831 60.45231) (xy 84.428025 60.53486)
(xy 84.477666 60.609153) (xy 84.540847 60.672334) (xy 84.61514 60.721975) (xy 84.635073 60.730232) (xy 84.56631 60.798994)
(xy 84.56486 60.798025) (xy 84.48231 60.763831) (xy 84.394676 60.7464) (xy 84.305324 60.7464) (xy 84.21769 60.763831)
(xy 84.176483 60.7809) (xy 82.420577 60.7809) (xy 82.399999 60.778873) (xy 82.379422 60.7809) (xy 82.37942 60.7809)
(xy 82.317842 60.786965) (xy 82.238842 60.810929) (xy 82.23884 60.81093) (xy 82.203704 60.829711) (xy 82.166034 60.849846)
(xy 82.102218 60.902218) (xy 82.089098 60.918206) (xy 78.876348 64.130956) (xy 78.83514 64.148025) (xy 78.760847 64.197666)
(xy 78.697666 64.260847) (xy 78.648025 64.33514) (xy 78.613831 64.41769) (xy 78.5964 64.505324) (xy 78.5964 64.594676)
(xy 78.613831 64.68231) (xy 78.648025 64.76486) (xy 78.697666 64.839153) (xy 78.760847 64.902334) (xy 78.83514 64.951975)
(xy 78.91769 64.986169) (xy 79.005324 65.0036) (xy 79.094676 65.0036) (xy 79.18231 64.986169) (xy 79.26486 64.951975)
(xy 79.339153 64.902334) (xy 79.402334 64.839153) (xy 79.451975 64.76486) (xy 79.469044 64.723652) (xy 82.573597 61.6191)
(xy 84.176483 61.6191) (xy 84.21769 61.636169) (xy 84.305324 61.6536) (xy 84.394676 61.6536) (xy 84.48231 61.636169)
(xy 84.56486 61.601975) (xy 84.634265 61.5556) (xy 84.650743 61.5556) (xy 84.668198 61.557319) (xy 84.685653 61.5556)
(xy 84.685661 61.5556) (xy 84.737908 61.550454) (xy 84.804938 61.530121) (xy 84.866714 61.497101) (xy 84.920861 61.452663)
(xy 84.931997 61.439094) (xy 85.255492 61.1156) (xy 86.070294 61.1156) (xy 86.070294 61.4044) (xy 85.517453 61.4044)
(xy 85.499998 61.402681) (xy 85.482542 61.4044) (xy 85.482535 61.4044) (xy 85.436564 61.408928) (xy 85.430287 61.409546)
(xy 85.41277 61.41486) (xy 85.363258 61.429879) (xy 85.301482 61.462899) (xy 85.247335 61.507337) (xy 85.246697 61.508114)
(xy 85.16769 61.523829) (xy 85.08514 61.558023) (xy 85.010847 61.607664) (xy 84.947666 61.670845) (xy 84.898025 61.745138)
(xy 84.863831 61.827688) (xy 84.8464 61.915322) (xy 84.8464 62.004674) (xy 84.863831 62.092308) (xy 84.898025 62.174858)
(xy 84.947666 62.249151) (xy 85.010847 62.312332) (xy 85.08514 62.361973) (xy 85.16769 62.396167) (xy 85.209081 62.4044)
(xy 83.094267 62.4044) (xy 83.024862 62.358025) (xy 82.942312 62.323831) (xy 82.854678 62.3064) (xy 82.765326 62.3064)
(xy 82.677692 62.323831) (xy 82.595142 62.358025) (xy 82.520849 62.407666) (xy 82.457668 62.470847) (xy 82.408027 62.54514)
(xy 82.373833 62.62769) (xy 82.356402 62.715324) (xy 82.356402 62.804676) (xy 82.373833 62.89231) (xy 82.408027 62.97486)
(xy 82.457668 63.049153) (xy 82.520849 63.112334) (xy 82.595142 63.161975) (xy 82.677692 63.196169) (xy 82.765326 63.2136)
(xy 82.854678 63.2136) (xy 82.942312 63.196169) (xy 83.024862 63.161975) (xy 83.094267 63.1156) (xy 86.044864 63.1156)
(xy 86.046 63.1838) (xy 86.1095 63.2473) (xy 86.5138 63.2473) (xy 86.5138 63.2727) (xy 86.1095 63.2727)
(xy 86.046 63.3362) (xy 86.044771 63.41) (xy 86.049675 63.459793) (xy 86.064199 63.507672) (xy 86.065443 63.51)
(xy 86.064199 63.512328) (xy 86.049675 63.560207) (xy 86.044771 63.61) (xy 86.046 63.6838) (xy 86.1095 63.7473)
(xy 86.5138 63.7473) (xy 86.5138 63.7727) (xy 86.1095 63.7727) (xy 86.046 63.8362) (xy 86.044771 63.91)
(xy 86.049675 63.959793) (xy 86.064199 64.007672) (xy 86.065443 64.01) (xy 86.064199 64.012328) (xy 86.049675 64.060207)
(xy 86.044771 64.11) (xy 86.046 64.1838) (xy 86.1095 64.2473) (xy 86.5138 64.2473) (xy 86.5138 64.2727)
(xy 86.1095 64.2727) (xy 86.046 64.3362) (xy 86.044771 64.41) (xy 86.049675 64.459793) (xy 86.064199 64.507672)
(xy 86.065443 64.51) (xy 86.064199 64.512328) (xy 86.049675 64.560207) (xy 86.044771 64.61) (xy 86.046 64.6838)
(xy 86.1095 64.7473) (xy 86.5138 64.7473) (xy 86.5138 64.7727) (xy 86.1095 64.7727) (xy 86.046 64.8362)
(xy 86.044771 64.91) (xy 86.049675 64.959793) (xy 86.064199 65.007672) (xy 86.065443 65.01) (xy 86.064199 65.012328)
(xy 86.049675 65.060207) (xy 86.044771 65.11) (xy 86.046 65.1838) (xy 86.1095 65.2473) (xy 86.5138 65.2473)
(xy 86.5138 65.2727) (xy 86.1095 65.2727) (xy 86.046 65.3362) (xy 86.044771 65.41) (xy 86.049675 65.459793)
(xy 86.064199 65.507672) (xy 86.065443 65.51) (xy 86.064199 65.512328) (xy 86.049675 65.560207) (xy 86.044771 65.61)
(xy 86.046 65.6838) (xy 86.1095 65.7473) (xy 86.5138 65.7473) (xy 86.5138 65.7727) (xy 86.1095 65.7727)
(xy 86.046 65.8362) (xy 86.044771 65.91) (xy 86.049675 65.959793) (xy 86.064199 66.007672) (xy 86.065443 66.01)
(xy 86.064199 66.012328) (xy 86.049675 66.060207) (xy 86.044771 66.11) (xy 86.046 66.1838) (xy 86.1095 66.2473)
(xy 86.5138 66.2473) (xy 86.5138 66.2727) (xy 86.1095 66.2727) (xy 86.046 66.3362) (xy 86.044771 66.41)
(xy 86.049675 66.459793) (xy 86.064199 66.507672) (xy 86.065443 66.51) (xy 86.064199 66.512328) (xy 86.049675 66.560207)
(xy 86.044771 66.61) (xy 86.046 66.6838) (xy 86.1095 66.7473) (xy 86.5138 66.7473) (xy 86.5138 66.7727)
(xy 86.1095 66.7727) (xy 86.046 66.8362) (xy 86.044771 66.91) (xy 86.049675 66.959793) (xy 86.064199 67.007672)
(xy 86.065443 67.01) (xy 86.064199 67.012328) (xy 86.049675 67.060207) (xy 86.044771 67.11) (xy 86.046 67.1838)
(xy 86.1095 67.2473) (xy 86.5138 67.2473) (xy 86.5138 67.2727) (xy 86.1095 67.2727) (xy 86.046 67.3362)
(xy 86.044771 67.41) (xy 86.049675 67.459793) (xy 86.064199 67.507672) (xy 86.065443 67.51) (xy 86.064199 67.512328)
(xy 86.049675 67.560207) (xy 86.044771 67.61) (xy 86.046 67.6838) (xy 86.1095 67.7473) (xy 86.5138 67.7473)
(xy 86.5138 67.7727) (xy 86.1095 67.7727) (xy 86.046 67.8362) (xy 86.044771 67.91) (xy 86.049675 67.959793)
(xy 86.064199 68.007672) (xy 86.065443 68.01) (xy 86.064199 68.012328) (xy 86.049675 68.060207) (xy 86.044771 68.11)
(xy 86.046 68.1838) (xy 86.1095 68.2473) (xy 86.5138 68.2473) (xy 86.5138 68.2727) (xy 86.1095 68.2727)
(xy 86.046 68.3362) (xy 86.044771 68.41) (xy 86.049675 68.459793) (xy 86.064199 68.507672) (xy 86.065443 68.51)
(xy 86.064199 68.512328) (xy 86.049675 68.560207) (xy 86.044771 68.61) (xy 86.046 68.6838) (xy 86.1095 68.7473)
(xy 86.5138 68.7473) (xy 86.5138 68.7727) (xy 86.1095 68.7727) (xy 86.046 68.8362) (xy 86.044771 68.91)
(xy 86.049675 68.959793) (xy 86.064199 69.007672) (xy 86.065443 69.01) (xy 86.064199 69.012328) (xy 86.049675 69.060207)
(xy 86.044771 69.11) (xy 86.046 69.1838) (xy 86.1095 69.2473) (xy 86.5138 69.2473) (xy 86.5138 69.2727)
(xy 86.1095 69.2727) (xy 86.046 69.3362) (xy 86.044771 69.41) (xy 86.049675 69.459793) (xy 86.064199 69.507672)
(xy 86.065443 69.51) (xy 86.064199 69.512328) (xy 86.049675 69.560207) (xy 86.044771 69.61) (xy 86.046 69.6838)
(xy 86.1095 69.7473) (xy 86.5138 69.7473) (xy 86.5138 69.7727) (xy 86.1095 69.7727) (xy 86.046 69.8362)
(xy 86.044771 69.91) (xy 86.049675 69.959793) (xy 86.064199 70.007672) (xy 86.065443 70.01) (xy 86.064199 70.012328)
(xy 86.049675 70.060207) (xy 86.044771 70.11) (xy 86.046 70.1838) (xy 86.1095 70.2473) (xy 86.5138 70.2473)
(xy 86.5138 70.2727) (xy 86.1095 70.2727) (xy 86.046 70.3362) (xy 86.044771 70.41) (xy 86.049675 70.459793)
(xy 86.064199 70.507672) (xy 86.065443 70.51) (xy 86.064199 70.512328) (xy 86.049675 70.560207) (xy 86.044771 70.61)
(xy 86.046 70.6838) (xy 86.1095 70.7473) (xy 86.5138 70.7473) (xy 86.5138 70.7727) (xy 86.1095 70.7727)
(xy 86.046 70.8362) (xy 86.044771 70.91) (xy 86.049675 70.959793) (xy 86.064199 71.007672) (xy 86.065443 71.01)
(xy 86.064199 71.012328) (xy 86.049675 71.060207) (xy 86.044771 71.11) (xy 86.046 71.1838) (xy 86.1095 71.2473)
(xy 86.5138 71.2473) (xy 86.5138 71.2727) (xy 86.1095 71.2727) (xy 86.046 71.3362) (xy 86.045031 71.3944)
(xy 85.937097 71.3944) (xy 85.360907 70.818211) (xy 85.347782 70.802218) (xy 85.283966 70.749846) (xy 85.211158 70.710929)
(xy 85.132158 70.686965) (xy 85.07058 70.6809) (xy 85.05 70.678873) (xy 85.02942 70.6809) (xy 83.109387 70.6809)
(xy 83.088266 70.649291) (xy 83.0191 70.580125) (xy 83.0191 69.49468) (xy 83.044815 69.477498) (xy 83.079624 69.448932)
(xy 83.148932 69.379624) (xy 83.177498 69.344815) (xy 83.231954 69.263316) (xy 83.253182 69.223602) (xy 83.290691 69.133046)
(xy 83.303762 69.089955) (xy 83.322884 68.993822) (xy 83.327298 68.949009) (xy 83.327298 68.924447) (xy 83.329706 68.9)
(xy 83.329706 68.4) (xy 83.327298 68.375553) (xy 83.327298 68.350991) (xy 83.322884 68.306178) (xy 83.303762 68.210045)
(xy 83.290691 68.166954) (xy 83.253182 68.076398) (xy 83.231954 68.036684) (xy 83.177498 67.955185) (xy 83.148932 67.920376)
(xy 83.079624 67.851068) (xy 83.044815 67.822502) (xy 82.963316 67.768046) (xy 82.923602 67.746818) (xy 82.833046 67.709309)
(xy 82.789955 67.696238) (xy 82.693822 67.677116) (xy 82.649009 67.672702) (xy 82.624447 67.672702) (xy 82.6 67.670294)
(xy 82.05 67.670294) (xy 82.005187 67.674708) (xy 81.962095 67.687779) (xy 81.925 67.707607) (xy 81.887905 67.687779)
(xy 81.844813 67.674708) (xy 81.8 67.670294) (xy 80.8 67.670294) (xy 80.755187 67.674708) (xy 80.712095 67.687779)
(xy 80.675 67.707607) (xy 80.637905 67.687779) (xy 80.594813 67.674708) (xy 80.55 67.670294) (xy 80 67.670294)
(xy 79.975553 67.672702) (xy 79.950991 67.672702) (xy 79.906178 67.677116) (xy 79.810045 67.696238) (xy 79.766954 67.709309)
(xy 79.676398 67.746818) (xy 79.636684 67.768046) (xy 79.555185 67.822502) (xy 79.520376 67.851068) (xy 79.451068 67.920376)
(xy 79.422502 67.955185) (xy 79.368046 68.036684) (xy 79.346818 68.076398) (xy 79.309309 68.166954) (xy 79.296238 68.210045)
(xy 79.29209 68.2309) (xy 77.12058 68.2309) (xy 77.1 68.228873) (xy 77.07942 68.2309) (xy 77.017842 68.236965)
(xy 76.938842 68.260929) (xy 76.866034 68.299846) (xy 76.802218 68.352218) (xy 76.789093 68.368211) (xy 66.818206 78.339098)
(xy 66.802219 78.352218) (xy 66.7891 78.368204) (xy 66.749846 78.416035) (xy 66.71093 78.488842) (xy 66.686965 78.567843)
(xy 66.678873 78.65) (xy 66.680901 78.67059) (xy 66.6809 81.326403) (xy 62.576404 85.4309) (xy 57.023597 85.4309)
(xy 55.4841 83.891404) (xy 55.4841 83.659706) (xy 55.515 83.659706) (xy 55.559813 83.655292) (xy 55.602905 83.642221)
(xy 55.642618 83.620994) (xy 55.677427 83.592427) (xy 55.7 83.564922) (xy 55.722573 83.592427) (xy 55.757382 83.620994)
(xy 55.797095 83.642221) (xy 55.840187 83.655292) (xy 55.885 83.659706) (xy 56.7809 83.659706) (xy 56.780901 84.36648)
(xy 56.763831 84.40769) (xy 56.7464 84.495324) (xy 56.7464 84.584676) (xy 56.763831 84.67231) (xy 56.798025 84.75486)
(xy 56.847666 84.829153) (xy 56.910847 84.892334) (xy 56.98514 84.941975) (xy 57.06769 84.976169) (xy 57.155324 84.9936)
(xy 57.244676 84.9936) (xy 57.33231 84.976169) (xy 57.41486 84.941975) (xy 57.489153 84.892334) (xy 57.552334 84.829153)
(xy 57.601975 84.75486) (xy 57.636169 84.67231) (xy 57.6536 84.584676) (xy 57.6536 84.495324) (xy 57.636169 84.40769)
(xy 57.6191 84.366483) (xy 57.6191 83.659706) (xy 58.055 83.659706) (xy 58.099813 83.655292) (xy 58.142905 83.642221)
(xy 58.182618 83.620994) (xy 58.217427 83.592427) (xy 58.24 83.564922) (xy 58.262573 83.592427) (xy 58.297382 83.620994)
(xy 58.337095 83.642221) (xy 58.380187 83.655292) (xy 58.425 83.659706) (xy 59.325 83.659706) (xy 59.369813 83.655292)
(xy 59.412905 83.642221) (xy 59.452618 83.620994) (xy 59.487427 83.592427) (xy 59.51 83.564922) (xy 59.532573 83.592427)
(xy 59.567382 83.620994) (xy 59.607095 83.642221) (xy 59.650187 83.655292) (xy 59.695 83.659706) (xy 60.595 83.659706)
(xy 60.639813 83.655292) (xy 60.682905 83.642221) (xy 60.722618 83.620994) (xy 60.757427 83.592427) (xy 60.78 83.564922)
(xy 60.802573 83.592427) (xy 60.837382 83.620994) (xy 60.877095 83.642221) (xy 60.920187 83.655292) (xy 60.965 83.659706)
(xy 61.059401 83.659706) (xy 61.059401 84.037705) (xy 60.69956 84.397546) (xy 60.61769 84.413831) (xy 60.53514 84.448025)
(xy 60.460847 84.497666) (xy 60.397666 84.560847) (xy 60.348025 84.63514) (xy 60.313831 84.71769) (xy 60.2964 84.805324)
(xy 60.2964 84.894676) (xy 60.313831 84.98231) (xy 60.348025 85.06486) (xy 60.397666 85.139153) (xy 60.460847 85.202334)
(xy 60.53514 85.251975) (xy 60.61769 85.286169) (xy 60.705324 85.3036) (xy 60.794676 85.3036) (xy 60.88231 85.286169)
(xy 60.96486 85.251975) (xy 61.039153 85.202334) (xy 61.102334 85.139153) (xy 61.151975 85.06486) (xy 61.186169 84.98231)
(xy 61.202454 84.90044) (xy 61.6541 84.448794) (xy 61.667663 84.437663) (xy 61.712101 84.383516) (xy 61.745121 84.32174)
(xy 61.7566 84.283898) (xy 61.765454 84.254711) (xy 61.76783 84.230585) (xy 61.7706 84.202463) (xy 61.7706 84.202456)
(xy 61.772319 84.185001) (xy 61.7706 84.167545) (xy 61.7706 83.659706) (xy 61.865 83.659706) (xy 61.909813 83.655292)
(xy 61.952905 83.642221) (xy 61.992618 83.620994) (xy 62.027427 83.592427) (xy 62.05 83.564922) (xy 62.072573 83.592427)
(xy 62.107382 83.620994) (xy 62.147095 83.642221) (xy 62.190187 83.655292) (xy 62.235 83.659706) (xy 63.135 83.659706)
(xy 63.179813 83.655292) (xy 63.222905 83.642221) (xy 63.262618 83.620994) (xy 63.297427 83.592427) (xy 63.325994 83.557618)
(xy 63.347221 83.517905) (xy 63.360292 83.474813) (xy 63.364706 83.43) (xy 63.364706 81.43) (xy 63.360292 81.385187)
(xy 63.347221 81.342095) (xy 63.325994 81.302382) (xy 63.297427 81.267573) (xy 63.262618 81.239006) (xy 63.222905 81.217779)
(xy 63.179813 81.204708) (xy 63.135 81.200294) (xy 63.082095 81.200294) (xy 63.074071 81.173842) (xy 63.035154 81.101034)
(xy 62.982782 81.037218) (xy 62.966795 81.024098) (xy 62.037697 80.095) (xy 62.429771 80.095) (xy 62.434675 80.144793)
(xy 62.449199 80.192672) (xy 62.472785 80.236798) (xy 62.504526 80.275474) (xy 62.543202 80.307215) (xy 62.587328 80.330801)
(xy 62.635207 80.345325) (xy 62.685 80.350229) (xy 63.6088 80.349) (xy 63.6723 80.2855) (xy 63.6723 79.6577)
(xy 63.6977 79.6577) (xy 63.6977 80.2855) (xy 63.7612 80.349) (xy 64.685 80.350229) (xy 64.734793 80.345325)
(xy 64.782672 80.330801) (xy 64.826798 80.307215) (xy 64.865474 80.275474) (xy 64.897215 80.236798) (xy 64.920801 80.192672)
(xy 64.935325 80.144793) (xy 64.940229 80.095) (xy 64.939 79.7212) (xy 64.8755 79.6577) (xy 63.6977 79.6577)
(xy 63.6723 79.6577) (xy 62.4945 79.6577) (xy 62.431 79.7212) (xy 62.429771 80.095) (xy 62.037697 80.095)
(xy 58.3191 76.376404) (xy 58.3191 72.103519) (xy 58.336169 72.062312) (xy 58.3536 71.974678) (xy 58.3536 71.885326)
(xy 58.336169 71.797692) (xy 58.301975 71.715142) (xy 58.252334 71.640849) (xy 58.189153 71.577668) (xy 58.11486 71.528027)
(xy 58.03231 71.493833) (xy 57.944676 71.476402) (xy 57.855324 71.476402) (xy 57.76769 71.493833) (xy 57.68514 71.528027)
(xy 57.610847 71.577668) (xy 57.547666 71.640849) (xy 57.498025 71.715142) (xy 57.463831 71.797692) (xy 57.4464 71.885326)
(xy 57.4464 71.974678) (xy 57.463831 72.062312) (xy 57.480901 72.103522) (xy 57.4809 76.52942) (xy 57.478873 76.55)
(xy 57.486196 76.624352) (xy 57.486965 76.632157) (xy 57.510929 76.711157) (xy 57.549846 76.783965) (xy 57.602218 76.847782)
(xy 57.618211 76.860907) (xy 62.013202 81.255899) (xy 61.992618 81.239006) (xy 61.952905 81.217779) (xy 61.909813 81.204708)
(xy 61.865 81.200294) (xy 60.965 81.200294) (xy 60.920187 81.204708) (xy 60.877095 81.217779) (xy 60.837382 81.239006)
(xy 60.802573 81.267573) (xy 60.78 81.295078) (xy 60.757427 81.267573) (xy 60.722618 81.239006) (xy 60.682905 81.217779)
(xy 60.639813 81.204708) (xy 60.6091 81.201683) (xy 60.6091 80.700577) (xy 60.611127 80.679999) (xy 60.609055 80.658966)
(xy 60.603035 80.597842) (xy 60.579071 80.518842) (xy 60.540154 80.446034) (xy 60.487782 80.382218) (xy 60.471794 80.369097)
(xy 60.119044 80.016348) (xy 60.101975 79.97514) (xy 60.052334 79.900847) (xy 59.989153 79.837666) (xy 59.91486 79.788025)
(xy 59.83231 79.753831) (xy 59.744676 79.7364) (xy 59.655324 79.7364) (xy 59.56769 79.753831) (xy 59.48514 79.788025)
(xy 59.410847 79.837666) (xy 59.347666 79.900847) (xy 59.298025 79.97514) (xy 59.263831 80.05769) (xy 59.2464 80.145324)
(xy 59.2464 80.234676) (xy 59.263831 80.32231) (xy 59.298025 80.40486) (xy 59.347666 80.479153) (xy 59.410847 80.542334)
(xy 59.48514 80.591975) (xy 59.526348 80.609044) (xy 59.770901 80.853598) (xy 59.770901 81.200294) (xy 59.695 81.200294)
(xy 59.650187 81.204708) (xy 59.607095 81.217779) (xy 59.567382 81.239006) (xy 59.532573 81.267573) (xy 59.51 81.295078)
(xy 59.487427 81.267573) (xy 59.452618 81.239006) (xy 59.412905 81.217779) (xy 59.369813 81.204708) (xy 59.325 81.200294)
(xy 59.2491 81.200294) (xy 59.2491 80.620577) (xy 59.251127 80.599997) (xy 59.243035 80.51784) (xy 59.239685 80.506796)
(xy 59.219071 80.43884) (xy 59.180154 80.366032) (xy 59.127782 80.302216) (xy 59.111794 80.289095) (xy 58.726796 79.904098)
(xy 58.678965 79.864844) (xy 58.606158 79.825928) (xy 58.538213 79.805317) (xy 57.8036 79.070705) (xy 57.8036 79.005324)
(xy 57.786169 78.91769) (xy 57.751975 78.83514) (xy 57.702334 78.760847) (xy 57.639153 78.697666) (xy 57.56486 78.648025)
(xy 57.48231 78.613831) (xy 57.394676 78.5964) (xy 57.305324 78.5964) (xy 57.21769 78.613831) (xy 57.13514 78.648025)
(xy 57.060847 78.697666) (xy 56.997666 78.760847) (xy 56.948025 78.83514) (xy 56.913831 78.91769) (xy 56.8964 79.005324)
(xy 56.8964 79.094676) (xy 56.913831 79.18231) (xy 56.948025 79.26486) (xy 56.997666 79.339153) (xy 57.060847 79.402334)
(xy 57.13514 79.451975) (xy 57.210158 79.483049) (xy 58.035319 80.308211) (xy 58.05593 80.376156) (xy 58.094846 80.448963)
(xy 58.1341 80.496794) (xy 58.410901 80.773596) (xy 58.410901 81.201683) (xy 58.380187 81.204708) (xy 58.337095 81.217779)
(xy 58.297382 81.239006) (xy 58.262573 81.267573) (xy 58.24 81.295078) (xy 58.217427 81.267573) (xy 58.182618 81.239006)
(xy 58.142905 81.217779) (xy 58.099813 81.204708) (xy 58.055 81.200294) (xy 57.155 81.200294) (xy 57.110187 81.204708)
(xy 57.067095 81.217779) (xy 57.027382 81.239006) (xy 56.992573 81.267573) (xy 56.97 81.295078) (xy 56.947427 81.267573)
(xy 56.912618 81.239006) (xy 56.872905 81.217779) (xy 56.829813 81.204708) (xy 56.785 81.200294) (xy 56.7291 81.200294)
(xy 56.7291 80.660569) (xy 56.731126 80.639999) (xy 56.7291 80.619429) (xy 56.7291 80.61942) (xy 56.723035 80.557842)
(xy 56.699071 80.478842) (xy 56.660154 80.406034) (xy 56.607782 80.342218) (xy 56.591794 80.329097) (xy 56.324044 80.061348)
(xy 56.306975 80.02014) (xy 56.257334 79.945847) (xy 56.194153 79.882666) (xy 56.11986 79.833025) (xy 56.03731 79.798831)
(xy 55.949676 79.7814) (xy 55.860324 79.7814) (xy 55.77269 79.798831) (xy 55.69014 79.833025) (xy 55.615847 79.882666)
(xy 55.552666 79.945847) (xy 55.503025 80.02014) (xy 55.468831 80.10269) (xy 55.4514 80.190324) (xy 55.4514 80.279676)
(xy 55.468831 80.36731) (xy 55.503025 80.44986) (xy 55.552666 80.524153) (xy 55.615847 80.587334) (xy 55.69014 80.636975)
(xy 55.731348 80.654044) (xy 55.890901 80.813598) (xy 55.890901 81.200294) (xy 55.885 81.200294) (xy 55.840187 81.204708)
(xy 55.797095 81.217779) (xy 55.757382 81.239006) (xy 55.722573 81.267573) (xy 55.7 81.295078) (xy 55.677427 81.267573)
(xy 55.642618 81.239006) (xy 55.602905 81.217779) (xy 55.559813 81.204708) (xy 55.515 81.200294) (xy 54.615 81.200294)
(xy 54.570187 81.204708) (xy 54.527095 81.217779) (xy 54.487382 81.239006) (xy 54.452573 81.267573) (xy 54.43 81.295078)
(xy 54.407427 81.267573) (xy 54.372618 81.239006) (xy 54.332905 81.217779) (xy 54.289813 81.204708) (xy 54.245 81.200294)
(xy 53.345 81.200294) (xy 53.300187 81.204708) (xy 53.257095 81.217779) (xy 53.217382 81.239006) (xy 53.182573 81.267573)
(xy 53.16 81.295078) (xy 53.137427 81.267573) (xy 53.102618 81.239006) (xy 53.062905 81.217779) (xy 53.019813 81.204708)
(xy 52.975 81.200294) (xy 52.8991 81.200294) (xy 52.8991 80.630579) (xy 52.901127 80.609999) (xy 52.893035 80.527842)
(xy 52.891293 80.5221) (xy 52.869071 80.448842) (xy 52.830154 80.376034) (xy 52.777782 80.312218) (xy 52.761794 80.299097)
(xy 52.526115 80.063419) (xy 52.501975 80.00514) (xy 52.452334 79.930847) (xy 52.389153 79.867666) (xy 52.31486 79.818025)
(xy 52.23231 79.783831) (xy 52.144676 79.7664) (xy 52.055324 79.7664) (xy 51.96769 79.783831) (xy 51.88514 79.818025)
(xy 51.810847 79.867666) (xy 51.747666 79.930847) (xy 51.698025 80.00514) (xy 51.663831 80.08769) (xy 51.6464 80.175324)
(xy 51.6464 80.264676) (xy 51.663831 80.35231) (xy 51.698025 80.43486) (xy 51.747666 80.509153) (xy 51.810847 80.572334)
(xy 51.88514 80.621975) (xy 51.909277 80.631973) (xy 52.060901 80.783598) (xy 52.060901 81.201683) (xy 52.030187 81.204708)
(xy 51.987095 81.217779) (xy 51.947382 81.239006) (xy 51.912573 81.267573) (xy 51.89 81.295078) (xy 51.867427 81.267573)
(xy 51.832618 81.239006) (xy 51.792905 81.217779) (xy 51.749813 81.204708) (xy 51.705 81.200294) (xy 50.805 81.200294)
(xy 50.760187 81.204708) (xy 50.717095 81.217779) (xy 50.677382 81.239006) (xy 50.642573 81.267573) (xy 50.62 81.295078)
(xy 50.597427 81.267573) (xy 50.562618 81.239006) (xy 50.522905 81.217779) (xy 50.479813 81.204708) (xy 50.435 81.200294)
(xy 49.535 81.200294) (xy 49.490187 81.204708) (xy 49.447095 81.217779) (xy 49.407382 81.239006) (xy 49.372573 81.267573)
(xy 49.35 81.295078) (xy 49.3391 81.281797) (xy 49.3391 77.43) (xy 50.919771 77.43) (xy 50.924675 77.479793)
(xy 50.939199 77.527672) (xy 50.962785 77.571798) (xy 50.994526 77.610474) (xy 51.033202 77.642215) (xy 51.077328 77.665801)
(xy 51.125207 77.680325) (xy 51.175 77.685229) (xy 53.3702 77.684) (xy 53.4337 77.6205) (xy 53.4337 75.1713)
(xy 53.9163 75.1713) (xy 53.9163 77.6205) (xy 53.9798 77.684) (xy 56.175 77.685229) (xy 56.224793 77.680325)
(xy 56.272672 77.665801) (xy 56.316798 77.642215) (xy 56.355474 77.610474) (xy 56.387215 77.571798) (xy 56.410801 77.527672)
(xy 56.425325 77.479793) (xy 56.430229 77.43) (xy 56.429 75.2348) (xy 56.3655 75.1713) (xy 53.9163 75.1713)
(xy 53.4337 75.1713) (xy 50.9845 75.1713) (xy 50.921 75.2348) (xy 50.919771 77.43) (xy 49.3391 77.43)
(xy 49.3391 72.43) (xy 50.919771 72.43) (xy 50.921 74.6252) (xy 50.9845 74.6887) (xy 53.4337 74.6887)
(xy 53.4337 72.2395) (xy 53.9163 72.2395) (xy 53.9163 74.6887) (xy 56.3655 74.6887) (xy 56.429 74.6252)
(xy 56.430229 72.43) (xy 56.425325 72.380207) (xy 56.410801 72.332328) (xy 56.387215 72.288202) (xy 56.355474 72.249526)
(xy 56.316798 72.217785) (xy 56.272672 72.194199) (xy 56.224793 72.179675) (xy 56.175 72.174771) (xy 53.9798 72.176)
(xy 53.9163 72.2395) (xy 53.4337 72.2395) (xy 53.3702 72.176) (xy 51.175 72.174771) (xy 51.125207 72.179675)
(xy 51.077328 72.194199) (xy 51.033202 72.217785) (xy 50.994526 72.249526) (xy 50.962785 72.288202) (xy 50.939199 72.332328)
(xy 50.924675 72.380207) (xy 50.919771 72.43) (xy 49.3391 72.43) (xy 49.3391 71.22058) (xy 49.341127 71.2)
(xy 49.333035 71.117842) (xy 49.318698 71.07058) (xy 49.310865 71.044757) (xy 49.349559 71.052453) (xy 49.53621 71.239105)
(xy 49.547337 71.252663) (xy 49.560895 71.26379) (xy 49.5609 71.263795) (xy 49.595078 71.291844) (xy 49.601484 71.297101)
(xy 49.66326 71.330121) (xy 49.73029 71.350454) (xy 49.782537 71.3556) (xy 49.782546 71.3556) (xy 49.799999 71.357319)
(xy 49.817452 71.3556) (xy 57.664347 71.3556) (xy 57.681802 71.357319) (xy 57.699257 71.3556) (xy 57.699265 71.3556)
(xy 57.751512 71.350454) (xy 57.818542 71.330121) (xy 57.880318 71.297101) (xy 57.934465 71.252663) (xy 57.945601 71.239094)
(xy 60.78369 68.401006) (xy 60.78514 68.401975) (xy 60.86769 68.436169) (xy 60.955324 68.4536) (xy 61.044676 68.4536)
(xy 61.13231 68.436169) (xy 61.21486 68.401975) (xy 61.289153 68.352334) (xy 61.352334 68.289153) (xy 61.401975 68.21486)
(xy 61.419044 68.173652) (xy 62.455196 67.1375) (xy 66.069771 67.1375) (xy 66.074675 67.187293) (xy 66.089199 67.235172)
(xy 66.112785 67.279298) (xy 66.144526 67.317974) (xy 66.183202 67.349715) (xy 66.227328 67.373301) (xy 66.275207 67.387825)
(xy 66.325 67.392729) (xy 66.7238 67.3915) (xy 66.7873 67.328) (xy 66.7873 66.7127) (xy 66.8127 66.7127)
(xy 66.8127 67.328) (xy 66.8762 67.3915) (xy 67.275 67.392729) (xy 67.324793 67.387825) (xy 67.372672 67.373301)
(xy 67.416798 67.349715) (xy 67.455474 67.317974) (xy 67.487215 67.279298) (xy 67.510801 67.235172) (xy 67.525325 67.187293)
(xy 67.530229 67.1375) (xy 67.529 66.7762) (xy 67.4655 66.7127) (xy 66.8127 66.7127) (xy 66.7873 66.7127)
(xy 66.1345 66.7127) (xy 66.071 66.7762) (xy 66.069771 67.1375) (xy 62.455196 67.1375) (xy 62.932991 66.659706)
(xy 63.135 66.659706) (xy 63.179813 66.655292) (xy 63.222905 66.642221) (xy 63.262618 66.620994) (xy 63.297427 66.592427)
(xy 63.325994 66.557618) (xy 63.347221 66.517905) (xy 63.360292 66.474813) (xy 63.364706 66.43) (xy 63.364706 66.2625)
(xy 66.069771 66.2625) (xy 66.071 66.6238) (xy 66.1345 66.6873) (xy 66.7873 66.6873) (xy 66.7873 66.072)
(xy 66.8127 66.072) (xy 66.8127 66.6873) (xy 67.4655 66.6873) (xy 67.529 66.6238) (xy 67.530229 66.2625)
(xy 67.525325 66.212707) (xy 67.510801 66.164828) (xy 67.487215 66.120702) (xy 67.455474 66.082026) (xy 67.416798 66.050285)
(xy 67.372672 66.026699) (xy 67.324793 66.012175) (xy 67.275 66.007271) (xy 66.8762 66.0085) (xy 66.8127 66.072)
(xy 66.7873 66.072) (xy 66.7238 66.0085) (xy 66.325 66.007271) (xy 66.275207 66.012175) (xy 66.227328 66.026699)
(xy 66.183202 66.050285) (xy 66.144526 66.082026) (xy 66.112785 66.120702) (xy 66.089199 66.164828) (xy 66.074675 66.212707)
(xy 66.069771 66.2625) (xy 63.364706 66.2625) (xy 63.364706 64.43) (xy 63.360292 64.385187) (xy 63.347221 64.342095)
(xy 63.325994 64.302382) (xy 63.297427 64.267573) (xy 63.262618 64.239006) (xy 63.222905 64.217779) (xy 63.179813 64.204708)
(xy 63.135 64.200294) (xy 63.0816 64.200294) (xy 63.0816 62.655211) (xy 63.130399 62.629128) (xy 63.198356 62.573356)
(xy 63.254128 62.505399) (xy 63.295569 62.427867) (xy 63.321089 62.343739) (xy 63.329706 62.25625) (xy 63.329706 61.74375)
(xy 63.321089 61.656261) (xy 63.295569 61.572133) (xy 63.254128 61.494601) (xy 63.198356 61.426644) (xy 63.130399 61.370872)
(xy 63.052867 61.329431) (xy 62.968739 61.303911) (xy 62.88125 61.295294) (xy 62.44375 61.295294) (xy 62.356261 61.303911)
(xy 62.272133 61.329431) (xy 62.194601 61.370872) (xy 62.126644 61.426644) (xy 62.070872 61.494601) (xy 62.029431 61.572133)
(xy 62.003911 61.656261) (xy 61.995294 61.74375) (xy 61.995294 62.25625) (xy 62.003911 62.343739) (xy 62.029431 62.427867)
(xy 62.070872 62.505399) (xy 62.126644 62.573356) (xy 62.194601 62.629128) (xy 62.2434 62.655211) (xy 62.243401 64.200294)
(xy 62.235 64.200294) (xy 62.190187 64.204708) (xy 62.147095 64.217779) (xy 62.107382 64.239006) (xy 62.072573 64.267573)
(xy 62.05 64.295078) (xy 62.027427 64.267573) (xy 61.992618 64.239006) (xy 61.952905 64.217779) (xy 61.909813 64.204708)
(xy 61.865 64.200294) (xy 60.965 64.200294) (xy 60.920187 64.204708) (xy 60.877095 64.217779) (xy 60.837382 64.239006)
(xy 60.802573 64.267573) (xy 60.78 64.295078) (xy 60.757427 64.267573) (xy 60.722618 64.239006) (xy 60.682905 64.217779)
(xy 60.639813 64.204708) (xy 60.595 64.200294) (xy 59.695 64.200294) (xy 59.650187 64.204708) (xy 59.607095 64.217779)
(xy 59.567382 64.239006) (xy 59.532573 64.267573) (xy 59.51 64.295078) (xy 59.487427 64.267573) (xy 59.452618 64.239006)
(xy 59.412905 64.217779) (xy 59.369813 64.204708) (xy 59.325 64.200294) (xy 58.425 64.200294) (xy 58.380187 64.204708)
(xy 58.337095 64.217779) (xy 58.297382 64.239006) (xy 58.262573 64.267573) (xy 58.24 64.295078) (xy 58.217427 64.267573)
(xy 58.182618 64.239006) (xy 58.142905 64.217779) (xy 58.099813 64.204708) (xy 58.055 64.200294) (xy 58.0241 64.200294)
(xy 58.0241 62.981096) (xy 58.30049 62.704706) (xy 58.63125 62.704706) (xy 58.718739 62.696089) (xy 58.802867 62.670569)
(xy 58.880399 62.629128) (xy 58.948356 62.573356) (xy 59.004128 62.505399) (xy 59.045569 62.427867) (xy 59.071089 62.343739)
(xy 59.079706 62.25625) (xy 59.079706 61.74375) (xy 59.071089 61.656261) (xy 59.045569 61.572133) (xy 59.004128 61.494601)
(xy 58.948356 61.426644) (xy 58.880399 61.370872) (xy 58.802867 61.329431) (xy 58.718739 61.303911) (xy 58.63125 61.295294)
(xy 58.19375 61.295294) (xy 58.106261 61.303911) (xy 58.022133 61.329431) (xy 57.944601 61.370872) (xy 57.876644 61.426644)
(xy 57.820872 61.494601) (xy 57.779431 61.572133) (xy 57.753911 61.656261) (xy 57.745294 61.74375) (xy 57.745294 62.074509)
(xy 57.323206 62.496598) (xy 57.307219 62.509718) (xy 57.2941 62.525704) (xy 57.254846 62.573535) (xy 57.21593 62.646342)
(xy 57.191965 62.725343) (xy 57.183873 62.8075) (xy 57.185901 62.82809) (xy 57.1859 64.200294) (xy 57.155 64.200294)
(xy 57.110187 64.204708) (xy 57.067095 64.217779) (xy 57.027382 64.239006) (xy 56.992573 64.267573) (xy 56.97 64.295078)
(xy 56.947427 64.267573) (xy 56.912618 64.239006) (xy 56.872905 64.217779) (xy 56.829813 64.204708) (xy 56.785 64.200294)
(xy 55.885 64.200294) (xy 55.840187 64.204708) (xy 55.797095 64.217779) (xy 55.757382 64.239006) (xy 55.722573 64.267573)
(xy 55.7 64.295078) (xy 55.677427 64.267573) (xy 55.642618 64.239006) (xy 55.602905 64.217779) (xy 55.559813 64.204708)
(xy 55.5191 64.200698) (xy 55.5191 63.913596) (xy 57.191796 62.240901) (xy 57.207782 62.227782) (xy 57.260154 62.163966)
(xy 57.299071 62.091158) (xy 57.323035 62.012158) (xy 57.3291 61.95058) (xy 57.3291 61.950579) (xy 57.331127 61.93)
(xy 57.3291 61.90942) (xy 57.3291 60.263596) (xy 57.380196 60.2125) (xy 57.738758 60.2125) (xy 57.749302 60.319554)
(xy 57.780528 60.422494) (xy 57.831238 60.517365) (xy 57.899481 60.600519) (xy 57.982635 60.668762) (xy 58.077506 60.719472)
(xy 58.180446 60.750698) (xy 58.260676 60.7586) (xy 60.373704 60.7586) (xy 59.83701 61.295294) (xy 59.76875 61.295294)
(xy 59.681261 61.303911) (xy 59.597133 61.329431) (xy 59.519601 61.370872) (xy 59.451644 61.426644) (xy 59.395872 61.494601)
(xy 59.354431 61.572133) (xy 59.328911 61.656261) (xy 59.320294 61.74375) (xy 59.320294 62.25625) (xy 59.328911 62.343739)
(xy 59.354431 62.427867) (xy 59.395872 62.505399) (xy 59.451644 62.573356) (xy 59.519601 62.629128) (xy 59.597133 62.670569)
(xy 59.681261 62.696089) (xy 59.76875 62.704706) (xy 60.20625 62.704706) (xy 60.293739 62.696089) (xy 60.377867 62.670569)
(xy 60.455399 62.629128) (xy 60.523356 62.573356) (xy 60.579128 62.505399) (xy 60.620569 62.427867) (xy 60.646089 62.343739)
(xy 60.654706 62.25625) (xy 60.654706 61.74375) (xy 60.647465 61.670231) (xy 61.559096 60.7586) (xy 62.886299 60.7586)
(xy 63.637661 61.509963) (xy 63.604431 61.572133) (xy 63.578911 61.656261) (xy 63.570294 61.74375) (xy 63.570294 62.25625)
(xy 63.578911 62.343739) (xy 63.604431 62.427867) (xy 63.645872 62.505399) (xy 63.701644 62.573356) (xy 63.769601 62.629128)
(xy 63.847133 62.670569) (xy 63.931261 62.696089) (xy 64.01875 62.704706) (xy 64.169905 62.704706) (xy 64.643901 63.178703)
(xy 64.6439 64.035845) (xy 64.632941 64.052246) (xy 64.585556 64.166644) (xy 64.5614 64.288088) (xy 64.5614 64.411912)
(xy 64.585556 64.533356) (xy 64.632941 64.647754) (xy 64.701734 64.750709) (xy 64.789291 64.838266) (xy 64.892246 64.907059)
(xy 65.006644 64.954444) (xy 65.128088 64.9786) (xy 65.251912 64.9786) (xy 65.373356 64.954444) (xy 65.487754 64.907059)
(xy 65.590709 64.838266) (xy 65.678266 64.750709) (xy 65.747059 64.647754) (xy 65.794444 64.533356) (xy 65.8186 64.411912)
(xy 65.8186 64.288088) (xy 65.794444 64.166644) (xy 65.747059 64.052246) (xy 65.7361 64.035845) (xy 65.7361 62.979313)
(xy 65.738741 62.952499) (xy 65.7361 62.925685) (xy 65.7361 62.925676) (xy 65.728198 62.845446) (xy 65.696972 62.742506)
(xy 65.646262 62.647635) (xy 65.578019 62.564481) (xy 65.557184 62.547382) (xy 64.904706 61.894905) (xy 64.904706 61.74375)
(xy 64.896089 61.656261) (xy 64.870569 61.572133) (xy 64.829128 61.494601) (xy 64.7836 61.439126) (xy 64.7836 61.364313)
(xy 64.786241 61.337499) (xy 64.7836 61.310685) (xy 64.7836 61.310676) (xy 64.775698 61.230446) (xy 64.744472 61.127506)
(xy 64.693762 61.032635) (xy 64.648735 60.97777) (xy 64.642618 60.970316) (xy 64.625519 60.949481) (xy 64.604684 60.932382)
(xy 63.517622 59.845321) (xy 63.500519 59.824481) (xy 63.417365 59.756238) (xy 63.322494 59.705528) (xy 63.219554 59.674302)
(xy 63.139324 59.6664) (xy 63.139317 59.6664) (xy 63.1125 59.663759) (xy 63.085683 59.6664) (xy 58.260676 59.6664)
(xy 58.180446 59.674302) (xy 58.077506 59.705528) (xy 57.982635 59.756238) (xy 57.899481 59.824481) (xy 57.831238 59.907635)
(xy 57.780528 60.002506) (xy 57.749302 60.105446) (xy 57.738758 60.2125) (xy 57.380196 60.2125) (xy 58.023597 59.5691)
(xy 64.076404 59.5691) (xy 68.2809 63.773597) (xy 68.280901 66.22648) (xy 68.263831 66.26769) (xy 68.2464 66.355324)
(xy 68.2464 66.444676) (xy 68.263831 66.53231) (xy 68.298025 66.61486) (xy 68.347666 66.689153) (xy 68.410847 66.752334)
(xy 68.48514 66.801975) (xy 68.56769 66.836169) (xy 68.655324 66.8536) (xy 68.744676 66.8536) (xy 68.83231 66.836169)
(xy 68.91486 66.801975) (xy 68.989153 66.752334) (xy 69.052334 66.689153) (xy 69.101975 66.61486) (xy 69.136169 66.53231)
(xy 69.1536 66.444676) (xy 69.1536 66.355324) (xy 69.136169 66.26769) (xy 69.1191 66.226483) (xy 69.1191 63.620577)
(xy 69.121127 63.599999) (xy 69.119043 63.578842) (xy 69.113035 63.517842) (xy 69.089071 63.438842) (xy 69.084092 63.429526)
(xy 69.050154 63.366034) (xy 69.037758 63.350929) (xy 68.997782 63.302218) (xy 68.981796 63.289099) (xy 64.560907 58.868211)
(xy 64.547782 58.852218) (xy 64.483966 58.799846) (xy 64.411158 58.760929) (xy 64.332158 58.736965) (xy 64.27058 58.7309)
(xy 64.25 58.728873) (xy 64.22942 58.7309) (xy 58.023517 58.7309) (xy 57.98231 58.713831) (xy 57.894676 58.6964)
(xy 57.805324 58.6964) (xy 57.71769 58.713831) (xy 57.63514 58.748025) (xy 57.560847 58.797666) (xy 57.497666 58.860847)
(xy 57.448025 58.93514) (xy 57.430956 58.976347) (xy 56.628206 59.779098) (xy 56.612219 59.792218) (xy 56.590893 59.818204)
(xy 56.559846 59.856035) (xy 56.52093 59.928842) (xy 56.496965 60.007843) (xy 56.488873 60.09) (xy 56.490901 60.11059)
(xy 56.4909 61.756403) (xy 54.818206 63.429098) (xy 54.802219 63.442218) (xy 54.7891 63.458204) (xy 54.749846 63.506035)
(xy 54.71093 63.578842) (xy 54.686965 63.657843) (xy 54.678873 63.74) (xy 54.680901 63.76059) (xy 54.680901 64.200294)
(xy 54.615 64.200294) (xy 54.570187 64.204708) (xy 54.527095 64.217779) (xy 54.487382 64.239006) (xy 54.452573 64.267573)
(xy 54.43 64.295078) (xy 54.407427 64.267573) (xy 54.372618 64.239006) (xy 54.332905 64.217779) (xy 54.289813 64.204708)
(xy 54.245 64.200294) (xy 53.345 64.200294) (xy 53.300187 64.204708) (xy 53.257095 64.217779) (xy 53.217382 64.239006)
(xy 53.182573 64.267573) (xy 53.16 64.295078) (xy 53.137427 64.267573) (xy 53.102618 64.239006) (xy 53.062905 64.217779)
(xy 53.019813 64.204708) (xy 52.975 64.200294) (xy 52.9441 64.200294) (xy 52.9441 63.845579) (xy 52.946127 63.824999)
(xy 52.938035 63.742842) (xy 52.933964 63.729421) (xy 52.914071 63.663842) (xy 52.875154 63.591034) (xy 52.822782 63.527218)
(xy 52.806794 63.514097) (xy 52.569044 63.276348) (xy 52.551975 63.23514) (xy 52.5056 63.165735) (xy 52.5056 62.797293)
(xy 52.812729 62.490164) (xy 52.820872 62.505399) (xy 52.876644 62.573356) (xy 52.944601 62.629128) (xy 53.022133 62.670569)
(xy 53.106261 62.696089) (xy 53.19375 62.704706) (xy 53.63125 62.704706) (xy 53.718739 62.696089) (xy 53.802867 62.670569)
(xy 53.880399 62.629128) (xy 53.948356 62.573356) (xy 54.004128 62.505399) (xy 54.045569 62.427867) (xy 54.071089 62.343739)
(xy 54.079706 62.25625) (xy 54.079706 61.74375) (xy 54.320294 61.74375) (xy 54.320294 62.25625) (xy 54.328911 62.343739)
(xy 54.354431 62.427867) (xy 54.395872 62.505399) (xy 54.451644 62.573356) (xy 54.519601 62.629128) (xy 54.597133 62.670569)
(xy 54.681261 62.696089) (xy 54.76875 62.704706) (xy 55.20625 62.704706) (xy 55.293739 62.696089) (xy 55.377867 62.670569)
(xy 55.455399 62.629128) (xy 55.523356 62.573356) (xy 55.579128 62.505399) (xy 55.620569 62.427867) (xy 55.646089 62.343739)
(xy 55.654706 62.25625) (xy 55.654706 61.74375) (xy 55.646089 61.656261) (xy 55.620569 61.572133) (xy 55.579128 61.494601)
(xy 55.523356 61.426644) (xy 55.455399 61.370872) (xy 55.377867 61.329431) (xy 55.3431 61.318885) (xy 55.3431 61.261803)
(xy 55.802451 60.802453) (xy 55.88432 60.786169) (xy 55.96687 60.751975) (xy 56.041163 60.702334) (xy 56.104344 60.639153)
(xy 56.153985 60.56486) (xy 56.188179 60.48231) (xy 56.20561 60.394676) (xy 56.20561 60.305324) (xy 56.188179 60.21769)
(xy 56.153985 60.13514) (xy 56.104344 60.060847) (xy 56.041163 59.997666) (xy 55.96687 59.948025) (xy 55.88432 59.913831)
(xy 55.796686 59.8964) (xy 55.707334 59.8964) (xy 55.6197 59.913831) (xy 55.53715 59.948025) (xy 55.462857 59.997666)
(xy 55.399676 60.060847) (xy 55.350035 60.13514) (xy 55.315841 60.21769) (xy 55.299557 60.299559) (xy 54.748401 60.850716)
(xy 54.734838 60.861847) (xy 54.6904 60.915994) (xy 54.674294 60.946126) (xy 54.65738 60.977769) (xy 54.637046 61.0448)
(xy 54.630181 61.11451) (xy 54.631901 61.131975) (xy 54.631901 61.318884) (xy 54.597133 61.329431) (xy 54.519601 61.370872)
(xy 54.451644 61.426644) (xy 54.395872 61.494601) (xy 54.354431 61.572133) (xy 54.328911 61.656261) (xy 54.320294 61.74375)
(xy 54.079706 61.74375) (xy 54.071089 61.656261) (xy 54.045569 61.572133) (xy 54.004128 61.494601) (xy 53.948356 61.426644)
(xy 53.880399 61.370872) (xy 53.802867 61.329431) (xy 53.718739 61.303911) (xy 53.63125 61.295294) (xy 53.19375 61.295294)
(xy 53.106261 61.303911) (xy 53.022133 61.329431) (xy 52.944601 61.370872) (xy 52.876644 61.426644) (xy 52.820872 61.494601)
(xy 52.779431 61.572133) (xy 52.756738 61.646941) (xy 52.73029 61.649546) (xy 52.66326 61.669879) (xy 52.601484 61.702899)
(xy 52.547337 61.747337) (xy 52.536206 61.7609) (xy 51.910901 62.386206) (xy 51.897337 62.397338) (xy 51.886206 62.410901)
(xy 51.886205 62.410902) (xy 51.883415 62.414302) (xy 51.852899 62.451485) (xy 51.819879 62.513261) (xy 51.814257 62.531796)
(xy 51.801596 62.573534) (xy 51.799546 62.580291) (xy 51.7944 62.632538) (xy 51.7944 62.632545) (xy 51.792681 62.65)
(xy 51.7944 62.667456) (xy 51.794401 63.165734) (xy 51.748025 63.23514) (xy 51.713831 63.31769) (xy 51.6964 63.405324)
(xy 51.6964 63.494676) (xy 51.713831 63.58231) (xy 51.748025 63.66486) (xy 51.797666 63.739153) (xy 51.860847 63.802334)
(xy 51.93514 63.851975) (xy 51.976348 63.869044) (xy 52.105901 63.998598) (xy 52.105901 64.200294) (xy 52.075 64.200294)
(xy 52.030187 64.204708) (xy 51.987095 64.217779) (xy 51.947382 64.239006) (xy 51.912573 64.267573) (xy 51.89 64.295078)
(xy 51.867427 64.267573) (xy 51.832618 64.239006) (xy 51.792905 64.217779) (xy 51.749813 64.204708) (xy 51.705 64.200294)
(xy 51.6741 64.200294) (xy 51.6741 61.97511) (xy 54.980111 58.6691) (xy 64.626404 58.6691) (xy 69.730898 63.773595)
(xy 69.730899 66.22648) (xy 69.713829 66.26769) (xy 69.696398 66.355324) (xy 69.696398 66.444676) (xy 69.713829 66.53231)
(xy 69.748023 66.61486) (xy 69.797664 66.689153) (xy 69.860845 66.752334) (xy 69.935138 66.801975) (xy 70.017688 66.836169)
(xy 70.105322 66.8536) (xy 70.194674 66.8536) (xy 70.282308 66.836169) (xy 70.364858 66.801975) (xy 70.439151 66.752334)
(xy 70.502332 66.689153) (xy 70.551973 66.61486) (xy 70.586167 66.53231) (xy 70.603598 66.444676) (xy 70.603598 66.355324)
(xy 70.586167 66.26769) (xy 70.569098 66.226483) (xy 70.569098 63.620578) (xy 70.571125 63.599998) (xy 70.563033 63.51784)
(xy 70.547976 63.468203) (xy 70.539069 63.43884) (xy 70.500152 63.366032) (xy 70.44778 63.302216) (xy 70.431794 63.289097)
(xy 65.110907 57.968211) (xy 65.097782 57.952218) (xy 65.033966 57.899846) (xy 64.961158 57.860929) (xy 64.882158 57.836965)
(xy 64.82058 57.8309) (xy 64.8 57.828873) (xy 64.77942 57.8309) (xy 54.827093 57.8309) (xy 54.806513 57.828873)
(xy 54.732123 57.8362) (xy 54.724356 57.836965) (xy 54.645356 57.860929) (xy 54.572548 57.899846) (xy 54.508732 57.952218)
(xy 54.495612 57.968206) (xy 50.973206 61.490612) (xy 50.957219 61.503732) (xy 50.9441 61.519718) (xy 50.904846 61.567549)
(xy 50.86593 61.640356) (xy 50.841965 61.719357) (xy 50.833873 61.801514) (xy 50.835901 61.822104) (xy 50.8359 64.200294)
(xy 50.805 64.200294) (xy 50.760187 64.204708) (xy 50.717095 64.217779) (xy 50.677382 64.239006) (xy 50.642573 64.267573)
(xy 50.62 64.295078) (xy 50.597427 64.267573) (xy 50.562618 64.239006) (xy 50.522905 64.217779) (xy 50.479813 64.204708)
(xy 50.435 64.200294) (xy 50.4041 64.200294) (xy 50.4041 61.51857) (xy 54.103571 57.8191) (xy 65.226404 57.8191)
(xy 71.3309 63.923597) (xy 71.330901 66.17648) (xy 71.313831 66.21769) (xy 71.2964 66.305324) (xy 71.2964 66.394676)
(xy 71.313831 66.48231) (xy 71.348025 66.56486) (xy 71.397666 66.639153) (xy 71.460847 66.702334) (xy 71.53514 66.751975)
(xy 71.61769 66.786169) (xy 71.705324 66.8036) (xy 71.794676 66.8036) (xy 71.88231 66.786169) (xy 71.96486 66.751975)
(xy 72.039153 66.702334) (xy 72.102334 66.639153) (xy 72.151975 66.56486) (xy 72.186169 66.48231) (xy 72.2036 66.394676)
(xy 72.2036 66.305324) (xy 72.186169 66.21769) (xy 72.1691 66.176483) (xy 72.1691 63.770579) (xy 72.171127 63.749999)
(xy 72.163035 63.667842) (xy 72.160002 63.657843) (xy 72.139071 63.588842) (xy 72.100154 63.516034) (xy 72.047782 63.452218)
(xy 72.031796 63.439099) (xy 66.202697 57.61) (xy 86.044771 57.61) (xy 86.046 57.6838) (xy 86.1095 57.7473)
(xy 86.9373 57.7473) (xy 86.9373 57.7273) (xy 86.9627 57.7273) (xy 86.9627 57.7473) (xy 87.7905 57.7473)
(xy 87.854 57.6838) (xy 87.855229 57.61) (xy 87.850325 57.560207) (xy 87.835801 57.512328) (xy 87.817967 57.478963)
(xy 87.825292 57.454813) (xy 87.829706 57.41) (xy 87.829706 57.11) (xy 87.825292 57.065187) (xy 87.812221 57.022095)
(xy 87.805756 57.01) (xy 87.812221 56.997905) (xy 87.825292 56.954813) (xy 87.829706 56.91) (xy 87.829706 56.61)
(xy 87.825292 56.565187) (xy 87.812221 56.522095) (xy 87.805756 56.51) (xy 87.812221 56.497905) (xy 87.825292 56.454813)
(xy 87.829706 56.41) (xy 87.829706 56.11) (xy 87.825292 56.065187) (xy 87.812221 56.022095) (xy 87.805756 56.01)
(xy 87.812221 55.997905) (xy 87.825292 55.954813) (xy 87.829706 55.91) (xy 87.829706 55.61) (xy 87.825292 55.565187)
(xy 87.812221 55.522095) (xy 87.790994 55.482382) (xy 87.762427 55.447573) (xy 87.727618 55.419006) (xy 87.687905 55.397779)
(xy 87.644813 55.384708) (xy 87.6 55.380294) (xy 86.3 55.380294) (xy 86.255187 55.384708) (xy 86.212095 55.397779)
(xy 86.172382 55.419006) (xy 86.137573 55.447573) (xy 86.109006 55.482382) (xy 86.087779 55.522095) (xy 86.074708 55.565187)
(xy 86.070294 55.61) (xy 86.070294 55.91) (xy 86.074708 55.954813) (xy 86.087779 55.997905) (xy 86.094244 56.01)
(xy 86.087779 56.022095) (xy 86.074708 56.065187) (xy 86.070294 56.11) (xy 86.070294 56.41) (xy 86.074708 56.454813)
(xy 86.087779 56.497905) (xy 86.094244 56.51) (xy 86.087779 56.522095) (xy 86.074708 56.565187) (xy 86.070294 56.61)
(xy 86.070294 56.91) (xy 86.074708 56.954813) (xy 86.087779 56.997905) (xy 86.094244 57.01) (xy 86.087779 57.022095)
(xy 86.074708 57.065187) (xy 86.070294 57.11) (xy 86.070294 57.41) (xy 86.074708 57.454813) (xy 86.082033 57.478963)
(xy 86.064199 57.512328) (xy 86.049675 57.560207) (xy 86.044771 57.61) (xy 66.202697 57.61) (xy 65.710907 57.118211)
(xy 65.697782 57.102218) (xy 65.633966 57.049846) (xy 65.561158 57.010929) (xy 65.482158 56.986965) (xy 65.453479 56.98414)
(xy 65.497782 56.947782) (xy 65.510907 56.931789) (xy 65.673653 56.769044) (xy 65.71486 56.751975) (xy 65.789153 56.702334)
(xy 65.852334 56.639153) (xy 65.901975 56.56486) (xy 65.936169 56.48231) (xy 65.9536 56.394676) (xy 65.9536 56.305324)
(xy 65.936169 56.21769) (xy 65.926398 56.194101) (xy 84.204419 56.194101) (xy 84.224999 56.196128) (xy 84.245579 56.194101)
(xy 84.307157 56.188036) (xy 84.386157 56.164072) (xy 84.458965 56.125155) (xy 84.522781 56.072783) (xy 84.535906 56.05679)
(xy 87.632696 52.96) (xy 88.870294 52.96) (xy 88.870294 54.76) (xy 88.874708 54.804813) (xy 88.887779 54.847905)
(xy 88.909006 54.887618) (xy 88.937573 54.922427) (xy 88.972382 54.950994) (xy 89.012095 54.972221) (xy 89.055187 54.985292)
(xy 89.1 54.989706) (xy 91.3 54.989706) (xy 91.344813 54.985292) (xy 91.387905 54.972221) (xy 91.427618 54.950994)
(xy 91.462427 54.922427) (xy 91.490994 54.887618) (xy 91.512221 54.847905) (xy 91.525292 54.804813) (xy 91.529706 54.76)
(xy 91.529706 52.96) (xy 91.525292 52.915187) (xy 91.512221 52.872095) (xy 91.490994 52.832382) (xy 91.462427 52.797573)
(xy 91.427618 52.769006) (xy 91.387905 52.747779) (xy 91.344813 52.734708) (xy 91.3 52.730294) (xy 89.1 52.730294)
(xy 89.055187 52.734708) (xy 89.012095 52.747779) (xy 88.972382 52.769006) (xy 88.937573 52.797573) (xy 88.909006 52.832382)
(xy 88.887779 52.872095) (xy 88.874708 52.915187) (xy 88.870294 52.96) (xy 87.632696 52.96) (xy 93.992696 46.6)
(xy 106.444771 46.6) (xy 106.449675 46.649793) (xy 106.464199 46.697672) (xy 106.487785 46.741798) (xy 106.519526 46.780474)
(xy 106.558202 46.812215) (xy 106.602328 46.835801) (xy 106.650207 46.850325) (xy 106.7 46.855229) (xy 107.1238 46.854)
(xy 107.1873 46.7905) (xy 107.1873 44.8627) (xy 107.2127 44.8627) (xy 107.2127 46.7905) (xy 107.2762 46.854)
(xy 107.7 46.855229) (xy 107.749793 46.850325) (xy 107.797672 46.835801) (xy 107.841798 46.812215) (xy 107.880474 46.780474)
(xy 107.912215 46.741798) (xy 107.935801 46.697672) (xy 107.950325 46.649793) (xy 107.955229 46.6) (xy 107.954 44.9262)
(xy 107.8905 44.8627) (xy 107.2127 44.8627) (xy 107.1873 44.8627) (xy 106.5095 44.8627) (xy 106.446 44.9262)
(xy 106.444771 46.6) (xy 93.992696 46.6) (xy 97.492696 43.1) (xy 106.444771 43.1) (xy 106.446 44.7738)
(xy 106.5095 44.8373) (xy 107.1873 44.8373) (xy 107.1873 42.9095) (xy 107.2127 42.9095) (xy 107.2127 44.8373)
(xy 107.8905 44.8373) (xy 107.954 44.7738) (xy 107.955229 43.1) (xy 107.950325 43.050207) (xy 107.935801 43.002328)
(xy 107.912215 42.958202) (xy 107.880474 42.919526) (xy 107.841798 42.887785) (xy 107.797672 42.864199) (xy 107.749793 42.849675)
(xy 107.7 42.844771) (xy 107.2762 42.846) (xy 107.2127 42.9095) (xy 107.1873 42.9095) (xy 107.1238 42.846)
(xy 106.7 42.844771) (xy 106.650207 42.849675) (xy 106.602328 42.864199) (xy 106.558202 42.887785) (xy 106.519526 42.919526)
(xy 106.487785 42.958202) (xy 106.464199 43.002328) (xy 106.449675 43.050207) (xy 106.444771 43.1) (xy 97.492696 43.1)
(xy 97.773597 42.8191) (xy 110.726404 42.8191) (xy 110.780901 42.873597) (xy 110.780901 42.902418) (xy 110.766424 42.906809)
(xy 110.68349 42.951139) (xy 110.610797 43.010797) (xy 110.551139 43.08349) (xy 110.506809 43.166424) (xy 110.479511 43.256414)
(xy 110.470294 43.35) (xy 110.470294 46.35) (xy 110.479511 46.443586) (xy 110.506809 46.533576) (xy 110.551139 46.61651)
(xy 110.610797 46.689203) (xy 110.68349 46.748861) (xy 110.766424 46.793191) (xy 110.780901 46.797582) (xy 110.780901 47.472339)
(xy 110.753831 47.53769) (xy 110.7364 47.625324) (xy 110.7364 47.714676) (xy 110.753831 47.80231) (xy 110.770901 47.84352)
(xy 110.7709 49.635294) (xy 110.61875 49.635294) (xy 110.531261 49.643911) (xy 110.447133 49.669431) (xy 110.369601 49.710872)
(xy 110.301644 49.766644) (xy 110.245872 49.834601) (xy 110.204431 49.912133) (xy 110.178911 49.996261) (xy 110.170294 50.08375)
(xy 110.170294 50.59625) (xy 110.178911 50.683739) (xy 110.204431 50.767867) (xy 110.245872 50.845399) (xy 110.301644 50.913356)
(xy 110.369601 50.969128) (xy 110.447133 51.010569) (xy 110.531261 51.036089) (xy 110.61875 51.044706) (xy 111.05625 51.044706)
(xy 111.143739 51.036089) (xy 111.227867 51.010569) (xy 111.305399 50.969128) (xy 111.373356 50.913356) (xy 111.429128 50.845399)
(xy 111.470569 50.767867) (xy 111.496089 50.683739) (xy 111.504706 50.59625) (xy 111.504706 50.26466) (xy 111.540154 50.221466)
(xy 111.579071 50.148658) (xy 111.603035 50.069658) (xy 111.6091 50.00808) (xy 111.6091 47.843517) (xy 111.626169 47.80231)
(xy 111.6436 47.714676) (xy 111.6436 47.625324) (xy 111.626169 47.53769) (xy 111.6191 47.520624) (xy 111.6191 46.797582)
(xy 111.633576 46.793191) (xy 111.71651 46.748861) (xy 111.789203 46.689203) (xy 111.848861 46.61651) (xy 111.893191 46.533576)
(xy 111.920489 46.443586) (xy 111.929706 46.35) (xy 111.929706 43.35) (xy 111.920489 43.256414) (xy 111.893191 43.166424)
(xy 111.848861 43.08349) (xy 111.789203 43.010797) (xy 111.71651 42.951139) (xy 111.633576 42.906809) (xy 111.6191 42.902418)
(xy 111.6191 42.720579) (xy 111.621127 42.699999) (xy 111.613035 42.617842) (xy 111.610913 42.610847) (xy 111.589071 42.538842)
(xy 111.550154 42.466034) (xy 111.497782 42.402218) (xy 111.481794 42.389097) (xy 111.210907 42.118211) (xy 111.197782 42.102218)
(xy 111.133966 42.049846) (xy 111.061158 42.010929) (xy 110.982158 41.986965) (xy 110.92058 41.9809) (xy 110.9 41.978873)
(xy 110.87942 41.9809) (xy 97.62058 41.9809) (xy 97.6 41.978873) (xy 97.57942 41.9809) (xy 97.517842 41.986965)
(xy 97.438842 42.010929) (xy 97.366034 42.049846) (xy 97.302218 42.102218) (xy 97.289098 42.118205) (xy 84.051403 55.355901)
(xy 52.845583 55.355901) (xy 52.825003 55.353874) (xy 52.804423 55.355901) (xy 52.742845 55.361966) (xy 52.663845 55.38593)
(xy 52.591037 55.424847) (xy 52.527221 55.477219) (xy 52.514102 55.493205) (xy 51.876348 56.13096) (xy 51.83514 56.148029)
(xy 51.760847 56.19767) (xy 51.697666 56.260851) (xy 51.648025 56.335144) (xy 51.613831 56.417694) (xy 51.5964 56.505328)
(xy 51.5964 56.59468) (xy 51.613831 56.682314) (xy 51.648025 56.764864) (xy 51.697666 56.839157) (xy 51.760847 56.902338)
(xy 51.83514 56.951979) (xy 51.91769 56.986173) (xy 52.005324 57.003604) (xy 52.094676 57.003604) (xy 52.168354 56.988949)
(xy 49.476404 59.6809) (xy 49.423508 59.6809) (xy 49.38231 59.663835) (xy 49.294676 59.646404) (xy 49.205324 59.646404)
(xy 49.11769 59.663835) (xy 49.03514 59.698029) (xy 48.960847 59.74767) (xy 48.897666 59.810851) (xy 48.848025 59.885144)
(xy 48.8191 59.954974) (xy 48.8191 57.673596) (xy 48.981795 57.510902) (xy 48.997782 57.497782) (xy 49.050154 57.433966)
(xy 49.089071 57.361158) (xy 49.113035 57.282158) (xy 49.1191 57.22058) (xy 49.1191 57.220579) (xy 49.121127 57.200001)
(xy 49.1191 57.179421) (xy 49.1191 55.610538) (xy 50.010538 54.7191) (xy 84.27942 54.7191) (xy 84.3 54.721127)
(xy 84.32058 54.7191) (xy 84.382158 54.713035) (xy 84.461158 54.689071) (xy 84.533966 54.650154) (xy 84.597782 54.597782)
(xy 84.610907 54.581789) (xy 97.273596 41.9191) (xy 111.726404 41.9191) (xy 112.732335 42.925031) (xy 112.68349 42.951139)
(xy 112.610797 43.010797) (xy 112.551139 43.08349) (xy 112.506809 43.166424) (xy 112.479511 43.256414) (xy 112.470294 43.35)
(xy 112.470294 46.35) (xy 112.479511 46.443586) (xy 112.506809 46.533576) (xy 112.551139 46.61651) (xy 112.610797 46.689203)
(xy 112.68349 46.748861) (xy 112.766424 46.793191) (xy 112.856414 46.820489) (xy 112.910901 46.825855) (xy 112.9109 50.246404)
(xy 111.706404 51.4509) (xy 111.493228 51.4509) (xy 111.490569 51.442133) (xy 111.449128 51.364601) (xy 111.393356 51.296644)
(xy 111.325399 51.240872) (xy 111.247867 51.199431) (xy 111.163739 51.173911) (xy 111.07625 51.165294) (xy 110.63875 51.165294)
(xy 110.551261 51.173911) (xy 110.467133 51.199431) (xy 110.389601 51.240872) (xy 110.321644 51.296644) (xy 110.265872 51.364601)
(xy 110.224431 51.442133) (xy 110.198911 51.526261) (xy 110.190294 51.61375) (xy 110.190294 52.12625) (xy 110.198911 52.213739)
(xy 110.200901 52.220299) (xy 110.2009 56.544451) (xy 110.192215 56.528202) (xy 110.160474 56.489526) (xy 110.121798 56.457785)
(xy 110.077672 56.434199) (xy 110.029793 56.419675) (xy 109.98 56.414771) (xy 109.4062 56.416) (xy 109.3427 56.4795)
(xy 109.3427 56.8073) (xy 109.3627 56.8073) (xy 109.3627 56.8327) (xy 109.3427 56.8327) (xy 109.3427 56.8527)
(xy 109.3173 56.8527) (xy 109.3173 56.8327) (xy 108.4895 56.8327) (xy 108.426 56.8962) (xy 108.424771 56.97)
(xy 108.429675 57.019793) (xy 108.444199 57.067672) (xy 108.462033 57.101037) (xy 108.454708 57.125187) (xy 108.450294 57.17)
(xy 108.450294 57.4644) (xy 108.057097 57.4644) (xy 107.3591 56.766404) (xy 107.3591 56.67) (xy 108.424771 56.67)
(xy 108.426 56.7438) (xy 108.4895 56.8073) (xy 109.3173 56.8073) (xy 109.3173 56.4795) (xy 109.2538 56.416)
(xy 108.68 56.414771) (xy 108.630207 56.419675) (xy 108.582328 56.434199) (xy 108.538202 56.457785) (xy 108.499526 56.489526)
(xy 108.467785 56.528202) (xy 108.444199 56.572328) (xy 108.429675 56.620207) (xy 108.424771 56.67) (xy 107.3591 56.67)
(xy 107.3591 54.560579) (xy 107.361127 54.539999) (xy 107.353035 54.457842) (xy 107.340895 54.417822) (xy 107.329071 54.378842)
(xy 107.290154 54.306034) (xy 107.237782 54.242218) (xy 107.221794 54.229097) (xy 105.610907 52.618211) (xy 105.597782 52.602218)
(xy 105.533966 52.549846) (xy 105.461158 52.510929) (xy 105.382158 52.486965) (xy 105.32058 52.4809) (xy 105.3 52.478873)
(xy 105.27942 52.4809) (xy 101.373517 52.4809) (xy 101.33231 52.463831) (xy 101.244676 52.4464) (xy 101.155324 52.4464)
(xy 101.06769 52.463831) (xy 100.98514 52.498025) (xy 100.910847 52.547666) (xy 100.847666 52.610847) (xy 100.798025 52.68514)
(xy 100.763831 52.76769) (xy 100.7464 52.855324) (xy 100.7464 52.944676) (xy 100.763831 53.03231) (xy 100.798025 53.11486)
(xy 100.847666 53.189153) (xy 100.910847 53.252334) (xy 100.98514 53.301975) (xy 101.06769 53.336169) (xy 101.155324 53.3536)
(xy 101.244676 53.3536) (xy 101.33231 53.336169) (xy 101.373517 53.3191) (xy 105.126404 53.3191) (xy 106.520901 54.713598)
(xy 106.5209 56.91942) (xy 106.518873 56.94) (xy 106.5209 56.960579) (xy 106.526965 57.022157) (xy 106.550929 57.101157)
(xy 106.589846 57.173965) (xy 106.642218 57.237782) (xy 106.658211 57.250907) (xy 107.538203 58.1309) (xy 107.586034 58.170154)
(xy 107.658841 58.20907) (xy 107.737842 58.233035) (xy 107.82 58.241127) (xy 107.902157 58.233035) (xy 107.981158 58.20907)
(xy 108.043776 58.1756) (xy 108.450294 58.1756) (xy 108.450294 58.4644) (xy 108.148072 58.4644) (xy 108.132334 58.440847)
(xy 108.069153 58.377666) (xy 107.99486 58.328025) (xy 107.91231 58.293831) (xy 107.824676 58.2764) (xy 107.735324 58.2764)
(xy 107.64769 58.293831) (xy 107.56514 58.328025) (xy 107.490847 58.377666) (xy 107.427666 58.440847) (xy 107.378025 58.51514)
(xy 107.343831 58.59769) (xy 107.3264 58.685324) (xy 107.3264 58.774676) (xy 107.343831 58.86231) (xy 107.378025 58.94486)
(xy 107.404445 58.9844) (xy 107.191816 58.9844) (xy 107.128266 58.889291) (xy 107.040709 58.801734) (xy 106.937754 58.732941)
(xy 106.823356 58.685556) (xy 106.701912 58.6614) (xy 106.578088 58.6614) (xy 106.456644 58.685556) (xy 106.342246 58.732941)
(xy 106.239291 58.801734) (xy 106.151734 58.889291) (xy 106.082941 58.992246) (xy 106.035556 59.106644) (xy 106.0114 59.228088)
(xy 106.0114 59.351912) (xy 106.035556 59.473356) (xy 106.082941 59.587754) (xy 106.151734 59.690709) (xy 106.239291 59.778266)
(xy 106.342246 59.847059) (xy 106.456644 59.894444) (xy 106.578088 59.9186) (xy 106.701912 59.9186) (xy 106.823356 59.894444)
(xy 106.937754 59.847059) (xy 107.040709 59.778266) (xy 107.123375 59.6956) (xy 108.638314 59.6956) (xy 108.68 59.699706)
(xy 109.98 59.699706) (xy 110.024813 59.695292) (xy 110.067905 59.682221) (xy 110.107618 59.660994) (xy 110.142427 59.632427)
(xy 110.170994 59.597618) (xy 110.192221 59.557905) (xy 110.205292 59.514813) (xy 110.209706 59.47) (xy 110.209706 59.17)
(xy 110.205292 59.125187) (xy 110.192221 59.082095) (xy 110.185756 59.07) (xy 110.192221 59.057905) (xy 110.205292 59.014813)
(xy 110.209706 58.97) (xy 110.209706 58.71201) (xy 110.336135 58.71201) (xy 110.35359 58.713729) (xy 110.371045 58.71201)
(xy 110.371053 58.71201) (xy 110.4233 58.706864) (xy 110.49033 58.686531) (xy 110.552106 58.653511) (xy 110.606253 58.609073)
(xy 110.617388 58.595505) (xy 110.859104 58.35379) (xy 110.872662 58.342663) (xy 110.88379 58.329104) (xy 110.883795 58.329099)
(xy 110.917101 58.288516) (xy 110.932343 58.26) (xy 110.950121 58.22674) (xy 110.954146 58.213472) (xy 110.970154 58.193966)
(xy 111.009071 58.121158) (xy 111.033035 58.042158) (xy 111.0391 57.98058) (xy 111.0391 54.02) (xy 111.250294 54.02)
(xy 111.250294 55.82) (xy 111.254708 55.864813) (xy 111.267779 55.907905) (xy 111.289006 55.947618) (xy 111.317573 55.982427)
(xy 111.352382 56.010994) (xy 111.392095 56.032221) (xy 111.435187 56.045292) (xy 111.48 56.049706) (xy 113.68 56.049706)
(xy 113.724813 56.045292) (xy 113.767905 56.032221) (xy 113.807618 56.010994) (xy 113.842427 55.982427) (xy 113.870994 55.947618)
(xy 113.892221 55.907905) (xy 113.905292 55.864813) (xy 113.909706 55.82) (xy 113.909706 54.02) (xy 113.905292 53.975187)
(xy 113.892221 53.932095) (xy 113.870994 53.892382) (xy 113.842427 53.857573) (xy 113.807618 53.829006) (xy 113.767905 53.807779)
(xy 113.724813 53.794708) (xy 113.68 53.790294) (xy 111.48 53.790294) (xy 111.435187 53.794708) (xy 111.392095 53.807779)
(xy 111.352382 53.829006) (xy 111.317573 53.857573) (xy 111.289006 53.892382) (xy 111.267779 53.932095) (xy 111.254708 53.975187)
(xy 111.250294 54.02) (xy 111.0391 54.02) (xy 111.0391 52.574706) (xy 111.07625 52.574706) (xy 111.163739 52.566089)
(xy 111.247867 52.540569) (xy 111.325399 52.499128) (xy 111.393356 52.443356) (xy 111.449128 52.375399) (xy 111.490569 52.297867)
(xy 111.493228 52.2891) (xy 111.85942 52.2891) (xy 111.88 52.291127) (xy 111.90058 52.2891) (xy 111.962158 52.283035)
(xy 112.041158 52.259071) (xy 112.113966 52.220154) (xy 112.177782 52.167782) (xy 112.190907 52.151789) (xy 112.295154 52.047542)
(xy 128.4764 52.047542) (xy 128.476401 64.072459) (xy 128.477511 64.083734) (xy 128.477468 64.089966) (xy 128.477813 64.09349)
(xy 128.498214 64.287587) (xy 128.502839 64.310117) (xy 128.507143 64.332679) (xy 128.508166 64.336069) (xy 128.565878 64.522507)
(xy 128.574795 64.543719) (xy 128.583396 64.565007) (xy 128.585058 64.568134) (xy 128.677883 64.739811) (xy 128.690726 64.758851)
(xy 128.703322 64.7781) (xy 128.70556 64.780844) (xy 128.829964 64.931222) (xy 128.84626 64.947404) (xy 128.862355 64.96384)
(xy 128.865083 64.966097) (xy 129.016325 65.089447) (xy 129.035484 65.102176) (xy 129.054435 65.115152) (xy 129.05755 65.116837)
(xy 129.229873 65.208462) (xy 129.251138 65.217227) (xy 129.272249 65.226275) (xy 129.275632 65.227322) (xy 129.462468 65.283731)
(xy 129.485028 65.288198) (xy 129.507497 65.292974) (xy 129.511019 65.293345) (xy 129.705252 65.31239) (xy 129.705255 65.31239)
(xy 129.717541 65.3136) (xy 132.742459 65.3136) (xy 132.753744 65.312489) (xy 132.759966 65.312532) (xy 132.76349 65.312187)
(xy 132.957587 65.291786) (xy 132.980117 65.287161) (xy 133.002679 65.282857) (xy 133.006069 65.281834) (xy 133.192507 65.224122)
(xy 133.213719 65.215205) (xy 133.235007 65.206604) (xy 133.238134 65.204942) (xy 133.409811 65.112117) (xy 133.428851 65.099274)
(xy 133.4481 65.086678) (xy 133.450844 65.08444) (xy 133.601222 64.960036) (xy 133.617404 64.94374) (xy 133.63384 64.927645)
(xy 133.636097 64.924917) (xy 133.759447 64.773675) (xy 133.772176 64.754516) (xy 133.785152 64.735565) (xy 133.786837 64.73245)
(xy 133.878462 64.560127) (xy 133.887227 64.538862) (xy 133.896275 64.517751) (xy 133.897322 64.514368) (xy 133.953731 64.327532)
(xy 133.958198 64.304972) (xy 133.962974 64.282503) (xy 133.963345 64.278981) (xy 133.98239 64.084748) (xy 133.98239 64.084745)
(xy 133.9836 64.072459) (xy 133.9836 52.047541) (xy 133.982489 52.036256) (xy 133.982532 52.030034) (xy 133.982187 52.02651)
(xy 133.961786 51.832413) (xy 133.957162 51.809889) (xy 133.952857 51.787321) (xy 133.951834 51.783931) (xy 133.894122 51.597493)
(xy 133.885205 51.576281) (xy 133.876604 51.554993) (xy 133.874942 51.551866) (xy 133.782117 51.380189) (xy 133.769251 51.361114)
(xy 133.756677 51.3419) (xy 133.75444 51.339156) (xy 133.630036 51.188778) (xy 133.613721 51.172577) (xy 133.597645 51.156161)
(xy 133.594917 51.153903) (xy 133.443675 51.030553) (xy 133.424532 51.017835) (xy 133.405565 51.004848) (xy 133.40245 51.003164)
(xy 133.230128 50.911538) (xy 133.208848 50.902768) (xy 133.187751 50.893725) (xy 133.184369 50.892678) (xy 132.997532 50.836269)
(xy 132.974951 50.831797) (xy 132.952502 50.827026) (xy 132.948981 50.826655) (xy 132.754747 50.80761) (xy 132.754745 50.80761)
(xy 132.742459 50.8064) (xy 129.717541 50.8064) (xy 129.706256 50.807511) (xy 129.700034 50.807468) (xy 129.69651 50.807813)
(xy 129.502413 50.828214) (xy 129.479889 50.832838) (xy 129.457321 50.837143) (xy 129.453931 50.838166) (xy 129.267493 50.895878)
(xy 129.246281 50.904795) (xy 129.224993 50.913396) (xy 129.221866 50.915058) (xy 129.050189 51.007883) (xy 129.031114 51.020749)
(xy 129.0119 51.033323) (xy 129.009156 51.03556) (xy 128.858778 51.159964) (xy 128.842577 51.176279) (xy 128.826161 51.192355)
(xy 128.823903 51.195083) (xy 128.700553 51.346325) (xy 128.687835 51.365468) (xy 128.674848 51.384435) (xy 128.673164 51.38755)
(xy 128.581538 51.559872) (xy 128.572768 51.581152) (xy 128.563725 51.602249) (xy 128.562678 51.605631) (xy 128.506269 51.792468)
(xy 128.501797 51.815049) (xy 128.497026 51.837498) (xy 128.496655 51.841019) (xy 128.47761 52.035253) (xy 128.4764 52.047542)
(xy 112.295154 52.047542) (xy 113.61179 50.730906) (xy 113.627782 50.717782) (xy 113.680154 50.653966) (xy 113.719071 50.581158)
(xy 113.743035 50.502158) (xy 113.7491 50.44058) (xy 113.7491 50.440579) (xy 113.751127 50.42) (xy 113.7491 50.39942)
(xy 113.7491 46.722115) (xy 113.789203 46.689203) (xy 113.848861 46.61651) (xy 113.893191 46.533576) (xy 113.920489 46.443586)
(xy 113.929706 46.35) (xy 113.929706 43.35) (xy 113.920489 43.256414) (xy 113.893191 43.166424) (xy 113.848861 43.08349)
(xy 113.789203 43.010797) (xy 113.71651 42.951139) (xy 113.633576 42.906809) (xy 113.6191 42.902418) (xy 113.6191 42.82058)
(xy 113.621127 42.8) (xy 113.613035 42.717842) (xy 113.602101 42.681797) (xy 113.589071 42.638842) (xy 113.550154 42.566034)
(xy 113.497782 42.502218) (xy 113.48179 42.489094) (xy 112.210907 41.218211) (xy 112.197782 41.202218) (xy 112.133966 41.149846)
(xy 112.061158 41.110929) (xy 111.982158 41.086965) (xy 111.92058 41.0809) (xy 111.9 41.078873) (xy 111.87942 41.0809)
(xy 97.12058 41.0809) (xy 97.1 41.078873) (xy 97.07942 41.0809) (xy 97.017842 41.086965) (xy 96.938842 41.110929)
(xy 96.866034 41.149846) (xy 96.802218 41.202218) (xy 96.789093 41.218211) (xy 84.126404 53.8809) (xy 49.857522 53.8809)
(xy 49.836942 53.878873) (xy 49.816362 53.8809) (xy 49.754784 53.886965) (xy 49.675784 53.910929) (xy 49.602976 53.949846)
(xy 49.53916 54.002218) (xy 49.526035 54.018211) (xy 49.1191 54.425146) (xy 49.1191 52.255324) (xy 49.3464 52.255324)
(xy 49.3464 52.344676) (xy 49.363831 52.43231) (xy 49.398025 52.51486) (xy 49.447666 52.589153) (xy 49.510847 52.652334)
(xy 49.58514 52.701975) (xy 49.66769 52.736169) (xy 49.755324 52.7536) (xy 49.844676 52.7536) (xy 49.93231 52.736169)
(xy 50.01486 52.701975) (xy 50.089153 52.652334) (xy 50.152334 52.589153) (xy 50.201975 52.51486) (xy 50.236169 52.43231)
(xy 50.252454 52.35044) (xy 50.3464 52.256493) (xy 50.3464 52.344676) (xy 50.363831 52.43231) (xy 50.398025 52.51486)
(xy 50.447666 52.589153) (xy 50.510847 52.652334) (xy 50.58514 52.701975) (xy 50.66769 52.736169) (xy 50.74956 52.752453)
(xy 51.08621 53.089105) (xy 51.097337 53.102663) (xy 51.110895 53.11379) (xy 51.1109 53.113795) (xy 51.135478 53.133965)
(xy 51.151484 53.147101) (xy 51.21326 53.180121) (xy 51.28029 53.200454) (xy 51.332537 53.2056) (xy 51.332546 53.2056)
(xy 51.349999 53.207319) (xy 51.367452 53.2056) (xy 59.232545 53.2056) (xy 59.25 53.207319) (xy 59.267455 53.2056)
(xy 59.267463 53.2056) (xy 59.31971 53.200454) (xy 59.38674 53.180121) (xy 59.448516 53.147101) (xy 59.502663 53.102663)
(xy 59.513799 53.089094) (xy 60.147573 52.45532) (xy 65.0464 52.45532) (xy 65.0464 52.544672) (xy 65.063831 52.632306)
(xy 65.098025 52.714856) (xy 65.147666 52.789149) (xy 65.210847 52.85233) (xy 65.28514 52.901971) (xy 65.36769 52.936165)
(xy 65.455324 52.953596) (xy 65.544676 52.953596) (xy 65.63231 52.936165) (xy 65.704567 52.906235) (xy 65.761162 52.889067)
(xy 65.83397 52.85015) (xy 65.897786 52.797778) (xy 65.910911 52.781785) (xy 72.623597 46.0691) (xy 82.820294 46.0691)
(xy 82.820294 47.15) (xy 82.824708 47.194813) (xy 82.837779 47.237905) (xy 82.859006 47.277618) (xy 82.887573 47.312427)
(xy 82.922382 47.340994) (xy 82.962095 47.362221) (xy 83.005187 47.375292) (xy 83.05 47.379706) (xy 86.05 47.379706)
(xy 86.094813 47.375292) (xy 86.137905 47.362221) (xy 86.177618 47.340994) (xy 86.212427 47.312427) (xy 86.240994 47.277618)
(xy 86.262221 47.237905) (xy 86.275292 47.194813) (xy 86.279706 47.15) (xy 86.279706 44.15) (xy 86.275292 44.105187)
(xy 86.262221 44.062095) (xy 86.240994 44.022382) (xy 86.212427 43.987573) (xy 86.177618 43.959006) (xy 86.137905 43.937779)
(xy 86.094813 43.924708) (xy 86.05 43.920294) (xy 83.05 43.920294) (xy 83.005187 43.924708) (xy 82.962095 43.937779)
(xy 82.922382 43.959006) (xy 82.887573 43.987573) (xy 82.859006 44.022382) (xy 82.837779 44.062095) (xy 82.824708 44.105187)
(xy 82.820294 44.15) (xy 82.820294 45.2309) (xy 72.470579 45.2309) (xy 72.449999 45.228873) (xy 72.367842 45.236965)
(xy 72.288842 45.260929) (xy 72.28884 45.26093) (xy 72.236142 45.289098) (xy 72.216034 45.299846) (xy 72.152218 45.352218)
(xy 72.139098 45.368205) (xy 65.460908 52.046396) (xy 65.455324 52.046396) (xy 65.36769 52.063827) (xy 65.28514 52.098021)
(xy 65.210847 52.147662) (xy 65.147666 52.210843) (xy 65.098025 52.285136) (xy 65.063831 52.367686) (xy 65.0464 52.45532)
(xy 60.147573 52.45532) (xy 62.8591 49.743794) (xy 62.872663 49.732663) (xy 62.917101 49.678516) (xy 62.950121 49.61674)
(xy 62.970454 49.54971) (xy 62.9756 49.497463) (xy 62.9756 49.497455) (xy 62.977319 49.48) (xy 62.9756 49.462545)
(xy 62.9756 47.329706) (xy 63.12 47.329706) (xy 63.164813 47.325292) (xy 63.207905 47.312221) (xy 63.247618 47.290994)
(xy 63.282427 47.262427) (xy 63.310994 47.227618) (xy 63.332221 47.187905) (xy 63.343718 47.15) (xy 65.794771 47.15)
(xy 65.799675 47.199793) (xy 65.814199 47.247672) (xy 65.837785 47.291798) (xy 65.869526 47.330474) (xy 65.908202 47.362215)
(xy 65.952328 47.385801) (xy 66.000207 47.400325) (xy 66.05 47.405229) (xy 67.4738 47.404) (xy 67.5373 47.3405)
(xy 67.5373 45.6627) (xy 67.5627 45.6627) (xy 67.5627 47.3405) (xy 67.6262 47.404) (xy 69.05 47.405229)
(xy 69.099793 47.400325) (xy 69.147672 47.385801) (xy 69.191798 47.362215) (xy 69.230474 47.330474) (xy 69.262215 47.291798)
(xy 69.285801 47.247672) (xy 69.300325 47.199793) (xy 69.305229 47.15) (xy 69.304 45.7262) (xy 69.2405 45.6627)
(xy 67.5627 45.6627) (xy 67.5373 45.6627) (xy 65.8595 45.6627) (xy 65.796 45.7262) (xy 65.794771 47.15)
(xy 63.343718 47.15) (xy 63.345292 47.144813) (xy 63.349706 47.1) (xy 63.349706 44.15) (xy 65.794771 44.15)
(xy 65.796 45.5738) (xy 65.8595 45.6373) (xy 67.5373 45.6373) (xy 67.5373 43.9595) (xy 67.5627 43.9595)
(xy 67.5627 45.6373) (xy 69.2405 45.6373) (xy 69.304 45.5738) (xy 69.305229 44.15) (xy 69.300325 44.100207)
(xy 69.285801 44.052328) (xy 69.262215 44.008202) (xy 69.230474 43.969526) (xy 69.191798 43.937785) (xy 69.147672 43.914199)
(xy 69.099793 43.899675) (xy 69.05 43.894771) (xy 67.6262 43.896) (xy 67.5627 43.9595) (xy 67.5373 43.9595)
(xy 67.4738 43.896) (xy 66.05 43.894771) (xy 66.000207 43.899675) (xy 65.952328 43.914199) (xy 65.908202 43.937785)
(xy 65.869526 43.969526) (xy 65.837785 44.008202) (xy 65.814199 44.052328) (xy 65.799675 44.100207) (xy 65.794771 44.15)
(xy 63.349706 44.15) (xy 63.349706 43.95) (xy 63.345292 43.905187) (xy 63.332221 43.862095) (xy 63.310994 43.822382)
(xy 63.282427 43.787573) (xy 63.247618 43.759006) (xy 63.207905 43.737779) (xy 63.164813 43.724708) (xy 63.12 43.720294)
(xy 62.12 43.720294) (xy 62.075187 43.724708) (xy 62.032095 43.737779) (xy 61.992382 43.759006) (xy 61.957573 43.787573)
(xy 61.929006 43.822382) (xy 61.907779 43.862095) (xy 61.894708 43.905187) (xy 61.890294 43.95) (xy 61.890294 47.1)
(xy 61.894708 47.144813) (xy 61.907779 47.187905) (xy 61.929006 47.227618) (xy 61.957573 47.262427) (xy 61.992382 47.290994)
(xy 62.032095 47.312221) (xy 62.075187 47.325292) (xy 62.12 47.329706) (xy 62.2644 47.329706) (xy 62.264401 49.332705)
(xy 59.102707 52.4944) (xy 51.497295 52.4944) (xy 51.252453 52.24956) (xy 51.236169 52.16769) (xy 51.201975 52.08514)
(xy 51.152334 52.010847) (xy 51.089153 51.947666) (xy 51.01486 51.898025) (xy 50.93231 51.863831) (xy 50.844676 51.8464)
(xy 50.756494 51.8464) (xy 50.79757 51.805324) (xy 51.1964 51.805324) (xy 51.1964 51.894676) (xy 51.213831 51.98231)
(xy 51.248025 52.06486) (xy 51.297666 52.139153) (xy 51.360847 52.202334) (xy 51.43514 52.251975) (xy 51.51769 52.286169)
(xy 51.605324 52.3036) (xy 51.694676 52.3036) (xy 51.78231 52.286169) (xy 51.86486 52.251975) (xy 51.934265 52.2056)
(xy 58.332545 52.2056) (xy 58.35 52.207319) (xy 58.367455 52.2056) (xy 58.367463 52.2056) (xy 58.41971 52.200454)
(xy 58.48674 52.180121) (xy 58.548516 52.147101) (xy 58.602663 52.102663) (xy 58.613799 52.089094) (xy 61.689104 49.01379)
(xy 61.702662 49.002663) (xy 61.71379 48.989104) (xy 61.713795 48.989099) (xy 61.7471 48.948517) (xy 61.747102 48.948515)
(xy 61.780121 48.88674) (xy 61.792074 48.847337) (xy 61.800454 48.819711) (xy 61.802383 48.800121) (xy 61.8056 48.767463)
(xy 61.8056 48.767456) (xy 61.807319 48.750001) (xy 61.8056 48.732545) (xy 61.8056 41.792293) (xy 61.890294 41.707599)
(xy 61.890294 42.05) (xy 61.894708 42.094813) (xy 61.907779 42.137905) (xy 61.929006 42.177618) (xy 61.957573 42.212427)
(xy 61.992382 42.240994) (xy 62.032095 42.262221) (xy 62.075187 42.275292) (xy 62.12 42.279706) (xy 63.12 42.279706)
(xy 63.164813 42.275292) (xy 63.207905 42.262221) (xy 63.247618 42.240994) (xy 63.282427 42.212427) (xy 63.310994 42.177618)
(xy 63.332221 42.137905) (xy 63.345292 42.094813) (xy 63.349706 42.05) (xy 63.349706 38.9) (xy 63.345292 38.855187)
(xy 63.332221 38.812095) (xy 63.310994 38.772382) (xy 63.282427 38.737573) (xy 63.247618 38.709006) (xy 63.207905 38.687779)
(xy 63.164813 38.674708) (xy 63.12 38.670294) (xy 62.12 38.670294) (xy 62.075187 38.674708) (xy 62.032095 38.687779)
(xy 61.992382 38.709006) (xy 61.957573 38.737573) (xy 61.929006 38.772382) (xy 61.907779 38.812095) (xy 61.894708 38.855187)
(xy 61.890294 38.9) (xy 61.890294 40.701812) (xy 61.210901 41.381206) (xy 61.197338 41.392337) (xy 61.1529 41.446484)
(xy 61.13908 41.472339) (xy 61.11988 41.508259) (xy 61.099546 41.57529) (xy 61.092681 41.645) (xy 61.094401 41.662466)
(xy 61.0944 48.602706) (xy 58.202707 51.4944) (xy 51.934265 51.4944) (xy 51.86486 51.448025) (xy 51.78231 51.413831)
(xy 51.694676 51.3964) (xy 51.605324 51.3964) (xy 51.51769 51.413831) (xy 51.43514 51.448025) (xy 51.360847 51.497666)
(xy 51.297666 51.560847) (xy 51.248025 51.63514) (xy 51.213831 51.71769) (xy 51.1964 51.805324) (xy 50.79757 51.805324)
(xy 51.297295 51.3056) (xy 57.582545 51.3056) (xy 57.6 51.307319) (xy 57.617455 51.3056) (xy 57.617463 51.3056)
(xy 57.66971 51.300454) (xy 57.73674 51.280121) (xy 57.798516 51.247101) (xy 57.852663 51.202663) (xy 57.863799 51.189094)
(xy 60.3191 48.733794) (xy 60.332663 48.722663) (xy 60.377101 48.668516) (xy 60.410121 48.60674) (xy 60.430454 48.53971)
(xy 60.4356 48.487463) (xy 60.4356 48.487455) (xy 60.437319 48.47) (xy 60.4356 48.452545) (xy 60.4356 47.329706)
(xy 60.58 47.329706) (xy 60.624813 47.325292) (xy 60.667905 47.312221) (xy 60.707618 47.290994) (xy 60.742427 47.262427)
(xy 60.770994 47.227618) (xy 60.792221 47.187905) (xy 60.805292 47.144813) (xy 60.809706 47.1) (xy 60.809706 43.95)
(xy 60.805292 43.905187) (xy 60.792221 43.862095) (xy 60.770994 43.822382) (xy 60.742427 43.787573) (xy 60.707618 43.759006)
(xy 60.667905 43.737779) (xy 60.624813 43.724708) (xy 60.58 43.720294) (xy 59.58 43.720294) (xy 59.535187 43.724708)
(xy 59.492095 43.737779) (xy 59.452382 43.759006) (xy 59.417573 43.787573) (xy 59.389006 43.822382) (xy 59.367779 43.862095)
(xy 59.354708 43.905187) (xy 59.350294 43.95) (xy 59.350294 47.1) (xy 59.354708 47.144813) (xy 59.367779 47.187905)
(xy 59.389006 47.227618) (xy 59.417573 47.262427) (xy 59.452382 47.290994) (xy 59.492095 47.312221) (xy 59.535187 47.325292)
(xy 59.58 47.329706) (xy 59.724401 47.329706) (xy 59.724401 48.322705) (xy 57.452707 50.5944) (xy 55.632237 50.5944)
(xy 55.651975 50.56486) (xy 55.686169 50.48231) (xy 55.702454 50.40044) (xy 57.779105 48.32379) (xy 57.792663 48.312663)
(xy 57.80379 48.299105) (xy 57.803795 48.2991) (xy 57.8371 48.258517) (xy 57.837741 48.257319) (xy 57.870121 48.19674)
(xy 57.883591 48.152334) (xy 57.890454 48.129711) (xy 57.891917 48.11486) (xy 57.8956 48.077463) (xy 57.8956 48.077456)
(xy 57.897319 48.060001) (xy 57.8956 48.042545) (xy 57.8956 47.329706) (xy 58.04 47.329706) (xy 58.084813 47.325292)
(xy 58.127905 47.312221) (xy 58.167618 47.290994) (xy 58.202427 47.262427) (xy 58.230994 47.227618) (xy 58.252221 47.187905)
(xy 58.265292 47.144813) (xy 58.269706 47.1) (xy 58.269706 43.95) (xy 58.265292 43.905187) (xy 58.252221 43.862095)
(xy 58.230994 43.822382) (xy 58.202427 43.787573) (xy 58.167618 43.759006) (xy 58.127905 43.737779) (xy 58.084813 43.724708)
(xy 58.04 43.720294) (xy 57.04 43.720294) (xy 56.995187 43.724708) (xy 56.952095 43.737779) (xy 56.912382 43.759006)
(xy 56.877573 43.787573) (xy 56.849006 43.822382) (xy 56.827779 43.862095) (xy 56.814708 43.905187) (xy 56.810294 43.95)
(xy 56.810294 47.1) (xy 56.814708 47.144813) (xy 56.827779 47.187905) (xy 56.849006 47.227618) (xy 56.877573 47.262427)
(xy 56.912382 47.290994) (xy 56.952095 47.312221) (xy 56.995187 47.325292) (xy 57.04 47.329706) (xy 57.184401 47.329706)
(xy 57.184401 47.912704) (xy 55.19956 49.897546) (xy 55.11769 49.913831) (xy 55.03514 49.948025) (xy 54.960847 49.997666)
(xy 54.897666 50.060847) (xy 54.848025 50.13514) (xy 54.813831 50.21769) (xy 54.7964 50.305324) (xy 54.7964 50.394676)
(xy 54.813831 50.48231) (xy 54.848025 50.56486) (xy 54.867763 50.5944) (xy 51.167452 50.5944) (xy 51.149999 50.592681)
(xy 51.132546 50.5944) (xy 51.132537 50.5944) (xy 51.08029 50.599546) (xy 51.01326 50.619879) (xy 50.951484 50.652899)
(xy 50.951482 50.6529) (xy 50.951483 50.6529) (xy 50.9109 50.686205) (xy 50.910895 50.68621) (xy 50.897337 50.697337)
(xy 50.88621 50.710895) (xy 49.74956 51.847546) (xy 49.66769 51.863831) (xy 49.58514 51.898025) (xy 49.510847 51.947666)
(xy 49.447666 52.010847) (xy 49.398025 52.08514) (xy 49.363831 52.16769) (xy 49.3464 52.255324) (xy 49.1191 52.255324)
(xy 49.1191 43.95) (xy 49.190294 43.95) (xy 49.190294 47.1) (xy 49.194708 47.144813) (xy 49.207779 47.187905)
(xy 49.229006 47.227618) (xy 49.257573 47.262427) (xy 49.292382 47.290994) (xy 49.332095 47.312221) (xy 49.375187 47.325292)
(xy 49.42 47.329706) (xy 49.564401 47.329706) (xy 49.564401 47.766314) (xy 49.563831 47.76769) (xy 49.5464 47.855324)
(xy 49.5464 47.944676) (xy 49.563831 48.03231) (xy 49.598025 48.11486) (xy 49.647666 48.189153) (xy 49.710847 48.252334)
(xy 49.78514 48.301975) (xy 49.86769 48.336169) (xy 49.955324 48.3536) (xy 50.044676 48.3536) (xy 50.13231 48.336169)
(xy 50.21486 48.301975) (xy 50.289153 48.252334) (xy 50.352334 48.189153) (xy 50.401975 48.11486) (xy 50.436169 48.03231)
(xy 50.4536 47.944676) (xy 50.4536 47.855324) (xy 50.443655 47.805324) (xy 53.2464 47.805324) (xy 53.2464 47.894676)
(xy 53.263831 47.98231) (xy 53.298025 48.06486) (xy 53.347666 48.139153) (xy 53.410847 48.202334) (xy 53.48514 48.251975)
(xy 53.56769 48.286169) (xy 53.655324 48.3036) (xy 53.744676 48.3036) (xy 53.83231 48.286169) (xy 53.91486 48.251975)
(xy 53.984265 48.2056) (xy 54.486545 48.2056) (xy 54.504 48.207319) (xy 54.521455 48.2056) (xy 54.521463 48.2056)
(xy 54.57371 48.200454) (xy 54.64074 48.180121) (xy 54.702516 48.147101) (xy 54.756663 48.102663) (xy 54.767799 48.089094)
(xy 55.239099 47.617794) (xy 55.252663 47.606663) (xy 55.297101 47.552516) (xy 55.330121 47.49074) (xy 55.346716 47.436034)
(xy 55.350454 47.423711) (xy 55.351427 47.413831) (xy 55.3556 47.371463) (xy 55.3556 47.371456) (xy 55.357319 47.354)
(xy 55.3556 47.336545) (xy 55.3556 47.329706) (xy 55.5 47.329706) (xy 55.544813 47.325292) (xy 55.587905 47.312221)
(xy 55.627618 47.290994) (xy 55.662427 47.262427) (xy 55.690994 47.227618) (xy 55.712221 47.187905) (xy 55.725292 47.144813)
(xy 55.729706 47.1) (xy 55.729706 43.95) (xy 55.725292 43.905187) (xy 55.712221 43.862095) (xy 55.690994 43.822382)
(xy 55.662427 43.787573) (xy 55.627618 43.759006) (xy 55.587905 43.737779) (xy 55.544813 43.724708) (xy 55.5 43.720294)
(xy 54.5 43.720294) (xy 54.455187 43.724708) (xy 54.412095 43.737779) (xy 54.372382 43.759006) (xy 54.337573 43.787573)
(xy 54.309006 43.822382) (xy 54.287779 43.862095) (xy 54.274708 43.905187) (xy 54.270294 43.95) (xy 54.270294 47.1)
(xy 54.274708 47.144813) (xy 54.287779 47.187905) (xy 54.309006 47.227618) (xy 54.337573 47.262427) (xy 54.372382 47.290994)
(xy 54.412095 47.312221) (xy 54.455187 47.325292) (xy 54.5 47.329706) (xy 54.5214 47.329706) (xy 54.356707 47.4944)
(xy 53.984265 47.4944) (xy 53.91486 47.448025) (xy 53.83231 47.413831) (xy 53.744676 47.3964) (xy 53.655324 47.3964)
(xy 53.56769 47.413831) (xy 53.48514 47.448025) (xy 53.410847 47.497666) (xy 53.347666 47.560847) (xy 53.298025 47.63514)
(xy 53.263831 47.71769) (xy 53.2464 47.805324) (xy 50.443655 47.805324) (xy 50.436169 47.76769) (xy 50.401975 47.68514)
(xy 50.352334 47.610847) (xy 50.289153 47.547666) (xy 50.2756 47.53861) (xy 50.2756 47.329706) (xy 50.42 47.329706)
(xy 50.464813 47.325292) (xy 50.507905 47.312221) (xy 50.547618 47.290994) (xy 50.582427 47.262427) (xy 50.610994 47.227618)
(xy 50.632221 47.187905) (xy 50.645292 47.144813) (xy 50.649706 47.1) (xy 51.704771 47.1) (xy 51.709675 47.149793)
(xy 51.724199 47.197672) (xy 51.747785 47.241798) (xy 51.779526 47.280474) (xy 51.818202 47.312215) (xy 51.862328 47.335801)
(xy 51.910207 47.350325) (xy 51.96 47.355229) (xy 52.3838 47.354) (xy 52.4473 47.2905) (xy 52.4473 45.5377)
(xy 52.4727 45.5377) (xy 52.4727 47.2905) (xy 52.5362 47.354) (xy 52.96 47.355229) (xy 53.009793 47.350325)
(xy 53.057672 47.335801) (xy 53.101798 47.312215) (xy 53.140474 47.280474) (xy 53.172215 47.241798) (xy 53.195801 47.197672)
(xy 53.210325 47.149793) (xy 53.215229 47.1) (xy 53.214 45.6012) (xy 53.1505 45.5377) (xy 52.4727 45.5377)
(xy 52.4473 45.5377) (xy 51.7695 45.5377) (xy 51.706 45.6012) (xy 51.704771 47.1) (xy 50.649706 47.1)
(xy 50.649706 43.95) (xy 51.704771 43.95) (xy 51.706 45.4488) (xy 51.7695 45.5123) (xy 52.4473 45.5123)
(xy 52.4473 43.7595) (xy 52.4727 43.7595) (xy 52.4727 45.5123) (xy 53.1505 45.5123) (xy 53.214 45.4488)
(xy 53.215229 43.95) (xy 53.210325 43.900207) (xy 53.195801 43.852328) (xy 53.172215 43.808202) (xy 53.140474 43.769526)
(xy 53.101798 43.737785) (xy 53.057672 43.714199) (xy 53.009793 43.699675) (xy 52.96 43.694771) (xy 52.5362 43.696)
(xy 52.4727 43.7595) (xy 52.4473 43.7595) (xy 52.3838 43.696) (xy 51.96 43.694771) (xy 51.910207 43.699675)
(xy 51.862328 43.714199) (xy 51.818202 43.737785) (xy 51.779526 43.769526) (xy 51.747785 43.808202) (xy 51.724199 43.852328)
(xy 51.709675 43.900207) (xy 51.704771 43.95) (xy 50.649706 43.95) (xy 50.645292 43.905187) (xy 50.632221 43.862095)
(xy 50.610994 43.822382) (xy 50.582427 43.787573) (xy 50.547618 43.759006) (xy 50.507905 43.737779) (xy 50.464813 43.724708)
(xy 50.42 43.720294) (xy 49.42 43.720294) (xy 49.375187 43.724708) (xy 49.332095 43.737779) (xy 49.292382 43.759006)
(xy 49.257573 43.787573) (xy 49.229006 43.822382) (xy 49.207779 43.862095) (xy 49.194708 43.905187) (xy 49.190294 43.95)
(xy 49.1191 43.95) (xy 49.1191 41.868596) (xy 49.190294 41.797402) (xy 49.190294 42.05) (xy 49.194708 42.094813)
(xy 49.207779 42.137905) (xy 49.229006 42.177618) (xy 49.257573 42.212427) (xy 49.292382 42.240994) (xy 49.332095 42.262221)
(xy 49.375187 42.275292) (xy 49.42 42.279706) (xy 50.42 42.279706) (xy 50.464813 42.275292) (xy 50.507905 42.262221)
(xy 50.547618 42.240994) (xy 50.582427 42.212427) (xy 50.610994 42.177618) (xy 50.632221 42.137905) (xy 50.645292 42.094813)
(xy 50.649706 42.05) (xy 50.649706 38.9) (xy 51.730294 38.9) (xy 51.730294 42.05) (xy 51.734708 42.094813)
(xy 51.747779 42.137905) (xy 51.769006 42.177618) (xy 51.797573 42.212427) (xy 51.832382 42.240994) (xy 51.872095 42.262221)
(xy 51.915187 42.275292) (xy 51.96 42.279706) (xy 52.96 42.279706) (xy 53.004813 42.275292) (xy 53.047905 42.262221)
(xy 53.087618 42.240994) (xy 53.122427 42.212427) (xy 53.150994 42.177618) (xy 53.172221 42.137905) (xy 53.185292 42.094813)
(xy 53.189706 42.05) (xy 53.189706 38.9) (xy 54.270294 38.9) (xy 54.270294 42.05) (xy 54.274708 42.094813)
(xy 54.287779 42.137905) (xy 54.309006 42.177618) (xy 54.337573 42.212427) (xy 54.372382 42.240994) (xy 54.412095 42.262221)
(xy 54.455187 42.275292) (xy 54.5 42.279706) (xy 55.5 42.279706) (xy 55.544813 42.275292) (xy 55.587905 42.262221)
(xy 55.627618 42.240994) (xy 55.662427 42.212427) (xy 55.690994 42.177618) (xy 55.712221 42.137905) (xy 55.725292 42.094813)
(xy 55.729706 42.05) (xy 55.729706 41.7076) (xy 55.894402 41.872296) (xy 55.894403 47.565733) (xy 55.848027 47.63514)
(xy 55.813833 47.71769) (xy 55.796402 47.805324) (xy 55.796402 47.894676) (xy 55.813833 47.98231) (xy 55.848027 48.06486)
(xy 55.897668 48.139153) (xy 55.960849 48.202334) (xy 56.035142 48.251975) (xy 56.117692 48.286169) (xy 56.205326 48.3036)
(xy 56.294678 48.3036) (xy 56.382312 48.286169) (xy 56.464862 48.251975) (xy 56.539155 48.202334) (xy 56.602336 48.139153)
(xy 56.651977 48.06486) (xy 56.686171 47.98231) (xy 56.703602 47.894676) (xy 56.703602 47.805324) (xy 56.686171 47.71769)
(xy 56.651977 47.63514) (xy 56.605602 47.565735) (xy 56.605602 41.742457) (xy 56.607321 41.725002) (xy 56.605602 41.707547)
(xy 56.605602 41.707539) (xy 56.600456 41.655292) (xy 56.580123 41.588262) (xy 56.547103 41.526486) (xy 56.525366 41.5)
(xy 56.513797 41.485903) (xy 56.513792 41.485898) (xy 56.502664 41.472339) (xy 56.489106 41.461212) (xy 55.729706 40.701813)
(xy 55.729706 38.9) (xy 56.810294 38.9) (xy 56.810294 42.05) (xy 56.814708 42.094813) (xy 56.827779 42.137905)
(xy 56.849006 42.177618) (xy 56.877573 42.212427) (xy 56.912382 42.240994) (xy 56.952095 42.262221) (xy 56.995187 42.275292)
(xy 57.04 42.279706) (xy 58.04 42.279706) (xy 58.084813 42.275292) (xy 58.127905 42.262221) (xy 58.167618 42.240994)
(xy 58.202427 42.212427) (xy 58.230994 42.177618) (xy 58.252221 42.137905) (xy 58.265292 42.094813) (xy 58.269706 42.05)
(xy 58.269706 41.7076) (xy 58.4944 41.932294) (xy 58.494401 47.515733) (xy 58.448025 47.58514) (xy 58.413831 47.66769)
(xy 58.3964 47.755324) (xy 58.3964 47.844676) (xy 58.413831 47.93231) (xy 58.448025 48.01486) (xy 58.497666 48.089153)
(xy 58.560847 48.152334) (xy 58.63514 48.201975) (xy 58.71769 48.236169) (xy 58.805324 48.2536) (xy 58.894676 48.2536)
(xy 58.98231 48.236169) (xy 59.06486 48.201975) (xy 59.139153 48.152334) (xy 59.202334 48.089153) (xy 59.251975 48.01486)
(xy 59.286169 47.93231) (xy 59.3036 47.844676) (xy 59.3036 47.755324) (xy 59.286169 47.66769) (xy 59.251975 47.58514)
(xy 59.2056 47.515735) (xy 59.2056 41.802455) (xy 59.207319 41.785) (xy 59.2056 41.767545) (xy 59.2056 41.767537)
(xy 59.200454 41.71529) (xy 59.180121 41.64826) (xy 59.147101 41.586484) (xy 59.129438 41.564962) (xy 59.113795 41.545901)
(xy 59.11379 41.545896) (xy 59.102662 41.532337) (xy 59.089104 41.52121) (xy 58.269706 40.701813) (xy 58.269706 38.9)
(xy 59.350294 38.9) (xy 59.350294 42.05) (xy 59.354708 42.094813) (xy 59.367779 42.137905) (xy 59.389006 42.177618)
(xy 59.417573 42.212427) (xy 59.452382 42.240994) (xy 59.492095 42.262221) (xy 59.535187 42.275292) (xy 59.58 42.279706)
(xy 59.6444 42.279706) (xy 59.6444 42.615735) (xy 59.598025 42.68514) (xy 59.563831 42.76769) (xy 59.5464 42.855324)
(xy 59.5464 42.944676) (xy 59.563831 43.03231) (xy 59.598025 43.11486) (xy 59.647666 43.189153) (xy 59.710847 43.252334)
(xy 59.78514 43.301975) (xy 59.86769 43.336169) (xy 59.955324 43.3536) (xy 60.044676 43.3536) (xy 60.13231 43.336169)
(xy 60.21486 43.301975) (xy 60.289153 43.252334) (xy 60.352334 43.189153) (xy 60.401975 43.11486) (xy 60.436169 43.03231)
(xy 60.4536 42.944676) (xy 60.4536 42.855324) (xy 60.436169 42.76769) (xy 60.401975 42.68514) (xy 60.3556 42.615735)
(xy 60.3556 42.279706) (xy 60.58 42.279706) (xy 60.624813 42.275292) (xy 60.667905 42.262221) (xy 60.707618 42.240994)
(xy 60.742427 42.212427) (xy 60.770994 42.177618) (xy 60.792221 42.137905) (xy 60.805292 42.094813) (xy 60.809706 42.05)
(xy 60.809706 38.9) (xy 60.805292 38.855187) (xy 60.792221 38.812095) (xy 60.770994 38.772382) (xy 60.742427 38.737573)
(xy 60.707618 38.709006) (xy 60.667905 38.687779) (xy 60.624813 38.674708) (xy 60.58 38.670294) (xy 59.58 38.670294)
(xy 59.535187 38.674708) (xy 59.492095 38.687779) (xy 59.452382 38.709006) (xy 59.417573 38.737573) (xy 59.389006 38.772382)
(xy 59.367779 38.812095) (xy 59.354708 38.855187) (xy 59.350294 38.9) (xy 58.269706 38.9) (xy 58.265292 38.855187)
(xy 58.252221 38.812095) (xy 58.230994 38.772382) (xy 58.202427 38.737573) (xy 58.167618 38.709006) (xy 58.127905 38.687779)
(xy 58.084813 38.674708) (xy 58.04 38.670294) (xy 57.04 38.670294) (xy 56.995187 38.674708) (xy 56.952095 38.687779)
(xy 56.912382 38.709006) (xy 56.877573 38.737573) (xy 56.849006 38.772382) (xy 56.827779 38.812095) (xy 56.814708 38.855187)
(xy 56.810294 38.9) (xy 55.729706 38.9) (xy 55.725292 38.855187) (xy 55.712221 38.812095) (xy 55.690994 38.772382)
(xy 55.662427 38.737573) (xy 55.627618 38.709006) (xy 55.587905 38.687779) (xy 55.544813 38.674708) (xy 55.5 38.670294)
(xy 54.5 38.670294) (xy 54.455187 38.674708) (xy 54.412095 38.687779) (xy 54.372382 38.709006) (xy 54.337573 38.737573)
(xy 54.309006 38.772382) (xy 54.287779 38.812095) (xy 54.274708 38.855187) (xy 54.270294 38.9) (xy 53.189706 38.9)
(xy 53.185292 38.855187) (xy 53.172221 38.812095) (xy 53.150994 38.772382) (xy 53.122427 38.737573) (xy 53.087618 38.709006)
(xy 53.047905 38.687779) (xy 53.004813 38.674708) (xy 52.96 38.670294) (xy 52.8826 38.670294) (xy 52.8826 38.506375)
(xy 52.888266 38.500709) (xy 52.957059 38.397754) (xy 53.004444 38.283356) (xy 53.0286 38.161912) (xy 53.0286 38.038088)
(xy 53.004444 37.916644) (xy 52.957059 37.802246) (xy 52.888266 37.699291) (xy 52.800709 37.611734) (xy 52.697754 37.542941)
(xy 52.583356 37.495556) (xy 52.461912 37.4714) (xy 52.338088 37.4714) (xy 52.216644 37.495556) (xy 52.102246 37.542941)
(xy 51.999291 37.611734) (xy 51.911734 37.699291) (xy 51.842941 37.802246) (xy 51.795556 37.916644) (xy 51.7714 38.038088)
(xy 51.7714 38.161912) (xy 51.795556 38.283356) (xy 51.842941 38.397754) (xy 51.911734 38.500709) (xy 51.9174 38.506375)
(xy 51.9174 38.67449) (xy 51.915187 38.674708) (xy 51.872095 38.687779) (xy 51.832382 38.709006) (xy 51.797573 38.737573)
(xy 51.769006 38.772382) (xy 51.747779 38.812095) (xy 51.734708 38.855187) (xy 51.730294 38.9) (xy 50.649706 38.9)
(xy 50.645292 38.855187) (xy 50.632221 38.812095) (xy 50.610994 38.772382) (xy 50.582427 38.737573) (xy 50.547618 38.709006)
(xy 50.507905 38.687779) (xy 50.464813 38.674708) (xy 50.42 38.670294) (xy 49.42 38.670294) (xy 49.375187 38.674708)
(xy 49.332095 38.687779) (xy 49.292382 38.709006) (xy 49.257573 38.737573) (xy 49.229006 38.772382) (xy 49.207779 38.812095)
(xy 49.194708 38.855187) (xy 49.190294 38.9) (xy 49.190294 40.612009) (xy 48.418206 41.384098) (xy 48.402219 41.397218)
(xy 48.385293 41.417843) (xy 48.349846 41.461035) (xy 48.31093 41.533842) (xy 48.286965 41.612843) (xy 48.278873 41.695)
(xy 48.280901 41.71559) (xy 48.2809 55.386362) (xy 48.278873 55.406942) (xy 48.28035 55.421942) (xy 48.278873 55.436942)
(xy 48.280901 55.457532) (xy 48.2809 57.026403) (xy 48.118206 57.189098) (xy 48.102219 57.202218) (xy 48.0891 57.218204)
(xy 48.049846 57.266035) (xy 48.01093 57.338842) (xy 47.986965 57.417843) (xy 47.978873 57.5) (xy 47.980901 57.52059)
(xy 47.9809 61.572612) (xy 47.954431 61.622133) (xy 47.928911 61.706261) (xy 47.920294 61.79375) (xy 47.920294 62.30625)
(xy 47.928911 62.393739) (xy 47.954431 62.477867) (xy 47.995872 62.555399) (xy 48.051644 62.623356) (xy 48.119601 62.679128)
(xy 48.197133 62.720569) (xy 48.280901 62.74598) (xy 48.2809 64.200294) (xy 48.265 64.200294) (xy 48.220187 64.204708)
(xy 48.177095 64.217779) (xy 48.137382 64.239006) (xy 48.102573 64.267573) (xy 48.08 64.295078) (xy 48.057427 64.267573)
(xy 48.022618 64.239006) (xy 47.982905 64.217779) (xy 47.939813 64.204708) (xy 47.895 64.200294) (xy 46.995 64.200294)
(xy 46.950187 64.204708) (xy 46.907095 64.217779) (xy 46.867382 64.239006) (xy 46.832573 64.267573) (xy 46.826429 64.275059)
(xy 46.805474 64.249526) (xy 46.766798 64.217785) (xy 46.722672 64.194199) (xy 46.674793 64.179675) (xy 46.625 64.174771)
(xy 46.2512 64.176) (xy 46.1877 64.2395) (xy 46.1877 65.4173) (xy 46.2077 65.4173) (xy 46.2077 65.4427)
(xy 46.1877 65.4427) (xy 46.1877 65.4627) (xy 46.1623 65.4627) (xy 46.1623 65.4427) (xy 46.1423 65.4427)
(xy 46.1423 65.4173) (xy 46.1623 65.4173) (xy 46.1623 64.2395) (xy 46.0988 64.176) (xy 45.725 64.174771)
(xy 45.675207 64.179675) (xy 45.627328 64.194199) (xy 45.583202 64.217785) (xy 45.575873 64.2238) (xy 45.3491 64.2238)
(xy 45.3491 61.79375) (xy 46.345294 61.79375) (xy 46.345294 62.30625) (xy 46.353911 62.393739) (xy 46.379431 62.477867)
(xy 46.420872 62.555399) (xy 46.476644 62.623356) (xy 46.544601 62.679128) (xy 46.622133 62.720569) (xy 46.706261 62.746089)
(xy 46.79375 62.754706) (xy 47.23125 62.754706) (xy 47.318739 62.746089) (xy 47.402867 62.720569) (xy 47.480399 62.679128)
(xy 47.548356 62.623356) (xy 47.604128 62.555399) (xy 47.645569 62.477867) (xy 47.671089 62.393739) (xy 47.678072 62.322845)
(xy 47.702663 62.302663) (xy 47.747101 62.248516) (xy 47.780121 62.18674) (xy 47.800454 62.11971) (xy 47.80732 62.05)
(xy 47.800454 61.98029) (xy 47.780121 61.91326) (xy 47.7556 61.867384) (xy 47.7556 49.551427) (xy 47.83231 49.536169)
(xy 47.91486 49.501975) (xy 47.989153 49.452334) (xy 48.052334 49.389153) (xy 48.101975 49.31486) (xy 48.136169 49.23231)
(xy 48.1536 49.144676) (xy 48.1536 49.055324) (xy 48.136169 48.96769) (xy 48.101975 48.88514) (xy 48.052334 48.810847)
(xy 47.989153 48.747666) (xy 47.91486 48.698025) (xy 47.83231 48.663831) (xy 47.744676 48.6464) (xy 47.655324 48.6464)
(xy 47.56769 48.663831) (xy 47.48514 48.698025) (xy 47.410847 48.747666) (xy 47.347666 48.810847) (xy 47.298025 48.88514)
(xy 47.263831 48.96769) (xy 47.247547 49.04956) (xy 47.1609 49.136206) (xy 47.147338 49.147337) (xy 47.1029 49.201484)
(xy 47.089081 49.227337) (xy 47.06988 49.263259) (xy 47.049546 49.33029) (xy 47.042681 49.4) (xy 47.044401 49.417466)
(xy 47.0444 61.345294) (xy 46.79375 61.345294) (xy 46.706261 61.353911) (xy 46.622133 61.379431) (xy 46.544601 61.420872)
(xy 46.476644 61.476644) (xy 46.420872 61.544601) (xy 46.379431 61.622133) (xy 46.353911 61.706261) (xy 46.345294 61.79375)
(xy 45.3491 61.79375) (xy 45.3491 61.560579) (xy 45.351127 61.539999) (xy 45.343035 61.457842) (xy 45.334553 61.42988)
(xy 45.319071 61.378842) (xy 45.280154 61.306034) (xy 45.227782 61.242218) (xy 45.211794 61.229097) (xy 43.690907 59.708211)
(xy 43.677782 59.692218) (xy 43.613966 59.639846) (xy 43.541158 59.600929) (xy 43.462158 59.576965) (xy 43.40058 59.5709)
(xy 43.38 59.568873) (xy 43.35942 59.5709) (xy 39.953597 59.5709) (xy 39.5691 59.186404) (xy 39.5691 57.955338)
(xy 39.6864 57.955338) (xy 39.6864 58.04469) (xy 39.703831 58.132324) (xy 39.738025 58.214874) (xy 39.787666 58.289167)
(xy 39.850847 58.352348) (xy 39.92514 58.401989) (xy 40.00769 58.436183) (xy 40.095324 58.453614) (xy 40.184676 58.453614)
(xy 40.27231 58.436183) (xy 40.35486 58.401989) (xy 40.429153 58.352348) (xy 40.492334 58.289167) (xy 40.541975 58.214874)
(xy 40.559044 58.173666) (xy 40.58861 58.1441) (xy 40.670294 58.1441) (xy 40.670294 58.25) (xy 40.674708 58.294813)
(xy 40.687779 58.337905) (xy 40.709006 58.377618) (xy 40.737573 58.412427) (xy 40.772382 58.440994) (xy 40.812095 58.462221)
(xy 40.855187 58.475292) (xy 40.9 58.479706) (xy 42.9 58.479706) (xy 42.944813 58.475292) (xy 42.987905 58.462221)
(xy 43.027618 58.440994) (xy 43.062427 58.412427) (xy 43.090994 58.377618) (xy 43.112221 58.337905) (xy 43.125292 58.294813)
(xy 43.129706 58.25) (xy 43.129706 57.605324) (xy 46.0964 57.605324) (xy 46.0964 57.694676) (xy 46.113831 57.78231)
(xy 46.148025 57.86486) (xy 46.197666 57.939153) (xy 46.260847 58.002334) (xy 46.33514 58.051975) (xy 46.41769 58.086169)
(xy 46.505324 58.1036) (xy 46.594676 58.1036) (xy 46.68231 58.086169) (xy 46.76486 58.051975) (xy 46.839153 58.002334)
(xy 46.902334 57.939153) (xy 46.951975 57.86486) (xy 46.986169 57.78231) (xy 47.0036 57.694676) (xy 47.0036 57.605324)
(xy 46.986169 57.51769) (xy 46.9691 57.476483) (xy 46.9691 47.329706) (xy 47.88 47.329706) (xy 47.924813 47.325292)
(xy 47.967905 47.312221) (xy 48.007618 47.290994) (xy 48.042427 47.262427) (xy 48.070994 47.227618) (xy 48.092221 47.187905)
(xy 48.105292 47.144813) (xy 48.109706 47.1) (xy 48.109706 43.95) (xy 48.105292 43.905187) (xy 48.092221 43.862095)
(xy 48.070994 43.822382) (xy 48.042427 43.787573) (xy 48.007618 43.759006) (xy 47.967905 43.737779) (xy 47.924813 43.724708)
(xy 47.88 43.720294) (xy 46.88 43.720294) (xy 46.835187 43.724708) (xy 46.792095 43.737779) (xy 46.752382 43.759006)
(xy 46.717573 43.787573) (xy 46.689006 43.822382) (xy 46.667779 43.862095) (xy 46.654708 43.905187) (xy 46.650294 43.95)
(xy 46.650294 45.66201) (xy 46.268206 46.044098) (xy 46.252219 46.057218) (xy 46.2391 46.073204) (xy 46.199846 46.121035)
(xy 46.16093 46.193842) (xy 46.136965 46.272843) (xy 46.128873 46.355) (xy 46.130901 46.37559) (xy 46.1309 57.476483)
(xy 46.113831 57.51769) (xy 46.0964 57.605324) (xy 43.129706 57.605324) (xy 43.129706 57.2) (xy 43.125292 57.155187)
(xy 43.112221 57.112095) (xy 43.090994 57.072382) (xy 43.062427 57.037573) (xy 43.027618 57.009006) (xy 42.987905 56.987779)
(xy 42.944813 56.974708) (xy 42.9 56.970294) (xy 40.9 56.970294) (xy 40.855187 56.974708) (xy 40.812095 56.987779)
(xy 40.772382 57.009006) (xy 40.737573 57.037573) (xy 40.709006 57.072382) (xy 40.687779 57.112095) (xy 40.674708 57.155187)
(xy 40.670294 57.2) (xy 40.670294 57.3059) (xy 40.435594 57.3059) (xy 40.415014 57.303873) (xy 40.394434 57.3059)
(xy 40.332856 57.311965) (xy 40.253856 57.335929) (xy 40.181048 57.374846) (xy 40.117232 57.427218) (xy 40.104107 57.443211)
(xy 39.966348 57.58097) (xy 39.92514 57.598039) (xy 39.850847 57.64768) (xy 39.787666 57.710861) (xy 39.738025 57.785154)
(xy 39.703831 57.867704) (xy 39.6864 57.955338) (xy 39.5691 57.955338) (xy 39.5691 53.8) (xy 40.644771 53.8)
(xy 40.649675 53.849793) (xy 40.664199 53.897672) (xy 40.687785 53.941798) (xy 40.719526 53.980474) (xy 40.758202 54.012215)
(xy 40.802328 54.035801) (xy 40.850207 54.050325) (xy 40.9 54.055229) (xy 41.6587 54.054) (xy 41.7222 53.9905)
(xy 41.7222 53.4528) (xy 42.0778 53.4528) (xy 42.0778 53.9905) (xy 42.1413 54.054) (xy 42.9 54.055229)
(xy 42.949793 54.050325) (xy 42.997672 54.035801) (xy 43.041798 54.012215) (xy 43.080474 53.980474) (xy 43.112215 53.941798)
(xy 43.135801 53.897672) (xy 43.150325 53.849793) (xy 43.155229 53.8) (xy 43.154 53.5163) (xy 43.0905 53.4528)
(xy 42.0778 53.4528) (xy 41.7222 53.4528) (xy 40.7095 53.4528) (xy 40.646 53.5163) (xy 40.644771 53.8)
(xy 39.5691 53.8) (xy 39.5691 52.75) (xy 40.644771 52.75) (xy 40.646 53.0337) (xy 40.7095 53.0972)
(xy 41.7222 53.0972) (xy 41.7222 52.5595) (xy 42.0778 52.5595) (xy 42.0778 53.0972) (xy 43.0905 53.0972)
(xy 43.154 53.0337) (xy 43.155229 52.75) (xy 43.150325 52.700207) (xy 43.135801 52.652328) (xy 43.112215 52.608202)
(xy 43.080474 52.569526) (xy 43.041798 52.537785) (xy 42.997672 52.514199) (xy 42.949793 52.499675) (xy 42.9 52.494771)
(xy 42.1413 52.496) (xy 42.0778 52.5595) (xy 41.7222 52.5595) (xy 41.6587 52.496) (xy 40.9 52.494771)
(xy 40.850207 52.499675) (xy 40.802328 52.514199) (xy 40.758202 52.537785) (xy 40.719526 52.569526) (xy 40.687785 52.608202)
(xy 40.664199 52.652328) (xy 40.649675 52.700207) (xy 40.644771 52.75) (xy 39.5691 52.75) (xy 39.5691 49.525)
(xy 40.674771 49.525) (xy 40.679675 49.574793) (xy 40.694199 49.622672) (xy 40.717785 49.666798) (xy 40.749526 49.705474)
(xy 40.788202 49.737215) (xy 40.832328 49.760801) (xy 40.880207 49.775325) (xy 40.93 49.780229) (xy 41.6887 49.779)
(xy 41.7522 49.7155) (xy 41.7522 49.1778) (xy 42.1078 49.1778) (xy 42.1078 49.7155) (xy 42.1713 49.779)
(xy 42.93 49.780229) (xy 42.979793 49.775325) (xy 43.027672 49.760801) (xy 43.071798 49.737215) (xy 43.110474 49.705474)
(xy 43.142215 49.666798) (xy 43.165801 49.622672) (xy 43.180325 49.574793) (xy 43.185229 49.525) (xy 43.184 49.2413)
(xy 43.1205 49.1778) (xy 42.1078 49.1778) (xy 41.7522 49.1778) (xy 40.7395 49.1778) (xy 40.676 49.2413)
(xy 40.674771 49.525) (xy 39.5691 49.525) (xy 39.5691 48.475) (xy 40.674771 48.475) (xy 40.676 48.7587)
(xy 40.7395 48.8222) (xy 41.7522 48.8222) (xy 41.7522 48.2845) (xy 42.1078 48.2845) (xy 42.1078 48.8222)
(xy 43.1205 48.8222) (xy 43.184 48.7587) (xy 43.185229 48.475) (xy 43.180325 48.425207) (xy 43.165801 48.377328)
(xy 43.142215 48.333202) (xy 43.110474 48.294526) (xy 43.071798 48.262785) (xy 43.027672 48.239199) (xy 42.979793 48.224675)
(xy 42.93 48.219771) (xy 42.1713 48.221) (xy 42.1078 48.2845) (xy 41.7522 48.2845) (xy 41.6887 48.221)
(xy 40.93 48.219771) (xy 40.880207 48.224675) (xy 40.832328 48.239199) (xy 40.788202 48.262785) (xy 40.749526 48.294526)
(xy 40.717785 48.333202) (xy 40.694199 48.377328) (xy 40.679675 48.425207) (xy 40.674771 48.475) (xy 39.5691 48.475)
(xy 39.5691 45.523596) (xy 40.173597 44.9191) (xy 40.700294 44.9191) (xy 40.700294 45.075) (xy 40.704708 45.119813)
(xy 40.717779 45.162905) (xy 40.739006 45.202618) (xy 40.767573 45.237427) (xy 40.802382 45.265994) (xy 40.842095 45.287221)
(xy 40.885187 45.300292) (xy 40.93 45.304706) (xy 42.93 45.304706) (xy 42.974813 45.300292) (xy 43.017905 45.287221)
(xy 43.057618 45.265994) (xy 43.092427 45.237427) (xy 43.120994 45.202618) (xy 43.142221 45.162905) (xy 43.155292 45.119813)
(xy 43.159706 45.075) (xy 43.159706 44.025) (xy 43.155292 43.980187) (xy 43.142221 43.937095) (xy 43.120994 43.897382)
(xy 43.092427 43.862573) (xy 43.057618 43.834006) (xy 43.017905 43.812779) (xy 42.974813 43.799708) (xy 42.93 43.795294)
(xy 40.93 43.795294) (xy 40.885187 43.799708) (xy 40.842095 43.812779) (xy 40.802382 43.834006) (xy 40.767573 43.862573)
(xy 40.739006 43.897382) (xy 40.717779 43.937095) (xy 40.704708 43.980187) (xy 40.700294 44.025) (xy 40.700294 44.0809)
(xy 40.02058 44.0809) (xy 40 44.078873) (xy 39.97942 44.0809) (xy 39.917842 44.086965) (xy 39.838842 44.110929)
(xy 39.766034 44.149846) (xy 39.702218 44.202218) (xy 39.689097 44.218206) (xy 38.868206 45.039098) (xy 38.852219 45.052218)
(xy 38.822203 45.088793) (xy 38.799846 45.116035) (xy 38.76093 45.188842) (xy 38.7536 45.213005) (xy 38.7536 40.012407)
(xy 38.7714 39.83087) (xy 38.7714 40.268744) (xy 38.876258 40.795903) (xy 39.081946 41.292477) (xy 39.380558 41.739381)
(xy 39.760619 42.119442) (xy 40.207523 42.418054) (xy 40.704097 42.623742) (xy 41.231256 42.7286) (xy 41.768744 42.7286)
(xy 42.295903 42.623742) (xy 42.792477 42.418054) (xy 43.239381 42.119442) (xy 43.619442 41.739381) (xy 43.918054 41.292477)
(xy 44.123742 40.795903) (xy 44.2286 40.268744) (xy 44.2286 39.731256) (xy 44.123742 39.204097) (xy 43.997781 38.9)
(xy 46.650294 38.9) (xy 46.650294 42.05) (xy 46.654708 42.094813) (xy 46.667779 42.137905) (xy 46.689006 42.177618)
(xy 46.717573 42.212427) (xy 46.752382 42.240994) (xy 46.792095 42.262221) (xy 46.835187 42.275292) (xy 46.88 42.279706)
(xy 47.88 42.279706) (xy 47.924813 42.275292) (xy 47.967905 42.262221) (xy 48.007618 42.240994) (xy 48.042427 42.212427)
(xy 48.070994 42.177618) (xy 48.092221 42.137905) (xy 48.105292 42.094813) (xy 48.109706 42.05) (xy 48.109706 38.9)
(xy 48.105292 38.855187) (xy 48.092221 38.812095) (xy 48.070994 38.772382) (xy 48.042427 38.737573) (xy 48.007618 38.709006)
(xy 47.967905 38.687779) (xy 47.924813 38.674708) (xy 47.88 38.670294) (xy 47.8691 38.670294) (xy 47.8691 38.073517)
(xy 47.886169 38.03231) (xy 47.9036 37.944676) (xy 47.9036 37.855324) (xy 47.886169 37.76769) (xy 47.851975 37.68514)
(xy 47.802334 37.610847) (xy 47.739153 37.547666) (xy 47.66486 37.498025) (xy 47.58231 37.463831) (xy 47.494676 37.4464)
(xy 47.405324 37.4464) (xy 47.31769 37.463831) (xy 47.23514 37.498025) (xy 47.160847 37.547666) (xy 47.097666 37.610847)
(xy 47.048025 37.68514) (xy 47.013831 37.76769) (xy 46.9964 37.855324) (xy 46.9964 37.944676) (xy 47.013831 38.03231)
(xy 47.0309 38.073518) (xy 47.0309 38.670294) (xy 46.88 38.670294) (xy 46.835187 38.674708) (xy 46.792095 38.687779)
(xy 46.752382 38.709006) (xy 46.717573 38.737573) (xy 46.689006 38.772382) (xy 46.667779 38.812095) (xy 46.654708 38.855187)
(xy 46.650294 38.9) (xy 43.997781 38.9) (xy 43.918054 38.707523) (xy 43.619442 38.260619) (xy 43.239381 37.880558)
(xy 42.792477 37.581946) (xy 42.295903 37.376258) (xy 41.768744 37.2714) (xy 41.323687 37.2714) (xy 41.492794 37.253626)
(xy 41.50031 37.2536) (xy 104.080491 37.2536)
)
)
(filled_polygon
(pts
(xy 130.9427 81.8827) (xy 130.9173 81.8827) (xy 130.9173 81.8173) (xy 130.9427 81.8173)
)
)
(filled_polygon
(pts
(xy 130.9427 75.1827) (xy 130.9173 75.1827) (xy 130.9173 75.1173) (xy 130.9427 75.1173)
)
)
(filled_polygon
(pts
(xy 120.7827 73.7173) (xy 120.8027 73.7173) (xy 120.8027 73.7427) (xy 120.7827 73.7427) (xy 120.7827 73.7627)
(xy 120.7573 73.7627) (xy 120.7573 73.7427) (xy 120.7373 73.7427) (xy 120.7373 73.7173) (xy 120.7573 73.7173)
(xy 120.7573 73.6973) (xy 120.7827 73.6973)
)
)
(filled_polygon
(pts
(xy 89.1609 73.426403) (xy 88.682904 73.9044) (xy 87.855136 73.9044) (xy 87.854 73.8362) (xy 87.7905 73.7727)
(xy 87.58591 73.7727) (xy 87.585753 73.7473) (xy 87.7905 73.7473) (xy 87.854 73.6838) (xy 87.855229 73.61)
(xy 87.850325 73.560207) (xy 87.835801 73.512328) (xy 87.817967 73.478963) (xy 87.825292 73.454813) (xy 87.829706 73.41)
(xy 87.829706 73.11) (xy 87.825292 73.065187) (xy 87.812221 73.022095) (xy 87.805756 73.01) (xy 87.812221 72.997905)
(xy 87.825292 72.954813) (xy 87.829706 72.91) (xy 87.829706 72.61) (xy 87.825292 72.565187) (xy 87.812221 72.522095)
(xy 87.805756 72.51) (xy 87.812221 72.497905) (xy 87.825292 72.454813) (xy 87.829706 72.41) (xy 87.829706 72.11)
(xy 87.825292 72.065187) (xy 87.812221 72.022095) (xy 87.805756 72.01) (xy 87.812221 71.997905) (xy 87.825292 71.954813)
(xy 87.829706 71.91) (xy 87.829706 71.61) (xy 87.825292 71.565187) (xy 87.817967 71.541037) (xy 87.835801 71.507672)
(xy 87.850325 71.459793) (xy 87.855229 71.41) (xy 87.854 71.3362) (xy 87.7905 71.2727) (xy 87.475884 71.2727)
(xy 87.475667 71.2473) (xy 87.7905 71.2473) (xy 87.854 71.1838) (xy 87.855229 71.11) (xy 87.850325 71.060207)
(xy 87.835801 71.012328) (xy 87.834557 71.01) (xy 87.835801 71.007672) (xy 87.850325 70.959793) (xy 87.855229 70.91)
(xy 87.854 70.8362) (xy 87.7905 70.7727) (xy 87.47161 70.7727) (xy 87.471393 70.7473) (xy 87.7905 70.7473)
(xy 87.854 70.6838) (xy 87.855229 70.61) (xy 87.850325 70.560207) (xy 87.835801 70.512328) (xy 87.834557 70.51)
(xy 87.835801 70.507672) (xy 87.850325 70.459793) (xy 87.855229 70.41) (xy 87.854 70.3362) (xy 87.7905 70.2727)
(xy 87.467337 70.2727) (xy 87.46712 70.2473) (xy 87.7905 70.2473) (xy 87.854 70.1838) (xy 87.855229 70.11)
(xy 87.850325 70.060207) (xy 87.835801 70.012328) (xy 87.834557 70.01) (xy 87.835801 70.007672) (xy 87.850325 69.959793)
(xy 87.855229 69.91) (xy 87.854 69.8362) (xy 87.7905 69.7727) (xy 87.463063 69.7727) (xy 87.462846 69.7473)
(xy 87.7905 69.7473) (xy 87.854 69.6838) (xy 87.855229 69.61) (xy 87.850325 69.560207) (xy 87.835801 69.512328)
(xy 87.834557 69.51) (xy 87.835801 69.507672) (xy 87.850325 69.459793) (xy 87.855229 69.41) (xy 87.854 69.3362)
(xy 87.7905 69.2727) (xy 87.45879 69.2727) (xy 87.458573 69.2473) (xy 87.7905 69.2473) (xy 87.854 69.1838)
(xy 87.855229 69.11) (xy 87.850325 69.060207) (xy 87.835801 69.012328) (xy 87.834557 69.01) (xy 87.835801 69.007672)
(xy 87.850325 68.959793) (xy 87.855229 68.91) (xy 87.854 68.8362) (xy 87.7905 68.7727) (xy 87.454516 68.7727)
(xy 87.454299 68.7473) (xy 87.7905 68.7473) (xy 87.854 68.6838) (xy 87.855229 68.61) (xy 87.850325 68.560207)
(xy 87.835801 68.512328) (xy 87.834557 68.51) (xy 87.835801 68.507672) (xy 87.850325 68.459793) (xy 87.855229 68.41)
(xy 87.854 68.3362) (xy 87.7905 68.2727) (xy 87.450243 68.2727) (xy 87.450026 68.2473) (xy 87.7905 68.2473)
(xy 87.854 68.1838) (xy 87.855229 68.11) (xy 87.850325 68.060207) (xy 87.835801 68.012328) (xy 87.834557 68.01)
(xy 87.835801 68.007672) (xy 87.850325 67.959793) (xy 87.855229 67.91) (xy 87.854 67.8362) (xy 87.7905 67.7727)
(xy 87.445969 67.7727) (xy 87.445752 67.7473) (xy 87.7905 67.7473) (xy 87.854 67.6838) (xy 87.855229 67.61)
(xy 87.850325 67.560207) (xy 87.835801 67.512328) (xy 87.834557 67.51) (xy 87.835801 67.507672) (xy 87.850325 67.459793)
(xy 87.855229 67.41) (xy 87.854 67.3362) (xy 87.7905 67.2727) (xy 87.441696 67.2727) (xy 87.441479 67.2473)
(xy 87.7905 67.2473) (xy 87.854 67.1838) (xy 87.855229 67.11) (xy 87.850325 67.060207) (xy 87.835801 67.012328)
(xy 87.834557 67.01) (xy 87.835801 67.007672) (xy 87.850325 66.959793) (xy 87.855229 66.91) (xy 87.854 66.8362)
(xy 87.7905 66.7727) (xy 87.437422 66.7727) (xy 87.437205 66.7473) (xy 87.7905 66.7473) (xy 87.854 66.6838)
(xy 87.855229 66.61) (xy 87.850325 66.560207) (xy 87.835801 66.512328) (xy 87.834557 66.51) (xy 87.835801 66.507672)
(xy 87.850325 66.459793) (xy 87.855229 66.41) (xy 87.854 66.3362) (xy 87.7905 66.2727) (xy 87.433149 66.2727)
(xy 87.432932 66.2473) (xy 87.7905 66.2473) (xy 87.854 66.1838) (xy 87.855229 66.11) (xy 87.850325 66.060207)
(xy 87.835801 66.012328) (xy 87.834557 66.01) (xy 87.835801 66.007672) (xy 87.850325 65.959793) (xy 87.855229 65.91)
(xy 87.854 65.8362) (xy 87.7905 65.7727) (xy 87.428875 65.7727) (xy 87.428658 65.7473) (xy 87.7905 65.7473)
(xy 87.854 65.6838) (xy 87.855229 65.61) (xy 87.850325 65.560207) (xy 87.835801 65.512328) (xy 87.834557 65.51)
(xy 87.835801 65.507672) (xy 87.850325 65.459793) (xy 87.855229 65.41) (xy 87.854 65.3362) (xy 87.7905 65.2727)
(xy 87.424602 65.2727) (xy 87.424385 65.2473) (xy 87.7905 65.2473) (xy 87.854 65.1838) (xy 87.855229 65.11)
(xy 87.850325 65.060207) (xy 87.835801 65.012328) (xy 87.834557 65.01) (xy 87.835801 65.007672) (xy 87.850325 64.959793)
(xy 87.855229 64.91) (xy 87.854 64.8362) (xy 87.7905 64.7727) (xy 87.420328 64.7727) (xy 87.420111 64.7473)
(xy 87.7905 64.7473) (xy 87.854 64.6838) (xy 87.855229 64.61) (xy 87.850325 64.560207) (xy 87.835801 64.512328)
(xy 87.834557 64.51) (xy 87.835801 64.507672) (xy 87.850325 64.459793) (xy 87.855229 64.41) (xy 87.854 64.3362)
(xy 87.7905 64.2727) (xy 87.416055 64.2727) (xy 87.415838 64.2473) (xy 87.7905 64.2473) (xy 87.854 64.1838)
(xy 87.855229 64.11) (xy 87.850325 64.060207) (xy 87.835801 64.012328) (xy 87.834557 64.01) (xy 87.835801 64.007672)
(xy 87.850325 63.959793) (xy 87.855229 63.91) (xy 87.854 63.8362) (xy 87.7905 63.7727) (xy 87.411781 63.7727)
(xy 87.411564 63.7473) (xy 87.7905 63.7473) (xy 87.854 63.6838) (xy 87.855229 63.61) (xy 87.850325 63.560207)
(xy 87.835801 63.512328) (xy 87.834557 63.51) (xy 87.835801 63.507672) (xy 87.850325 63.459793) (xy 87.855229 63.41)
(xy 87.854 63.3362) (xy 87.7905 63.2727) (xy 87.407508 63.2727) (xy 87.407291 63.2473) (xy 87.7905 63.2473)
(xy 87.854 63.1838) (xy 87.855229 63.11) (xy 87.850325 63.060207) (xy 87.835801 63.012328) (xy 87.817967 62.978963)
(xy 87.825292 62.954813) (xy 87.829706 62.91) (xy 87.829706 62.61) (xy 87.825292 62.565187) (xy 87.812221 62.522095)
(xy 87.805756 62.51) (xy 87.812221 62.497905) (xy 87.825292 62.454813) (xy 87.829706 62.41) (xy 87.829706 62.11)
(xy 87.825292 62.065187) (xy 87.812221 62.022095) (xy 87.805756 62.01) (xy 87.812221 61.997905) (xy 87.825292 61.954813)
(xy 87.829706 61.91) (xy 87.829706 61.6156) (xy 89.160901 61.6156)
)
)
)
(zone (net 2) (net_name GND) (layer B.Cu) (tstamp 5FC78C9C) (hatch edge 0.508)
(connect_pads (clearance 0.1524))
(min_thickness 0.1524)
(fill yes (arc_segments 32) (thermal_gap 0.1778) (thermal_bridge_width 0.1778))
(polygon
(pts
(xy 140.07 94.1) (xy 37.95 94.1) (xy 37.3 36.9) (xy 139.7 36.6)
)
)
(filled_polygon
(pts
(xy 135.66913 37.2714) (xy 135.231256 37.2714) (xy 134.704097 37.376258) (xy 134.207523 37.581946) (xy 133.760619 37.880558)
(xy 133.380558 38.260619) (xy 133.081946 38.707523) (xy 132.876258 39.204097) (xy 132.7714 39.731256) (xy 132.7714 40.268744)
(xy 132.876258 40.795903) (xy 133.081946 41.292477) (xy 133.380558 41.739381) (xy 133.760619 42.119442) (xy 134.207523 42.418054)
(xy 134.704097 42.623742) (xy 135.231256 42.7286) (xy 135.768744 42.7286) (xy 136.295903 42.623742) (xy 136.792477 42.418054)
(xy 137.239381 42.119442) (xy 137.619442 41.739381) (xy 137.918054 41.292477) (xy 138.123742 40.795903) (xy 138.2286 40.268744)
(xy 138.2286 39.823687) (xy 138.246374 39.992794) (xy 138.2464 40.00031) (xy 138.246401 73.487541) (xy 138.2464 90.987593)
(xy 138.2286 91.16913) (xy 138.2286 90.731256) (xy 138.123742 90.204097) (xy 137.918054 89.707523) (xy 137.619442 89.260619)
(xy 137.239381 88.880558) (xy 136.792477 88.581946) (xy 136.295903 88.376258) (xy 135.768744 88.2714) (xy 135.231256 88.2714)
(xy 134.704097 88.376258) (xy 134.207523 88.581946) (xy 133.760619 88.880558) (xy 133.380558 89.260619) (xy 133.081946 89.707523)
(xy 132.876258 90.204097) (xy 132.7714 90.731256) (xy 132.7714 91.268744) (xy 132.876258 91.795903) (xy 133.081946 92.292477)
(xy 133.380558 92.739381) (xy 133.760619 93.119442) (xy 134.207523 93.418054) (xy 134.704097 93.623742) (xy 135.231256 93.7286)
(xy 135.676313 93.7286) (xy 135.507206 93.746374) (xy 135.49969 93.7464) (xy 41.512407 93.7464) (xy 41.33087 93.7286)
(xy 41.768744 93.7286) (xy 42.295903 93.623742) (xy 42.792477 93.418054) (xy 43.239381 93.119442) (xy 43.619442 92.739381)
(xy 43.918054 92.292477) (xy 44.123742 91.795903) (xy 44.2286 91.268744) (xy 44.2286 90.731256) (xy 44.123742 90.204097)
(xy 43.918054 89.707523) (xy 43.619442 89.260619) (xy 43.239381 88.880558) (xy 42.792477 88.581946) (xy 42.295903 88.376258)
(xy 41.768744 88.2714) (xy 41.231256 88.2714) (xy 40.704097 88.376258) (xy 40.207523 88.581946) (xy 39.760619 88.880558)
(xy 39.380558 89.260619) (xy 39.081946 89.707523) (xy 38.876258 90.204097) (xy 38.7714 90.731256) (xy 38.7714 91.176313)
(xy 38.753626 91.007206) (xy 38.7536 90.99969) (xy 38.7536 86.5762) (xy 44.9 86.5762) (xy 44.914866 86.574736)
(xy 44.92916 86.5704) (xy 44.942334 86.563358) (xy 44.953882 86.553882) (xy 44.963358 86.542334) (xy 44.9704 86.52916)
(xy 44.974736 86.514866) (xy 44.9762 86.5) (xy 44.9762 85.538088) (xy 45.3714 85.538088) (xy 45.3714 85.661912)
(xy 45.395556 85.783356) (xy 45.403901 85.803503) (xy 45.4039 88.623183) (xy 45.401259 88.65) (xy 45.4039 88.676817)
(xy 45.4039 88.676823) (xy 45.411802 88.757053) (xy 45.443028 88.859993) (xy 45.493738 88.954865) (xy 45.561981 89.038019)
(xy 45.582821 89.055122) (xy 46.441708 89.91401) (xy 46.445556 89.933356) (xy 46.492941 90.047754) (xy 46.561734 90.150709)
(xy 46.649291 90.238266) (xy 46.752246 90.307059) (xy 46.866644 90.354444) (xy 46.885991 90.358292) (xy 49.824882 93.297184)
(xy 49.841981 93.318019) (xy 49.925135 93.386262) (xy 50.020006 93.436972) (xy 50.122946 93.468198) (xy 50.203176 93.4761)
(xy 50.203185 93.4761) (xy 50.229999 93.478741) (xy 50.256813 93.4761) (xy 76.003183 93.4761) (xy 76.03 93.478741)
(xy 76.056817 93.4761) (xy 76.116626 93.4761) (xy 76.196856 93.468198) (xy 76.203772 93.4661) (xy 76.388828 93.4661)
(xy 76.456276 93.483257) (xy 76.526225 93.486951) (xy 76.595551 93.476926) (xy 76.626162 93.4661) (xy 92.915845 93.4661)
(xy 92.932246 93.477059) (xy 93.046644 93.524444) (xy 93.168088 93.5486) (xy 93.291912 93.5486) (xy 93.413356 93.524444)
(xy 93.527754 93.477059) (xy 93.559121 93.4561) (xy 107.463403 93.4561) (xy 107.539097 93.531794) (xy 107.552218 93.547782)
(xy 107.616034 93.600154) (xy 107.688842 93.639071) (xy 107.745132 93.656146) (xy 107.767842 93.663035) (xy 107.85 93.671127)
(xy 107.87058 93.6691) (xy 128.32942 93.6691) (xy 128.35 93.671127) (xy 128.37058 93.6691) (xy 128.432158 93.663035)
(xy 128.511158 93.639071) (xy 128.583966 93.600154) (xy 128.647782 93.547782) (xy 128.660907 93.531789) (xy 133.973597 88.2191)
(xy 136.226483 88.2191) (xy 136.26769 88.236169) (xy 136.355324 88.2536) (xy 136.444676 88.2536) (xy 136.53231 88.236169)
(xy 136.61486 88.201975) (xy 136.689153 88.152334) (xy 136.752334 88.089153) (xy 136.801975 88.01486) (xy 136.836169 87.93231)
(xy 136.8536 87.844676) (xy 136.8536 87.755324) (xy 136.836169 87.66769) (xy 136.801975 87.58514) (xy 136.752334 87.510847)
(xy 136.689153 87.447666) (xy 136.61486 87.398025) (xy 136.53231 87.363831) (xy 136.444676 87.3464) (xy 136.355324 87.3464)
(xy 136.26769 87.363831) (xy 136.226483 87.3809) (xy 133.82058 87.3809) (xy 133.8 87.378873) (xy 133.77942 87.3809)
(xy 133.717842 87.386965) (xy 133.638842 87.410929) (xy 133.566034 87.449846) (xy 133.502218 87.502218) (xy 133.489098 87.518205)
(xy 128.176404 92.8309) (xy 108.541401 92.8309) (xy 109.859137 91.513164) (xy 119.2514 91.513164) (xy 119.2514 91.646836)
(xy 119.277479 91.77794) (xy 119.328633 91.901437) (xy 119.402897 92.012582) (xy 119.497418 92.107103) (xy 119.608563 92.181367)
(xy 119.73206 92.232521) (xy 119.863164 92.2586) (xy 119.996836 92.2586) (xy 120.12794 92.232521) (xy 120.251437 92.181367)
(xy 120.362582 92.107103) (xy 120.457103 92.012582) (xy 120.531367 91.901437) (xy 120.582521 91.77794) (xy 120.6086 91.646836)
(xy 120.6086 91.513164) (xy 126.0514 91.513164) (xy 126.0514 91.646836) (xy 126.077479 91.77794) (xy 126.128633 91.901437)
(xy 126.202897 92.012582) (xy 126.297418 92.107103) (xy 126.408563 92.181367) (xy 126.53206 92.232521) (xy 126.663164 92.2586)
(xy 126.796836 92.2586) (xy 126.92794 92.232521) (xy 127.051437 92.181367) (xy 127.162582 92.107103) (xy 127.257103 92.012582)
(xy 127.331367 91.901437) (xy 127.382521 91.77794) (xy 127.4086 91.646836) (xy 127.4086 91.513164) (xy 127.382521 91.38206)
(xy 127.331367 91.258563) (xy 127.257103 91.147418) (xy 127.162582 91.052897) (xy 127.051437 90.978633) (xy 126.92794 90.927479)
(xy 126.796836 90.9014) (xy 126.663164 90.9014) (xy 126.53206 90.927479) (xy 126.408563 90.978633) (xy 126.297418 91.052897)
(xy 126.202897 91.147418) (xy 126.128633 91.258563) (xy 126.077479 91.38206) (xy 126.0514 91.513164) (xy 120.6086 91.513164)
(xy 120.582521 91.38206) (xy 120.531367 91.258563) (xy 120.457103 91.147418) (xy 120.362582 91.052897) (xy 120.251437 90.978633)
(xy 120.12794 90.927479) (xy 119.996836 90.9014) (xy 119.863164 90.9014) (xy 119.73206 90.927479) (xy 119.608563 90.978633)
(xy 119.497418 91.052897) (xy 119.402897 91.147418) (xy 119.328633 91.258563) (xy 119.277479 91.38206) (xy 119.2514 91.513164)
(xy 109.859137 91.513164) (xy 111.717184 89.655118) (xy 111.738019 89.638019) (xy 111.759157 89.612263) (xy 111.806262 89.554865)
(xy 111.836774 89.497781) (xy 111.856972 89.459994) (xy 111.888198 89.357054) (xy 111.8961 89.276824) (xy 111.8961 89.276817)
(xy 111.898741 89.25) (xy 111.8961 89.223183) (xy 111.8961 81.065324) (xy 116.8864 81.065324) (xy 116.8864 81.154676)
(xy 116.903831 81.24231) (xy 116.938025 81.32486) (xy 116.987666 81.399153) (xy 117.050847 81.462334) (xy 117.12514 81.511975)
(xy 117.166348 81.529044) (xy 122.290956 86.653653) (xy 122.308025 86.69486) (xy 122.357666 86.769153) (xy 122.420847 86.832334)
(xy 122.49514 86.881975) (xy 122.57769 86.916169) (xy 122.665324 86.9336) (xy 122.754676 86.9336) (xy 122.84231 86.916169)
(xy 122.92486 86.881975) (xy 122.999153 86.832334) (xy 123.062334 86.769153) (xy 123.111975 86.69486) (xy 123.146169 86.61231)
(xy 123.1636 86.524676) (xy 123.1636 86.435324) (xy 123.146169 86.34769) (xy 123.111975 86.26514) (xy 123.062334 86.190847)
(xy 122.999153 86.127666) (xy 122.92486 86.078025) (xy 122.883653 86.060956) (xy 120.578021 83.755324) (xy 121.3264 83.755324)
(xy 121.3264 83.844676) (xy 121.343831 83.93231) (xy 121.378025 84.01486) (xy 121.427666 84.089153) (xy 121.490847 84.152334)
(xy 121.56514 84.201975) (xy 121.572206 84.204902) (xy 123.360956 85.993653) (xy 123.378025 86.03486) (xy 123.427666 86.109153)
(xy 123.490847 86.172334) (xy 123.56514 86.221975) (xy 123.64769 86.256169) (xy 123.735324 86.2736) (xy 123.824676 86.2736)
(xy 123.91231 86.256169) (xy 123.99486 86.221975) (xy 124.069153 86.172334) (xy 124.132334 86.109153) (xy 124.181975 86.03486)
(xy 124.216169 85.95231) (xy 124.2336 85.864676) (xy 124.2336 85.775324) (xy 124.216169 85.68769) (xy 124.181975 85.60514)
(xy 124.132334 85.530847) (xy 124.069153 85.467666) (xy 123.99486 85.418025) (xy 123.953653 85.400956) (xy 122.213187 83.660491)
(xy 122.181975 83.58514) (xy 122.132334 83.510847) (xy 122.069153 83.447666) (xy 121.99486 83.398025) (xy 121.91231 83.363831)
(xy 121.824676 83.3464) (xy 121.735324 83.3464) (xy 121.64769 83.363831) (xy 121.56514 83.398025) (xy 121.490847 83.447666)
(xy 121.427666 83.510847) (xy 121.378025 83.58514) (xy 121.343831 83.66769) (xy 121.3264 83.755324) (xy 120.578021 83.755324)
(xy 117.759044 80.936348) (xy 117.741975 80.89514) (xy 117.692334 80.820847) (xy 117.629153 80.757666) (xy 117.55486 80.708025)
(xy 117.47231 80.673831) (xy 117.384676 80.6564) (xy 117.295324 80.6564) (xy 117.20769 80.673831) (xy 117.12514 80.708025)
(xy 117.050847 80.757666) (xy 116.987666 80.820847) (xy 116.938025 80.89514) (xy 116.903831 80.97769) (xy 116.8864 81.065324)
(xy 111.8961 81.065324) (xy 111.8961 79.419926) (xy 111.951981 79.488017) (xy 112.035135 79.55626) (xy 112.130006 79.60697)
(xy 112.232946 79.638196) (xy 112.313176 79.646098) (xy 119.033797 79.646098) (xy 124.124882 84.737184) (xy 124.141981 84.758019)
(xy 124.225135 84.826262) (xy 124.320006 84.876972) (xy 124.422946 84.908198) (xy 124.503176 84.9161) (xy 124.503185 84.9161)
(xy 124.529999 84.918741) (xy 124.556813 84.9161) (xy 126.655845 84.9161) (xy 126.672246 84.927059) (xy 126.786644 84.974444)
(xy 126.908088 84.9986) (xy 127.031912 84.9986) (xy 127.153356 84.974444) (xy 127.267754 84.927059) (xy 127.370709 84.858266)
(xy 127.458266 84.770709) (xy 127.527059 84.667754) (xy 127.574444 84.553356) (xy 127.5986 84.431912) (xy 127.5986 84.308088)
(xy 127.574444 84.186644) (xy 127.527059 84.072246) (xy 127.458266 83.969291) (xy 127.370709 83.881734) (xy 127.267754 83.812941)
(xy 127.153356 83.765556) (xy 127.13401 83.761708) (xy 126.7961 83.423799) (xy 126.7961 79.897589) (xy 126.800845 79.902334)
(xy 126.875138 79.951975) (xy 126.957688 79.986169) (xy 127.045322 80.0036) (xy 127.134674 80.0036) (xy 127.222308 79.986169)
(xy 127.304858 79.951975) (xy 127.379151 79.902334) (xy 127.429152 79.852333) (xy 127.44093 79.891158) (xy 127.473633 79.95234)
(xy 127.479847 79.963966) (xy 127.532219 80.027782) (xy 127.548206 80.040902) (xy 127.9709 80.463596) (xy 127.9709 80.515877)
(xy 127.958029 80.53514) (xy 127.923835 80.61769) (xy 127.906404 80.705324) (xy 127.906404 80.794676) (xy 127.923835 80.88231)
(xy 127.958029 80.96486) (xy 127.9709 80.984123) (xy 127.970901 83.36941) (xy 127.968873 83.39) (xy 127.976965 83.472157)
(xy 128.00093 83.551158) (xy 128.03076 83.606965) (xy 128.039847 83.623966) (xy 128.092219 83.687782) (xy 128.108206 83.700902)
(xy 129.080956 84.673653) (xy 129.098025 84.71486) (xy 129.147666 84.789153) (xy 129.210847 84.852334) (xy 129.28514 84.901975)
(xy 129.36769 84.936169) (xy 129.455324 84.9536) (xy 129.544676 84.9536) (xy 129.63231 84.936169) (xy 129.71486 84.901975)
(xy 129.789153 84.852334) (xy 129.852334 84.789153) (xy 129.901975 84.71486) (xy 129.936169 84.63231) (xy 129.9536 84.544676)
(xy 129.9536 84.455324) (xy 129.936169 84.36769) (xy 129.901975 84.28514) (xy 129.852334 84.210847) (xy 129.789153 84.147666)
(xy 129.71486 84.098025) (xy 129.673653 84.080956) (xy 128.8091 83.216404) (xy 128.8091 82.82) (xy 130.212875 82.82)
(xy 130.226943 82.962831) (xy 130.268605 83.100172) (xy 130.33626 83.226747) (xy 130.427309 83.337691) (xy 130.538253 83.42874)
(xy 130.664828 83.496395) (xy 130.802169 83.538057) (xy 130.909211 83.5486) (xy 132.080789 83.5486) (xy 132.187831 83.538057)
(xy 132.325172 83.496395) (xy 132.451747 83.42874) (xy 132.562691 83.337691) (xy 132.65374 83.226747) (xy 132.721395 83.100172)
(xy 132.763057 82.962831) (xy 132.777125 82.82) (xy 134.642875 82.82) (xy 134.656943 82.962831) (xy 134.698605 83.100172)
(xy 134.76626 83.226747) (xy 134.857309 83.337691) (xy 134.968253 83.42874) (xy 135.094828 83.496395) (xy 135.232169 83.538057)
(xy 135.339211 83.5486) (xy 136.010789 83.5486) (xy 136.117831 83.538057) (xy 136.255172 83.496395) (xy 136.381747 83.42874)
(xy 136.492691 83.337691) (xy 136.58374 83.226747) (xy 136.651395 83.100172) (xy 136.693057 82.962831) (xy 136.707125 82.82)
(xy 136.693057 82.677169) (xy 136.651395 82.539828) (xy 136.58374 82.413253) (xy 136.492691 82.302309) (xy 136.381747 82.21126)
(xy 136.255172 82.143605) (xy 136.117831 82.101943) (xy 136.010789 82.0914) (xy 135.339211 82.0914) (xy 135.232169 82.101943)
(xy 135.094828 82.143605) (xy 134.968253 82.21126) (xy 134.857309 82.302309) (xy 134.76626 82.413253) (xy 134.698605 82.539828)
(xy 134.656943 82.677169) (xy 134.642875 82.82) (xy 132.777125 82.82) (xy 132.763057 82.677169) (xy 132.721395 82.539828)
(xy 132.65374 82.413253) (xy 132.562691 82.302309) (xy 132.451747 82.21126) (xy 132.325172 82.143605) (xy 132.187831 82.101943)
(xy 132.080789 82.0914) (xy 130.909211 82.0914) (xy 130.802169 82.101943) (xy 130.664828 82.143605) (xy 130.538253 82.21126)
(xy 130.427309 82.302309) (xy 130.33626 82.413253) (xy 130.268605 82.539828) (xy 130.226943 82.677169) (xy 130.212875 82.82)
(xy 128.8091 82.82) (xy 128.8091 81.335475) (xy 131.4414 81.335475) (xy 131.4414 81.444525) (xy 131.462674 81.551479)
(xy 131.504406 81.652228) (xy 131.564991 81.7429) (xy 131.6421 81.820009) (xy 131.732772 81.880594) (xy 131.833521 81.922326)
(xy 131.940475 81.9436) (xy 132.049525 81.9436) (xy 132.156479 81.922326) (xy 132.257228 81.880594) (xy 132.3479 81.820009)
(xy 132.425009 81.7429) (xy 132.485594 81.652228) (xy 132.527326 81.551479) (xy 132.5486 81.444525) (xy 132.5486 81.335475)
(xy 132.527326 81.228521) (xy 132.485594 81.127772) (xy 132.425009 81.0371) (xy 132.3479 80.959991) (xy 132.257228 80.899406)
(xy 132.156479 80.857674) (xy 132.049525 80.8364) (xy 131.940475 80.8364) (xy 131.833521 80.857674) (xy 131.732772 80.899406)
(xy 131.6421 80.959991) (xy 131.564991 81.0371) (xy 131.504406 81.127772) (xy 131.462674 81.228521) (xy 131.4414 81.335475)
(xy 128.8091 81.335475) (xy 128.8091 80.81732) (xy 128.813604 80.794676) (xy 128.813604 80.705324) (xy 128.8091 80.68268)
(xy 128.8091 80.31058) (xy 128.811127 80.29) (xy 128.803035 80.207842) (xy 128.793171 80.175324) (xy 128.779071 80.128842)
(xy 128.740154 80.056034) (xy 128.687782 79.992218) (xy 128.67179 79.979094) (xy 128.2491 79.556404) (xy 128.2491 79.540587)
(xy 128.310847 79.602334) (xy 128.38514 79.651975) (xy 128.46769 79.686169) (xy 128.555324 79.7036) (xy 128.644676 79.7036)
(xy 128.73231 79.686169) (xy 128.81486 79.651975) (xy 128.889153 79.602334) (xy 128.952334 79.539153) (xy 129.001975 79.46486)
(xy 129.036169 79.38231) (xy 129.0536 79.294676) (xy 129.0536 79.205324) (xy 129.036169 79.11769) (xy 129.001975 79.03514)
(xy 128.9556 78.965735) (xy 128.9556 78.565887) (xy 128.957334 78.564153) (xy 129.006975 78.48986) (xy 129.041169 78.40731)
(xy 129.0586 78.319676) (xy 129.0586 78.230324) (xy 129.041169 78.14269) (xy 129.006975 78.06014) (xy 128.957334 77.985847)
(xy 128.894153 77.922666) (xy 128.81986 77.873025) (xy 128.73731 77.838831) (xy 128.649676 77.8214) (xy 128.560324 77.8214)
(xy 128.511606 77.83109) (xy 128.673653 77.669044) (xy 128.71486 77.651975) (xy 128.789153 77.602334) (xy 128.852334 77.539153)
(xy 128.901975 77.46486) (xy 128.936169 77.38231) (xy 128.9536 77.294676) (xy 128.9536 77.235087) (xy 129.010847 77.292334)
(xy 129.08514 77.341975) (xy 129.16769 77.376169) (xy 129.179913 77.3786) (xy 129.147666 77.410847) (xy 129.098025 77.48514)
(xy 129.063831 77.56769) (xy 129.0464 77.655324) (xy 129.0464 77.744676) (xy 129.063831 77.83231) (xy 129.098025 77.91486)
(xy 129.147666 77.989153) (xy 129.1714 78.012887) (xy 129.171401 78.435102) (xy 129.147666 78.458837) (xy 129.098025 78.53313)
(xy 129.063831 78.61568) (xy 129.0464 78.703314) (xy 129.0464 78.792666) (xy 129.063831 78.8803) (xy 129.098025 78.96285)
(xy 129.147666 79.037143) (xy 129.210847 79.100324) (xy 129.28514 79.149965) (xy 129.36769 79.184159) (xy 129.455324 79.20159)
(xy 129.544676 79.20159) (xy 129.63231 79.184159) (xy 129.71486 79.149965) (xy 129.789153 79.100324) (xy 129.852334 79.037143)
(xy 129.901975 78.96285) (xy 129.936169 78.8803) (xy 129.9536 78.792666) (xy 129.9536 78.703314) (xy 129.936169 78.61568)
(xy 129.901975 78.53313) (xy 129.852334 78.458837) (xy 129.8286 78.435103) (xy 129.8286 78.012887) (xy 129.852334 77.989153)
(xy 129.901975 77.91486) (xy 129.936169 77.83231) (xy 129.9536 77.744676) (xy 129.9536 77.655324) (xy 129.936169 77.56769)
(xy 129.901975 77.48514) (xy 129.852334 77.410847) (xy 129.789153 77.347666) (xy 129.71486 77.298025) (xy 129.63231 77.263831)
(xy 129.620087 77.2614) (xy 129.652334 77.229153) (xy 129.701975 77.15486) (xy 129.736169 77.07231) (xy 129.7536 76.984676)
(xy 129.7536 76.895324) (xy 129.736169 76.80769) (xy 129.701975 76.72514) (xy 129.652334 76.650847) (xy 129.589153 76.587666)
(xy 129.51486 76.538025) (xy 129.43231 76.503831) (xy 129.35044 76.487547) (xy 129.0056 76.142707) (xy 129.0056 75.555475)
(xy 131.4414 75.555475) (xy 131.4414 75.664525) (xy 131.462674 75.771479) (xy 131.504406 75.872228) (xy 131.564991 75.9629)
(xy 131.6421 76.040009) (xy 131.732772 76.100594) (xy 131.833521 76.142326) (xy 131.940475 76.1636) (xy 132.049525 76.1636)
(xy 132.156479 76.142326) (xy 132.257228 76.100594) (xy 132.3479 76.040009) (xy 132.425009 75.9629) (xy 132.485594 75.872228)
(xy 132.527326 75.771479) (xy 132.5486 75.664525) (xy 132.5486 75.555475) (xy 132.527326 75.448521) (xy 132.485594 75.347772)
(xy 132.425009 75.2571) (xy 132.3479 75.179991) (xy 132.257228 75.119406) (xy 132.156479 75.077674) (xy 132.049525 75.0564)
(xy 131.940475 75.0564) (xy 131.833521 75.077674) (xy 131.732772 75.119406) (xy 131.6421 75.179991) (xy 131.564991 75.2571)
(xy 131.504406 75.347772) (xy 131.462674 75.448521) (xy 131.4414 75.555475) (xy 129.0056 75.555475) (xy 129.0056 74.18)
(xy 130.212875 74.18) (xy 130.226943 74.322831) (xy 130.268605 74.460172) (xy 130.33626 74.586747) (xy 130.427309 74.697691)
(xy 130.538253 74.78874) (xy 130.664828 74.856395) (xy 130.802169 74.898057) (xy 130.909211 74.9086) (xy 132.080789 74.9086)
(xy 132.187831 74.898057) (xy 132.325172 74.856395) (xy 132.451747 74.78874) (xy 132.562691 74.697691) (xy 132.65374 74.586747)
(xy 132.721395 74.460172) (xy 132.763057 74.322831) (xy 132.777125 74.18) (xy 134.642875 74.18) (xy 134.656943 74.322831)
(xy 134.698605 74.460172) (xy 134.76626 74.586747) (xy 134.857309 74.697691) (xy 134.968253 74.78874) (xy 135.094828 74.856395)
(xy 135.232169 74.898057) (xy 135.339211 74.9086) (xy 136.010789 74.9086) (xy 136.117831 74.898057) (xy 136.255172 74.856395)
(xy 136.381747 74.78874) (xy 136.492691 74.697691) (xy 136.58374 74.586747) (xy 136.651395 74.460172) (xy 136.693057 74.322831)
(xy 136.707125 74.18) (xy 136.693057 74.037169) (xy 136.651395 73.899828) (xy 136.58374 73.773253) (xy 136.492691 73.662309)
(xy 136.381747 73.57126) (xy 136.255172 73.503605) (xy 136.117831 73.461943) (xy 136.010789 73.4514) (xy 135.339211 73.4514)
(xy 135.232169 73.461943) (xy 135.094828 73.503605) (xy 134.968253 73.57126) (xy 134.857309 73.662309) (xy 134.76626 73.773253)
(xy 134.698605 73.899828) (xy 134.656943 74.037169) (xy 134.642875 74.18) (xy 132.777125 74.18) (xy 132.763057 74.037169)
(xy 132.721395 73.899828) (xy 132.65374 73.773253) (xy 132.562691 73.662309) (xy 132.451747 73.57126) (xy 132.325172 73.503605)
(xy 132.187831 73.461943) (xy 132.080789 73.4514) (xy 130.909211 73.4514) (xy 130.802169 73.461943) (xy 130.664828 73.503605)
(xy 130.538253 73.57126) (xy 130.427309 73.662309) (xy 130.33626 73.773253) (xy 130.268605 73.899828) (xy 130.226943 74.037169)
(xy 130.212875 74.18) (xy 129.0056 74.18) (xy 129.0056 73.934265) (xy 129.051975 73.86486) (xy 129.086169 73.78231)
(xy 129.1036 73.694676) (xy 129.1036 73.605324) (xy 129.086169 73.51769) (xy 129.051975 73.43514) (xy 129.002334 73.360847)
(xy 128.939153 73.297666) (xy 128.86486 73.248025) (xy 128.78231 73.213831) (xy 128.694676 73.1964) (xy 128.623201 73.1964)
(xy 128.689153 73.152332) (xy 128.752334 73.089151) (xy 128.801975 73.014858) (xy 128.836169 72.932308) (xy 128.8536 72.844674)
(xy 128.8536 72.755322) (xy 128.836169 72.667688) (xy 128.801975 72.585138) (xy 128.752334 72.510845) (xy 128.689153 72.447664)
(xy 128.61486 72.398023) (xy 128.53231 72.363829) (xy 128.444676 72.346398) (xy 128.355324 72.346398) (xy 128.26769 72.363829)
(xy 128.18514 72.398023) (xy 128.110847 72.447664) (xy 128.047666 72.510845) (xy 127.998025 72.585138) (xy 127.963831 72.667688)
(xy 127.947547 72.749558) (xy 127.840901 72.856204) (xy 127.827337 72.867336) (xy 127.782899 72.921483) (xy 127.749879 72.983259)
(xy 127.747552 72.99093) (xy 127.736409 73.027666) (xy 127.729546 73.050289) (xy 127.7244 73.102536) (xy 127.7244 73.102543)
(xy 127.722681 73.119998) (xy 127.7244 73.137454) (xy 127.724401 76.002704) (xy 126.850899 76.876208) (xy 126.837335 76.88734)
(xy 126.7961 76.937584) (xy 126.7961 76.016203) (xy 126.964011 75.848292) (xy 126.983358 75.844444) (xy 127.097756 75.797059)
(xy 127.200711 75.728266) (xy 127.288268 75.640709) (xy 127.357061 75.537754) (xy 127.404446 75.423356) (xy 127.428602 75.301912)
(xy 127.428602 75.178088) (xy 127.418018 75.124878) (xy 127.439099 75.103797) (xy 127.452663 75.092665) (xy 127.464008 75.078842)
(xy 127.497101 75.038518) (xy 127.504252 75.02514) (xy 127.530121 74.976742) (xy 127.550454 74.909712) (xy 127.5556 74.857465)
(xy 127.5556 74.857457) (xy 127.557319 74.840002) (xy 127.5556 74.822547) (xy 127.5556 69.194265) (xy 127.601975 69.12486)
(xy 127.636169 69.04231) (xy 127.6536 68.954676) (xy 127.6536 68.865324) (xy 127.636169 68.77769) (xy 127.601975 68.69514)
(xy 127.552334 68.620847) (xy 127.489153 68.557666) (xy 127.41486 68.508025) (xy 127.33231 68.473831) (xy 127.244676 68.4564)
(xy 127.155324 68.4564) (xy 127.06769 68.473831) (xy 126.98514 68.508025) (xy 126.910847 68.557666) (xy 126.847666 68.620847)
(xy 126.798025 68.69514) (xy 126.763831 68.77769) (xy 126.7464 68.865324) (xy 126.7464 68.954676) (xy 126.763831 69.04231)
(xy 126.798025 69.12486) (xy 126.8444 69.194265) (xy 126.844401 74.6114) (xy 126.73809 74.6114) (xy 126.616646 74.635556)
(xy 126.502248 74.682941) (xy 126.399293 74.751734) (xy 126.311736 74.839291) (xy 126.242943 74.942246) (xy 126.195558 75.056644)
(xy 126.19171 75.075991) (xy 125.882816 75.384885) (xy 125.861982 75.401983) (xy 125.844883 75.422818) (xy 125.844882 75.422819)
(xy 125.793738 75.485138) (xy 125.743029 75.580007) (xy 125.711802 75.682949) (xy 125.701259 75.790002) (xy 125.703901 75.816829)
(xy 125.7039 83.623183) (xy 125.701259 83.65) (xy 125.7039 83.676817) (xy 125.7039 83.676823) (xy 125.711802 83.757053)
(xy 125.732079 83.8239) (xy 124.756202 83.8239) (xy 119.66512 78.732819) (xy 119.648017 78.711979) (xy 119.564863 78.643736)
(xy 119.469992 78.593026) (xy 119.367052 78.5618) (xy 119.286822 78.553898) (xy 119.286815 78.553898) (xy 119.259998 78.551257)
(xy 119.233181 78.553898) (xy 112.313176 78.553898) (xy 112.232946 78.5618) (xy 112.130006 78.593026) (xy 112.035135 78.643736)
(xy 111.970614 78.696688) (xy 113.121202 77.5461) (xy 118.979752 77.5461) (xy 118.9714 77.588088) (xy 118.9714 77.711912)
(xy 118.995556 77.833356) (xy 119.042941 77.947754) (xy 119.111734 78.050709) (xy 119.199291 78.138266) (xy 119.302246 78.207059)
(xy 119.416644 78.254444) (xy 119.538088 78.2786) (xy 119.661912 78.2786) (xy 119.783356 78.254444) (xy 119.897754 78.207059)
(xy 120.000709 78.138266) (xy 120.088266 78.050709) (xy 120.157059 77.947754) (xy 120.204444 77.833356) (xy 120.2286 77.711912)
(xy 120.2286 77.588088) (xy 120.220248 77.5461) (xy 123.513183 77.5461) (xy 123.54 77.548741) (xy 123.566817 77.5461)
(xy 123.566824 77.5461) (xy 123.647054 77.538198) (xy 123.678695 77.5286) (xy 123.701912 77.5286) (xy 123.823356 77.504444)
(xy 123.937754 77.457059) (xy 124.040709 77.388266) (xy 124.128266 77.300709) (xy 124.19614 77.19913) (xy 124.197782 77.197782)
(xy 124.210907 77.181789) (xy 124.481795 76.910902) (xy 124.497782 76.897782) (xy 124.550154 76.833966) (xy 124.589071 76.761158)
(xy 124.613035 76.682158) (xy 124.618094 76.630795) (xy 124.621127 76.600001) (xy 124.6191 76.579421) (xy 124.6191 72.173596)
(xy 125.573653 71.219044) (xy 125.61486 71.201975) (xy 125.689153 71.152334) (xy 125.752334 71.089153) (xy 125.801975 71.01486)
(xy 125.836169 70.93231) (xy 125.8536 70.844676) (xy 125.8536 70.755324) (xy 125.836169 70.66769) (xy 125.801975 70.58514)
(xy 125.752334 70.510847) (xy 125.689153 70.447666) (xy 125.61486 70.398025) (xy 125.53231 70.363831) (xy 125.444676 70.3464)
(xy 125.355324 70.3464) (xy 125.26769 70.363831) (xy 125.18514 70.398025) (xy 125.110847 70.447666) (xy 125.047666 70.510847)
(xy 124.998025 70.58514) (xy 124.980956 70.626347) (xy 123.918206 71.689098) (xy 123.902219 71.702218) (xy 123.8891 71.718204)
(xy 123.849846 71.766035) (xy 123.81093 71.838842) (xy 123.786965 71.917843) (xy 123.778873 72) (xy 123.780901 72.02059)
(xy 123.7809 76.287111) (xy 123.701912 76.2714) (xy 123.578088 76.2714) (xy 123.456644 76.295556) (xy 123.342246 76.342941)
(xy 123.239291 76.411734) (xy 123.197125 76.4539) (xy 119.666824 76.4539) (xy 119.64 76.451258) (xy 119.613176 76.4539)
(xy 112.921817 76.4539) (xy 112.895 76.451259) (xy 112.868183 76.4539) (xy 112.868176 76.4539) (xy 112.787946 76.461802)
(xy 112.685006 76.493028) (xy 112.685004 76.493029) (xy 112.590135 76.543738) (xy 112.535041 76.588953) (xy 112.506981 76.611981)
(xy 112.489882 76.632816) (xy 110.982821 78.139878) (xy 110.961981 78.156981) (xy 110.893738 78.240135) (xy 110.843028 78.335007)
(xy 110.811802 78.437947) (xy 110.8039 78.518177) (xy 110.8039 78.518183) (xy 110.801259 78.545) (xy 110.8039 78.571817)
(xy 110.803901 89.023797) (xy 107.463799 92.3639) (xy 93.529189 92.3639) (xy 93.527754 92.362941) (xy 93.413356 92.315556)
(xy 93.291912 92.2914) (xy 93.168088 92.2914) (xy 93.046644 92.315556) (xy 92.932246 92.362941) (xy 92.915845 92.3739)
(xy 76.066813 92.3739) (xy 76.039999 92.371259) (xy 76.013185 92.3739) (xy 76.013176 92.3739) (xy 75.932946 92.381802)
(xy 75.92603 92.3839) (xy 50.456202 92.3839) (xy 47.658292 89.585991) (xy 47.654444 89.566644) (xy 47.607059 89.452246)
(xy 47.538266 89.349291) (xy 47.450709 89.261734) (xy 47.347754 89.192941) (xy 47.233356 89.145556) (xy 47.21401 89.141708)
(xy 46.4961 88.423799) (xy 46.4961 85.988985) (xy 46.557059 85.897754) (xy 46.604444 85.783356) (xy 46.6286 85.661912)
(xy 46.6286 85.538088) (xy 46.604444 85.416644) (xy 46.557059 85.302246) (xy 46.488266 85.199291) (xy 46.400709 85.111734)
(xy 46.297754 85.042941) (xy 46.183356 84.995556) (xy 46.061912 84.9714) (xy 45.938088 84.9714) (xy 45.816644 84.995556)
(xy 45.702246 85.042941) (xy 45.599291 85.111734) (xy 45.511734 85.199291) (xy 45.442941 85.302246) (xy 45.395556 85.416644)
(xy 45.3714 85.538088) (xy 44.9762 85.538088) (xy 44.9762 84.370324) (xy 48.2614 84.370324) (xy 48.2614 84.459676)
(xy 48.278831 84.54731) (xy 48.313025 84.62986) (xy 48.362666 84.704153) (xy 48.425847 84.767334) (xy 48.50014 84.816975)
(xy 48.541348 84.834044) (xy 48.680901 84.973598) (xy 48.6809 89.626483) (xy 48.663831 89.66769) (xy 48.6464 89.755324)
(xy 48.6464 89.844676) (xy 48.663831 89.93231) (xy 48.698025 90.01486) (xy 48.747666 90.089153) (xy 48.810847 90.152334)
(xy 48.88514 90.201975) (xy 48.96769 90.236169) (xy 49.055324 90.2536) (xy 49.144676 90.2536) (xy 49.23231 90.236169)
(xy 49.31486 90.201975) (xy 49.389153 90.152334) (xy 49.452334 90.089153) (xy 49.501975 90.01486) (xy 49.536169 89.93231)
(xy 49.5536 89.844676) (xy 49.5536 89.755324) (xy 49.536169 89.66769) (xy 49.5191 89.626483) (xy 49.5191 89.578239)
(xy 71.1314 89.578239) (xy 71.1314 89.721761) (xy 71.1594 89.862525) (xy 71.214323 89.995121) (xy 71.29406 90.114455)
(xy 71.395545 90.21594) (xy 71.514879 90.295677) (xy 71.647475 90.3506) (xy 71.788239 90.3786) (xy 71.931761 90.3786)
(xy 72.072525 90.3506) (xy 72.205121 90.295677) (xy 72.324455 90.21594) (xy 72.42594 90.114455) (xy 72.505677 89.995121)
(xy 72.5606 89.862525) (xy 72.5886 89.721761) (xy 72.5886 89.578239) (xy 79.1314 89.578239) (xy 79.1314 89.721761)
(xy 79.1594 89.862525) (xy 79.214323 89.995121) (xy 79.29406 90.114455) (xy 79.395545 90.21594) (xy 79.514879 90.295677)
(xy 79.647475 90.3506) (xy 79.788239 90.3786) (xy 79.931761 90.3786) (xy 80.072525 90.3506) (xy 80.205121 90.295677)
(xy 80.324455 90.21594) (xy 80.42594 90.114455) (xy 80.505677 89.995121) (xy 80.5606 89.862525) (xy 80.5886 89.721761)
(xy 80.5886 89.578239) (xy 80.5606 89.437475) (xy 80.505677 89.304879) (xy 80.42594 89.185545) (xy 80.324455 89.08406)
(xy 80.205121 89.004323) (xy 80.072525 88.9494) (xy 79.931761 88.9214) (xy 79.788239 88.9214) (xy 79.647475 88.9494)
(xy 79.514879 89.004323) (xy 79.395545 89.08406) (xy 79.29406 89.185545) (xy 79.214323 89.304879) (xy 79.1594 89.437475)
(xy 79.1314 89.578239) (xy 72.5886 89.578239) (xy 72.5606 89.437475) (xy 72.505677 89.304879) (xy 72.42594 89.185545)
(xy 72.324455 89.08406) (xy 72.205121 89.004323) (xy 72.072525 88.9494) (xy 71.931761 88.9214) (xy 71.788239 88.9214)
(xy 71.647475 88.9494) (xy 71.514879 89.004323) (xy 71.395545 89.08406) (xy 71.29406 89.185545) (xy 71.214323 89.304879)
(xy 71.1594 89.437475) (xy 71.1314 89.578239) (xy 49.5191 89.578239) (xy 49.5191 88.905324) (xy 90.2864 88.905324)
(xy 90.2864 88.994676) (xy 90.303831 89.08231) (xy 90.338025 89.16486) (xy 90.387666 89.239153) (xy 90.450847 89.302334)
(xy 90.52514 89.351975) (xy 90.60769 89.386169) (xy 90.695324 89.4036) (xy 90.784676 89.4036) (xy 90.8073 89.3991)
(xy 99.486483 89.3991) (xy 99.52769 89.416169) (xy 99.615324 89.4336) (xy 99.704676 89.4336) (xy 99.79231 89.416169)
(xy 99.87486 89.381975) (xy 99.949153 89.332334) (xy 100.012334 89.269153) (xy 100.061975 89.19486) (xy 100.096169 89.11231)
(xy 100.1136 89.024676) (xy 100.1136 88.935324) (xy 100.096169 88.84769) (xy 100.061975 88.76514) (xy 100.012334 88.690847)
(xy 99.949153 88.627666) (xy 99.87486 88.578025) (xy 99.79231 88.543831) (xy 99.704676 88.5264) (xy 99.615324 88.5264)
(xy 99.52769 88.543831) (xy 99.486483 88.5609) (xy 90.974129 88.5609) (xy 90.95486 88.548025) (xy 90.87231 88.513831)
(xy 90.784676 88.4964) (xy 90.695324 88.4964) (xy 90.60769 88.513831) (xy 90.52514 88.548025) (xy 90.450847 88.597666)
(xy 90.387666 88.660847) (xy 90.338025 88.73514) (xy 90.303831 88.81769) (xy 90.2864 88.905324) (xy 49.5191 88.905324)
(xy 49.5191 88.055324) (xy 91.3464 88.055324) (xy 91.3464 88.144676) (xy 91.363831 88.23231) (xy 91.398025 88.31486)
(xy 91.447666 88.389153) (xy 91.510847 88.452334) (xy 91.58514 88.501975) (xy 91.66769 88.536169) (xy 91.755324 88.5536)
(xy 91.844676 88.5536) (xy 91.93231 88.536169) (xy 91.973517 88.5191) (xy 100.426491 88.5191) (xy 100.467698 88.536169)
(xy 100.555332 88.5536) (xy 100.644684 88.5536) (xy 100.732318 88.536169) (xy 100.773525 88.5191) (xy 105.116404 88.5191)
(xy 105.970901 89.373598) (xy 105.9709 91.296482) (xy 105.953831 91.33769) (xy 105.9364 91.425324) (xy 105.9364 91.514676)
(xy 105.953831 91.60231) (xy 105.988025 91.68486) (xy 106.037666 91.759153) (xy 106.100847 91.822334) (xy 106.17514 91.871975)
(xy 106.25769 91.906169) (xy 106.345324 91.9236) (xy 106.434676 91.9236) (xy 106.52231 91.906169) (xy 106.60486 91.871975)
(xy 106.679153 91.822334) (xy 106.742334 91.759153) (xy 106.791975 91.68486) (xy 106.826169 91.60231) (xy 106.8436 91.514676)
(xy 106.8436 91.425324) (xy 106.826169 91.33769) (xy 106.8091 91.296483) (xy 106.8091 89.22058) (xy 106.811127 89.2)
(xy 106.803035 89.117842) (xy 106.799522 89.106262) (xy 106.779071 89.038842) (xy 106.740154 88.966034) (xy 106.687782 88.902218)
(xy 106.671794 88.889097) (xy 105.600907 87.818211) (xy 105.587782 87.802218) (xy 105.523966 87.749846) (xy 105.451158 87.710929)
(xy 105.372158 87.686965) (xy 105.31058 87.6809) (xy 105.29 87.678873) (xy 105.26942 87.6809) (xy 100.773525 87.6809)
(xy 100.732318 87.663831) (xy 100.644684 87.6464) (xy 100.555332 87.6464) (xy 100.467698 87.663831) (xy 100.426491 87.6809)
(xy 91.973517 87.6809) (xy 91.93231 87.663831) (xy 91.844676 87.6464) (xy 91.755324 87.6464) (xy 91.66769 87.663831)
(xy 91.58514 87.698025) (xy 91.510847 87.747666) (xy 91.447666 87.810847) (xy 91.398025 87.88514) (xy 91.363831 87.96769)
(xy 91.3464 88.055324) (xy 49.5191 88.055324) (xy 49.5191 87.045324) (xy 56.7064 87.045324) (xy 56.7064 87.134676)
(xy 56.723831 87.22231) (xy 56.758025 87.30486) (xy 56.807666 87.379153) (xy 56.870847 87.442334) (xy 56.94514 87.491975)
(xy 57.02769 87.526169) (xy 57.115324 87.5436) (xy 57.204676 87.5436) (xy 57.29231 87.526169) (xy 57.37486 87.491975)
(xy 57.449153 87.442334) (xy 57.512334 87.379153) (xy 57.561975 87.30486) (xy 57.596169 87.22231) (xy 57.6136 87.134676)
(xy 57.6136 87.126422) (xy 57.6191 87.07058) (xy 57.6191 84.713517) (xy 57.636169 84.67231) (xy 57.6536 84.584676)
(xy 57.6536 84.495324) (xy 57.636169 84.40769) (xy 57.601975 84.32514) (xy 57.552334 84.250847) (xy 57.489153 84.187666)
(xy 57.41486 84.138025) (xy 57.33231 84.103831) (xy 57.244676 84.0864) (xy 57.155324 84.0864) (xy 57.06769 84.103831)
(xy 56.98514 84.138025) (xy 56.910847 84.187666) (xy 56.847666 84.250847) (xy 56.798025 84.32514) (xy 56.763831 84.40769)
(xy 56.7464 84.495324) (xy 56.7464 84.584676) (xy 56.763831 84.67231) (xy 56.7809 84.713518) (xy 56.780901 86.840904)
(xy 56.758025 86.87514) (xy 56.723831 86.95769) (xy 56.7064 87.045324) (xy 49.5191 87.045324) (xy 49.5191 84.820579)
(xy 49.521127 84.799999) (xy 49.513035 84.717842) (xy 49.511644 84.713258) (xy 49.489071 84.638842) (xy 49.450154 84.566034)
(xy 49.397782 84.502218) (xy 49.381794 84.489097) (xy 49.134044 84.241348) (xy 49.116975 84.20014) (xy 49.067334 84.125847)
(xy 49.004153 84.062666) (xy 48.92986 84.013025) (xy 48.84731 83.978831) (xy 48.759676 83.9614) (xy 48.670324 83.9614)
(xy 48.58269 83.978831) (xy 48.50014 84.013025) (xy 48.425847 84.062666) (xy 48.362666 84.125847) (xy 48.313025 84.20014)
(xy 48.278831 84.28269) (xy 48.2614 84.370324) (xy 44.9762 84.370324) (xy 44.9762 63.1) (xy 44.974736 63.085134)
(xy 44.9704 63.07084) (xy 44.963358 63.057666) (xy 44.953882 63.046118) (xy 44.942334 63.036642) (xy 44.92916 63.0296)
(xy 44.914866 63.025264) (xy 44.9 63.0238) (xy 38.7536 63.0238) (xy 38.7536 57.955338) (xy 39.6864 57.955338)
(xy 39.6864 58.04469) (xy 39.703831 58.132324) (xy 39.738025 58.214874) (xy 39.787666 58.289167) (xy 39.850847 58.352348)
(xy 39.92514 58.401989) (xy 40.00769 58.436183) (xy 40.095324 58.453614) (xy 40.184676 58.453614) (xy 40.27231 58.436183)
(xy 40.313517 58.419114) (xy 40.784616 58.419114) (xy 45.2809 62.915399) (xy 45.280901 66.87941) (xy 45.278873 66.9)
(xy 45.286965 66.982157) (xy 45.31093 67.061158) (xy 45.349846 67.133965) (xy 45.361787 67.148515) (xy 45.402219 67.197782)
(xy 45.418206 67.210902) (xy 48.980956 70.773653) (xy 48.998025 70.81486) (xy 49.047666 70.889153) (xy 49.110847 70.952334)
(xy 49.18514 71.001975) (xy 49.26769 71.036169) (xy 49.355324 71.0536) (xy 49.444676 71.0536) (xy 49.53231 71.036169)
(xy 49.61486 71.001975) (xy 49.689153 70.952334) (xy 49.752334 70.889153) (xy 49.801975 70.81486) (xy 49.836169 70.73231)
(xy 49.8536 70.644676) (xy 49.8536 70.555324) (xy 49.836169 70.46769) (xy 49.801975 70.38514) (xy 49.752334 70.310847)
(xy 49.689153 70.247666) (xy 49.61486 70.198025) (xy 49.573653 70.180956) (xy 46.1191 66.726404) (xy 46.1191 62.762382)
(xy 46.121127 62.741802) (xy 46.113035 62.659644) (xy 46.089071 62.580645) (xy 46.089071 62.580644) (xy 46.050154 62.507836)
(xy 45.997782 62.44402) (xy 45.981796 62.430901) (xy 41.269119 57.718225) (xy 41.255994 57.702232) (xy 41.192178 57.64986)
(xy 41.11937 57.610943) (xy 41.04037 57.586979) (xy 40.978792 57.580914) (xy 40.958212 57.578887) (xy 40.937632 57.580914)
(xy 40.313517 57.580914) (xy 40.27231 57.563845) (xy 40.184676 57.546414) (xy 40.095324 57.546414) (xy 40.00769 57.563845)
(xy 39.92514 57.598039) (xy 39.850847 57.64768) (xy 39.787666 57.710861) (xy 39.738025 57.785154) (xy 39.703831 57.867704)
(xy 39.6864 57.955338) (xy 38.7536 57.955338) (xy 38.7536 40.012407) (xy 38.7714 39.83087) (xy 38.7714 40.268744)
(xy 38.876258 40.795903) (xy 39.081946 41.292477) (xy 39.380558 41.739381) (xy 39.760619 42.119442) (xy 40.207523 42.418054)
(xy 40.704097 42.623742) (xy 41.231256 42.7286) (xy 41.768744 42.7286) (xy 42.295903 42.623742) (xy 42.792477 42.418054)
(xy 43.239381 42.119442) (xy 43.619442 41.739381) (xy 43.918054 41.292477) (xy 44.123742 40.795903) (xy 44.2286 40.268744)
(xy 44.2286 39.731256) (xy 44.123742 39.204097) (xy 43.918054 38.707523) (xy 43.84621 38.6) (xy 45.942681 38.6)
(xy 45.944401 38.617466) (xy 45.9444 55.332545) (xy 45.942681 55.35) (xy 45.9444 55.367455) (xy 45.9444 55.367462)
(xy 45.949546 55.419709) (xy 45.969879 55.486739) (xy 46.002899 55.548515) (xy 46.047337 55.602662) (xy 46.060902 55.613795)
(xy 47.094401 56.647295) (xy 47.0944 66.432545) (xy 47.092681 66.45) (xy 47.0944 66.467455) (xy 47.0944 66.467462)
(xy 47.097027 66.494134) (xy 46.9056 66.302707) (xy 46.9056 57.934265) (xy 46.951975 57.86486) (xy 46.986169 57.78231)
(xy 47.0036 57.694676) (xy 47.0036 57.605324) (xy 46.986169 57.51769) (xy 46.951975 57.43514) (xy 46.902334 57.360847)
(xy 46.839153 57.297666) (xy 46.76486 57.248025) (xy 46.68231 57.213831) (xy 46.594676 57.1964) (xy 46.505324 57.1964)
(xy 46.41769 57.213831) (xy 46.33514 57.248025) (xy 46.260847 57.297666) (xy 46.197666 57.360847) (xy 46.148025 57.43514)
(xy 46.113831 57.51769) (xy 46.0964 57.605324) (xy 46.0964 57.694676) (xy 46.113831 57.78231) (xy 46.148025 57.86486)
(xy 46.194401 57.934267) (xy 46.1944 66.432545) (xy 46.192681 66.45) (xy 46.1944 66.467455) (xy 46.1944 66.467462)
(xy 46.199546 66.519709) (xy 46.219879 66.586739) (xy 46.252899 66.648515) (xy 46.297337 66.702662) (xy 46.310902 66.713795)
(xy 51.744401 72.147296) (xy 51.7444 79.935735) (xy 51.698025 80.00514) (xy 51.663831 80.08769) (xy 51.6464 80.175324)
(xy 51.6464 80.264676) (xy 51.663831 80.35231) (xy 51.698025 80.43486) (xy 51.747666 80.509153) (xy 51.810847 80.572334)
(xy 51.88514 80.621975) (xy 51.96769 80.656169) (xy 52.055324 80.6736) (xy 52.144676 80.6736) (xy 52.23231 80.656169)
(xy 52.31486 80.621975) (xy 52.389153 80.572334) (xy 52.452334 80.509153) (xy 52.501975 80.43486) (xy 52.536169 80.35231)
(xy 52.5536 80.264676) (xy 52.5536 80.175324) (xy 52.536169 80.08769) (xy 52.501975 80.00514) (xy 52.4556 79.935735)
(xy 52.4556 72.017452) (xy 52.457319 71.999999) (xy 52.4556 71.982546) (xy 52.4556 71.982537) (xy 52.452973 71.955867)
(xy 55.549401 75.052295) (xy 55.5494 79.950735) (xy 55.503025 80.02014) (xy 55.468831 80.10269) (xy 55.4514 80.190324)
(xy 55.4514 80.279676) (xy 55.468831 80.36731) (xy 55.503025 80.44986) (xy 55.552666 80.524153) (xy 55.615847 80.587334)
(xy 55.69014 80.636975) (xy 55.77269 80.671169) (xy 55.860324 80.6886) (xy 55.949676 80.6886) (xy 56.03731 80.671169)
(xy 56.11986 80.636975) (xy 56.194153 80.587334) (xy 56.257334 80.524153) (xy 56.306975 80.44986) (xy 56.341169 80.36731)
(xy 56.3586 80.279676) (xy 56.3586 80.190324) (xy 56.341169 80.10269) (xy 56.306975 80.02014) (xy 56.2606 79.950735)
(xy 56.2606 74.922455) (xy 56.262319 74.905) (xy 56.2606 74.887545) (xy 56.2606 74.887537) (xy 56.255454 74.83529)
(xy 56.235121 74.76826) (xy 56.202101 74.706484) (xy 56.18781 74.689071) (xy 56.168795 74.665901) (xy 56.168794 74.6659)
(xy 56.157663 74.652337) (xy 56.1441 74.641206) (xy 47.8056 66.302707) (xy 47.8056 56.517455) (xy 47.807319 56.5)
(xy 47.8056 56.482545) (xy 47.8056 56.482537) (xy 47.800454 56.43029) (xy 47.780121 56.36326) (xy 47.747101 56.301484)
(xy 47.702663 56.247337) (xy 47.6891 56.236206) (xy 46.6556 55.202707) (xy 46.6556 49.055324) (xy 47.2464 49.055324)
(xy 47.2464 49.144676) (xy 47.263831 49.23231) (xy 47.298025 49.31486) (xy 47.347666 49.389153) (xy 47.410847 49.452334)
(xy 47.48514 49.501975) (xy 47.56769 49.536169) (xy 47.655324 49.5536) (xy 47.744676 49.5536) (xy 47.83231 49.536169)
(xy 47.91486 49.501975) (xy 47.989153 49.452334) (xy 48.052334 49.389153) (xy 48.101975 49.31486) (xy 48.136169 49.23231)
(xy 48.1536 49.144676) (xy 48.1536 49.055324) (xy 48.136169 48.96769) (xy 48.101975 48.88514) (xy 48.0556 48.815735)
(xy 48.0556 41.147293) (xy 50.747295 38.4556) (xy 51.881593 38.4556) (xy 51.911734 38.500709) (xy 51.999291 38.588266)
(xy 52.102246 38.657059) (xy 52.216644 38.704444) (xy 52.338088 38.7286) (xy 52.461912 38.7286) (xy 52.583356 38.704444)
(xy 52.697754 38.657059) (xy 52.714155 38.6461) (xy 60.873799 38.6461) (xy 62.753901 40.526203) (xy 62.7539 54.973798)
(xy 57.882389 59.84531) (xy 57.872883 59.856893) (xy 55.906969 59.856893) (xy 55.889516 59.855174) (xy 55.872063 59.856893)
(xy 55.872054 59.856893) (xy 55.819807 59.862039) (xy 55.752777 59.882372) (xy 55.726532 59.8964) (xy 55.707334 59.8964)
(xy 55.6197 59.913831) (xy 55.53715 59.948025) (xy 55.480601 59.98581) (xy 55.480601 59.322292) (xy 55.697569 59.105324)
(xy 57.3964 59.105324) (xy 57.3964 59.194676) (xy 57.413831 59.28231) (xy 57.448025 59.36486) (xy 57.497666 59.439153)
(xy 57.560847 59.502334) (xy 57.63514 59.551975) (xy 57.71769 59.586169) (xy 57.805324 59.6036) (xy 57.894676 59.6036)
(xy 57.98231 59.586169) (xy 58.06486 59.551975) (xy 58.139153 59.502334) (xy 58.202334 59.439153) (xy 58.251975 59.36486)
(xy 58.286169 59.28231) (xy 58.3036 59.194676) (xy 58.3036 59.105324) (xy 58.286169 59.01769) (xy 58.251975 58.93514)
(xy 58.2056 58.865735) (xy 58.2056 48.947293) (xy 58.90044 48.252453) (xy 58.98231 48.236169) (xy 59.06486 48.201975)
(xy 59.139153 48.152334) (xy 59.202334 48.089153) (xy 59.251975 48.01486) (xy 59.286169 47.93231) (xy 59.3036 47.844676)
(xy 59.3036 47.755324) (xy 59.286169 47.66769) (xy 59.251975 47.58514) (xy 59.202334 47.510847) (xy 59.139153 47.447666)
(xy 59.06486 47.398025) (xy 58.98231 47.363831) (xy 58.894676 47.3464) (xy 58.805324 47.3464) (xy 58.71769 47.363831)
(xy 58.63514 47.398025) (xy 58.560847 47.447666) (xy 58.497666 47.510847) (xy 58.448025 47.58514) (xy 58.413831 47.66769)
(xy 58.397547 47.74956) (xy 57.610901 48.536206) (xy 57.597338 48.547337) (xy 57.5529 48.601484) (xy 57.53639 48.632372)
(xy 57.51988 48.663259) (xy 57.499546 48.73029) (xy 57.492681 48.8) (xy 57.494401 48.817466) (xy 57.4944 58.865735)
(xy 57.448025 58.93514) (xy 57.413831 59.01769) (xy 57.3964 59.105324) (xy 55.697569 59.105324) (xy 56.539104 58.26379)
(xy 56.552662 58.252663) (xy 56.56379 58.239104) (xy 56.563795 58.239099) (xy 56.597101 58.198516) (xy 56.63012 58.136741)
(xy 56.630121 58.13674) (xy 56.650454 58.06971) (xy 56.6556 58.017463) (xy 56.6556 58.017455) (xy 56.657319 58)
(xy 56.6556 57.982545) (xy 56.6556 48.056113) (xy 56.686171 47.98231) (xy 56.703602 47.894676) (xy 56.703602 47.805324)
(xy 56.686171 47.71769) (xy 56.651977 47.63514) (xy 56.602336 47.560847) (xy 56.539155 47.497666) (xy 56.464862 47.448025)
(xy 56.382312 47.413831) (xy 56.294678 47.3964) (xy 56.205326 47.3964) (xy 56.117692 47.413831) (xy 56.035142 47.448025)
(xy 55.960849 47.497666) (xy 55.897668 47.560847) (xy 55.848027 47.63514) (xy 55.813833 47.71769) (xy 55.796402 47.805324)
(xy 55.796402 47.894676) (xy 55.813833 47.98231) (xy 55.848027 48.06486) (xy 55.897668 48.139153) (xy 55.944401 48.185886)
(xy 55.9444 57.852706) (xy 54.885902 58.911205) (xy 54.880599 58.915557) (xy 54.880599 51.222294) (xy 55.300441 50.802453)
(xy 55.38231 50.786169) (xy 55.46486 50.751975) (xy 55.539153 50.702334) (xy 55.602334 50.639153) (xy 55.651975 50.56486)
(xy 55.686169 50.48231) (xy 55.7036 50.394676) (xy 55.7036 50.305324) (xy 55.686169 50.21769) (xy 55.651975 50.13514)
(xy 55.602334 50.060847) (xy 55.539153 49.997666) (xy 55.46486 49.948025) (xy 55.38231 49.913831) (xy 55.294676 49.8964)
(xy 55.205324 49.8964) (xy 55.11769 49.913831) (xy 55.03514 49.948025) (xy 54.960847 49.997666) (xy 54.897666 50.060847)
(xy 54.848025 50.13514) (xy 54.813831 50.21769) (xy 54.797547 50.299559) (xy 54.2859 50.811207) (xy 54.272337 50.822338)
(xy 54.261206 50.835901) (xy 54.261204 50.835903) (xy 54.259347 50.838166) (xy 54.227899 50.876485) (xy 54.211389 50.907373)
(xy 54.194879 50.93826) (xy 54.174545 51.005291) (xy 54.16768 51.075001) (xy 54.1694 51.092467) (xy 54.169399 66.907544)
(xy 54.168596 66.915702) (xy 54.0556 66.802707) (xy 54.0556 48.134265) (xy 54.101975 48.06486) (xy 54.136169 47.98231)
(xy 54.1536 47.894676) (xy 54.1536 47.805324) (xy 54.136169 47.71769) (xy 54.101975 47.63514) (xy 54.052334 47.560847)
(xy 53.989153 47.497666) (xy 53.91486 47.448025) (xy 53.83231 47.413831) (xy 53.744676 47.3964) (xy 53.655324 47.3964)
(xy 53.56769 47.413831) (xy 53.48514 47.448025) (xy 53.410847 47.497666) (xy 53.347666 47.560847) (xy 53.298025 47.63514)
(xy 53.263831 47.71769) (xy 53.2556 47.759071) (xy 53.2556 45.047293) (xy 55.047295 43.2556) (xy 59.715735 43.2556)
(xy 59.78514 43.301975) (xy 59.86769 43.336169) (xy 59.955324 43.3536) (xy 60.044676 43.3536) (xy 60.13231 43.336169)
(xy 60.21486 43.301975) (xy 60.289153 43.252334) (xy 60.352334 43.189153) (xy 60.401975 43.11486) (xy 60.436169 43.03231)
(xy 60.4536 42.944676) (xy 60.4536 42.855324) (xy 60.436169 42.76769) (xy 60.401975 42.68514) (xy 60.352334 42.610847)
(xy 60.289153 42.547666) (xy 60.21486 42.498025) (xy 60.13231 42.463831) (xy 60.044676 42.4464) (xy 59.955324 42.4464)
(xy 59.86769 42.463831) (xy 59.78514 42.498025) (xy 59.715735 42.5444) (xy 54.917455 42.5444) (xy 54.899999 42.542681)
(xy 54.882544 42.5444) (xy 54.882537 42.5444) (xy 54.837187 42.548867) (xy 54.830289 42.549546) (xy 54.801102 42.5584)
(xy 54.76326 42.569879) (xy 54.701484 42.602899) (xy 54.701482 42.6029) (xy 54.701483 42.6029) (xy 54.6609 42.636205)
(xy 54.660895 42.63621) (xy 54.647337 42.647337) (xy 54.63621 42.660895) (xy 52.660901 44.636206) (xy 52.647338 44.647337)
(xy 52.6029 44.701484) (xy 52.58639 44.732372) (xy 52.56988 44.763259) (xy 52.549546 44.83029) (xy 52.542681 44.9)
(xy 52.544401 44.917466) (xy 52.544401 49.941507) (xy 50.452454 47.849561) (xy 50.436169 47.76769) (xy 50.401975 47.68514)
(xy 50.352334 47.610847) (xy 50.289153 47.547666) (xy 50.21486 47.498025) (xy 50.13231 47.463831) (xy 50.044676 47.4464)
(xy 49.955324 47.4464) (xy 49.86769 47.463831) (xy 49.78514 47.498025) (xy 49.710847 47.547666) (xy 49.647666 47.610847)
(xy 49.598025 47.68514) (xy 49.563831 47.76769) (xy 49.5464 47.855324) (xy 49.5464 47.944676) (xy 49.563831 48.03231)
(xy 49.598025 48.11486) (xy 49.647666 48.189153) (xy 49.710847 48.252334) (xy 49.78514 48.301975) (xy 49.86769 48.336169)
(xy 49.949561 48.352454) (xy 52.0194 50.422294) (xy 52.0194 51.586388) (xy 52.002334 51.560847) (xy 51.939153 51.497666)
(xy 51.86486 51.448025) (xy 51.78231 51.413831) (xy 51.694676 51.3964) (xy 51.605324 51.3964) (xy 51.51769 51.413831)
(xy 51.43514 51.448025) (xy 51.360847 51.497666) (xy 51.297666 51.560847) (xy 51.248025 51.63514) (xy 51.213831 51.71769)
(xy 51.197546 51.799561) (xy 51.185903 51.811204) (xy 51.172338 51.822337) (xy 51.161207 51.8359) (xy 51.161206 51.835901)
(xy 51.159895 51.837498) (xy 51.1279 51.876484) (xy 51.09488 51.93826) (xy 51.091358 51.949871) (xy 51.089153 51.947666)
(xy 51.01486 51.898025) (xy 50.93231 51.863831) (xy 50.844676 51.8464) (xy 50.755324 51.8464) (xy 50.66769 51.863831)
(xy 50.58514 51.898025) (xy 50.510847 51.947666) (xy 50.447666 52.010847) (xy 50.398025 52.08514) (xy 50.363831 52.16769)
(xy 50.3464 52.255324) (xy 50.3464 52.344676) (xy 50.363831 52.43231) (xy 50.398025 52.51486) (xy 50.444401 52.584267)
(xy 50.4444 66.832545) (xy 50.442681 66.85) (xy 50.4444 66.867455) (xy 50.4444 66.867462) (xy 50.44741 66.898025)
(xy 50.449546 66.91971) (xy 50.456448 66.942461) (xy 50.461278 66.958385) (xy 50.1556 66.652707) (xy 50.1556 52.584265)
(xy 50.201975 52.51486) (xy 50.236169 52.43231) (xy 50.2536 52.344676) (xy 50.2536 52.255324) (xy 50.236169 52.16769)
(xy 50.201975 52.08514) (xy 50.152334 52.010847) (xy 50.089153 51.947666) (xy 50.01486 51.898025) (xy 49.93231 51.863831)
(xy 49.844676 51.8464) (xy 49.755324 51.8464) (xy 49.66769 51.863831) (xy 49.58514 51.898025) (xy 49.510847 51.947666)
(xy 49.447666 52.010847) (xy 49.398025 52.08514) (xy 49.363831 52.16769) (xy 49.3464 52.255324) (xy 49.3464 52.344676)
(xy 49.363831 52.43231) (xy 49.398025 52.51486) (xy 49.444401 52.584267) (xy 49.4444 59.689554) (xy 49.38231 59.663835)
(xy 49.294676 59.646404) (xy 49.205324 59.646404) (xy 49.11769 59.663835) (xy 49.03514 59.698029) (xy 48.960847 59.74767)
(xy 48.897666 59.810851) (xy 48.848025 59.885144) (xy 48.813831 59.967694) (xy 48.7964 60.055328) (xy 48.7964 60.14468)
(xy 48.813831 60.232314) (xy 48.848025 60.314864) (xy 48.894401 60.384271) (xy 48.8944 67.132545) (xy 48.892681 67.15)
(xy 48.8944 67.167455) (xy 48.8944 67.167462) (xy 48.89765 67.200454) (xy 48.899546 67.21971) (xy 48.907855 67.247101)
(xy 48.919879 67.286739) (xy 48.952899 67.348515) (xy 48.997337 67.402662) (xy 49.010902 67.413795) (xy 56.994401 75.397295)
(xy 56.9944 78.765735) (xy 56.948025 78.83514) (xy 56.913831 78.91769) (xy 56.8964 79.005324) (xy 56.8964 79.094676)
(xy 56.913831 79.18231) (xy 56.948025 79.26486) (xy 56.997666 79.339153) (xy 57.060847 79.402334) (xy 57.13514 79.451975)
(xy 57.21769 79.486169) (xy 57.305324 79.5036) (xy 57.394676 79.5036) (xy 57.48231 79.486169) (xy 57.56486 79.451975)
(xy 57.639153 79.402334) (xy 57.702334 79.339153) (xy 57.751975 79.26486) (xy 57.786169 79.18231) (xy 57.8036 79.094676)
(xy 57.8036 79.005324) (xy 57.786169 78.91769) (xy 57.751975 78.83514) (xy 57.7056 78.765735) (xy 57.7056 75.267455)
(xy 57.707319 75.25) (xy 57.7056 75.232544) (xy 57.7056 75.232537) (xy 57.702973 75.205868) (xy 57.944401 75.447296)
(xy 57.9444 82.382545) (xy 57.942681 82.4) (xy 57.9444 82.417455) (xy 57.9444 82.417462) (xy 57.949546 82.469709)
(xy 57.969879 82.536739) (xy 58.002899 82.598515) (xy 58.047337 82.652662) (xy 58.060902 82.663795) (xy 60.297547 84.900442)
(xy 60.313831 84.98231) (xy 60.348025 85.06486) (xy 60.397666 85.139153) (xy 60.460847 85.202334) (xy 60.53514 85.251975)
(xy 60.61769 85.286169) (xy 60.705324 85.3036) (xy 60.794676 85.3036) (xy 60.88231 85.286169) (xy 60.96486 85.251975)
(xy 61.039153 85.202334) (xy 61.102334 85.139153) (xy 61.151975 85.06486) (xy 61.186169 84.98231) (xy 61.2036 84.894676)
(xy 61.2036 84.805324) (xy 61.186169 84.71769) (xy 61.151975 84.63514) (xy 61.102334 84.560847) (xy 61.039153 84.497666)
(xy 60.96486 84.448025) (xy 60.88231 84.413831) (xy 60.800442 84.397547) (xy 58.6556 82.252707) (xy 58.6556 75.317452)
(xy 58.657319 75.299999) (xy 58.6556 75.282546) (xy 58.6556 75.282537) (xy 58.650454 75.23029) (xy 58.630121 75.16326)
(xy 58.597101 75.101484) (xy 58.589863 75.092665) (xy 58.563795 75.0609) (xy 58.56379 75.060895) (xy 58.552663 75.047337)
(xy 58.539105 75.03621) (xy 53.106494 69.6036) (xy 53.144676 69.6036) (xy 53.23231 69.586169) (xy 53.31486 69.551975)
(xy 53.389153 69.502334) (xy 53.452334 69.439153) (xy 53.501975 69.36486) (xy 53.536169 69.28231) (xy 53.5536 69.194676)
(xy 53.5536 69.105324) (xy 53.536169 69.01769) (xy 53.501975 68.93514) (xy 53.452334 68.860847) (xy 53.389153 68.797666)
(xy 53.31486 68.748025) (xy 53.23231 68.713831) (xy 53.150442 68.697547) (xy 52.954534 68.501639) (xy 53.03231 68.486169)
(xy 53.11486 68.451975) (xy 53.189153 68.402334) (xy 53.252334 68.339153) (xy 53.301975 68.26486) (xy 53.336169 68.18231)
(xy 53.3536 68.094676) (xy 53.3536 68.056494) (xy 54.044401 68.747296) (xy 54.0444 69.615735) (xy 53.998025 69.68514)
(xy 53.963831 69.76769) (xy 53.9464 69.855324) (xy 53.9464 69.944676) (xy 53.963831 70.03231) (xy 53.998025 70.11486)
(xy 54.047666 70.189153) (xy 54.110847 70.252334) (xy 54.18514 70.301975) (xy 54.26769 70.336169) (xy 54.355324 70.3536)
(xy 54.444676 70.3536) (xy 54.53231 70.336169) (xy 54.61486 70.301975) (xy 54.689153 70.252334) (xy 54.752334 70.189153)
(xy 54.801975 70.11486) (xy 54.836169 70.03231) (xy 54.8536 69.944676) (xy 54.8536 69.855324) (xy 54.836169 69.76769)
(xy 54.801975 69.68514) (xy 54.7556 69.615735) (xy 54.7556 68.617452) (xy 54.757319 68.599999) (xy 54.7556 68.582546)
(xy 54.7556 68.582537) (xy 54.750454 68.53029) (xy 54.738723 68.491616) (xy 57.544401 71.297295) (xy 57.5444 71.645736)
(xy 57.498025 71.715142) (xy 57.463831 71.797692) (xy 57.4464 71.885326) (xy 57.4464 71.974678) (xy 57.463831 72.062312)
(xy 57.498025 72.144862) (xy 57.547666 72.219155) (xy 57.610847 72.282336) (xy 57.68514 72.331977) (xy 57.76769 72.366171)
(xy 57.855324 72.383602) (xy 57.944676 72.383602) (xy 58.03231 72.366171) (xy 58.11486 72.331977) (xy 58.189153 72.282336)
(xy 58.252334 72.219155) (xy 58.301975 72.144862) (xy 58.336169 72.062312) (xy 58.3536 71.974678) (xy 58.3536 71.885326)
(xy 58.336169 71.797692) (xy 58.301975 71.715142) (xy 58.2556 71.645737) (xy 58.2556 71.167455) (xy 58.256403 71.159298)
(xy 58.422547 71.325442) (xy 58.438831 71.40731) (xy 58.473025 71.48986) (xy 58.522666 71.564153) (xy 58.585847 71.627334)
(xy 58.66014 71.676975) (xy 58.74269 71.711169) (xy 58.830324 71.7286) (xy 58.919676 71.7286) (xy 59.00731 71.711169)
(xy 59.08986 71.676975) (xy 59.164153 71.627334) (xy 59.227334 71.564153) (xy 59.276975 71.48986) (xy 59.2809 71.480384)
(xy 59.280901 80.01648) (xy 59.263831 80.05769) (xy 59.2464 80.145324) (xy 59.2464 80.234676) (xy 59.263831 80.32231)
(xy 59.298025 80.40486) (xy 59.347666 80.479153) (xy 59.410847 80.542334) (xy 59.48514 80.591975) (xy 59.56769 80.626169)
(xy 59.655324 80.6436) (xy 59.744676 80.6436) (xy 59.83231 80.626169) (xy 59.91486 80.591975) (xy 59.989153 80.542334)
(xy 60.052334 80.479153) (xy 60.101975 80.40486) (xy 60.136169 80.32231) (xy 60.1536 80.234676) (xy 60.1536 80.145324)
(xy 60.136169 80.05769) (xy 60.1191 80.016483) (xy 60.1191 71.02058) (xy 60.121127 71) (xy 60.113035 70.917842)
(xy 60.089071 70.838842) (xy 60.050154 70.766034) (xy 59.997782 70.702218) (xy 59.981796 70.689099) (xy 56.8191 67.526404)
(xy 56.8191 64.523596) (xy 61.473597 59.8691) (xy 79.25497 59.8691) (xy 79.18514 59.898025) (xy 79.110847 59.947666)
(xy 79.047666 60.010847) (xy 78.998025 60.08514) (xy 78.963831 60.16769) (xy 78.9464 60.255324) (xy 78.9464 60.344676)
(xy 78.963831 60.43231) (xy 78.998025 60.51486) (xy 79.047666 60.589153) (xy 79.110847 60.652334) (xy 79.153599 60.6809)
(xy 60.880579 60.6809) (xy 60.859999 60.678873) (xy 60.777842 60.686965) (xy 60.698842 60.710929) (xy 60.69884 60.71093)
(xy 60.657485 60.733035) (xy 60.626034 60.749846) (xy 60.562218 60.802218) (xy 60.549098 60.818206) (xy 57.018206 64.349098)
(xy 57.002219 64.362218) (xy 56.979162 64.390313) (xy 56.949846 64.426035) (xy 56.91093 64.498842) (xy 56.886965 64.577843)
(xy 56.878873 64.66) (xy 56.880901 64.68059) (xy 56.8809 67.126482) (xy 56.863831 67.16769) (xy 56.8464 67.255324)
(xy 56.8464 67.344676) (xy 56.863831 67.43231) (xy 56.898025 67.51486) (xy 56.947666 67.589153) (xy 57.010847 67.652334)
(xy 57.08514 67.701975) (xy 57.16769 67.736169) (xy 57.255324 67.7536) (xy 57.344676 67.7536) (xy 57.43231 67.736169)
(xy 57.51486 67.701975) (xy 57.589153 67.652334) (xy 57.652334 67.589153) (xy 57.701975 67.51486) (xy 57.736169 67.43231)
(xy 57.7536 67.344676) (xy 57.7536 67.255324) (xy 57.736169 67.16769) (xy 57.7191 67.126483) (xy 57.7191 64.833596)
(xy 61.033597 61.5191) (xy 84.027613 61.5191) (xy 84.039413 61.5309) (xy 77.640579 61.5309) (xy 77.619999 61.528873)
(xy 77.576066 61.5332) (xy 77.537842 61.536965) (xy 77.491904 61.5509) (xy 63.380569 61.5509) (xy 63.359999 61.548874)
(xy 63.339429 61.5509) (xy 63.33942 61.5509) (xy 63.277842 61.556965) (xy 63.198842 61.580929) (xy 63.126034 61.619846)
(xy 63.062218 61.672218) (xy 63.049099 61.688204) (xy 58.618206 66.119098) (xy 58.602219 66.132218) (xy 58.5891 66.148204)
(xy 58.549846 66.196035) (xy 58.51093 66.268842) (xy 58.486965 66.347843) (xy 58.478873 66.43) (xy 58.480901 66.45059)
(xy 58.4809 68.626482) (xy 58.463831 68.66769) (xy 58.4464 68.755324) (xy 58.4464 68.844676) (xy 58.463831 68.93231)
(xy 58.498025 69.01486) (xy 58.547666 69.089153) (xy 58.610847 69.152334) (xy 58.68514 69.201975) (xy 58.76769 69.236169)
(xy 58.855324 69.2536) (xy 58.944676 69.2536) (xy 59.03231 69.236169) (xy 59.11486 69.201975) (xy 59.189153 69.152334)
(xy 59.252334 69.089153) (xy 59.301975 69.01486) (xy 59.336169 68.93231) (xy 59.3536 68.844676) (xy 59.3536 68.755324)
(xy 59.336169 68.66769) (xy 59.3191 68.626483) (xy 59.3191 66.603596) (xy 63.533597 62.3891) (xy 77.57942 62.3891)
(xy 77.6 62.391127) (xy 77.62058 62.3891) (xy 77.682158 62.383035) (xy 77.728096 62.3691) (xy 82.578567 62.3691)
(xy 82.520849 62.407666) (xy 82.477615 62.4509) (xy 64.38058 62.4509) (xy 64.36 62.448873) (xy 64.33942 62.4509)
(xy 64.277842 62.456965) (xy 64.198842 62.480929) (xy 64.126034 62.519846) (xy 64.062218 62.572218) (xy 64.049093 62.588211)
(xy 59.64862 66.988684) (xy 59.632627 67.001809) (xy 59.580255 67.065626) (xy 59.541338 67.138434) (xy 59.523335 67.197782)
(xy 59.517374 67.217434) (xy 59.509282 67.299591) (xy 59.511309 67.320171) (xy 59.51131 67.85689) (xy 59.49424 67.898099)
(xy 59.476809 67.985733) (xy 59.476809 68.075085) (xy 59.49424 68.162719) (xy 59.528434 68.245269) (xy 59.578075 68.319562)
(xy 59.641256 68.382743) (xy 59.715549 68.432384) (xy 59.798099 68.466578) (xy 59.885733 68.484009) (xy 59.975085 68.484009)
(xy 60.062719 68.466578) (xy 60.145269 68.432384) (xy 60.219562 68.382743) (xy 60.282743 68.319562) (xy 60.332384 68.245269)
(xy 60.366578 68.162719) (xy 60.384009 68.075085) (xy 60.384009 67.985733) (xy 60.377961 67.955324) (xy 60.5464 67.955324)
(xy 60.5464 68.044676) (xy 60.563831 68.13231) (xy 60.5809 68.173517) (xy 60.580901 80.04941) (xy 60.578873 80.07)
(xy 60.586965 80.152157) (xy 60.61093 80.231158) (xy 60.649846 80.303965) (xy 60.657943 80.313831) (xy 60.702219 80.367782)
(xy 60.718206 80.380902) (xy 65.7209 85.383596) (xy 65.720901 86.736474) (xy 65.703831 86.777684) (xy 65.6864 86.865318)
(xy 65.6864 86.95467) (xy 65.703831 87.042304) (xy 65.738025 87.124854) (xy 65.787666 87.199147) (xy 65.850847 87.262328)
(xy 65.92514 87.311969) (xy 66.00769 87.346163) (xy 66.095324 87.363594) (xy 66.184676 87.363594) (xy 66.27231 87.346163)
(xy 66.35486 87.311969) (xy 66.429153 87.262328) (xy 66.492334 87.199147) (xy 66.541975 87.124854) (xy 66.576169 87.042304)
(xy 66.5936 86.95467) (xy 66.5936 86.865318) (xy 66.576169 86.777684) (xy 66.5591 86.736477) (xy 66.5591 85.23058)
(xy 66.561127 85.21) (xy 66.553035 85.127842) (xy 66.543916 85.097781) (xy 66.529071 85.048842) (xy 66.490154 84.976034)
(xy 66.437782 84.912218) (xy 66.42179 84.899094) (xy 61.4191 79.896404) (xy 61.4191 68.173517) (xy 61.436169 68.13231)
(xy 61.4536 68.044676) (xy 61.4536 67.955324) (xy 61.436169 67.86769) (xy 61.401975 67.78514) (xy 61.352334 67.710847)
(xy 61.289153 67.647666) (xy 61.21486 67.598025) (xy 61.13231 67.563831) (xy 61.044676 67.5464) (xy 60.955324 67.5464)
(xy 60.86769 67.563831) (xy 60.78514 67.598025) (xy 60.710847 67.647666) (xy 60.647666 67.710847) (xy 60.598025 67.78514)
(xy 60.563831 67.86769) (xy 60.5464 67.955324) (xy 60.377961 67.955324) (xy 60.366578 67.898099) (xy 60.349509 67.856892)
(xy 60.349509 67.473187) (xy 61.467372 66.355324) (xy 68.2464 66.355324) (xy 68.2464 66.444676) (xy 68.263831 66.53231)
(xy 68.280901 66.57352) (xy 68.2809 72.82942) (xy 68.278873 72.85) (xy 68.282055 72.88231) (xy 68.286965 72.932157)
(xy 68.310929 73.011157) (xy 68.349846 73.083965) (xy 68.402218 73.147782) (xy 68.418211 73.160907) (xy 71.930956 76.673653)
(xy 71.948025 76.71486) (xy 71.997666 76.789153) (xy 72.060847 76.852334) (xy 72.13514 76.901975) (xy 72.21769 76.936169)
(xy 72.305324 76.9536) (xy 72.394676 76.9536) (xy 72.48231 76.936169) (xy 72.56486 76.901975) (xy 72.639153 76.852334)
(xy 72.702334 76.789153) (xy 72.751975 76.71486) (xy 72.786169 76.63231) (xy 72.8036 76.544676) (xy 72.8036 76.455324)
(xy 72.786169 76.36769) (xy 72.751975 76.28514) (xy 72.702334 76.210847) (xy 72.639153 76.147666) (xy 72.56486 76.098025)
(xy 72.523653 76.080956) (xy 69.1191 72.676404) (xy 69.1191 66.573517) (xy 69.136169 66.53231) (xy 69.1536 66.444676)
(xy 69.1536 66.355324) (xy 69.696398 66.355324) (xy 69.696398 66.444676) (xy 69.713829 66.53231) (xy 69.730899 66.57352)
(xy 69.730898 70.409418) (xy 69.728871 70.429998) (xy 69.734667 70.488842) (xy 69.736963 70.512155) (xy 69.760927 70.591155)
(xy 69.799844 70.663963) (xy 69.852216 70.72778) (xy 69.868209 70.740905) (xy 85.239093 86.111789) (xy 85.252218 86.127782)
(xy 85.316034 86.180154) (xy 85.388842 86.219071) (xy 85.461552 86.241127) (xy 85.467842 86.243035) (xy 85.55 86.251127)
(xy 85.57058 86.2491) (xy 103.53942 86.2491) (xy 103.56 86.251127) (xy 103.58058 86.2491) (xy 103.642158 86.243035)
(xy 103.721158 86.219071) (xy 103.793966 86.180154) (xy 103.857782 86.127782) (xy 103.870907 86.111789) (xy 105.651794 84.330903)
(xy 105.667782 84.317782) (xy 105.720154 84.253966) (xy 105.7409 84.215153) (xy 105.7409 84.336403) (xy 103.646402 86.430902)
(xy 96.963517 86.430902) (xy 96.92231 86.413833) (xy 96.834676 86.396402) (xy 96.745324 86.396402) (xy 96.65769 86.413833)
(xy 96.57514 86.448027) (xy 96.500847 86.497668) (xy 96.437666 86.560849) (xy 96.388025 86.635142) (xy 96.353831 86.717692)
(xy 96.3364 86.805326) (xy 96.3364 86.894678) (xy 96.353831 86.982312) (xy 96.388025 87.064862) (xy 96.437666 87.139155)
(xy 96.500847 87.202336) (xy 96.57514 87.251977) (xy 96.65769 87.286171) (xy 96.745324 87.303602) (xy 96.834676 87.303602)
(xy 96.92231 87.286171) (xy 96.963517 87.269102) (xy 103.799418 87.269102) (xy 103.819998 87.271129) (xy 103.840578 87.269102)
(xy 103.902156 87.263037) (xy 103.981156 87.239073) (xy 104.053964 87.200156) (xy 104.11778 87.147784) (xy 104.130905 87.131791)
(xy 106.441796 84.820901) (xy 106.457782 84.807782) (xy 106.510154 84.743966) (xy 106.549071 84.671158) (xy 106.573035 84.592158)
(xy 106.5791 84.53058) (xy 106.5791 84.530579) (xy 106.581127 84.51) (xy 106.5791 84.48942) (xy 106.5791 79.693596)
(xy 110.613597 75.6591) (xy 117.036483 75.6591) (xy 117.07769 75.676169) (xy 117.165324 75.6936) (xy 117.254676 75.6936)
(xy 117.34231 75.676169) (xy 117.42486 75.641975) (xy 117.499153 75.592334) (xy 117.562334 75.529153) (xy 117.611975 75.45486)
(xy 117.646169 75.37231) (xy 117.6636 75.284676) (xy 117.6636 75.195324) (xy 117.646169 75.10769) (xy 117.611975 75.02514)
(xy 117.562334 74.950847) (xy 117.499153 74.887666) (xy 117.42486 74.838025) (xy 117.34231 74.803831) (xy 117.254676 74.7864)
(xy 117.165324 74.7864) (xy 117.07769 74.803831) (xy 117.036483 74.8209) (xy 110.460579 74.8209) (xy 110.439999 74.818873)
(xy 110.388779 74.823918) (xy 110.493597 74.7191) (xy 113.016483 74.7191) (xy 113.05769 74.736169) (xy 113.145324 74.7536)
(xy 113.234676 74.7536) (xy 113.32231 74.736169) (xy 113.40486 74.701975) (xy 113.479153 74.652334) (xy 113.542334 74.589153)
(xy 113.591975 74.51486) (xy 113.626169 74.43231) (xy 113.6436 74.344676) (xy 113.6436 74.255324) (xy 113.626169 74.16769)
(xy 113.591975 74.08514) (xy 113.542334 74.010847) (xy 113.479153 73.947666) (xy 113.40486 73.898025) (xy 113.32231 73.863831)
(xy 113.234676 73.8464) (xy 113.145324 73.8464) (xy 113.05769 73.863831) (xy 113.016483 73.8809) (xy 110.340579 73.8809)
(xy 110.319999 73.878873) (xy 110.279872 73.882825) (xy 110.363597 73.7991) (xy 112.436483 73.7991) (xy 112.47769 73.816169)
(xy 112.565324 73.8336) (xy 112.654676 73.8336) (xy 112.74231 73.816169) (xy 112.82486 73.781975) (xy 112.899153 73.732334)
(xy 112.962334 73.669153) (xy 113.011975 73.59486) (xy 113.046169 73.51231) (xy 113.0636 73.424676) (xy 113.0636 73.335324)
(xy 113.046169 73.24769) (xy 113.011975 73.16514) (xy 112.962334 73.090847) (xy 112.899153 73.027666) (xy 112.82486 72.978025)
(xy 112.74231 72.943831) (xy 112.654676 72.9264) (xy 112.565324 72.9264) (xy 112.47769 72.943831) (xy 112.436483 72.9609)
(xy 110.210577 72.9609) (xy 110.189999 72.958873) (xy 110.169422 72.9609) (xy 110.16942 72.9609) (xy 110.107842 72.966965)
(xy 110.104813 72.967884) (xy 110.273597 72.7991) (xy 116.976483 72.7991) (xy 117.01769 72.816169) (xy 117.105324 72.8336)
(xy 117.194676 72.8336) (xy 117.28231 72.816169) (xy 117.36486 72.781975) (xy 117.439153 72.732334) (xy 117.502334 72.669153)
(xy 117.551975 72.59486) (xy 117.586169 72.51231) (xy 117.6036 72.424676) (xy 117.6036 72.335324) (xy 117.586169 72.24769)
(xy 117.551975 72.16514) (xy 117.502334 72.090847) (xy 117.439153 72.027666) (xy 117.36486 71.978025) (xy 117.28231 71.943831)
(xy 117.194676 71.9264) (xy 117.105324 71.9264) (xy 117.01769 71.943831) (xy 116.976483 71.9609) (xy 110.120579 71.9609)
(xy 110.099999 71.958873) (xy 110.017842 71.966965) (xy 109.938842 71.990929) (xy 109.866034 72.029846) (xy 109.802218 72.082218)
(xy 109.789098 72.098205) (xy 103.726404 78.1609) (xy 102.817574 78.1609) (xy 102.744676 78.1464) (xy 102.655324 78.1464)
(xy 102.56769 78.163831) (xy 102.48514 78.198025) (xy 102.410847 78.247666) (xy 102.347666 78.310847) (xy 102.298025 78.38514)
(xy 102.263831 78.46769) (xy 102.2464 78.555324) (xy 102.2464 78.644676) (xy 102.263831 78.73231) (xy 102.298025 78.81486)
(xy 102.347666 78.889153) (xy 102.410847 78.952334) (xy 102.48514 79.001975) (xy 102.56769 79.036169) (xy 102.655324 79.0536)
(xy 102.744676 79.0536) (xy 102.83231 79.036169) (xy 102.91486 79.001975) (xy 102.919163 78.9991) (xy 103.87942 78.9991)
(xy 103.9 79.001127) (xy 103.92058 78.9991) (xy 103.982158 78.993035) (xy 104.061158 78.969071) (xy 104.133966 78.930154)
(xy 104.15034 78.916716) (xy 104.146965 78.927843) (xy 104.138873 79.01) (xy 104.1409 79.03058) (xy 104.140901 83.536402)
(xy 103.146404 84.5309) (xy 86.223597 84.5309) (xy 72.1691 70.476404) (xy 72.1691 66.523517) (xy 72.186169 66.48231)
(xy 72.2036 66.394676) (xy 72.2036 66.305324) (xy 72.186169 66.21769) (xy 72.151975 66.13514) (xy 72.102334 66.060847)
(xy 72.039153 65.997666) (xy 71.96486 65.948025) (xy 71.88231 65.913831) (xy 71.794676 65.8964) (xy 71.705324 65.8964)
(xy 71.61769 65.913831) (xy 71.53514 65.948025) (xy 71.460847 65.997666) (xy 71.397666 66.060847) (xy 71.348025 66.13514)
(xy 71.313831 66.21769) (xy 71.2964 66.305324) (xy 71.2964 66.394676) (xy 71.313831 66.48231) (xy 71.330901 66.52352)
(xy 71.3309 70.62942) (xy 71.328873 70.65) (xy 71.3309 70.670579) (xy 71.336965 70.732157) (xy 71.360929 70.811157)
(xy 71.399846 70.883965) (xy 71.452218 70.947782) (xy 71.468211 70.960907) (xy 85.739098 85.231795) (xy 85.752218 85.247782)
(xy 85.816034 85.300154) (xy 85.888842 85.339071) (xy 85.967842 85.363035) (xy 86.05 85.371127) (xy 86.07058 85.3691)
(xy 103.29942 85.3691) (xy 103.32 85.371127) (xy 103.34058 85.3691) (xy 103.402158 85.363035) (xy 103.448251 85.349053)
(xy 103.386404 85.4109) (xy 85.723596 85.4109) (xy 70.569098 70.256402) (xy 70.569098 66.573517) (xy 70.586167 66.53231)
(xy 70.603598 66.444676) (xy 70.603598 66.355324) (xy 70.586167 66.26769) (xy 70.551973 66.18514) (xy 70.502332 66.110847)
(xy 70.439151 66.047666) (xy 70.364858 65.998025) (xy 70.282308 65.963831) (xy 70.194674 65.9464) (xy 70.105322 65.9464)
(xy 70.017688 65.963831) (xy 69.935138 65.998025) (xy 69.860845 66.047666) (xy 69.797664 66.110847) (xy 69.748023 66.18514)
(xy 69.713829 66.26769) (xy 69.696398 66.355324) (xy 69.1536 66.355324) (xy 69.136169 66.26769) (xy 69.101975 66.18514)
(xy 69.052334 66.110847) (xy 68.989153 66.047666) (xy 68.91486 65.998025) (xy 68.83231 65.963831) (xy 68.744676 65.9464)
(xy 68.655324 65.9464) (xy 68.56769 65.963831) (xy 68.48514 65.998025) (xy 68.410847 66.047666) (xy 68.347666 66.110847)
(xy 68.298025 66.18514) (xy 68.263831 66.26769) (xy 68.2464 66.355324) (xy 61.467372 66.355324) (xy 63.534608 64.288088)
(xy 64.5614 64.288088) (xy 64.5614 64.411912) (xy 64.585556 64.533356) (xy 64.632941 64.647754) (xy 64.701734 64.750709)
(xy 64.789291 64.838266) (xy 64.892246 64.907059) (xy 65.006644 64.954444) (xy 65.128088 64.9786) (xy 65.251912 64.9786)
(xy 65.373356 64.954444) (xy 65.487754 64.907059) (xy 65.504155 64.8961) (xy 71.973799 64.8961) (xy 73.481674 66.403976)
(xy 73.481673 70.730956) (xy 73.479032 70.757773) (xy 73.481673 70.78459) (xy 73.481673 70.784596) (xy 73.489575 70.864826)
(xy 73.520801 70.967766) (xy 73.571511 71.062638) (xy 73.639754 71.145792) (xy 73.660594 71.162895) (xy 77.267816 74.770118)
(xy 77.267822 74.770123) (xy 86.684882 84.187184) (xy 86.701981 84.208019) (xy 86.785135 84.276262) (xy 86.880006 84.326972)
(xy 86.982946 84.358198) (xy 87.063176 84.3661) (xy 87.063185 84.3661) (xy 87.089999 84.368741) (xy 87.116813 84.3661)
(xy 92.925845 84.3661) (xy 92.942246 84.377059) (xy 93.056644 84.424444) (xy 93.178088 84.4486) (xy 93.301912 84.4486)
(xy 93.423356 84.424444) (xy 93.537754 84.377059) (xy 93.640709 84.308266) (xy 93.728266 84.220709) (xy 93.797059 84.117754)
(xy 93.844444 84.003356) (xy 93.8686 83.881912) (xy 93.8686 83.758088) (xy 93.844444 83.636644) (xy 93.797059 83.522246)
(xy 93.728266 83.419291) (xy 93.640709 83.331734) (xy 93.537754 83.262941) (xy 93.423356 83.215556) (xy 93.301912 83.1914)
(xy 93.178088 83.1914) (xy 93.056644 83.215556) (xy 92.942246 83.262941) (xy 92.925845 83.2739) (xy 87.507051 83.2739)
(xy 87.1191 82.88595) (xy 87.1191 79.773523) (xy 87.136169 79.732316) (xy 87.1536 79.644682) (xy 87.1536 79.55533)
(xy 87.136169 79.467696) (xy 87.101975 79.385146) (xy 87.052334 79.310853) (xy 86.989153 79.247672) (xy 86.91486 79.198031)
(xy 86.83231 79.163837) (xy 86.744676 79.146406) (xy 86.655324 79.146406) (xy 86.56769 79.163837) (xy 86.48514 79.198031)
(xy 86.410847 79.247672) (xy 86.347666 79.310853) (xy 86.298025 79.385146) (xy 86.263831 79.467696) (xy 86.2464 79.55533)
(xy 86.2464 79.644682) (xy 86.263831 79.732316) (xy 86.280901 79.773526) (xy 86.2809 82.238598) (xy 82.597626 78.555324)
(xy 94.6464 78.555324) (xy 94.6464 78.644676) (xy 94.663831 78.73231) (xy 94.698025 78.81486) (xy 94.747666 78.889153)
(xy 94.810847 78.952334) (xy 94.88514 79.001975) (xy 94.926348 79.019044) (xy 98.549096 82.641792) (xy 98.562216 82.65778)
(xy 98.626032 82.710152) (xy 98.69884 82.749069) (xy 98.77784 82.773033) (xy 98.859997 82.781125) (xy 98.880577 82.779098)
(xy 102.706483 82.779098) (xy 102.74769 82.796167) (xy 102.835324 82.813598) (xy 102.924676 82.813598) (xy 103.01231 82.796167)
(xy 103.09486 82.761973) (xy 103.169153 82.712332) (xy 103.232334 82.649151) (xy 103.281975 82.574858) (xy 103.316169 82.492308)
(xy 103.3336 82.404674) (xy 103.3336 82.315322) (xy 103.316169 82.227688) (xy 103.281975 82.145138) (xy 103.232334 82.070845)
(xy 103.169153 82.007664) (xy 103.09486 81.958023) (xy 103.01231 81.923829) (xy 102.924676 81.906398) (xy 102.835324 81.906398)
(xy 102.74769 81.923829) (xy 102.706483 81.940898) (xy 99.033595 81.940898) (xy 95.519044 78.426348) (xy 95.501975 78.38514)
(xy 95.452334 78.310847) (xy 95.389153 78.247666) (xy 95.31486 78.198025) (xy 95.23231 78.163831) (xy 95.144676 78.1464)
(xy 95.055324 78.1464) (xy 94.96769 78.163831) (xy 94.88514 78.198025) (xy 94.810847 78.247666) (xy 94.747666 78.310847)
(xy 94.698025 78.38514) (xy 94.663831 78.46769) (xy 94.6464 78.555324) (xy 82.597626 78.555324) (xy 79.142499 75.100197)
(xy 82.564097 71.6786) (xy 82.661912 71.6786) (xy 82.783356 71.654444) (xy 82.897754 71.607059) (xy 83.000709 71.538266)
(xy 83.088266 71.450709) (xy 83.157059 71.347754) (xy 83.204444 71.233356) (xy 83.2286 71.111912) (xy 83.2286 70.988088)
(xy 83.204444 70.866644) (xy 83.157059 70.752246) (xy 83.088266 70.649291) (xy 83.000709 70.561734) (xy 82.897754 70.492941)
(xy 82.783356 70.445556) (xy 82.661912 70.4214) (xy 82.538088 70.4214) (xy 82.416644 70.445556) (xy 82.302246 70.492941)
(xy 82.199291 70.561734) (xy 82.111734 70.649291) (xy 82.042941 70.752246) (xy 81.995556 70.866644) (xy 81.9714 70.988088)
(xy 81.9714 71.085903) (xy 78.549802 74.507501) (xy 78.040123 73.997822) (xy 78.040118 73.997816) (xy 75.196428 71.154126)
(xy 75.73089 71.154126) (xy 75.73089 71.243478) (xy 75.748321 71.331112) (xy 75.782515 71.413662) (xy 75.832156 71.487955)
(xy 75.895337 71.551136) (xy 75.96963 71.600777) (xy 76.05218 71.634971) (xy 76.139814 71.652402) (xy 76.229166 71.652402)
(xy 76.3168 71.634971) (xy 76.39935 71.600777) (xy 76.473643 71.551136) (xy 76.536824 71.487955) (xy 76.586465 71.413662)
(xy 76.620659 71.331112) (xy 76.63809 71.243478) (xy 76.63809 71.154126) (xy 76.620659 71.066492) (xy 76.60359 71.025285)
(xy 76.60359 67.589106) (xy 79.223653 64.969044) (xy 79.26486 64.951975) (xy 79.339153 64.902334) (xy 79.402334 64.839153)
(xy 79.451975 64.76486) (xy 79.486169 64.68231) (xy 79.5036 64.594676) (xy 79.5036 64.505324) (xy 79.486169 64.41769)
(xy 79.451975 64.33514) (xy 79.402334 64.260847) (xy 79.339153 64.197666) (xy 79.26486 64.148025) (xy 79.18231 64.113831)
(xy 79.094676 64.0964) (xy 79.005324 64.0964) (xy 78.91769 64.113831) (xy 78.83514 64.148025) (xy 78.760847 64.197666)
(xy 78.697666 64.260847) (xy 78.648025 64.33514) (xy 78.630956 64.376347) (xy 75.902696 67.104608) (xy 75.886709 67.117728)
(xy 75.861443 67.148515) (xy 75.834336 67.181545) (xy 75.79542 67.254352) (xy 75.771455 67.333353) (xy 75.763363 67.41551)
(xy 75.765391 67.4361) (xy 75.76539 71.025284) (xy 75.748321 71.066492) (xy 75.73089 71.154126) (xy 75.196428 71.154126)
(xy 74.573873 70.531572) (xy 74.573873 66.204586) (xy 74.576514 66.177772) (xy 74.573873 66.150958) (xy 74.573873 66.150949)
(xy 74.565971 66.070719) (xy 74.534745 65.967779) (xy 74.484035 65.872908) (xy 74.415792 65.789754) (xy 74.394957 65.772655)
(xy 72.605122 63.982821) (xy 72.588019 63.961981) (xy 72.504865 63.893738) (xy 72.409994 63.843028) (xy 72.307054 63.811802)
(xy 72.226824 63.8039) (xy 72.226817 63.8039) (xy 72.2 63.801259) (xy 72.173183 63.8039) (xy 65.504155 63.8039)
(xy 65.487754 63.792941) (xy 65.373356 63.745556) (xy 65.251912 63.7214) (xy 65.128088 63.7214) (xy 65.006644 63.745556)
(xy 64.892246 63.792941) (xy 64.789291 63.861734) (xy 64.701734 63.949291) (xy 64.632941 64.052246) (xy 64.585556 64.166644)
(xy 64.5614 64.288088) (xy 63.534608 64.288088) (xy 64.533596 63.2891) (xy 82.679422 63.2891) (xy 82.700002 63.291127)
(xy 82.720582 63.2891) (xy 82.78216 63.283035) (xy 82.86116 63.259071) (xy 82.933968 63.220154) (xy 82.984475 63.178704)
(xy 83.024862 63.161975) (xy 83.099155 63.112334) (xy 83.162336 63.049153) (xy 83.211977 62.97486) (xy 83.246171 62.89231)
(xy 83.263602 62.804676) (xy 83.263602 62.715324) (xy 83.246171 62.62769) (xy 83.211977 62.54514) (xy 83.162336 62.470847)
(xy 83.099155 62.407666) (xy 83.041437 62.3691) (xy 84.298203 62.3691) (xy 77.287344 69.37996) (xy 77.260845 69.397666)
(xy 77.197664 69.460847) (xy 77.148023 69.53514) (xy 77.113829 69.61769) (xy 77.096398 69.705324) (xy 77.096398 69.794676)
(xy 77.113829 69.88231) (xy 77.148023 69.96486) (xy 77.197664 70.039153) (xy 77.260845 70.102334) (xy 77.335138 70.151975)
(xy 77.417688 70.186169) (xy 77.505322 70.2036) (xy 77.594674 70.2036) (xy 77.682308 70.186169) (xy 77.764858 70.151975)
(xy 77.839151 70.102334) (xy 77.902332 70.039153) (xy 77.951973 69.96486) (xy 77.986167 69.88231) (xy 77.990085 69.862611)
(xy 85.473657 62.37904) (xy 85.51486 62.361973) (xy 85.589153 62.312332) (xy 85.652334 62.249151) (xy 85.701975 62.174858)
(xy 85.736169 62.092308) (xy 85.7536 62.004674) (xy 85.7536 61.915322) (xy 85.736169 61.827688) (xy 85.701975 61.745138)
(xy 85.652334 61.670845) (xy 85.589153 61.607664) (xy 85.51486 61.558023) (xy 85.43231 61.523829) (xy 85.344676 61.506398)
(xy 85.255324 61.506398) (xy 85.16769 61.523829) (xy 85.150619 61.5309) (xy 84.660587 61.5309) (xy 84.702334 61.489153)
(xy 84.751975 61.41486) (xy 84.786169 61.33231) (xy 84.8036 61.244676) (xy 84.8036 61.155324) (xy 84.786169 61.06769)
(xy 84.751975 60.98514) (xy 84.702334 60.910847) (xy 84.639153 60.847666) (xy 84.56486 60.798025) (xy 84.520061 60.779468)
(xy 84.483966 60.749846) (xy 84.463862 60.7391) (xy 84.656483 60.7391) (xy 84.69769 60.756169) (xy 84.785324 60.7736)
(xy 84.874676 60.7736) (xy 84.96231 60.756169) (xy 85.04486 60.721975) (xy 85.119153 60.672334) (xy 85.182334 60.609153)
(xy 85.231975 60.53486) (xy 85.266169 60.45231) (xy 85.2836 60.364676) (xy 85.2836 60.275324) (xy 85.266169 60.18769)
(xy 85.231975 60.10514) (xy 85.182334 60.030847) (xy 85.119153 59.967666) (xy 85.04486 59.918025) (xy 84.96231 59.883831)
(xy 84.88825 59.8691) (xy 92.07942 59.8691) (xy 92.1 59.871127) (xy 92.12058 59.8691) (xy 92.182158 59.863035)
(xy 92.261158 59.839071) (xy 92.333966 59.800154) (xy 92.397782 59.747782) (xy 92.410907 59.731789) (xy 97.155154 54.987542)
(xy 99.7464 54.987542) (xy 99.746401 76.012459) (xy 99.747511 76.023734) (xy 99.747468 76.029966) (xy 99.747813 76.03349)
(xy 99.768214 76.227587) (xy 99.772839 76.250117) (xy 99.777143 76.272679) (xy 99.778166 76.276069) (xy 99.835878 76.462507)
(xy 99.844795 76.483719) (xy 99.853396 76.505007) (xy 99.855058 76.508134) (xy 99.947883 76.679811) (xy 99.960726 76.698851)
(xy 99.973322 76.7181) (xy 99.97556 76.720844) (xy 100.099964 76.871222) (xy 100.11626 76.887404) (xy 100.132355 76.90384)
(xy 100.135083 76.906097) (xy 100.286325 77.029447) (xy 100.305484 77.042176) (xy 100.324435 77.055152) (xy 100.32755 77.056837)
(xy 100.499873 77.148462) (xy 100.521138 77.157227) (xy 100.542249 77.166275) (xy 100.545632 77.167322) (xy 100.732468 77.223731)
(xy 100.755028 77.228198) (xy 100.777497 77.232974) (xy 100.781019 77.233345) (xy 100.975252 77.25239) (xy 100.975255 77.25239)
(xy 100.987541 77.2536) (xy 104.012459 77.2536) (xy 104.023744 77.252489) (xy 104.029966 77.252532) (xy 104.03349 77.252187)
(xy 104.227587 77.231786) (xy 104.250117 77.227161) (xy 104.272679 77.222857) (xy 104.276069 77.221834) (xy 104.462507 77.164122)
(xy 104.483719 77.155205) (xy 104.505007 77.146604) (xy 104.508134 77.144942) (xy 104.679811 77.052117) (xy 104.698851 77.039274)
(xy 104.7181 77.026678) (xy 104.720844 77.02444) (xy 104.871222 76.900036) (xy 104.887404 76.88374) (xy 104.90384 76.867645)
(xy 104.906097 76.864917) (xy 105.029447 76.713675) (xy 105.042176 76.694516) (xy 105.055152 76.675565) (xy 105.056837 76.67245)
(xy 105.148462 76.500127) (xy 105.157227 76.478862) (xy 105.166275 76.457751) (xy 105.167322 76.454368) (xy 105.223731 76.267532)
(xy 105.228198 76.244972) (xy 105.232974 76.222503) (xy 105.233345 76.218981) (xy 105.25239 76.024748) (xy 105.25239 76.024745)
(xy 105.2536 76.012459) (xy 105.2536 54.987541) (xy 105.252489 54.976256) (xy 105.252532 54.970034) (xy 105.252187 54.96651)
(xy 105.231786 54.772413) (xy 105.227162 54.749889) (xy 105.222857 54.727321) (xy 105.221834 54.723931) (xy 105.164122 54.537493)
(xy 105.155205 54.516281) (xy 105.146604 54.494993) (xy 105.144942 54.491866) (xy 105.052117 54.320189) (xy 105.039251 54.301114)
(xy 105.026677 54.2819) (xy 105.02444 54.279156) (xy 104.900036 54.128778) (xy 104.883721 54.112577) (xy 104.867645 54.096161)
(xy 104.864917 54.093903) (xy 104.713675 53.970553) (xy 104.694532 53.957835) (xy 104.675565 53.944848) (xy 104.67245 53.943164)
(xy 104.500128 53.851538) (xy 104.478848 53.842768) (xy 104.457751 53.833725) (xy 104.454369 53.832678) (xy 104.267532 53.776269)
(xy 104.244951 53.771797) (xy 104.222502 53.767026) (xy 104.218981 53.766655) (xy 104.024747 53.74761) (xy 104.024745 53.74761)
(xy 104.012459 53.7464) (xy 100.987541 53.7464) (xy 100.976256 53.747511) (xy 100.970034 53.747468) (xy 100.96651 53.747813)
(xy 100.772413 53.768214) (xy 100.749889 53.772838) (xy 100.727321 53.777143) (xy 100.723931 53.778166) (xy 100.537493 53.835878)
(xy 100.516281 53.844795) (xy 100.494993 53.853396) (xy 100.491866 53.855058) (xy 100.320189 53.947883) (xy 100.301114 53.960749)
(xy 100.2819 53.973323) (xy 100.279156 53.97556) (xy 100.128778 54.099964) (xy 100.112577 54.116279) (xy 100.096161 54.132355)
(xy 100.093903 54.135083) (xy 99.970553 54.286325) (xy 99.957835 54.305468) (xy 99.944848 54.324435) (xy 99.943164 54.32755)
(xy 99.851538 54.499872) (xy 99.842768 54.521152) (xy 99.833725 54.542249) (xy 99.832678 54.545631) (xy 99.776269 54.732468)
(xy 99.771797 54.755049) (xy 99.767026 54.777498) (xy 99.766655 54.781019) (xy 99.74761 54.975253) (xy 99.7464 54.987542)
(xy 97.155154 54.987542) (xy 98.823597 53.3191) (xy 101.026483 53.3191) (xy 101.06769 53.336169) (xy 101.155324 53.3536)
(xy 101.244676 53.3536) (xy 101.33231 53.336169) (xy 101.41486 53.301975) (xy 101.489153 53.252334) (xy 101.552334 53.189153)
(xy 101.601975 53.11486) (xy 101.636169 53.03231) (xy 101.6536 52.944676) (xy 101.6536 52.855324) (xy 101.636169 52.76769)
(xy 101.601975 52.68514) (xy 101.552334 52.610847) (xy 101.489153 52.547666) (xy 101.41486 52.498025) (xy 101.33231 52.463831)
(xy 101.244676 52.4464) (xy 101.155324 52.4464) (xy 101.06769 52.463831) (xy 101.026483 52.4809) (xy 98.67058 52.4809)
(xy 98.65 52.478873) (xy 98.62942 52.4809) (xy 98.567842 52.486965) (xy 98.488842 52.510929) (xy 98.416034 52.549846)
(xy 98.352218 52.602218) (xy 98.339098 52.618205) (xy 91.926404 59.0309) (xy 61.320577 59.0309) (xy 61.299999 59.028873)
(xy 61.279422 59.0309) (xy 61.27942 59.0309) (xy 61.217842 59.036965) (xy 61.138842 59.060929) (xy 61.066034 59.099846)
(xy 61.002218 59.152218) (xy 60.989099 59.168204) (xy 56.118211 64.039093) (xy 56.102218 64.052218) (xy 56.049846 64.116035)
(xy 56.010929 64.188843) (xy 55.989087 64.260847) (xy 55.986965 64.267843) (xy 55.978873 64.35) (xy 55.9809 64.37058)
(xy 55.980901 67.004972) (xy 55.951975 66.93514) (xy 55.902334 66.860847) (xy 55.839153 66.797666) (xy 55.76486 66.748025)
(xy 55.68231 66.713831) (xy 55.600441 66.697547) (xy 55.480601 66.577707) (xy 55.480601 60.71419) (xy 55.53715 60.751975)
(xy 55.6197 60.786169) (xy 55.707334 60.8036) (xy 55.796686 60.8036) (xy 55.88432 60.786169) (xy 55.96687 60.751975)
(xy 56.041163 60.702334) (xy 56.104344 60.639153) (xy 56.151825 60.568093) (xy 57.872883 60.568093) (xy 57.899488 60.600512)
(xy 57.982643 60.668755) (xy 58.077512 60.719464) (xy 58.180454 60.750691) (xy 58.287507 60.761234) (xy 58.39456 60.750691)
(xy 58.497502 60.719464) (xy 58.592371 60.668755) (xy 58.65469 60.617611) (xy 63.667184 55.605118) (xy 63.688019 55.588019)
(xy 63.756262 55.504865) (xy 63.806972 55.409994) (xy 63.838198 55.307054) (xy 63.8461 55.226824) (xy 63.8461 55.226823)
(xy 63.848742 55.2) (xy 63.8461 55.173176) (xy 63.8461 52.45532) (xy 65.0464 52.45532) (xy 65.0464 52.544672)
(xy 65.063831 52.632306) (xy 65.080901 52.673516) (xy 65.0809 56.176482) (xy 65.063831 56.21769) (xy 65.0464 56.305324)
(xy 65.0464 56.394676) (xy 65.063831 56.48231) (xy 65.098025 56.56486) (xy 65.147666 56.639153) (xy 65.210847 56.702334)
(xy 65.28514 56.751975) (xy 65.36769 56.786169) (xy 65.455324 56.8036) (xy 65.544676 56.8036) (xy 65.63231 56.786169)
(xy 65.71486 56.751975) (xy 65.789153 56.702334) (xy 65.852334 56.639153) (xy 65.901975 56.56486) (xy 65.936169 56.48231)
(xy 65.9536 56.394676) (xy 65.9536 56.305324) (xy 65.936169 56.21769) (xy 65.9191 56.176483) (xy 65.9191 52.673513)
(xy 65.936169 52.632306) (xy 65.9536 52.544672) (xy 65.9536 52.45532) (xy 65.936169 52.367686) (xy 65.901975 52.285136)
(xy 65.852334 52.210843) (xy 65.789153 52.147662) (xy 65.71486 52.098021) (xy 65.63231 52.063827) (xy 65.544676 52.046396)
(xy 65.455324 52.046396) (xy 65.36769 52.063827) (xy 65.28514 52.098021) (xy 65.210847 52.147662) (xy 65.147666 52.210843)
(xy 65.098025 52.285136) (xy 65.063831 52.367686) (xy 65.0464 52.45532) (xy 63.8461 52.45532) (xy 63.8461 50.138088)
(xy 105.921398 50.138088) (xy 105.921398 50.261912) (xy 105.945554 50.383356) (xy 105.992939 50.497754) (xy 106.061732 50.600709)
(xy 106.067398 50.606375) (xy 106.067399 59.029768) (xy 106.035556 59.106644) (xy 106.0114 59.228088) (xy 106.0114 59.351912)
(xy 106.035556 59.473356) (xy 106.082941 59.587754) (xy 106.151734 59.690709) (xy 106.239291 59.778266) (xy 106.342246 59.847059)
(xy 106.456644 59.894444) (xy 106.578088 59.9186) (xy 106.701912 59.9186) (xy 106.823356 59.894444) (xy 106.937754 59.847059)
(xy 107.040709 59.778266) (xy 107.128266 59.690709) (xy 107.197059 59.587754) (xy 107.244444 59.473356) (xy 107.2686 59.351912)
(xy 107.2686 59.228088) (xy 107.244444 59.106644) (xy 107.197059 58.992246) (xy 107.128266 58.889291) (xy 107.040709 58.801734)
(xy 107.032598 58.796314) (xy 107.032598 58.685324) (xy 107.3264 58.685324) (xy 107.3264 58.774676) (xy 107.343831 58.86231)
(xy 107.378025 58.94486) (xy 107.427666 59.019153) (xy 107.490847 59.082334) (xy 107.56514 59.131975) (xy 107.64769 59.166169)
(xy 107.735324 59.1836) (xy 107.824676 59.1836) (xy 107.91231 59.166169) (xy 107.99486 59.131975) (xy 108.069153 59.082334)
(xy 108.132334 59.019153) (xy 108.181975 58.94486) (xy 108.199044 58.903652) (xy 111.471794 55.630902) (xy 111.487782 55.617782)
(xy 111.540154 55.553966) (xy 111.579071 55.481158) (xy 111.603035 55.402158) (xy 111.6091 55.34058) (xy 111.611127 55.320001)
(xy 111.6091 55.299421) (xy 111.6091 52.047542) (xy 128.4764 52.047542) (xy 128.476401 64.072459) (xy 128.477511 64.083734)
(xy 128.477468 64.089966) (xy 128.477813 64.09349) (xy 128.498214 64.287587) (xy 128.502839 64.310117) (xy 128.507143 64.332679)
(xy 128.508166 64.336069) (xy 128.565878 64.522507) (xy 128.574795 64.543719) (xy 128.583396 64.565007) (xy 128.585058 64.568134)
(xy 128.677883 64.739811) (xy 128.690726 64.758851) (xy 128.703322 64.7781) (xy 128.70556 64.780844) (xy 128.829964 64.931222)
(xy 128.84626 64.947404) (xy 128.862355 64.96384) (xy 128.865083 64.966097) (xy 129.016325 65.089447) (xy 129.035484 65.102176)
(xy 129.054435 65.115152) (xy 129.05755 65.116837) (xy 129.229873 65.208462) (xy 129.251138 65.217227) (xy 129.272249 65.226275)
(xy 129.275632 65.227322) (xy 129.462468 65.283731) (xy 129.485028 65.288198) (xy 129.507497 65.292974) (xy 129.511019 65.293345)
(xy 129.705252 65.31239) (xy 129.705255 65.31239) (xy 129.717541 65.3136) (xy 132.742459 65.3136) (xy 132.753744 65.312489)
(xy 132.759966 65.312532) (xy 132.76349 65.312187) (xy 132.957587 65.291786) (xy 132.980117 65.287161) (xy 133.002679 65.282857)
(xy 133.006069 65.281834) (xy 133.192507 65.224122) (xy 133.213719 65.215205) (xy 133.235007 65.206604) (xy 133.238134 65.204942)
(xy 133.409811 65.112117) (xy 133.428851 65.099274) (xy 133.4481 65.086678) (xy 133.450844 65.08444) (xy 133.601222 64.960036)
(xy 133.617404 64.94374) (xy 133.63384 64.927645) (xy 133.636097 64.924917) (xy 133.759447 64.773675) (xy 133.772176 64.754516)
(xy 133.785152 64.735565) (xy 133.786837 64.73245) (xy 133.878462 64.560127) (xy 133.887227 64.538862) (xy 133.896275 64.517751)
(xy 133.897322 64.514368) (xy 133.953731 64.327532) (xy 133.958198 64.304972) (xy 133.962974 64.282503) (xy 133.963345 64.278981)
(xy 133.98239 64.084748) (xy 133.98239 64.084745) (xy 133.9836 64.072459) (xy 133.9836 52.047541) (xy 133.982489 52.036256)
(xy 133.982532 52.030034) (xy 133.982187 52.02651) (xy 133.961786 51.832413) (xy 133.957162 51.809889) (xy 133.952857 51.787321)
(xy 133.951834 51.783931) (xy 133.894122 51.597493) (xy 133.885205 51.576281) (xy 133.876604 51.554993) (xy 133.874942 51.551866)
(xy 133.782117 51.380189) (xy 133.769251 51.361114) (xy 133.756677 51.3419) (xy 133.75444 51.339156) (xy 133.630036 51.188778)
(xy 133.613721 51.172577) (xy 133.597645 51.156161) (xy 133.594917 51.153903) (xy 133.443675 51.030553) (xy 133.424532 51.017835)
(xy 133.405565 51.004848) (xy 133.40245 51.003164) (xy 133.230128 50.911538) (xy 133.208848 50.902768) (xy 133.187751 50.893725)
(xy 133.184369 50.892678) (xy 132.997532 50.836269) (xy 132.974951 50.831797) (xy 132.952502 50.827026) (xy 132.948981 50.826655)
(xy 132.754747 50.80761) (xy 132.754745 50.80761) (xy 132.742459 50.8064) (xy 129.717541 50.8064) (xy 129.706256 50.807511)
(xy 129.700034 50.807468) (xy 129.69651 50.807813) (xy 129.502413 50.828214) (xy 129.479889 50.832838) (xy 129.457321 50.837143)
(xy 129.453931 50.838166) (xy 129.267493 50.895878) (xy 129.246281 50.904795) (xy 129.224993 50.913396) (xy 129.221866 50.915058)
(xy 129.050189 51.007883) (xy 129.031114 51.020749) (xy 129.0119 51.033323) (xy 129.009156 51.03556) (xy 128.858778 51.159964)
(xy 128.842577 51.176279) (xy 128.826161 51.192355) (xy 128.823903 51.195083) (xy 128.700553 51.346325) (xy 128.687835 51.365468)
(xy 128.674848 51.384435) (xy 128.673164 51.38755) (xy 128.581538 51.559872) (xy 128.572768 51.581152) (xy 128.563725 51.602249)
(xy 128.562678 51.605631) (xy 128.506269 51.792468) (xy 128.501797 51.815049) (xy 128.497026 51.837498) (xy 128.496655 51.841019)
(xy 128.47761 52.035253) (xy 128.4764 52.047542) (xy 111.6091 52.047542) (xy 111.6091 47.843517) (xy 111.626169 47.80231)
(xy 111.6436 47.714676) (xy 111.6436 47.625324) (xy 111.626169 47.53769) (xy 111.591975 47.45514) (xy 111.542334 47.380847)
(xy 111.479153 47.317666) (xy 111.40486 47.268025) (xy 111.32231 47.233831) (xy 111.234676 47.2164) (xy 111.145324 47.2164)
(xy 111.05769 47.233831) (xy 110.97514 47.268025) (xy 110.900847 47.317666) (xy 110.837666 47.380847) (xy 110.788025 47.45514)
(xy 110.753831 47.53769) (xy 110.7364 47.625324) (xy 110.7364 47.714676) (xy 110.753831 47.80231) (xy 110.7709 47.843517)
(xy 110.770901 55.146402) (xy 107.606348 58.310956) (xy 107.56514 58.328025) (xy 107.490847 58.377666) (xy 107.427666 58.440847)
(xy 107.378025 58.51514) (xy 107.343831 58.59769) (xy 107.3264 58.685324) (xy 107.032598 58.685324) (xy 107.032598 50.606375)
(xy 107.038264 50.600709) (xy 107.107057 50.497754) (xy 107.154442 50.383356) (xy 107.178598 50.261912) (xy 107.178598 50.138088)
(xy 107.154442 50.016644) (xy 107.107057 49.902246) (xy 107.038264 49.799291) (xy 106.950707 49.711734) (xy 106.847752 49.642941)
(xy 106.733354 49.595556) (xy 106.61191 49.5714) (xy 106.488086 49.5714) (xy 106.366642 49.595556) (xy 106.252244 49.642941)
(xy 106.149289 49.711734) (xy 106.061732 49.799291) (xy 105.992939 49.902246) (xy 105.945554 50.016644) (xy 105.921398 50.138088)
(xy 63.8461 50.138088) (xy 63.8461 40.326817) (xy 63.848741 40.3) (xy 63.8461 40.273183) (xy 63.8461 40.273176)
(xy 63.838198 40.192946) (xy 63.806972 40.090006) (xy 63.756262 39.995135) (xy 63.688019 39.911981) (xy 63.667184 39.894882)
(xy 61.505122 37.732821) (xy 61.488019 37.711981) (xy 61.404865 37.643738) (xy 61.309994 37.593028) (xy 61.207054 37.561802)
(xy 61.126824 37.5539) (xy 61.126817 37.5539) (xy 61.1 37.551259) (xy 61.073183 37.5539) (xy 52.714155 37.5539)
(xy 52.697754 37.542941) (xy 52.583356 37.495556) (xy 52.461912 37.4714) (xy 52.338088 37.4714) (xy 52.216644 37.495556)
(xy 52.102246 37.542941) (xy 51.999291 37.611734) (xy 51.911734 37.699291) (xy 51.881593 37.7444) (xy 50.617452 37.7444)
(xy 50.599999 37.742681) (xy 50.582546 37.7444) (xy 50.582537 37.7444) (xy 50.53029 37.749546) (xy 50.46326 37.769879)
(xy 50.401484 37.802899) (xy 50.401482 37.8029) (xy 50.401483 37.8029) (xy 50.3609 37.836205) (xy 50.360895 37.83621)
(xy 50.347337 37.847337) (xy 50.33621 37.860895) (xy 47.460902 40.736205) (xy 47.447337 40.747338) (xy 47.402899 40.801485)
(xy 47.369879 40.863261) (xy 47.349546 40.930291) (xy 47.3444 40.982538) (xy 47.3444 40.982545) (xy 47.342681 41)
(xy 47.3444 41.017455) (xy 47.344401 48.815733) (xy 47.298025 48.88514) (xy 47.263831 48.96769) (xy 47.2464 49.055324)
(xy 46.6556 49.055324) (xy 46.6556 38.747293) (xy 47.147295 38.2556) (xy 47.165735 38.2556) (xy 47.23514 38.301975)
(xy 47.31769 38.336169) (xy 47.405324 38.3536) (xy 47.494676 38.3536) (xy 47.58231 38.336169) (xy 47.66486 38.301975)
(xy 47.739153 38.252334) (xy 47.802334 38.189153) (xy 47.851975 38.11486) (xy 47.886169 38.03231) (xy 47.9036 37.944676)
(xy 47.9036 37.855324) (xy 47.886169 37.76769) (xy 47.851975 37.68514) (xy 47.802334 37.610847) (xy 47.739153 37.547666)
(xy 47.66486 37.498025) (xy 47.58231 37.463831) (xy 47.494676 37.4464) (xy 47.405324 37.4464) (xy 47.31769 37.463831)
(xy 47.23514 37.498025) (xy 47.165735 37.5444) (xy 47.017452 37.5444) (xy 46.999999 37.542681) (xy 46.982546 37.5444)
(xy 46.982537 37.5444) (xy 46.93029 37.549546) (xy 46.86326 37.569879) (xy 46.801484 37.602899) (xy 46.801482 37.6029)
(xy 46.801483 37.6029) (xy 46.7609 37.636205) (xy 46.760895 37.63621) (xy 46.747337 37.647337) (xy 46.73621 37.660895)
(xy 46.060901 38.336206) (xy 46.047338 38.347337) (xy 46.0029 38.401484) (xy 45.991531 38.422754) (xy 45.96988 38.463259)
(xy 45.949546 38.53029) (xy 45.942681 38.6) (xy 43.84621 38.6) (xy 43.619442 38.260619) (xy 43.239381 37.880558)
(xy 42.792477 37.581946) (xy 42.295903 37.376258) (xy 41.768744 37.2714) (xy 41.323687 37.2714) (xy 41.492794 37.253626)
(xy 41.50031 37.2536) (xy 135.487593 37.2536)
)
)
)
(zone (net 0) (net_name "") (layer B.Cu) (tstamp 0) (hatch edge 0.508)
(connect_pads (clearance 0.1524))
(min_thickness 0.254)
(keepout (tracks not_allowed) (vias not_allowed) (copperpour not_allowed))
(fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508))
(polygon
(pts
(xy 44.9 86.5) (xy 38.3 86.5) (xy 38.1 63.1) (xy 44.9 63.1)
)
)
)
(zone (net 0) (net_name "") (layer F.Cu) (tstamp 0) (hatch edge 0.508)
(connect_pads (clearance 0.1524))
(min_thickness 0.254)
(keepout (tracks not_allowed) (vias not_allowed) (copperpour not_allowed))
(fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508))
(polygon
(pts
(xy 81.241697 85.15) (xy 69.831697 85.15) (xy 69.831697 81.15) (xy 81.241697 81.15)
)
)
)
(zone (net 1) (net_name VBUS) (layer F.Cu) (tstamp 5FC78C99) (hatch edge 0.508)
(priority 1)
(connect_pads yes (clearance 0.1524))
(min_thickness 0.254)
(fill yes (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508))
(polygon
(pts
(xy 112.45 77.95) (xy 112.45 78.9) (xy 110.37 78.9) (xy 110.37 77.3) (xy 111.82 77.3)
)
)
(filled_polygon
(pts
(xy 112.323 78.001447) (xy 112.323 78.773) (xy 110.497 78.773) (xy 110.497 77.427) (xy 111.766228 77.427)
)
)
)
(zone (net 5) (net_name +BATT) (layer F.Cu) (tstamp 5FC78C96) (hatch edge 0.508)
(priority 1)
(connect_pads yes (clearance 0.1524))
(min_thickness 0.254)
(fill yes (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508))
(polygon
(pts
(xy 111.97 79.9) (xy 111.97 84.09) (xy 111.03 84.09) (xy 110.37 83.19) (xy 110.37 79.51)
(xy 111.53 79.51)
)
)
(filled_polygon
(pts
(xy 111.843 79.957139) (xy 111.843 83.963) (xy 111.094355 83.963) (xy 110.497 83.148425) (xy 110.497 79.637)
(xy 111.481817 79.637)
)
)
)
(zone (net 0) (net_name "") (layer F.Cu) (tstamp 0) (hatch edge 0.508)
(connect_pads (clearance 0.1524))
(min_thickness 0.254)
(keepout (tracks allowed) (vias allowed) (copperpour not_allowed))
(fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508))
(polygon
(pts
(xy 87.51 73.82) (xy 86.44 73.82) (xy 86.44 72.2) (xy 87.5 72.2)
)
)
)
(zone (net 0) (net_name "") (layer F.Cu) (tstamp 0) (hatch edge 0.508)
(connect_pads (clearance 0.1524))
(min_thickness 0.254)
(keepout (tracks allowed) (vias allowed) (copperpour not_allowed))
(fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508))
(polygon
(pts
(xy 87.4 71.31) (xy 86.59 71.31) (xy 86.59 63.12) (xy 87.33 63.12)
)
)
)
(zone (net 0) (net_name "") (layer F.Cu) (tstamp 0) (hatch edge 0.508)
(connect_pads (clearance 0.1524))
(min_thickness 0.254)
(keepout (tracks not_allowed) (vias not_allowed) (copperpour not_allowed))
(fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508))
(polygon
(pts
(xy 44.478438 67.5) (xy 48.5 71.4) (xy 48.5 81.3) (xy 44.5 81.3) (xy 44.5 85.5)
(xy 43 87) (xy 40 87) (xy 38.3 87) (xy 38.2 61.7) (xy 44.5 61.7)
)
)
)
(zone (net 0) (net_name "") (layer F.Cu) (tstamp 0) (hatch edge 0.508)
(connect_pads (clearance 0.1524))
(min_thickness 0.254)
(keepout (tracks allowed) (vias allowed) (copperpour not_allowed))
(fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508))
(polygon
(pts
(xy 46.7 66.5) (xy 46.7 67) (xy 45.3 67) (xy 45.3 64.3) (xy 45.7 64.3)
(xy 45.7 66.5)
)
)
)
)
| KiCad | 4 | achildrenmile/esp32-touchdown | Hardware/ESP32_TouchDown_Rev1/ESP32_TouchDown.kicad_pcb | [
"MIT"
] |
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.