content
stringlengths
1
1.04M
-- ========== Copyright Header Begin ============================================= -- AmgPacman File: freqDividerV3.vhd -- Copyright (c) 2015 Alberto Miedes Garcés -- DO NOT ALTER OR REMOVE COPYRIGHT NOTICES. -- -- The above named program is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, either version 3 of the License, or -- (at your option) any later version. -- -- The above named program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with Foobar. If not, see <http://www.gnu.org/licenses/>. -- ========== Copyright Header End =============================================== ---------------------------------------------------------------------------------- -- Engineer: Alberto Miedes Garcés -- Correo: [email protected] -- Create Date: January 2015 -- Target Devices: Spartan3E - XC3S500E - Nexys 2 (Digilent) ---------------------------------------------------------------------------------- -- Notas adicinales: ---------------------------------------------------------------------------------- -- IMPORTANTE: las salidas clk_1KHz, clk_100Hz y clk_2Hz mandan pulsos, no el reloj -- completo. Tengo pendiente acabarlo. La frecuencia de entrada al modulo debe ser 50MHz, -- que es la frecuencia de reloj de la Nexys2. ---------------------------------------------------------------------------------- -- Reporte de sintesis: ---------------------------------------------------------------------------------- -- Minimum period: 5.085ns (Maximum Frequency: 196.638MHz). -- Codigo del divisor libre de warnings (en principio). ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- ================================================================================= -- ENTITY -- ================================================================================= entity freqDividerV3 is Port ( clk : in STD_LOGIC; rst : in STD_LOGIC; clk_1KHz : out STD_LOGIC; pulso_2Hz: out std_logic ); end freqDividerV3; -- ================================================================================= -- ARCHITECTURE -- ================================================================================= architecture rtl of freqDividerV3 is ----------------------------------------------------------------------------- -- Declaracion de senales ----------------------------------------------------------------------------- signal pulso_1KHz_aux: std_logic; signal pulso_100Hz_aux: std_logic; ----------------------------------------------------------------------------- -- Componentes ----------------------------------------------------------------------------- COMPONENT modulo1KHz PORT( clk_50MHz : IN std_logic; rst : IN std_logic; clk_1KHz : OUT std_logic; pulso_1KHz : OUT std_logic ); END COMPONENT; COMPONENT modulo100Hz PORT( clk_50MHz : IN std_logic; ena : IN std_logic; rst: in std_logic; pulso_100Hz : OUT std_logic ); END COMPONENT; COMPONENT modulo2Hz PORT( clk_50MHz : IN std_logic; ena : IN std_logic; rst : IN std_logic; pulso_2Hz : OUT std_logic ); END COMPONENT; begin ----------------------------------------------------------------------------- -- Conexion de senales ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- Conexion de componentes ----------------------------------------------------------------------------- Inst_modulo1KHz: modulo1KHz PORT MAP( clk_50MHz => clk, rst => rst, clk_1KHz => clk_1KHz, pulso_1KHz => pulso_1KHz_aux ); modulo100Hz_0: modulo100Hz PORT MAP( clk_50MHz => clk, ena => pulso_1KHz_aux, rst => rst, pulso_100Hz => pulso_100Hz_aux ); modulo2Hz_0: modulo2Hz PORT MAP( clk_50MHz => clk, ena => pulso_100Hz_aux, rst => rst, pulso_2Hz => pulso_2Hz ); end rtl;
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2013, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: ahbjtag -- File: ahbjtag.vhd -- Author: Edvin Catovic, Jiri Gaisler - Gaisler Research -- Description: JTAG communication link with AHB master interface ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.amba.all; use grlib.stdlib.all; use grlib.devices.all; library techmap; use techmap.gencomp.all; library gaisler; use gaisler.misc.all; use gaisler.libjtagcom.all; use gaisler.jtag.all; entity ahbjtag_bsd is generic ( tech : integer range 0 to NTECH := 0; hindex : integer := 0; nsync : integer range 1 to 2 := 1; ainst : integer range 0 to 255 := 2; dinst : integer range 0 to 255 := 3); port ( rst : in std_ulogic; clk : in std_ulogic; ahbi : in ahb_mst_in_type; ahbo : out ahb_mst_out_type; asel : in std_ulogic; dsel : in std_ulogic; tck : in std_ulogic; regi : in std_ulogic; shift : in std_ulogic; rego : out std_ulogic ); end; architecture struct of ahbjtag_bsd is -- Set REREAD to 1 to include support for re-read operation when host reads -- out data register before jtagcom has completed the current AMBA access and -- returned to state 'shft'. constant REREAD : integer := 1; constant REVISION : integer := REREAD; signal dmai : ahb_dma_in_type; signal dmao : ahb_dma_out_type; signal ltapi : tap_in_type; signal ltapo : tap_out_type; signal trst: std_ulogic; begin ahbmst0 : ahbmst generic map (hindex => hindex, venid => VENDOR_GAISLER, devid => GAISLER_AHBJTAG, version => REVISION) port map (rst, clk, dmai, dmao, ahbi, ahbo); jtagcom0 : jtagcom generic map (isel => 1, nsync => nsync, ainst => ainst, dinst => dinst, reread => REREAD) port map (rst, clk, ltapo, ltapi, dmao, dmai, tck, trst); ltapo.asel <= asel; ltapo.dsel <= dsel; ltapo.tck <= tck; ltapo.tdi <= regi; ltapo.shift <= shift; ltapo.reset <= '0'; ltapo.inst <= (others => '0'); rego <= ltapi.tdo; trst <= '1'; -- pragma translate_off bootmsg : report_version generic map ("ahbjtag AHB Debug JTAG rev " & tost(REVISION)); -- pragma translate_on end;
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.4 (win64) Build 1756540 Mon Jan 23 19:11:23 MST 2017 -- Date : Tue Oct 17 02:50:46 2017 -- Host : Juice-Laptop running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode funcsim -rename_top RAT_slice_1_0_0 -prefix -- RAT_slice_1_0_0_ RAT_slice_12_3_0_sim_netlist.vhdl -- Design : RAT_slice_12_3_0 -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7a35tcpg236-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity RAT_slice_1_0_0 is port ( Din : in STD_LOGIC_VECTOR ( 17 downto 0 ); Dout : out STD_LOGIC_VECTOR ( 7 downto 0 ) ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of RAT_slice_1_0_0 : entity is true; attribute CHECK_LICENSE_TYPE : string; attribute CHECK_LICENSE_TYPE of RAT_slice_1_0_0 : entity is "RAT_slice_12_3_0,xlslice,{}"; attribute downgradeipidentifiedwarnings : string; attribute downgradeipidentifiedwarnings of RAT_slice_1_0_0 : entity is "yes"; attribute x_core_info : string; attribute x_core_info of RAT_slice_1_0_0 : entity is "xlslice,Vivado 2016.4"; end RAT_slice_1_0_0; architecture STRUCTURE of RAT_slice_1_0_0 is signal \^din\ : STD_LOGIC_VECTOR ( 17 downto 0 ); begin Dout(7 downto 0) <= \^din\(7 downto 0); \^din\(7 downto 0) <= Din(7 downto 0); end STRUCTURE;
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.4 (win64) Build 1756540 Mon Jan 23 19:11:23 MST 2017 -- Date : Tue Oct 17 02:50:46 2017 -- Host : Juice-Laptop running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode funcsim -rename_top RAT_slice_1_0_0 -prefix -- RAT_slice_1_0_0_ RAT_slice_12_3_0_sim_netlist.vhdl -- Design : RAT_slice_12_3_0 -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7a35tcpg236-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity RAT_slice_1_0_0 is port ( Din : in STD_LOGIC_VECTOR ( 17 downto 0 ); Dout : out STD_LOGIC_VECTOR ( 7 downto 0 ) ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of RAT_slice_1_0_0 : entity is true; attribute CHECK_LICENSE_TYPE : string; attribute CHECK_LICENSE_TYPE of RAT_slice_1_0_0 : entity is "RAT_slice_12_3_0,xlslice,{}"; attribute downgradeipidentifiedwarnings : string; attribute downgradeipidentifiedwarnings of RAT_slice_1_0_0 : entity is "yes"; attribute x_core_info : string; attribute x_core_info of RAT_slice_1_0_0 : entity is "xlslice,Vivado 2016.4"; end RAT_slice_1_0_0; architecture STRUCTURE of RAT_slice_1_0_0 is signal \^din\ : STD_LOGIC_VECTOR ( 17 downto 0 ); begin Dout(7 downto 0) <= \^din\(7 downto 0); \^din\(7 downto 0) <= Din(7 downto 0); end STRUCTURE;
library ieee; use ieee.std_logic_1164.all; entity cmp_139 is port ( eq : out std_logic; in0 : in std_logic_vector(2 downto 0); in1 : in std_logic_vector(2 downto 0) ); end cmp_139; architecture augh of cmp_139 is signal tmp : std_logic; begin -- Compute the result tmp <= '0' when in0 /= in1 else '1'; -- Set the outputs eq <= tmp; end architecture;
library ieee; use ieee.std_logic_1164.all; entity cmp_139 is port ( eq : out std_logic; in0 : in std_logic_vector(2 downto 0); in1 : in std_logic_vector(2 downto 0) ); end cmp_139; architecture augh of cmp_139 is signal tmp : std_logic; begin -- Compute the result tmp <= '0' when in0 /= in1 else '1'; -- Set the outputs eq <= tmp; end architecture;
-- Prosoft VHDL tests. -- -- Copyright (C) 2011 Prosoft. -- -- Author: Zefirov, Karavaev. -- -- This is a set of simplest tests for isolated tests of VHDL features. -- -- Nothing more than standard package should be required. -- -- Categories: entity, architecture, process, after, if-then-else, enumerations, array, record, case, for-loop, signals-attributes. use work.std_logic_1164_for_tst.all; entity ENT00011_Test_Bench is end ENT00011_Test_Bench; architecture ARCH00011_Test_Bench of ENT00011_Test_Bench is type std_array_array is array (0 to 3, 1 to 4) of std_ulogic; signal I_saa : std_array_array := (others => x"B"); subtype byte is bit_vector(7 downto 0); subtype byte2 is bit_vector(0 to 7); signal b1 : byte := x"00"; signal b2 : byte2 := x"00"; type bit_array_array is array (0 to 3, 4 downto 1) of bit; signal I_baa : bit_array_array := (others => x"A"); type NatArray is array (natural range <>) of natural; type std_array is array (0 to 7) of std_logic; signal I_sa : std_array := "10101010"; type enum is (a_v, b_v, c_v, d_v, e_v, f_v); type enum_array is array (integer range <>) of enum; type rec is record f1 : integer; f2 : boolean; f3 : bit; f4 : enum; f5 : enum_array(0 to 3); f6 : NatArray(7 downto 0); f7 : bit_vector(7 downto 0); end record; type rec_array is array (integer range <>) of rec; signal e : enum := a_v; signal ea : enum_array(0 to 3) := (others => a_v); signal r : rec := ( f1 => 10 , f2 => true , f3 => '1' , f4 => a_v , f5 => (others => a_v) , f6 => (0 => 10, 7 => 3, others => 0) , f7 => x"33" ); signal ra : rec_array(0 to 3) := (others => ( f1 => 10 , f2 => true , f3 => '1' , f4 => a_v , f5 => (others => a_v) , f6 => (0 => 10, 7 => 3, others => 0) , f7 => x"33" ) ); signal bv : bit_vector(15 downto 0) := x"CCCC"; signal clk : std_ulogic := '0'; signal clk2 : std_ulogic := '0'; type BoolVector is array (integer range <>) of boolean; signal bool : BoolVector(1 to 60); begin bool(37) <= bv'Active; bool(38) <= ra'Active; bool(39) <= r'Active; bool(40) <= ea'Active; bool(41) <= e'Active; bool(42) <= I_sa'Active; bool(43) <= I_baa'Active; bool(44) <= I_saa'Active; bool(45) <= b1'Active; bool(46) <= b2'Active; bool(47) <= clk'Active; bool(48) <= clk2'Active; clk <= not clk after 1 us; clk2 <= not clk2 after 3 us; process (clk) begin if clk'event and clk = '1' then b1 <= b1(6 downto 0) & not b1(7); case e is when a_v => e <= b_v; when b_v => e <= c_v; when c_v => e <= d_v; when d_v => e <= e_v; when e_v => e <= f_v; when f_v => e <= a_v; end case; ea(0) <= e; ea_loop: for i in 1 to ea'length-1 loop ea(i) <= ea(i-1); end loop ea_loop; elsif falling_edge(clk) then bv <= bv(bv'left-1 downto bv'low) & bv(bv'high); r.f1 <= r.f1 + 1; r.f2 <= not r.f2; r.f3 <= not r.f3; r.f4 <= e; r.f5 <= ea; r_f6_loop: for i in r.f6'low to r.f6'high loop r.f6(i) <= r.f6(i) + 1; end loop r_f6_loop; r.f7 <= r.f7(6 downto 0) & r.f7(7); ra(ra'high) <= r; ra_loop: for i in ra'high-1 downto 0 loop ra(i) <= ra(i+1); end loop; end if; end process; process (clk2) begin if rising_edge(clk2) then I_sa <= I_sa(I_sa'length-1) & I_sa(0 to I_sa'length-2); elsif clk2'event and clk2 = '0' then I_saa_loop_1: for i in 0 to 3 loop I_saa_loop_2: for j in 1 to 4 loop I_saa(i,j) <= I_sa(i+j); end loop I_saa_loop_2; end loop I_saa_loop_1; I_baa_loop_1: for i in 0 to 3 loop I_baa_loop_2: for j in 1 to 4 loop I_baa(i,j) <= bv(i*j); end loop I_baa_loop_2; end loop I_baa_loop_1; end if; end process; end ARCH00011_Test_Bench ;
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: ch_21_fg_21_06.vhd,v 1.2 2001-10-26 16:29:37 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- entity multiprocessor is end entity multiprocessor; -- code from book architecture instrumented of multiprocessor is -- not in book constant num_processors : positive := 2; -- end not in book shared variable bus_ifetch_count, bus_read_count, bus_write_count : natural := 0; signal bus_request, bus_grant : bit_vector(0 to num_processors - 1); -- . . . -- other signal declarations begin processor_array : for processor_id in 0 to num_processors - 1 generate processor : process is -- . . . begin -- . . . -- initialize loop bus_request(processor_id) <= '1'; wait until bus_grant(processor_id) = '1'; bus_ifetch_count := bus_ifetch_count + 1; -- . . . -- fetch instruction bus_request(processor_id) <= '0'; -- . . . -- decode and execute instruction -- not in book wait until bus_grant(processor_id) = '0'; -- end not in book end loop; end process processor; end generate processor_array; arbiter : process is begin -- . . . -- not in book loop for i in bus_request'range loop if bus_request(i) = '1' then bus_grant(i) <= '1' after 5 ns; wait until bus_request(i) = '0'; bus_grant(i) <= '0' after 5 ns; end if; end loop; wait for 5 ns; end loop; -- end not in book end process arbiter; -- . . . -- other processes for memory, etc end architecture instrumented; -- end code from book
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: ch_21_fg_21_06.vhd,v 1.2 2001-10-26 16:29:37 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- entity multiprocessor is end entity multiprocessor; -- code from book architecture instrumented of multiprocessor is -- not in book constant num_processors : positive := 2; -- end not in book shared variable bus_ifetch_count, bus_read_count, bus_write_count : natural := 0; signal bus_request, bus_grant : bit_vector(0 to num_processors - 1); -- . . . -- other signal declarations begin processor_array : for processor_id in 0 to num_processors - 1 generate processor : process is -- . . . begin -- . . . -- initialize loop bus_request(processor_id) <= '1'; wait until bus_grant(processor_id) = '1'; bus_ifetch_count := bus_ifetch_count + 1; -- . . . -- fetch instruction bus_request(processor_id) <= '0'; -- . . . -- decode and execute instruction -- not in book wait until bus_grant(processor_id) = '0'; -- end not in book end loop; end process processor; end generate processor_array; arbiter : process is begin -- . . . -- not in book loop for i in bus_request'range loop if bus_request(i) = '1' then bus_grant(i) <= '1' after 5 ns; wait until bus_request(i) = '0'; bus_grant(i) <= '0' after 5 ns; end if; end loop; wait for 5 ns; end loop; -- end not in book end process arbiter; -- . . . -- other processes for memory, etc end architecture instrumented; -- end code from book
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: ch_21_fg_21_06.vhd,v 1.2 2001-10-26 16:29:37 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- entity multiprocessor is end entity multiprocessor; -- code from book architecture instrumented of multiprocessor is -- not in book constant num_processors : positive := 2; -- end not in book shared variable bus_ifetch_count, bus_read_count, bus_write_count : natural := 0; signal bus_request, bus_grant : bit_vector(0 to num_processors - 1); -- . . . -- other signal declarations begin processor_array : for processor_id in 0 to num_processors - 1 generate processor : process is -- . . . begin -- . . . -- initialize loop bus_request(processor_id) <= '1'; wait until bus_grant(processor_id) = '1'; bus_ifetch_count := bus_ifetch_count + 1; -- . . . -- fetch instruction bus_request(processor_id) <= '0'; -- . . . -- decode and execute instruction -- not in book wait until bus_grant(processor_id) = '0'; -- end not in book end loop; end process processor; end generate processor_array; arbiter : process is begin -- . . . -- not in book loop for i in bus_request'range loop if bus_request(i) = '1' then bus_grant(i) <= '1' after 5 ns; wait until bus_request(i) = '0'; bus_grant(i) <= '0' after 5 ns; end if; end loop; wait for 5 ns; end loop; -- end not in book end process arbiter; -- . . . -- other processes for memory, etc end architecture instrumented; -- end code from book
entity sub is generic ( WIDTH : integer; INIT : bit ); port ( x : out bit_vector(31 downto 0); y : in bit_vector(WIDTH - 1 downto 0) ); end entity; architecture test of sub is signal y1 : bit_vector(WIDTH - 1 downto 0); begin x <= (31 downto WIDTH => '0') & y1; y1 <= y; end architecture; ------------------------------------------------------------------------------- entity elab20 is end entity; architecture test of elab20 is signal x : bit_vector(31 downto 0); signal y : bit_vector(7 downto 0); begin process is begin y <= X"55"; wait for 1 ns; assert x = X"00000055"; wait; end process; sub_i: entity work.sub generic map ( WIDTH => 8, INIT => '0' ) port map ( x, y ); end architecture;
entity sub is generic ( WIDTH : integer; INIT : bit ); port ( x : out bit_vector(31 downto 0); y : in bit_vector(WIDTH - 1 downto 0) ); end entity; architecture test of sub is signal y1 : bit_vector(WIDTH - 1 downto 0); begin x <= (31 downto WIDTH => '0') & y1; y1 <= y; end architecture; ------------------------------------------------------------------------------- entity elab20 is end entity; architecture test of elab20 is signal x : bit_vector(31 downto 0); signal y : bit_vector(7 downto 0); begin process is begin y <= X"55"; wait for 1 ns; assert x = X"00000055"; wait; end process; sub_i: entity work.sub generic map ( WIDTH => 8, INIT => '0' ) port map ( x, y ); end architecture;
entity sub is generic ( WIDTH : integer; INIT : bit ); port ( x : out bit_vector(31 downto 0); y : in bit_vector(WIDTH - 1 downto 0) ); end entity; architecture test of sub is signal y1 : bit_vector(WIDTH - 1 downto 0); begin x <= (31 downto WIDTH => '0') & y1; y1 <= y; end architecture; ------------------------------------------------------------------------------- entity elab20 is end entity; architecture test of elab20 is signal x : bit_vector(31 downto 0); signal y : bit_vector(7 downto 0); begin process is begin y <= X"55"; wait for 1 ns; assert x = X"00000055"; wait; end process; sub_i: entity work.sub generic map ( WIDTH => 8, INIT => '0' ) port map ( x, y ); end architecture;
entity sub is generic ( WIDTH : integer; INIT : bit ); port ( x : out bit_vector(31 downto 0); y : in bit_vector(WIDTH - 1 downto 0) ); end entity; architecture test of sub is signal y1 : bit_vector(WIDTH - 1 downto 0); begin x <= (31 downto WIDTH => '0') & y1; y1 <= y; end architecture; ------------------------------------------------------------------------------- entity elab20 is end entity; architecture test of elab20 is signal x : bit_vector(31 downto 0); signal y : bit_vector(7 downto 0); begin process is begin y <= X"55"; wait for 1 ns; assert x = X"00000055"; wait; end process; sub_i: entity work.sub generic map ( WIDTH => 8, INIT => '0' ) port map ( x, y ); end architecture;
entity sub is generic ( WIDTH : integer; INIT : bit ); port ( x : out bit_vector(31 downto 0); y : in bit_vector(WIDTH - 1 downto 0) ); end entity; architecture test of sub is signal y1 : bit_vector(WIDTH - 1 downto 0); begin x <= (31 downto WIDTH => '0') & y1; y1 <= y; end architecture; ------------------------------------------------------------------------------- entity elab20 is end entity; architecture test of elab20 is signal x : bit_vector(31 downto 0); signal y : bit_vector(7 downto 0); begin process is begin y <= X"55"; wait for 1 ns; assert x = X"00000055"; wait; end process; sub_i: entity work.sub generic map ( WIDTH => 8, INIT => '0' ) port map ( x, y ); end architecture;
-- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:dist_mem_gen:8.0 -- IP Revision: 9 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY dist_mem_gen_v8_0_9; USE dist_mem_gen_v8_0_9.dist_mem_gen_v8_0_9; ENTITY dist_mem_gen_0 IS PORT ( a : IN STD_LOGIC_VECTOR(11 DOWNTO 0); spo : OUT STD_LOGIC_VECTOR(1023 DOWNTO 0) ); END dist_mem_gen_0; ARCHITECTURE dist_mem_gen_0_arch OF dist_mem_gen_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF dist_mem_gen_0_arch: ARCHITECTURE IS "yes"; COMPONENT dist_mem_gen_v8_0_9 IS GENERIC ( C_FAMILY : STRING; C_ADDR_WIDTH : INTEGER; C_DEFAULT_DATA : STRING; C_DEPTH : INTEGER; C_HAS_CLK : INTEGER; C_HAS_D : INTEGER; C_HAS_DPO : INTEGER; C_HAS_DPRA : INTEGER; C_HAS_I_CE : INTEGER; C_HAS_QDPO : INTEGER; C_HAS_QDPO_CE : INTEGER; C_HAS_QDPO_CLK : INTEGER; C_HAS_QDPO_RST : INTEGER; C_HAS_QDPO_SRST : INTEGER; C_HAS_QSPO : INTEGER; C_HAS_QSPO_CE : INTEGER; C_HAS_QSPO_RST : INTEGER; C_HAS_QSPO_SRST : INTEGER; C_HAS_SPO : INTEGER; C_HAS_WE : INTEGER; C_MEM_INIT_FILE : STRING; C_ELABORATION_DIR : STRING; C_MEM_TYPE : INTEGER; C_PIPELINE_STAGES : INTEGER; C_QCE_JOINED : INTEGER; C_QUALIFY_WE : INTEGER; C_READ_MIF : INTEGER; C_REG_A_D_INPUTS : INTEGER; C_REG_DPRA_INPUT : INTEGER; C_SYNC_ENABLE : INTEGER; C_WIDTH : INTEGER; C_PARSER_TYPE : INTEGER ); PORT ( a : IN STD_LOGIC_VECTOR(11 DOWNTO 0); d : IN STD_LOGIC_VECTOR(1023 DOWNTO 0); dpra : IN STD_LOGIC_VECTOR(11 DOWNTO 0); clk : IN STD_LOGIC; we : IN STD_LOGIC; i_ce : IN STD_LOGIC; qspo_ce : IN STD_LOGIC; qdpo_ce : IN STD_LOGIC; qdpo_clk : IN STD_LOGIC; qspo_rst : IN STD_LOGIC; qdpo_rst : IN STD_LOGIC; qspo_srst : IN STD_LOGIC; qdpo_srst : IN STD_LOGIC; spo : OUT STD_LOGIC_VECTOR(1023 DOWNTO 0); dpo : OUT STD_LOGIC_VECTOR(1023 DOWNTO 0); qspo : OUT STD_LOGIC_VECTOR(1023 DOWNTO 0); qdpo : OUT STD_LOGIC_VECTOR(1023 DOWNTO 0) ); END COMPONENT dist_mem_gen_v8_0_9; BEGIN U0 : dist_mem_gen_v8_0_9 GENERIC MAP ( C_FAMILY => "artix7", C_ADDR_WIDTH => 12, C_DEFAULT_DATA => "0", C_DEPTH => 4096, C_HAS_CLK => 0, C_HAS_D => 0, C_HAS_DPO => 0, C_HAS_DPRA => 0, C_HAS_I_CE => 0, C_HAS_QDPO => 0, C_HAS_QDPO_CE => 0, C_HAS_QDPO_CLK => 0, C_HAS_QDPO_RST => 0, C_HAS_QDPO_SRST => 0, C_HAS_QSPO => 0, C_HAS_QSPO_CE => 0, C_HAS_QSPO_RST => 0, C_HAS_QSPO_SRST => 0, C_HAS_SPO => 1, C_HAS_WE => 0, C_MEM_INIT_FILE => "dist_mem_gen_0.mif", C_ELABORATION_DIR => "./", C_MEM_TYPE => 0, C_PIPELINE_STAGES => 0, C_QCE_JOINED => 0, C_QUALIFY_WE => 0, C_READ_MIF => 1, C_REG_A_D_INPUTS => 0, C_REG_DPRA_INPUT => 0, C_SYNC_ENABLE => 1, C_WIDTH => 1024, C_PARSER_TYPE => 1 ) PORT MAP ( a => a, d => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1024)), dpra => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 12)), clk => '0', we => '0', i_ce => '1', qspo_ce => '1', qdpo_ce => '1', qdpo_clk => '0', qspo_rst => '0', qdpo_rst => '0', qspo_srst => '0', qdpo_srst => '0', spo => spo ); END dist_mem_gen_0_arch;
-- ------------------------------------------------------------- -- -- Generated Configuration for inst_shadow_a_e -- -- Generated -- by: wig -- on: Fri Jul 15 13:54:30 2005 -- cmd: h:/work/eclipse/mix/mix_0.pl -nodelta ../macro.xls -- -- !!! Do not edit this file! Autogenerated by MIX !!! -- $Author: wig $ -- $Id: inst_shadow_a_e-c.vhd,v 1.2 2005/07/15 16:20:01 wig Exp $ -- $Date: 2005/07/15 16:20:01 $ -- $Log: inst_shadow_a_e-c.vhd,v $ -- Revision 1.2 2005/07/15 16:20:01 wig -- Update all testcases; still problems though -- -- -- Based on Mix Entity Template built into RCSfile: MixWriter.pm,v -- Id: MixWriter.pm,v 1.55 2005/07/13 15:38:34 wig Exp -- -- Generator: mix_0.pl Version: Revision: 1.36 , [email protected] -- (C) 2003 Micronas GmbH -- -- -------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; -- No project specific VHDL libraries/conf -- -- Start of Generated Configuration inst_shadow_a_rtl_conf / inst_shadow_a_e -- configuration inst_shadow_a_rtl_conf of inst_shadow_a_e is for rtl -- Generated Configuration end for; end inst_shadow_a_rtl_conf; -- -- End of Generated Configuration inst_shadow_a_rtl_conf -- -- --!End of Configuration/ies -- --------------------------------------------------------------
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc380.vhd,v 1.2 2001-10-26 16:30:26 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c03s02b01x01p04n01i00380ent IS END c03s02b01x01p04n01i00380ent; ARCHITECTURE c03s02b01x01p04n01i00380arch OF c03s02b01x01p04n01i00380ent IS type bit_vctor is array (positive range -1 to 8) of integer; BEGIN TESTING: PROCESS BEGIN assert FALSE report "***FAILED TEST: c03s02b01x01p04n01i00380 - The index constraint values are not compatible with the corresponding subtype." severity ERROR; wait; END PROCESS TESTING; END c03s02b01x01p04n01i00380arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc380.vhd,v 1.2 2001-10-26 16:30:26 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c03s02b01x01p04n01i00380ent IS END c03s02b01x01p04n01i00380ent; ARCHITECTURE c03s02b01x01p04n01i00380arch OF c03s02b01x01p04n01i00380ent IS type bit_vctor is array (positive range -1 to 8) of integer; BEGIN TESTING: PROCESS BEGIN assert FALSE report "***FAILED TEST: c03s02b01x01p04n01i00380 - The index constraint values are not compatible with the corresponding subtype." severity ERROR; wait; END PROCESS TESTING; END c03s02b01x01p04n01i00380arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc380.vhd,v 1.2 2001-10-26 16:30:26 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c03s02b01x01p04n01i00380ent IS END c03s02b01x01p04n01i00380ent; ARCHITECTURE c03s02b01x01p04n01i00380arch OF c03s02b01x01p04n01i00380ent IS type bit_vctor is array (positive range -1 to 8) of integer; BEGIN TESTING: PROCESS BEGIN assert FALSE report "***FAILED TEST: c03s02b01x01p04n01i00380 - The index constraint values are not compatible with the corresponding subtype." severity ERROR; wait; END PROCESS TESTING; END c03s02b01x01p04n01i00380arch;
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block pabZO1I/O5UlEfYaQEPwd4l9eUai0bqYoMxFZDUmBPXyS95K3GW98Ld97MzJKAXXnSlf1PewGW2v 0RIeWd32HQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block MnYS98CLv6GUlLtXXj0MDq/aXJWBamrEeFXZFkhzX7OjMU68I3JzEc2/1UN3CHInfTII6cQBis+f MSPPkhHYfjWA/UnlZNCfIbUjCA7v4zzzEDOXLdUwHhey61M2PDbtjo4F0M+PSYsHQUE61FCJYZr6 +aBOwyo0CpKkCUVEbxg= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block qncW/Cwz6DQ02ZtEcvyp5WdAA4sItotGPpP0REUtLyqefQhCtJmFILcg4T0iyRUg7VuYEwIANO5+ QvHNNc39qIJv9lOesalgHBZQgvNRJnIdYWaRfS0GyacwI/2JQRwAkuAQstvDCp4RTc3l8lwP6/ls 9Kgq/wnF0FIDD2zIsqBFYPVau5gOg+E2Yv8daLhsLbgUNkGI+w4/OZjRbQGSUjwZLuzAjcC7dEzW IiD8iCe2E3P5aTpTA2tXeuvseQy8KOwVCxJQuur+f/bmnE2QrPi5PPQMRcOyc4ok7k5U/64SCKlJ oITfL/xIL/xwZa26tMPcLgkkx7p0G3RLvL/tVw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Dnf6vaqe/V3pNaiPDsCpL4mEkUhuRTF8jsptuAsYR5QlsF0hNdnCfK2+aKM5H69faCvd5mpbM0GP Pqz+qhNmOYPHdckgaTUGR5o/7QyV8YKLvzwfyDMqTu2isTv6FP6Q6welH2CNBnmC1/h5T7i+fy/Q rlaoXYJxfrB3B6n9clU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block IMf8iBP4Q72XIQn7cHjsTbT2wNsnwrpqWy35OTpGthg9IgmIl2PQf4/c9imtaZPdkPVpIBywT+vW p0seCgJeCim8uHSlCA4Yuvzi7NiJqnEZtjEX9xSzaDj4EflUudOJTsvuYMqv/3kxvUgkIK0AS+U7 CWRV3RwJIjyzXaV3SkeD5i2xf0d/bezTocOrvt7wO8hz1n7ziicW5bgdFMZpO18+84bLDi0MzKYQ Ad5OLz8QJgoCqRTe+B2lLXuByvKd2+XBYArz50J0pDfy4RubYe7FYpZdW50ze6dgBWVP0HOw0tLX Pt7eQrmsKxnIhjnIQBRBht+Bb5QLkHSbaJnGbg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8352) `protect data_block 3Txl86gxySTjspY1bX6mjaEtHzHyJ6PEwV30HseHtq4UpP2jRFV0RDcUeckeAq3gEZ5Qu030LNT9 bbOM++HUbE1I8N7rcDRyyG1/zAJa57yK2mi3blq8tQKZ32YObDq6jHS9U2gM3rYjhBhVr2aKK7qQ c8X7nouwkJNW94GkZ7OhWlUV608zX7vk9Ytc1anxwA7VNM5uWs0oTqvG08wzxEO/Wp7eKojoSXwO 3Xhea7t/apLpI0orOHVPwHMzsjaxq56UlxouX+wqfNeT7gOjE86Icz9Kv6qJveIr35SBEOvmKTEu XXSNT6K9tm6q88SyQn3Fynw4R45InLxk96cdtyVOQFxzvDOOUUpt48giFXLbSh2J5eWLkh7wKkBY NwQFlaCkJdKCsT643SDourT31zKF+Ez8++L0sOmdPaVStcet+0/LOBpXifaCvmNLeKxnE44uDown kBMOgjRQlDv9IFqs6Wo034TMX/2IlRfFbca54S7HNhd4DqEOj/dLkdbi3hQqvKlUQLxGd/aByX1b hgEkVsmNNotqvCZpPQ9UsFsRcys6l++qYUPYLNf+xf+zP1lV2cgnRS4RT1iwGyboNFn3K77Tpsb7 9OpYXIW92RPDYHYOVluUlhGfDVUg0MYat/q2HEJQJrXI453QNfUDhUynqjNMtUc0dMa31quPvRVZ hHf+2/bR4Q7cjHspoRtCLGojgvnSf247q4ctuo7nbrdhYUuAa4Bjj5ju4myg9nxVU5ukJQzguBJL 3sQ6JJNrie8SnHcaAMftHxFvfSWwly88oe57Wp/UEarxKXac3A5nc41pt8Mv9Xgpu9v9VOJTxxUh 0lyGcjzLUm2XesldVnJ/PnO7FAjtpxgucH+XMQf+g2wsb59I8+ybUbOrYNQOqWYSwYCOn++p4OTm z6+e0PpDeW3xZTDe3cwHEBvM7k9KPgY+hpev11RMc+0TNT+raUGL3kNBmFiuUKDLoo9/RyEjagUr 0XsfKNMC2ZuGcJCLV4GR9MBQAHlIYRgt8pMqwpUU0tmvh50d1NgrWHgYQL1vhVQUjl2fL8jrCp0B +68ca7YQ1jwOO06mDdlvVYQjZYG/4HCGLQDVssbaag2EjF7zECcmS20NvYP6RjiCxeFLTts4nWNX QI9Rm6NxhHHcmzOEqLUcCSEhySlQwHac/hU0wa46OGSKe0mrmjph7yshq6QOx92ErIUyvhcBeJZo CYY1tCy8lK7N1pab+wcy1j3BbgC4h+YmgjRF6QzhsIcO0Gm9AWLAMvRIrWOPp/V66F7b53uZGfZg hBu915yc5M5CCeRHSBRqwlOTQ8b+GpsV2+8NdhIVSdXpGCVYKQExROd9Zd2r2RFpyx4WC8C9uISU ICBHRlp14RuEKS1tE3agqqBEQL8G83cM487JmgJrWO8alKV+Q5R/Y8cvNDq7FRMhEiPSO1OEMab0 xFA+efs/Tcf+HHo0OesKsFCjVlqwwpgbNSNTgtlDcfxD/icoQHkm8ZiY89TmjfvR0b0cnzwpHcDj RMaiCRYxWD1x6Iy8HAcn9ETbZjNvz/AykS/6e5fMYkeHvaSs0iTZgt6FMmApO8MaDCaUZJEzLv0U z5mb4bxB2IUUrSSt79+9IGbvbS7SphLSQpuyhmnr4JnQmDNj7qbKUz1unir19crnVKkDO6zxigd/ lR8zPfNWeXOCuLklXc3IcDDhBD+ysKGtw2idvIUOTMC0Wa4g2d1zmnUwx/0x0HNEwmXy5XyZpQpe MqREqJVr/i8Oed0hI1JR2OE/D9xvGQmy67OWwqOQtB58ZoJP8JmdoWCJKsQhk9569I+XRRmtvLCC d7m3tMoqYsWVMFY16sa1q0QO8R7PijrjPovnzqr48ZYLKmswIDxTakNM2zHM4zbqta7faU1m1++I nKYMlqUtnps/uGB8XKS5fnRFmB2KPBoCh3xddVUq2bbcUnb3pSgykrT+6T0Cy+V9trIv2IJ0c7l3 NaV+KvRYH0dgbBFOT5DsqIj0jiaAiwSrTgUsdI/LQ3cKu9M2/ExRpY3onDoKZc2dAD3GGb69SXAr w7p9Q18HYjcJHWpaItgzAqnpDNOsS8ZuVMmHOKqCAjG/8Krvw+TQI/+VL6o0RdDz23z6HmqTItKc 1lRdvoub1qnfL3uVJ4X9TsXy8cpZ6h0FbILRMnFsk5Vaf93/svpVrMIzYjt2Hpf62IKO5lipMuhh KPKesXaVK+Mwu/rkP45ABgmsuu4nNKVY2VnFSymuk2zHpmeeLL0v5PGSAq+qfBEAeH3EcIU0DUjF f/DZY0t+qDbjr8kuA9krOgPacrVu8+C08lCQKNB8H4zDq3aJkXYG2WmPI7/NCl6RpCTTp2ftZmHz uAg74PMVp7v+9dLBbb78ogz44Ue4t7HGbnLbVvygeth05fSmOhFEQLID3JaITPTsljDVOebzOEuB r/7Z54pRWs4eOGVJ6BTWU+2iR68Mp3+I0SipaTCSLWjdOaQxegyCEXlLXS2pP9fovqy6ohwKp4r8 SbQ+fQEXJR2Nf9iy+UBtxjz6TMTym7rgS/O9I89iDLJGFuP3z0VNGVQklauC3+Yp4gcMd0xnupu3 w27OKqWBGciRpZM6Fgrsy0mBGWFfxkKu86nJyiwgxtCocvKy5jpk7yiTaHgWOA7BrgEBHk5Nz5FL NHVIuZN7j1eFV4JAQzvPN9dsohwgB+k6T+bz8fxTf+e8RFUnDL43dOjicvnkIvfEQzwM0+JkeNvQ ta5xGpqaH1JD0qyIkJvzScWkIVGrzWzMMvxN+DtabQBIeE2j5DTmfMky0f63cNgmFWP6/SP8aELt kt38bI3RslECUinVEQnYbU2DhgBl2Bp2E7uq/YG8V1sM+J132SjhghxqJ6T1iaGzRtJrzV8uZJUI QV78YPB8Sw2WfIKPXHMOo8Wcuiq7hWP2gnMzlEhTruTfy43iOBynsEQiXlpz5MtA7mEni8hCK/ju wEgP4JhZ2tuIpn3vt//Hes8u0qpLAT4bL03JKYgTMtYLwgU/cKQ7mMqCQ6JazkTKGN1vdrb1P6FI jm7sXfEx1FtTOtiyoXtAyMhUgg+nYckjfJRB+Upgs+B8ajgnnZ4zZWZg9nXh4NOTgJqwSZoz3mYj 0YEoTAZoU5V/kM8lWYqbfC7ss3tgwGjQhmamh1yG2IBAFfbbm+1eaGzWKhnMkIe/ThTInM2B1954 qhG2i2RrFeFBjYacocDQaSbMgsDSx3bO0wRodxUv6ITBJWBtUXQ84psaazwKrD/48/Wk9SiEOiD1 bHUKJFcMGiEDXRQo6c2MPJQ6+AASb+mkg4r+ZMnCpAgNGWBSRtJ3JaJz3fpIua/0GcoKl2yUtmou QnC/LB3DfIirdC5fp4Ar2PTLUq41yjWqn49wmeFrni0Fyh6cBfPplgLIt5GduGYDHqCMzXsq7jMB J4K0ObKnDEOvNDZE0aYW1ePxQNtm2WgNAE5G1Bb4zoziBHf8WCtWuwOZD72tS7ZLwU0SRI/H1rgO NqpZtEe8PbhFF3Mu945oZP/D65wwWYbxbCefnwh7a9hurvKB+YX4xWg7Bd+gnzSBzDNtoULsaGaC Vl5fRhumkx+BwqRdeIvZbEBGKEAuT9fwLuBWbqk9HBZDRGqcse0evcpEJwwj3bvVq9LzXkuUYR1z Rj+rkr1VIOBvD406n/kA7zybInjl+abePxrvEczIc+9nNyt4olcCdlOPbnfijOTwpQ8D26mPfsYD i9O3VvkG9+NJIYW+QgS2AKJXFvHQptmkEqXIaW5nI1pxbps2GKYt7UeBwd3OGuNFPUwtXN28qSnG EkOYzBRsWNCHT6Er1YEX4gIcUpwGuzYkItG+yZY6/dH49QZnIuVWqiFNU6F/5rfoOf81SF+rcgn4 OJPqnzNuGtn0dwUAWA17Bc6BzZ407CNs/QiW5tcgtLCQ0OQSvgN4gNMgvig9LHofpmNnlTQAvYYe BVMg4B3jAZj23PTEw7AVpjHMYUWAHgiPZG4vsf+KQIkXE2LwvfDjNzHLr2iLhAHJXd0IiqeTGiaz IlFj8ULdg+8d8cqV9NCVt2TdAsPgvBFn5tejC2z6o6lQx7u5LDB6LxPxb9b6Zin6lexVCtUzMvMC 0M9fb0Y1ZfMukFBjX5eV4TAtVULwlFjyiwbQ86oEjKcSLpynhYc0F7ITr9iGQjCT1gMB6gkU+r1A lCJapEUql8wzY3VFG5X7mMFuNMnvwzIKZ+jAtrIgNE4JdpAIYTcFEkxq8akjQVVUDedUUJb8ltag RUw79Gm+0c5hgnSPw8xrqk1M73/tMFsPDOCESMOCY62RTQvEJ3xns96vKjn1c12j9qkYq5Rv/4fC s3wFId2akJGz81EuJW8OGSOkFdLYmhVLgXPJXM5DAWhKKcOBO1RTfb+2KF5sP16/tXkQ9StRq4VX c+UD/PMrTDZM4G6HgrYZ/9dGhLTHxganTLx62+QqSuwA99tMdCd0GbQneOfqNHb8C123G3zS7fMj swXKIyhroWPHZdxxm2Osyv3wAxCg8T8XirESRway9GoO+UFL477kJLZ+HmiJsXQTEelxX7WK8ze/ 22UYNqX/fzMT2M824ohaQFAtjXa+mwiOm6ydU3dCehJ+W3m+D6l4bVwPwbv4xGA2wBb/aiIGuqVN o8NK9XsDyh2RFFihGZrvJyoxBbzQH3hvISJlrfKmknMk9vQ/hBNtdWOkLTZ9WZR/pgD+eVzF6ot2 rbuarAjEDrlldyE5l8wZ1JOz3wU4S+cD6jx00DiL3FA62+fMbxmTmAZgHudnMBVHWMHx65pookQ1 PH/eetNoWd3uMDXzMzNF/eHW3LXRwmpckxQgn5C6HlfMlIBwL3tTRgY7M5iZhuvORqToXY/7rdTK laP4Yffx9Go9q3T31S09Rn3SD1Bq9R7bd/7LqrdYezN7jyNSinl1SRoCRVbjD+5zIG0psVectW66 C6xBHdEHgSjehXEaSak2uWDskIo3YkZUpvAF7KGnm9bUP+zer9dfwk0EQAzxap6tIZ/q8ZFO+aEa cU/zpQnghtgefYQTdEbF5hc1GtdPi9V03glTxYGdLJZd9h+ITNvKfwEJZ+T4ERkL8RVu0tL7Q5Tn C+GGjYe5vSj0n3o4M6Q9OEYowOKC68LP39oVGI09gHYGwHQLNKoEe9Xxsu6k5+HvEp7xqQRgTkMr KYQ1YwAyYyjezGrGnATKvcRCl8Qv6ob0wgmr3n1DSenWBBkptfiMEueDrvBzCvLooImQiLTrIZpv BzATxNSFTzXmAfuP28U17N3EXCWA3AkWGS5IcY9blseoPjgVF8mAIukuDd5IWMehpWny50JTfJXq PXVLsOk6aN9TmZCbQdfKJLKUq2wf6IfhH/6LZ4kzWKjp7cjIVVzBBU5YFoJTc9Xcn2cWcxdMxUDo +atD56hnbO8Yv1qD5j1IyZQR26x1VUYCT8surMUyOeMYDf0QOmFXW8vFXM4iFJch0TQJVc+wNTQ5 r/JXQBwjLloIKh+zjBGML90YyHEi8lBIegcHQjcfdVAiSguffRzvi1GyY0tJ9+uuSPvR8MsmySw+ qJax9eOrGGMrkaMutrm4coh+90PGwrVBw7C9CJ20slujK4fzED1n2GI7HIk5C/nwustjJ3aY9FId 5RgVZsF66MOXe8JWXJYePleTKcIMkc81dgw5GpAxgrcfxQEuq4MYXQq/HU09N/Ba+knDJmloMsrq h2ruTb/P7ZZBeNDGQR3kNYVJCkMIe8EqhFfeDarNLviUZEmbnuNyWO17WJH2175txLa6XYHVPuXl xZyalFZzMdEmW0ehRQZxGlteMC/ISZK8lV4jiKzTJzCv1LEZjYhjHYakr21eVgBYZimn2FxeGfUU OJyqiweGOShLgragFNrgH1BcUeT7n/gaMJ7AvFXZfj/UjGyXnadgQE3W27OfFR+yKgDXIV+Ll7Sy JqhpUFVILNRiN9EOsszyG1lDmWIqFIswPyYAcdL0vWfGRAGdlSZkfIxioF85gpNWrZcWLABc0YLo EpwhTas0yCgDNkyb2pKsi+AEFp9GCF8uXDJjdhZ5oLAiNM521YbRRYRN3sTJ0trJrmSQZ/w1Ng4B ukLHpJ++YqCKWMQSeqxPS1cd3FmFzrnuQZ2zgnbiXUjMBOf3EiHMKBTeRIfRNV6MPy8Dva8CPabX Bpi5YTB/kK4wFn7oGntO4ju0zarDapC7dkQRHvD0FNNW0uWDxf4ZC2hdlImcLC8GwD4Jqx+Ww5vQ iWb5a5qeHv8FbwX9avXhWV7W595r70shlOyX+cBLUevhdivgviVBYx6pp/PwrtpQZE41FymWtqTU eTITzgOySas9n4ArQT8EvyuSsZh5ZoONr2mvGkOzFqKRNxwJfLP3JGB2JDtyXl2G6LyE3wj+bkjp bFPmVN37bVtYGhSeB4rYxt4pHWAMexghGjZOhb9wideTxEmxMPUkUOTheSqUXw1411WoB1wxVM6Y r1/9/pw6VWXqKUKls6bf+uZw7U9Ko2OUpSFVOKTweTJuBbDHi3SYWXIPUJrSJdyLg98+QJWyyY6C BsU6vDcAWRqIXMuTxlc1Dn4rQnP4/lLSZ4TmDjBpf1rXBAOkVmFlr9RspUv94oqTwbZhCnpQsx/e 4MUd5Ot6CgxzbhYvp9bA7mL0HFMsq22B1V0u2Onn5VyUXdY5cmY3DRBJxQPx7yLC7X+eZMsBVQ+T aoMXwhJx3dHjfWRgPW94w/wQuufuExuG4R2T+ShvvNxUye8SVGMPZR8z94j+Dg3LyUMMtKOdhTjM ETq8q1gT+As23MYg0Qh+YOh6lt0kuieB3VPok5s4xBHxEC7W9665X+mRU9W3qyNTbaSt18iZDeE+ Y8479RRrRzSqcJ5nDgy/wXHej126J1eo7ecwew827Xh2VtEBqcqtAfrt2Kps79NUZaaBUDJYQruG Qh6T2u8iAgDZ2+2PBdpCybxeDQH4uWBmYuZfcSGuHmei/WJAQjKERXXxv+LYvrji4eKGsTw+Suel 0R1R0VXI7ckRq/ZBf0Kqk0+xybdpNXnM8emHK2Hxl/mZ+7W9/0wVhT4uBTgu2xVLj983x0X6vIhK FV4wa02Xei1qBoBY//pWaVBaxvKSPjWVCp8g7okVIe6+NeEIaN4oUBq+3byQvC/cdzPDCc49y11s wHQNPeoyEv1qHjhmH/ikDVuqH9VNg0HMA4g6lsI8Vjr/SFthTo/uHR+U5Ex8egTO+BFOgiknLJJe RxKcVxRiZh+DnGrTxgwzfYiz2T7I2dZaFYfR0qE2YZl+McZBQ7CBwjZV0QQyvu6RI7wC4qxrl53c DZl5xTr7/uLEgrm+a5DFAybjtbdGICi9V7tiTbRj8FXZ7eIHPzaKU7EFrPm1BQvwljKum2ziKmvp KZV4s4YGJ+YJ4j6tt+egQ0Q+gqA43/X7wYoS17Nw9zvN3/29u3q6fB6URMTwfpI89Ju5ZB4pAJIL 4OF7j9ZglPK85CpSMNZa/C7naaqQXPEpBmCkUQ7j5sdm8jYpoBgGr56wYEgJTb3t8ISfb/8ClDM3 OBVWQZoCrmYWZRTDTTQV5Q3oPVIQ2lomOiOtBj/tHmFeqAVaqVyYTWAPMh4wUXIMf77Gxiyzwe5l lbfHOKa3MYrd9pScNjRrE6rCddnwJLtcuMdJGT/K1s+rdBRWrlSNYWB0OPjqRBHQHkJQ7Z43Z3a2 ug7trN9rkGAz1o3F4cjkQBjxFqynXGClk5W8x1LtPyfcPmvODgwjDN6NWQbospmYR5Tk+9BpmRZt 9eLGft5A5YMAPU/O+VLRfPlVbP3qh/UI3TCpFpimtuRLFTCz4JztU8ZhIPncgrV0gK935ZKTtGnW BaMzGRyUSEKb0Zk78tlj5/srTcV5W2JedcjsdTEt0VmEaXIdJq7zuVZK4DHLddLUE9RFoZLKUVtj PPDWg9LQO5/j0o/Flr2+x/dFzulAoPTxfaxI7MCUmWunbSmNcsKrkqU2y0GpSwR9oqIRCH9ZUNmR Yx19latY90ZAJbIzGHcYO4zlSHsw5h8p1z9tAwRKdGl4iQgYxgJrbhUbvWxGWpvPmNLuNr7xXEIK oDdgIXUJ3F/lcnCdoUn5R1aLfWWH+IG9bmNCyU4s8NFEXMf/DaJIrQF6X1cz2uY50Gu65RK37mGJ q9t17ga2OiSnxtC1w/GmnPZ8+KjOVusjUElhRM4zgCOlnC9TSzQPyGrDFi21s5+to9mTB72+meBT YxfkNIV8M3PPmX7JaoXOT1xetjQOUFrFOU6ilBIQLq+XxjFdKvReovv0w0Pvcm7ms8xBGceKni3C 38O9cbEwE4U5FOdS6c2ZNHRhnUWeDyBraD5sm2qKEegmr9l8BzNS77gOK9upF0e5IWgNn2U42+10 2H3Vl2umQdtMTq2omNElOe+qAWcy2HwnclRlaN+9UfFk0ianhL4kXCpOLSx5VzNddArXqaEXtwDf eDFS96XliQwseFOEt/T2C+6kk0k4Dd8JRiruo64uVsVu81mWVGC+/W+dsCAJ6Wtap+NDV2tIDFjp ePx6sQLMnN00Do7sHJVvrWr3LdfY/Cz85lPJRJIkLUuIeQsUy0hFDlz8evNBkB1cg5U87HkIdg0S nK7904RqhwNIHGf3vaSpMxplcRbgiBkxHAmrHJfET1LVkoQMtIT3x2HcvE/7UYIOTv7HqbS/fAAk pbwAC272qlLmd4NPDdnxiok9c0+r++9AHaFr8Xt2BOXteGzOowE9KsGOM93RCGrdEGuarlEiMUde l/fMPzGCiOf3gQfO6P13REGpti9BPWTxjm1FyzTooueE+WTwuYsFAhadt6+3wuzOd1P2SF6usQIC e6C4k4hKED8VPyDgQ6F38jBiZU6vtUJQeE+OoAGEaYqYL2rds3b5F9C2AkKSUAxrRN0mCAflGHe0 KLTV02j3HfzlnhA1x4uvJuWo+yEIWwbh0/3Paxosv/OwXzExR3zF9GVE6bS9VUES67V/VyyAsQ0o +NaL9FIhqiWGRrMEM/ZY1kTPkhKKUy6yJygtCJAUIqOtAaJi7C9uuyw7kLPEQ8oelL75GV18BTVq b7NIx3B394DduoIuD+0ricroH9ZaPIfu07FleYodHHHhdrAl1z50ozeAj1FHvM7tRlh4MAXt54zY PBm5h3lZvnZ4NLBl5HLFZnNViflav4/4O+cpSd/41fJWJsFOwpXLA3x6tMkuOHsf9VRV+4alRJyC 54ERBeK+DuskKZP01Z5HJbnoh//TV7yj6y0+XwQ1byR/CDAWe+yrUUGs8jzrblu0h8ufY7/82rti p32XiCu8rAA3/5UC78i9xbrkDjcuzedCdQc8An7QA/ahIy8U2+WbhVq4LNcfpXzpHLQw1eQe5Xkc zF1NCnNT5wsawKqj2FW1hQYDLIAwF0kzMA6Txb73HiM8AxgOlfnyliy5bNXf/pRs0tmQ/84gJ5tN +XZKTV41n1vKOmEvtxmpL2HPFwIsozX3AznukZBdSr7BgVdlOvcJCUXvRANX91AMUKWJY8VvAUWI LBkjygf6MsJrWRD6qqmRUAhDwUv0udi6JfCKV1BozKJYtC0h3SyFoyYgdjtbPCpyQT91/ULlRTIA 8j5eA+Z768VYa+f08dUwZCblpvUbMhtmuBfLMmsdL0yFo/W4QjV50PeJgWZU7B67yghOAm1RE3lc lPZgZebUuzRFZxNoKgLgVDEQAPqVt564c+AlR0SXDpPOD0H8hr4ThFjKeEJ3Nv77slRJ7uHZDg1O nYCkmAPBKcAo6MHFsbGm8PnmJKPORkgGulEF9IApU5UgB9r5smUJFTWta6Y7Vbu9RlOoOk6tG6lJ xSHxMgPHwqcvGGN7IJvo5sqHjr0ohdI/qXf69fBdz+e7QqDTntNk+oK+2PvqexNNOw/mrkxO/qhl KDruTzmxO5CAuvq/xESrxxbPdaXOyzmTxHaaUADGU+8IrZ4o3NW9UUvfWReX3FdDI4YKcw8SAa6k Uf45VPHnJ4MG74xA8sEAjw4KTB7sRIwta9+C5STfWIqmZQ4mfltIzAK2H22E+3GadM/OuNw27gn4 YBT7Vlw/RpnOeL28JD42giu1dc1atOqw9XK4+XBeUWpoW7CXGF2sYwhgc8zsMtw4pdSOgEiNyMnl +dUdqVqefW1MkMKgwk4CQBnaSJSMITwsXIC2nD8FOzfFFkF0hXwFCZrfQaWQeoTI3UbE44myhYxB rTxBWSCZy89yRzmolBMGyrBxV2X6IqfhXQsPUg/w/5+yYgmCyizQYU2WabGXq1cdXO3tdlIt+J9O rxtuL/eaNPWTRcDSFNekLYXMHAua1E2VtBqO/2afq56GelSNoKHqnu2jBi41vn9weSNeKFOs2QDD 5mD3PzEuXmVFqy7P7ooPFV5X4oqnMs/0pCpmiWH/ySxTxkLKzNv/fF8RiOHeW0s+lXzG1884HXer 65bmvPMIO0QegDwJamA0u1VtwoDRT6F5X9wdcfr5oWeqm8ceNmY58JNu7Bqcu558i/poHsS54d+e 6Dn+n5pD6kM1GdZlp5YadjZUU2N4dcmGmERuQkhWNnMPxgKQe4I806zOcCGmXuOTtjBcQLQyFccC 81htxrlD8+TXIYv/3KLPTgO/g69qJIcpvZOV4R5ib6X9Y7AbQVqNPuWKcYFImHZUa/QsJIC0Q2tw cxFZUG9PBI+MXb8uC37EJzmU6+gU8tLRZpc2h4UDfO3Oj44wf8hV9nWkfDu5HUd6jCunOAuTct0S BuNObbRewq7zxrZXR2blx/OOB7KIYfCNxPEWLgh99LlVTJr10ZBL0exfksZc23Z4MqhlTCCuLXGd Yf4lqEuEdAEmUHeRf7EyVuypytdGGsbu3F7O0Mme/W9zMpR5EDo9ULlA6tLoRYkJg2NQ7Jh9aVlB kRD4Yo0DWA6Lbpddq4sZRPloZbZp8ZXS86QVjto4I9jMOqVsi24Pmx9pA5yM/J5z7b5Gtn657BcJ L0BdShDJa7DJSGdPo1f/imrxbRPTT9LjQVDI3NV802VgkeNtOnDxHpqDVqIlWx1nqbMpONXRhcOh 1m1s69ftQYoserPWOP3DwK0+ua2qyS8pfSEWTAhgX/xFDD+zzfH7fnn7DGb63+l5pSghyILDTmzw 1sg8s1nxvdJiU0DhaBsPlrCqHG/feXA7UgC7OIuf `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block pabZO1I/O5UlEfYaQEPwd4l9eUai0bqYoMxFZDUmBPXyS95K3GW98Ld97MzJKAXXnSlf1PewGW2v 0RIeWd32HQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block MnYS98CLv6GUlLtXXj0MDq/aXJWBamrEeFXZFkhzX7OjMU68I3JzEc2/1UN3CHInfTII6cQBis+f MSPPkhHYfjWA/UnlZNCfIbUjCA7v4zzzEDOXLdUwHhey61M2PDbtjo4F0M+PSYsHQUE61FCJYZr6 +aBOwyo0CpKkCUVEbxg= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block qncW/Cwz6DQ02ZtEcvyp5WdAA4sItotGPpP0REUtLyqefQhCtJmFILcg4T0iyRUg7VuYEwIANO5+ QvHNNc39qIJv9lOesalgHBZQgvNRJnIdYWaRfS0GyacwI/2JQRwAkuAQstvDCp4RTc3l8lwP6/ls 9Kgq/wnF0FIDD2zIsqBFYPVau5gOg+E2Yv8daLhsLbgUNkGI+w4/OZjRbQGSUjwZLuzAjcC7dEzW IiD8iCe2E3P5aTpTA2tXeuvseQy8KOwVCxJQuur+f/bmnE2QrPi5PPQMRcOyc4ok7k5U/64SCKlJ oITfL/xIL/xwZa26tMPcLgkkx7p0G3RLvL/tVw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Dnf6vaqe/V3pNaiPDsCpL4mEkUhuRTF8jsptuAsYR5QlsF0hNdnCfK2+aKM5H69faCvd5mpbM0GP Pqz+qhNmOYPHdckgaTUGR5o/7QyV8YKLvzwfyDMqTu2isTv6FP6Q6welH2CNBnmC1/h5T7i+fy/Q rlaoXYJxfrB3B6n9clU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block IMf8iBP4Q72XIQn7cHjsTbT2wNsnwrpqWy35OTpGthg9IgmIl2PQf4/c9imtaZPdkPVpIBywT+vW p0seCgJeCim8uHSlCA4Yuvzi7NiJqnEZtjEX9xSzaDj4EflUudOJTsvuYMqv/3kxvUgkIK0AS+U7 CWRV3RwJIjyzXaV3SkeD5i2xf0d/bezTocOrvt7wO8hz1n7ziicW5bgdFMZpO18+84bLDi0MzKYQ Ad5OLz8QJgoCqRTe+B2lLXuByvKd2+XBYArz50J0pDfy4RubYe7FYpZdW50ze6dgBWVP0HOw0tLX Pt7eQrmsKxnIhjnIQBRBht+Bb5QLkHSbaJnGbg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8352) `protect data_block 3Txl86gxySTjspY1bX6mjaEtHzHyJ6PEwV30HseHtq4UpP2jRFV0RDcUeckeAq3gEZ5Qu030LNT9 bbOM++HUbE1I8N7rcDRyyG1/zAJa57yK2mi3blq8tQKZ32YObDq6jHS9U2gM3rYjhBhVr2aKK7qQ c8X7nouwkJNW94GkZ7OhWlUV608zX7vk9Ytc1anxwA7VNM5uWs0oTqvG08wzxEO/Wp7eKojoSXwO 3Xhea7t/apLpI0orOHVPwHMzsjaxq56UlxouX+wqfNeT7gOjE86Icz9Kv6qJveIr35SBEOvmKTEu XXSNT6K9tm6q88SyQn3Fynw4R45InLxk96cdtyVOQFxzvDOOUUpt48giFXLbSh2J5eWLkh7wKkBY NwQFlaCkJdKCsT643SDourT31zKF+Ez8++L0sOmdPaVStcet+0/LOBpXifaCvmNLeKxnE44uDown kBMOgjRQlDv9IFqs6Wo034TMX/2IlRfFbca54S7HNhd4DqEOj/dLkdbi3hQqvKlUQLxGd/aByX1b hgEkVsmNNotqvCZpPQ9UsFsRcys6l++qYUPYLNf+xf+zP1lV2cgnRS4RT1iwGyboNFn3K77Tpsb7 9OpYXIW92RPDYHYOVluUlhGfDVUg0MYat/q2HEJQJrXI453QNfUDhUynqjNMtUc0dMa31quPvRVZ hHf+2/bR4Q7cjHspoRtCLGojgvnSf247q4ctuo7nbrdhYUuAa4Bjj5ju4myg9nxVU5ukJQzguBJL 3sQ6JJNrie8SnHcaAMftHxFvfSWwly88oe57Wp/UEarxKXac3A5nc41pt8Mv9Xgpu9v9VOJTxxUh 0lyGcjzLUm2XesldVnJ/PnO7FAjtpxgucH+XMQf+g2wsb59I8+ybUbOrYNQOqWYSwYCOn++p4OTm z6+e0PpDeW3xZTDe3cwHEBvM7k9KPgY+hpev11RMc+0TNT+raUGL3kNBmFiuUKDLoo9/RyEjagUr 0XsfKNMC2ZuGcJCLV4GR9MBQAHlIYRgt8pMqwpUU0tmvh50d1NgrWHgYQL1vhVQUjl2fL8jrCp0B +68ca7YQ1jwOO06mDdlvVYQjZYG/4HCGLQDVssbaag2EjF7zECcmS20NvYP6RjiCxeFLTts4nWNX QI9Rm6NxhHHcmzOEqLUcCSEhySlQwHac/hU0wa46OGSKe0mrmjph7yshq6QOx92ErIUyvhcBeJZo CYY1tCy8lK7N1pab+wcy1j3BbgC4h+YmgjRF6QzhsIcO0Gm9AWLAMvRIrWOPp/V66F7b53uZGfZg hBu915yc5M5CCeRHSBRqwlOTQ8b+GpsV2+8NdhIVSdXpGCVYKQExROd9Zd2r2RFpyx4WC8C9uISU ICBHRlp14RuEKS1tE3agqqBEQL8G83cM487JmgJrWO8alKV+Q5R/Y8cvNDq7FRMhEiPSO1OEMab0 xFA+efs/Tcf+HHo0OesKsFCjVlqwwpgbNSNTgtlDcfxD/icoQHkm8ZiY89TmjfvR0b0cnzwpHcDj RMaiCRYxWD1x6Iy8HAcn9ETbZjNvz/AykS/6e5fMYkeHvaSs0iTZgt6FMmApO8MaDCaUZJEzLv0U z5mb4bxB2IUUrSSt79+9IGbvbS7SphLSQpuyhmnr4JnQmDNj7qbKUz1unir19crnVKkDO6zxigd/ lR8zPfNWeXOCuLklXc3IcDDhBD+ysKGtw2idvIUOTMC0Wa4g2d1zmnUwx/0x0HNEwmXy5XyZpQpe MqREqJVr/i8Oed0hI1JR2OE/D9xvGQmy67OWwqOQtB58ZoJP8JmdoWCJKsQhk9569I+XRRmtvLCC d7m3tMoqYsWVMFY16sa1q0QO8R7PijrjPovnzqr48ZYLKmswIDxTakNM2zHM4zbqta7faU1m1++I nKYMlqUtnps/uGB8XKS5fnRFmB2KPBoCh3xddVUq2bbcUnb3pSgykrT+6T0Cy+V9trIv2IJ0c7l3 NaV+KvRYH0dgbBFOT5DsqIj0jiaAiwSrTgUsdI/LQ3cKu9M2/ExRpY3onDoKZc2dAD3GGb69SXAr w7p9Q18HYjcJHWpaItgzAqnpDNOsS8ZuVMmHOKqCAjG/8Krvw+TQI/+VL6o0RdDz23z6HmqTItKc 1lRdvoub1qnfL3uVJ4X9TsXy8cpZ6h0FbILRMnFsk5Vaf93/svpVrMIzYjt2Hpf62IKO5lipMuhh KPKesXaVK+Mwu/rkP45ABgmsuu4nNKVY2VnFSymuk2zHpmeeLL0v5PGSAq+qfBEAeH3EcIU0DUjF f/DZY0t+qDbjr8kuA9krOgPacrVu8+C08lCQKNB8H4zDq3aJkXYG2WmPI7/NCl6RpCTTp2ftZmHz uAg74PMVp7v+9dLBbb78ogz44Ue4t7HGbnLbVvygeth05fSmOhFEQLID3JaITPTsljDVOebzOEuB r/7Z54pRWs4eOGVJ6BTWU+2iR68Mp3+I0SipaTCSLWjdOaQxegyCEXlLXS2pP9fovqy6ohwKp4r8 SbQ+fQEXJR2Nf9iy+UBtxjz6TMTym7rgS/O9I89iDLJGFuP3z0VNGVQklauC3+Yp4gcMd0xnupu3 w27OKqWBGciRpZM6Fgrsy0mBGWFfxkKu86nJyiwgxtCocvKy5jpk7yiTaHgWOA7BrgEBHk5Nz5FL NHVIuZN7j1eFV4JAQzvPN9dsohwgB+k6T+bz8fxTf+e8RFUnDL43dOjicvnkIvfEQzwM0+JkeNvQ ta5xGpqaH1JD0qyIkJvzScWkIVGrzWzMMvxN+DtabQBIeE2j5DTmfMky0f63cNgmFWP6/SP8aELt kt38bI3RslECUinVEQnYbU2DhgBl2Bp2E7uq/YG8V1sM+J132SjhghxqJ6T1iaGzRtJrzV8uZJUI QV78YPB8Sw2WfIKPXHMOo8Wcuiq7hWP2gnMzlEhTruTfy43iOBynsEQiXlpz5MtA7mEni8hCK/ju wEgP4JhZ2tuIpn3vt//Hes8u0qpLAT4bL03JKYgTMtYLwgU/cKQ7mMqCQ6JazkTKGN1vdrb1P6FI jm7sXfEx1FtTOtiyoXtAyMhUgg+nYckjfJRB+Upgs+B8ajgnnZ4zZWZg9nXh4NOTgJqwSZoz3mYj 0YEoTAZoU5V/kM8lWYqbfC7ss3tgwGjQhmamh1yG2IBAFfbbm+1eaGzWKhnMkIe/ThTInM2B1954 qhG2i2RrFeFBjYacocDQaSbMgsDSx3bO0wRodxUv6ITBJWBtUXQ84psaazwKrD/48/Wk9SiEOiD1 bHUKJFcMGiEDXRQo6c2MPJQ6+AASb+mkg4r+ZMnCpAgNGWBSRtJ3JaJz3fpIua/0GcoKl2yUtmou QnC/LB3DfIirdC5fp4Ar2PTLUq41yjWqn49wmeFrni0Fyh6cBfPplgLIt5GduGYDHqCMzXsq7jMB J4K0ObKnDEOvNDZE0aYW1ePxQNtm2WgNAE5G1Bb4zoziBHf8WCtWuwOZD72tS7ZLwU0SRI/H1rgO NqpZtEe8PbhFF3Mu945oZP/D65wwWYbxbCefnwh7a9hurvKB+YX4xWg7Bd+gnzSBzDNtoULsaGaC Vl5fRhumkx+BwqRdeIvZbEBGKEAuT9fwLuBWbqk9HBZDRGqcse0evcpEJwwj3bvVq9LzXkuUYR1z Rj+rkr1VIOBvD406n/kA7zybInjl+abePxrvEczIc+9nNyt4olcCdlOPbnfijOTwpQ8D26mPfsYD i9O3VvkG9+NJIYW+QgS2AKJXFvHQptmkEqXIaW5nI1pxbps2GKYt7UeBwd3OGuNFPUwtXN28qSnG EkOYzBRsWNCHT6Er1YEX4gIcUpwGuzYkItG+yZY6/dH49QZnIuVWqiFNU6F/5rfoOf81SF+rcgn4 OJPqnzNuGtn0dwUAWA17Bc6BzZ407CNs/QiW5tcgtLCQ0OQSvgN4gNMgvig9LHofpmNnlTQAvYYe BVMg4B3jAZj23PTEw7AVpjHMYUWAHgiPZG4vsf+KQIkXE2LwvfDjNzHLr2iLhAHJXd0IiqeTGiaz IlFj8ULdg+8d8cqV9NCVt2TdAsPgvBFn5tejC2z6o6lQx7u5LDB6LxPxb9b6Zin6lexVCtUzMvMC 0M9fb0Y1ZfMukFBjX5eV4TAtVULwlFjyiwbQ86oEjKcSLpynhYc0F7ITr9iGQjCT1gMB6gkU+r1A lCJapEUql8wzY3VFG5X7mMFuNMnvwzIKZ+jAtrIgNE4JdpAIYTcFEkxq8akjQVVUDedUUJb8ltag RUw79Gm+0c5hgnSPw8xrqk1M73/tMFsPDOCESMOCY62RTQvEJ3xns96vKjn1c12j9qkYq5Rv/4fC s3wFId2akJGz81EuJW8OGSOkFdLYmhVLgXPJXM5DAWhKKcOBO1RTfb+2KF5sP16/tXkQ9StRq4VX c+UD/PMrTDZM4G6HgrYZ/9dGhLTHxganTLx62+QqSuwA99tMdCd0GbQneOfqNHb8C123G3zS7fMj swXKIyhroWPHZdxxm2Osyv3wAxCg8T8XirESRway9GoO+UFL477kJLZ+HmiJsXQTEelxX7WK8ze/ 22UYNqX/fzMT2M824ohaQFAtjXa+mwiOm6ydU3dCehJ+W3m+D6l4bVwPwbv4xGA2wBb/aiIGuqVN o8NK9XsDyh2RFFihGZrvJyoxBbzQH3hvISJlrfKmknMk9vQ/hBNtdWOkLTZ9WZR/pgD+eVzF6ot2 rbuarAjEDrlldyE5l8wZ1JOz3wU4S+cD6jx00DiL3FA62+fMbxmTmAZgHudnMBVHWMHx65pookQ1 PH/eetNoWd3uMDXzMzNF/eHW3LXRwmpckxQgn5C6HlfMlIBwL3tTRgY7M5iZhuvORqToXY/7rdTK laP4Yffx9Go9q3T31S09Rn3SD1Bq9R7bd/7LqrdYezN7jyNSinl1SRoCRVbjD+5zIG0psVectW66 C6xBHdEHgSjehXEaSak2uWDskIo3YkZUpvAF7KGnm9bUP+zer9dfwk0EQAzxap6tIZ/q8ZFO+aEa cU/zpQnghtgefYQTdEbF5hc1GtdPi9V03glTxYGdLJZd9h+ITNvKfwEJZ+T4ERkL8RVu0tL7Q5Tn C+GGjYe5vSj0n3o4M6Q9OEYowOKC68LP39oVGI09gHYGwHQLNKoEe9Xxsu6k5+HvEp7xqQRgTkMr KYQ1YwAyYyjezGrGnATKvcRCl8Qv6ob0wgmr3n1DSenWBBkptfiMEueDrvBzCvLooImQiLTrIZpv BzATxNSFTzXmAfuP28U17N3EXCWA3AkWGS5IcY9blseoPjgVF8mAIukuDd5IWMehpWny50JTfJXq PXVLsOk6aN9TmZCbQdfKJLKUq2wf6IfhH/6LZ4kzWKjp7cjIVVzBBU5YFoJTc9Xcn2cWcxdMxUDo +atD56hnbO8Yv1qD5j1IyZQR26x1VUYCT8surMUyOeMYDf0QOmFXW8vFXM4iFJch0TQJVc+wNTQ5 r/JXQBwjLloIKh+zjBGML90YyHEi8lBIegcHQjcfdVAiSguffRzvi1GyY0tJ9+uuSPvR8MsmySw+ qJax9eOrGGMrkaMutrm4coh+90PGwrVBw7C9CJ20slujK4fzED1n2GI7HIk5C/nwustjJ3aY9FId 5RgVZsF66MOXe8JWXJYePleTKcIMkc81dgw5GpAxgrcfxQEuq4MYXQq/HU09N/Ba+knDJmloMsrq h2ruTb/P7ZZBeNDGQR3kNYVJCkMIe8EqhFfeDarNLviUZEmbnuNyWO17WJH2175txLa6XYHVPuXl xZyalFZzMdEmW0ehRQZxGlteMC/ISZK8lV4jiKzTJzCv1LEZjYhjHYakr21eVgBYZimn2FxeGfUU OJyqiweGOShLgragFNrgH1BcUeT7n/gaMJ7AvFXZfj/UjGyXnadgQE3W27OfFR+yKgDXIV+Ll7Sy JqhpUFVILNRiN9EOsszyG1lDmWIqFIswPyYAcdL0vWfGRAGdlSZkfIxioF85gpNWrZcWLABc0YLo EpwhTas0yCgDNkyb2pKsi+AEFp9GCF8uXDJjdhZ5oLAiNM521YbRRYRN3sTJ0trJrmSQZ/w1Ng4B ukLHpJ++YqCKWMQSeqxPS1cd3FmFzrnuQZ2zgnbiXUjMBOf3EiHMKBTeRIfRNV6MPy8Dva8CPabX Bpi5YTB/kK4wFn7oGntO4ju0zarDapC7dkQRHvD0FNNW0uWDxf4ZC2hdlImcLC8GwD4Jqx+Ww5vQ iWb5a5qeHv8FbwX9avXhWV7W595r70shlOyX+cBLUevhdivgviVBYx6pp/PwrtpQZE41FymWtqTU eTITzgOySas9n4ArQT8EvyuSsZh5ZoONr2mvGkOzFqKRNxwJfLP3JGB2JDtyXl2G6LyE3wj+bkjp bFPmVN37bVtYGhSeB4rYxt4pHWAMexghGjZOhb9wideTxEmxMPUkUOTheSqUXw1411WoB1wxVM6Y r1/9/pw6VWXqKUKls6bf+uZw7U9Ko2OUpSFVOKTweTJuBbDHi3SYWXIPUJrSJdyLg98+QJWyyY6C BsU6vDcAWRqIXMuTxlc1Dn4rQnP4/lLSZ4TmDjBpf1rXBAOkVmFlr9RspUv94oqTwbZhCnpQsx/e 4MUd5Ot6CgxzbhYvp9bA7mL0HFMsq22B1V0u2Onn5VyUXdY5cmY3DRBJxQPx7yLC7X+eZMsBVQ+T aoMXwhJx3dHjfWRgPW94w/wQuufuExuG4R2T+ShvvNxUye8SVGMPZR8z94j+Dg3LyUMMtKOdhTjM ETq8q1gT+As23MYg0Qh+YOh6lt0kuieB3VPok5s4xBHxEC7W9665X+mRU9W3qyNTbaSt18iZDeE+ Y8479RRrRzSqcJ5nDgy/wXHej126J1eo7ecwew827Xh2VtEBqcqtAfrt2Kps79NUZaaBUDJYQruG Qh6T2u8iAgDZ2+2PBdpCybxeDQH4uWBmYuZfcSGuHmei/WJAQjKERXXxv+LYvrji4eKGsTw+Suel 0R1R0VXI7ckRq/ZBf0Kqk0+xybdpNXnM8emHK2Hxl/mZ+7W9/0wVhT4uBTgu2xVLj983x0X6vIhK FV4wa02Xei1qBoBY//pWaVBaxvKSPjWVCp8g7okVIe6+NeEIaN4oUBq+3byQvC/cdzPDCc49y11s wHQNPeoyEv1qHjhmH/ikDVuqH9VNg0HMA4g6lsI8Vjr/SFthTo/uHR+U5Ex8egTO+BFOgiknLJJe RxKcVxRiZh+DnGrTxgwzfYiz2T7I2dZaFYfR0qE2YZl+McZBQ7CBwjZV0QQyvu6RI7wC4qxrl53c DZl5xTr7/uLEgrm+a5DFAybjtbdGICi9V7tiTbRj8FXZ7eIHPzaKU7EFrPm1BQvwljKum2ziKmvp KZV4s4YGJ+YJ4j6tt+egQ0Q+gqA43/X7wYoS17Nw9zvN3/29u3q6fB6URMTwfpI89Ju5ZB4pAJIL 4OF7j9ZglPK85CpSMNZa/C7naaqQXPEpBmCkUQ7j5sdm8jYpoBgGr56wYEgJTb3t8ISfb/8ClDM3 OBVWQZoCrmYWZRTDTTQV5Q3oPVIQ2lomOiOtBj/tHmFeqAVaqVyYTWAPMh4wUXIMf77Gxiyzwe5l lbfHOKa3MYrd9pScNjRrE6rCddnwJLtcuMdJGT/K1s+rdBRWrlSNYWB0OPjqRBHQHkJQ7Z43Z3a2 ug7trN9rkGAz1o3F4cjkQBjxFqynXGClk5W8x1LtPyfcPmvODgwjDN6NWQbospmYR5Tk+9BpmRZt 9eLGft5A5YMAPU/O+VLRfPlVbP3qh/UI3TCpFpimtuRLFTCz4JztU8ZhIPncgrV0gK935ZKTtGnW BaMzGRyUSEKb0Zk78tlj5/srTcV5W2JedcjsdTEt0VmEaXIdJq7zuVZK4DHLddLUE9RFoZLKUVtj PPDWg9LQO5/j0o/Flr2+x/dFzulAoPTxfaxI7MCUmWunbSmNcsKrkqU2y0GpSwR9oqIRCH9ZUNmR Yx19latY90ZAJbIzGHcYO4zlSHsw5h8p1z9tAwRKdGl4iQgYxgJrbhUbvWxGWpvPmNLuNr7xXEIK oDdgIXUJ3F/lcnCdoUn5R1aLfWWH+IG9bmNCyU4s8NFEXMf/DaJIrQF6X1cz2uY50Gu65RK37mGJ q9t17ga2OiSnxtC1w/GmnPZ8+KjOVusjUElhRM4zgCOlnC9TSzQPyGrDFi21s5+to9mTB72+meBT YxfkNIV8M3PPmX7JaoXOT1xetjQOUFrFOU6ilBIQLq+XxjFdKvReovv0w0Pvcm7ms8xBGceKni3C 38O9cbEwE4U5FOdS6c2ZNHRhnUWeDyBraD5sm2qKEegmr9l8BzNS77gOK9upF0e5IWgNn2U42+10 2H3Vl2umQdtMTq2omNElOe+qAWcy2HwnclRlaN+9UfFk0ianhL4kXCpOLSx5VzNddArXqaEXtwDf eDFS96XliQwseFOEt/T2C+6kk0k4Dd8JRiruo64uVsVu81mWVGC+/W+dsCAJ6Wtap+NDV2tIDFjp ePx6sQLMnN00Do7sHJVvrWr3LdfY/Cz85lPJRJIkLUuIeQsUy0hFDlz8evNBkB1cg5U87HkIdg0S nK7904RqhwNIHGf3vaSpMxplcRbgiBkxHAmrHJfET1LVkoQMtIT3x2HcvE/7UYIOTv7HqbS/fAAk pbwAC272qlLmd4NPDdnxiok9c0+r++9AHaFr8Xt2BOXteGzOowE9KsGOM93RCGrdEGuarlEiMUde l/fMPzGCiOf3gQfO6P13REGpti9BPWTxjm1FyzTooueE+WTwuYsFAhadt6+3wuzOd1P2SF6usQIC e6C4k4hKED8VPyDgQ6F38jBiZU6vtUJQeE+OoAGEaYqYL2rds3b5F9C2AkKSUAxrRN0mCAflGHe0 KLTV02j3HfzlnhA1x4uvJuWo+yEIWwbh0/3Paxosv/OwXzExR3zF9GVE6bS9VUES67V/VyyAsQ0o +NaL9FIhqiWGRrMEM/ZY1kTPkhKKUy6yJygtCJAUIqOtAaJi7C9uuyw7kLPEQ8oelL75GV18BTVq b7NIx3B394DduoIuD+0ricroH9ZaPIfu07FleYodHHHhdrAl1z50ozeAj1FHvM7tRlh4MAXt54zY PBm5h3lZvnZ4NLBl5HLFZnNViflav4/4O+cpSd/41fJWJsFOwpXLA3x6tMkuOHsf9VRV+4alRJyC 54ERBeK+DuskKZP01Z5HJbnoh//TV7yj6y0+XwQ1byR/CDAWe+yrUUGs8jzrblu0h8ufY7/82rti p32XiCu8rAA3/5UC78i9xbrkDjcuzedCdQc8An7QA/ahIy8U2+WbhVq4LNcfpXzpHLQw1eQe5Xkc zF1NCnNT5wsawKqj2FW1hQYDLIAwF0kzMA6Txb73HiM8AxgOlfnyliy5bNXf/pRs0tmQ/84gJ5tN +XZKTV41n1vKOmEvtxmpL2HPFwIsozX3AznukZBdSr7BgVdlOvcJCUXvRANX91AMUKWJY8VvAUWI LBkjygf6MsJrWRD6qqmRUAhDwUv0udi6JfCKV1BozKJYtC0h3SyFoyYgdjtbPCpyQT91/ULlRTIA 8j5eA+Z768VYa+f08dUwZCblpvUbMhtmuBfLMmsdL0yFo/W4QjV50PeJgWZU7B67yghOAm1RE3lc lPZgZebUuzRFZxNoKgLgVDEQAPqVt564c+AlR0SXDpPOD0H8hr4ThFjKeEJ3Nv77slRJ7uHZDg1O nYCkmAPBKcAo6MHFsbGm8PnmJKPORkgGulEF9IApU5UgB9r5smUJFTWta6Y7Vbu9RlOoOk6tG6lJ xSHxMgPHwqcvGGN7IJvo5sqHjr0ohdI/qXf69fBdz+e7QqDTntNk+oK+2PvqexNNOw/mrkxO/qhl KDruTzmxO5CAuvq/xESrxxbPdaXOyzmTxHaaUADGU+8IrZ4o3NW9UUvfWReX3FdDI4YKcw8SAa6k Uf45VPHnJ4MG74xA8sEAjw4KTB7sRIwta9+C5STfWIqmZQ4mfltIzAK2H22E+3GadM/OuNw27gn4 YBT7Vlw/RpnOeL28JD42giu1dc1atOqw9XK4+XBeUWpoW7CXGF2sYwhgc8zsMtw4pdSOgEiNyMnl +dUdqVqefW1MkMKgwk4CQBnaSJSMITwsXIC2nD8FOzfFFkF0hXwFCZrfQaWQeoTI3UbE44myhYxB rTxBWSCZy89yRzmolBMGyrBxV2X6IqfhXQsPUg/w/5+yYgmCyizQYU2WabGXq1cdXO3tdlIt+J9O rxtuL/eaNPWTRcDSFNekLYXMHAua1E2VtBqO/2afq56GelSNoKHqnu2jBi41vn9weSNeKFOs2QDD 5mD3PzEuXmVFqy7P7ooPFV5X4oqnMs/0pCpmiWH/ySxTxkLKzNv/fF8RiOHeW0s+lXzG1884HXer 65bmvPMIO0QegDwJamA0u1VtwoDRT6F5X9wdcfr5oWeqm8ceNmY58JNu7Bqcu558i/poHsS54d+e 6Dn+n5pD6kM1GdZlp5YadjZUU2N4dcmGmERuQkhWNnMPxgKQe4I806zOcCGmXuOTtjBcQLQyFccC 81htxrlD8+TXIYv/3KLPTgO/g69qJIcpvZOV4R5ib6X9Y7AbQVqNPuWKcYFImHZUa/QsJIC0Q2tw cxFZUG9PBI+MXb8uC37EJzmU6+gU8tLRZpc2h4UDfO3Oj44wf8hV9nWkfDu5HUd6jCunOAuTct0S BuNObbRewq7zxrZXR2blx/OOB7KIYfCNxPEWLgh99LlVTJr10ZBL0exfksZc23Z4MqhlTCCuLXGd Yf4lqEuEdAEmUHeRf7EyVuypytdGGsbu3F7O0Mme/W9zMpR5EDo9ULlA6tLoRYkJg2NQ7Jh9aVlB kRD4Yo0DWA6Lbpddq4sZRPloZbZp8ZXS86QVjto4I9jMOqVsi24Pmx9pA5yM/J5z7b5Gtn657BcJ L0BdShDJa7DJSGdPo1f/imrxbRPTT9LjQVDI3NV802VgkeNtOnDxHpqDVqIlWx1nqbMpONXRhcOh 1m1s69ftQYoserPWOP3DwK0+ua2qyS8pfSEWTAhgX/xFDD+zzfH7fnn7DGb63+l5pSghyILDTmzw 1sg8s1nxvdJiU0DhaBsPlrCqHG/feXA7UgC7OIuf `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block pabZO1I/O5UlEfYaQEPwd4l9eUai0bqYoMxFZDUmBPXyS95K3GW98Ld97MzJKAXXnSlf1PewGW2v 0RIeWd32HQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block MnYS98CLv6GUlLtXXj0MDq/aXJWBamrEeFXZFkhzX7OjMU68I3JzEc2/1UN3CHInfTII6cQBis+f MSPPkhHYfjWA/UnlZNCfIbUjCA7v4zzzEDOXLdUwHhey61M2PDbtjo4F0M+PSYsHQUE61FCJYZr6 +aBOwyo0CpKkCUVEbxg= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block qncW/Cwz6DQ02ZtEcvyp5WdAA4sItotGPpP0REUtLyqefQhCtJmFILcg4T0iyRUg7VuYEwIANO5+ QvHNNc39qIJv9lOesalgHBZQgvNRJnIdYWaRfS0GyacwI/2JQRwAkuAQstvDCp4RTc3l8lwP6/ls 9Kgq/wnF0FIDD2zIsqBFYPVau5gOg+E2Yv8daLhsLbgUNkGI+w4/OZjRbQGSUjwZLuzAjcC7dEzW IiD8iCe2E3P5aTpTA2tXeuvseQy8KOwVCxJQuur+f/bmnE2QrPi5PPQMRcOyc4ok7k5U/64SCKlJ oITfL/xIL/xwZa26tMPcLgkkx7p0G3RLvL/tVw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Dnf6vaqe/V3pNaiPDsCpL4mEkUhuRTF8jsptuAsYR5QlsF0hNdnCfK2+aKM5H69faCvd5mpbM0GP Pqz+qhNmOYPHdckgaTUGR5o/7QyV8YKLvzwfyDMqTu2isTv6FP6Q6welH2CNBnmC1/h5T7i+fy/Q rlaoXYJxfrB3B6n9clU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block IMf8iBP4Q72XIQn7cHjsTbT2wNsnwrpqWy35OTpGthg9IgmIl2PQf4/c9imtaZPdkPVpIBywT+vW p0seCgJeCim8uHSlCA4Yuvzi7NiJqnEZtjEX9xSzaDj4EflUudOJTsvuYMqv/3kxvUgkIK0AS+U7 CWRV3RwJIjyzXaV3SkeD5i2xf0d/bezTocOrvt7wO8hz1n7ziicW5bgdFMZpO18+84bLDi0MzKYQ Ad5OLz8QJgoCqRTe+B2lLXuByvKd2+XBYArz50J0pDfy4RubYe7FYpZdW50ze6dgBWVP0HOw0tLX Pt7eQrmsKxnIhjnIQBRBht+Bb5QLkHSbaJnGbg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8352) `protect data_block 3Txl86gxySTjspY1bX6mjaEtHzHyJ6PEwV30HseHtq4UpP2jRFV0RDcUeckeAq3gEZ5Qu030LNT9 bbOM++HUbE1I8N7rcDRyyG1/zAJa57yK2mi3blq8tQKZ32YObDq6jHS9U2gM3rYjhBhVr2aKK7qQ c8X7nouwkJNW94GkZ7OhWlUV608zX7vk9Ytc1anxwA7VNM5uWs0oTqvG08wzxEO/Wp7eKojoSXwO 3Xhea7t/apLpI0orOHVPwHMzsjaxq56UlxouX+wqfNeT7gOjE86Icz9Kv6qJveIr35SBEOvmKTEu XXSNT6K9tm6q88SyQn3Fynw4R45InLxk96cdtyVOQFxzvDOOUUpt48giFXLbSh2J5eWLkh7wKkBY NwQFlaCkJdKCsT643SDourT31zKF+Ez8++L0sOmdPaVStcet+0/LOBpXifaCvmNLeKxnE44uDown kBMOgjRQlDv9IFqs6Wo034TMX/2IlRfFbca54S7HNhd4DqEOj/dLkdbi3hQqvKlUQLxGd/aByX1b hgEkVsmNNotqvCZpPQ9UsFsRcys6l++qYUPYLNf+xf+zP1lV2cgnRS4RT1iwGyboNFn3K77Tpsb7 9OpYXIW92RPDYHYOVluUlhGfDVUg0MYat/q2HEJQJrXI453QNfUDhUynqjNMtUc0dMa31quPvRVZ hHf+2/bR4Q7cjHspoRtCLGojgvnSf247q4ctuo7nbrdhYUuAa4Bjj5ju4myg9nxVU5ukJQzguBJL 3sQ6JJNrie8SnHcaAMftHxFvfSWwly88oe57Wp/UEarxKXac3A5nc41pt8Mv9Xgpu9v9VOJTxxUh 0lyGcjzLUm2XesldVnJ/PnO7FAjtpxgucH+XMQf+g2wsb59I8+ybUbOrYNQOqWYSwYCOn++p4OTm z6+e0PpDeW3xZTDe3cwHEBvM7k9KPgY+hpev11RMc+0TNT+raUGL3kNBmFiuUKDLoo9/RyEjagUr 0XsfKNMC2ZuGcJCLV4GR9MBQAHlIYRgt8pMqwpUU0tmvh50d1NgrWHgYQL1vhVQUjl2fL8jrCp0B +68ca7YQ1jwOO06mDdlvVYQjZYG/4HCGLQDVssbaag2EjF7zECcmS20NvYP6RjiCxeFLTts4nWNX QI9Rm6NxhHHcmzOEqLUcCSEhySlQwHac/hU0wa46OGSKe0mrmjph7yshq6QOx92ErIUyvhcBeJZo CYY1tCy8lK7N1pab+wcy1j3BbgC4h+YmgjRF6QzhsIcO0Gm9AWLAMvRIrWOPp/V66F7b53uZGfZg hBu915yc5M5CCeRHSBRqwlOTQ8b+GpsV2+8NdhIVSdXpGCVYKQExROd9Zd2r2RFpyx4WC8C9uISU ICBHRlp14RuEKS1tE3agqqBEQL8G83cM487JmgJrWO8alKV+Q5R/Y8cvNDq7FRMhEiPSO1OEMab0 xFA+efs/Tcf+HHo0OesKsFCjVlqwwpgbNSNTgtlDcfxD/icoQHkm8ZiY89TmjfvR0b0cnzwpHcDj RMaiCRYxWD1x6Iy8HAcn9ETbZjNvz/AykS/6e5fMYkeHvaSs0iTZgt6FMmApO8MaDCaUZJEzLv0U z5mb4bxB2IUUrSSt79+9IGbvbS7SphLSQpuyhmnr4JnQmDNj7qbKUz1unir19crnVKkDO6zxigd/ lR8zPfNWeXOCuLklXc3IcDDhBD+ysKGtw2idvIUOTMC0Wa4g2d1zmnUwx/0x0HNEwmXy5XyZpQpe MqREqJVr/i8Oed0hI1JR2OE/D9xvGQmy67OWwqOQtB58ZoJP8JmdoWCJKsQhk9569I+XRRmtvLCC d7m3tMoqYsWVMFY16sa1q0QO8R7PijrjPovnzqr48ZYLKmswIDxTakNM2zHM4zbqta7faU1m1++I nKYMlqUtnps/uGB8XKS5fnRFmB2KPBoCh3xddVUq2bbcUnb3pSgykrT+6T0Cy+V9trIv2IJ0c7l3 NaV+KvRYH0dgbBFOT5DsqIj0jiaAiwSrTgUsdI/LQ3cKu9M2/ExRpY3onDoKZc2dAD3GGb69SXAr w7p9Q18HYjcJHWpaItgzAqnpDNOsS8ZuVMmHOKqCAjG/8Krvw+TQI/+VL6o0RdDz23z6HmqTItKc 1lRdvoub1qnfL3uVJ4X9TsXy8cpZ6h0FbILRMnFsk5Vaf93/svpVrMIzYjt2Hpf62IKO5lipMuhh KPKesXaVK+Mwu/rkP45ABgmsuu4nNKVY2VnFSymuk2zHpmeeLL0v5PGSAq+qfBEAeH3EcIU0DUjF f/DZY0t+qDbjr8kuA9krOgPacrVu8+C08lCQKNB8H4zDq3aJkXYG2WmPI7/NCl6RpCTTp2ftZmHz uAg74PMVp7v+9dLBbb78ogz44Ue4t7HGbnLbVvygeth05fSmOhFEQLID3JaITPTsljDVOebzOEuB r/7Z54pRWs4eOGVJ6BTWU+2iR68Mp3+I0SipaTCSLWjdOaQxegyCEXlLXS2pP9fovqy6ohwKp4r8 SbQ+fQEXJR2Nf9iy+UBtxjz6TMTym7rgS/O9I89iDLJGFuP3z0VNGVQklauC3+Yp4gcMd0xnupu3 w27OKqWBGciRpZM6Fgrsy0mBGWFfxkKu86nJyiwgxtCocvKy5jpk7yiTaHgWOA7BrgEBHk5Nz5FL NHVIuZN7j1eFV4JAQzvPN9dsohwgB+k6T+bz8fxTf+e8RFUnDL43dOjicvnkIvfEQzwM0+JkeNvQ ta5xGpqaH1JD0qyIkJvzScWkIVGrzWzMMvxN+DtabQBIeE2j5DTmfMky0f63cNgmFWP6/SP8aELt kt38bI3RslECUinVEQnYbU2DhgBl2Bp2E7uq/YG8V1sM+J132SjhghxqJ6T1iaGzRtJrzV8uZJUI QV78YPB8Sw2WfIKPXHMOo8Wcuiq7hWP2gnMzlEhTruTfy43iOBynsEQiXlpz5MtA7mEni8hCK/ju wEgP4JhZ2tuIpn3vt//Hes8u0qpLAT4bL03JKYgTMtYLwgU/cKQ7mMqCQ6JazkTKGN1vdrb1P6FI jm7sXfEx1FtTOtiyoXtAyMhUgg+nYckjfJRB+Upgs+B8ajgnnZ4zZWZg9nXh4NOTgJqwSZoz3mYj 0YEoTAZoU5V/kM8lWYqbfC7ss3tgwGjQhmamh1yG2IBAFfbbm+1eaGzWKhnMkIe/ThTInM2B1954 qhG2i2RrFeFBjYacocDQaSbMgsDSx3bO0wRodxUv6ITBJWBtUXQ84psaazwKrD/48/Wk9SiEOiD1 bHUKJFcMGiEDXRQo6c2MPJQ6+AASb+mkg4r+ZMnCpAgNGWBSRtJ3JaJz3fpIua/0GcoKl2yUtmou QnC/LB3DfIirdC5fp4Ar2PTLUq41yjWqn49wmeFrni0Fyh6cBfPplgLIt5GduGYDHqCMzXsq7jMB J4K0ObKnDEOvNDZE0aYW1ePxQNtm2WgNAE5G1Bb4zoziBHf8WCtWuwOZD72tS7ZLwU0SRI/H1rgO NqpZtEe8PbhFF3Mu945oZP/D65wwWYbxbCefnwh7a9hurvKB+YX4xWg7Bd+gnzSBzDNtoULsaGaC Vl5fRhumkx+BwqRdeIvZbEBGKEAuT9fwLuBWbqk9HBZDRGqcse0evcpEJwwj3bvVq9LzXkuUYR1z Rj+rkr1VIOBvD406n/kA7zybInjl+abePxrvEczIc+9nNyt4olcCdlOPbnfijOTwpQ8D26mPfsYD i9O3VvkG9+NJIYW+QgS2AKJXFvHQptmkEqXIaW5nI1pxbps2GKYt7UeBwd3OGuNFPUwtXN28qSnG EkOYzBRsWNCHT6Er1YEX4gIcUpwGuzYkItG+yZY6/dH49QZnIuVWqiFNU6F/5rfoOf81SF+rcgn4 OJPqnzNuGtn0dwUAWA17Bc6BzZ407CNs/QiW5tcgtLCQ0OQSvgN4gNMgvig9LHofpmNnlTQAvYYe BVMg4B3jAZj23PTEw7AVpjHMYUWAHgiPZG4vsf+KQIkXE2LwvfDjNzHLr2iLhAHJXd0IiqeTGiaz IlFj8ULdg+8d8cqV9NCVt2TdAsPgvBFn5tejC2z6o6lQx7u5LDB6LxPxb9b6Zin6lexVCtUzMvMC 0M9fb0Y1ZfMukFBjX5eV4TAtVULwlFjyiwbQ86oEjKcSLpynhYc0F7ITr9iGQjCT1gMB6gkU+r1A lCJapEUql8wzY3VFG5X7mMFuNMnvwzIKZ+jAtrIgNE4JdpAIYTcFEkxq8akjQVVUDedUUJb8ltag RUw79Gm+0c5hgnSPw8xrqk1M73/tMFsPDOCESMOCY62RTQvEJ3xns96vKjn1c12j9qkYq5Rv/4fC s3wFId2akJGz81EuJW8OGSOkFdLYmhVLgXPJXM5DAWhKKcOBO1RTfb+2KF5sP16/tXkQ9StRq4VX c+UD/PMrTDZM4G6HgrYZ/9dGhLTHxganTLx62+QqSuwA99tMdCd0GbQneOfqNHb8C123G3zS7fMj swXKIyhroWPHZdxxm2Osyv3wAxCg8T8XirESRway9GoO+UFL477kJLZ+HmiJsXQTEelxX7WK8ze/ 22UYNqX/fzMT2M824ohaQFAtjXa+mwiOm6ydU3dCehJ+W3m+D6l4bVwPwbv4xGA2wBb/aiIGuqVN o8NK9XsDyh2RFFihGZrvJyoxBbzQH3hvISJlrfKmknMk9vQ/hBNtdWOkLTZ9WZR/pgD+eVzF6ot2 rbuarAjEDrlldyE5l8wZ1JOz3wU4S+cD6jx00DiL3FA62+fMbxmTmAZgHudnMBVHWMHx65pookQ1 PH/eetNoWd3uMDXzMzNF/eHW3LXRwmpckxQgn5C6HlfMlIBwL3tTRgY7M5iZhuvORqToXY/7rdTK laP4Yffx9Go9q3T31S09Rn3SD1Bq9R7bd/7LqrdYezN7jyNSinl1SRoCRVbjD+5zIG0psVectW66 C6xBHdEHgSjehXEaSak2uWDskIo3YkZUpvAF7KGnm9bUP+zer9dfwk0EQAzxap6tIZ/q8ZFO+aEa cU/zpQnghtgefYQTdEbF5hc1GtdPi9V03glTxYGdLJZd9h+ITNvKfwEJZ+T4ERkL8RVu0tL7Q5Tn C+GGjYe5vSj0n3o4M6Q9OEYowOKC68LP39oVGI09gHYGwHQLNKoEe9Xxsu6k5+HvEp7xqQRgTkMr KYQ1YwAyYyjezGrGnATKvcRCl8Qv6ob0wgmr3n1DSenWBBkptfiMEueDrvBzCvLooImQiLTrIZpv BzATxNSFTzXmAfuP28U17N3EXCWA3AkWGS5IcY9blseoPjgVF8mAIukuDd5IWMehpWny50JTfJXq PXVLsOk6aN9TmZCbQdfKJLKUq2wf6IfhH/6LZ4kzWKjp7cjIVVzBBU5YFoJTc9Xcn2cWcxdMxUDo +atD56hnbO8Yv1qD5j1IyZQR26x1VUYCT8surMUyOeMYDf0QOmFXW8vFXM4iFJch0TQJVc+wNTQ5 r/JXQBwjLloIKh+zjBGML90YyHEi8lBIegcHQjcfdVAiSguffRzvi1GyY0tJ9+uuSPvR8MsmySw+ qJax9eOrGGMrkaMutrm4coh+90PGwrVBw7C9CJ20slujK4fzED1n2GI7HIk5C/nwustjJ3aY9FId 5RgVZsF66MOXe8JWXJYePleTKcIMkc81dgw5GpAxgrcfxQEuq4MYXQq/HU09N/Ba+knDJmloMsrq h2ruTb/P7ZZBeNDGQR3kNYVJCkMIe8EqhFfeDarNLviUZEmbnuNyWO17WJH2175txLa6XYHVPuXl xZyalFZzMdEmW0ehRQZxGlteMC/ISZK8lV4jiKzTJzCv1LEZjYhjHYakr21eVgBYZimn2FxeGfUU OJyqiweGOShLgragFNrgH1BcUeT7n/gaMJ7AvFXZfj/UjGyXnadgQE3W27OfFR+yKgDXIV+Ll7Sy JqhpUFVILNRiN9EOsszyG1lDmWIqFIswPyYAcdL0vWfGRAGdlSZkfIxioF85gpNWrZcWLABc0YLo EpwhTas0yCgDNkyb2pKsi+AEFp9GCF8uXDJjdhZ5oLAiNM521YbRRYRN3sTJ0trJrmSQZ/w1Ng4B ukLHpJ++YqCKWMQSeqxPS1cd3FmFzrnuQZ2zgnbiXUjMBOf3EiHMKBTeRIfRNV6MPy8Dva8CPabX Bpi5YTB/kK4wFn7oGntO4ju0zarDapC7dkQRHvD0FNNW0uWDxf4ZC2hdlImcLC8GwD4Jqx+Ww5vQ iWb5a5qeHv8FbwX9avXhWV7W595r70shlOyX+cBLUevhdivgviVBYx6pp/PwrtpQZE41FymWtqTU eTITzgOySas9n4ArQT8EvyuSsZh5ZoONr2mvGkOzFqKRNxwJfLP3JGB2JDtyXl2G6LyE3wj+bkjp bFPmVN37bVtYGhSeB4rYxt4pHWAMexghGjZOhb9wideTxEmxMPUkUOTheSqUXw1411WoB1wxVM6Y r1/9/pw6VWXqKUKls6bf+uZw7U9Ko2OUpSFVOKTweTJuBbDHi3SYWXIPUJrSJdyLg98+QJWyyY6C BsU6vDcAWRqIXMuTxlc1Dn4rQnP4/lLSZ4TmDjBpf1rXBAOkVmFlr9RspUv94oqTwbZhCnpQsx/e 4MUd5Ot6CgxzbhYvp9bA7mL0HFMsq22B1V0u2Onn5VyUXdY5cmY3DRBJxQPx7yLC7X+eZMsBVQ+T aoMXwhJx3dHjfWRgPW94w/wQuufuExuG4R2T+ShvvNxUye8SVGMPZR8z94j+Dg3LyUMMtKOdhTjM ETq8q1gT+As23MYg0Qh+YOh6lt0kuieB3VPok5s4xBHxEC7W9665X+mRU9W3qyNTbaSt18iZDeE+ Y8479RRrRzSqcJ5nDgy/wXHej126J1eo7ecwew827Xh2VtEBqcqtAfrt2Kps79NUZaaBUDJYQruG Qh6T2u8iAgDZ2+2PBdpCybxeDQH4uWBmYuZfcSGuHmei/WJAQjKERXXxv+LYvrji4eKGsTw+Suel 0R1R0VXI7ckRq/ZBf0Kqk0+xybdpNXnM8emHK2Hxl/mZ+7W9/0wVhT4uBTgu2xVLj983x0X6vIhK FV4wa02Xei1qBoBY//pWaVBaxvKSPjWVCp8g7okVIe6+NeEIaN4oUBq+3byQvC/cdzPDCc49y11s wHQNPeoyEv1qHjhmH/ikDVuqH9VNg0HMA4g6lsI8Vjr/SFthTo/uHR+U5Ex8egTO+BFOgiknLJJe RxKcVxRiZh+DnGrTxgwzfYiz2T7I2dZaFYfR0qE2YZl+McZBQ7CBwjZV0QQyvu6RI7wC4qxrl53c DZl5xTr7/uLEgrm+a5DFAybjtbdGICi9V7tiTbRj8FXZ7eIHPzaKU7EFrPm1BQvwljKum2ziKmvp KZV4s4YGJ+YJ4j6tt+egQ0Q+gqA43/X7wYoS17Nw9zvN3/29u3q6fB6URMTwfpI89Ju5ZB4pAJIL 4OF7j9ZglPK85CpSMNZa/C7naaqQXPEpBmCkUQ7j5sdm8jYpoBgGr56wYEgJTb3t8ISfb/8ClDM3 OBVWQZoCrmYWZRTDTTQV5Q3oPVIQ2lomOiOtBj/tHmFeqAVaqVyYTWAPMh4wUXIMf77Gxiyzwe5l lbfHOKa3MYrd9pScNjRrE6rCddnwJLtcuMdJGT/K1s+rdBRWrlSNYWB0OPjqRBHQHkJQ7Z43Z3a2 ug7trN9rkGAz1o3F4cjkQBjxFqynXGClk5W8x1LtPyfcPmvODgwjDN6NWQbospmYR5Tk+9BpmRZt 9eLGft5A5YMAPU/O+VLRfPlVbP3qh/UI3TCpFpimtuRLFTCz4JztU8ZhIPncgrV0gK935ZKTtGnW BaMzGRyUSEKb0Zk78tlj5/srTcV5W2JedcjsdTEt0VmEaXIdJq7zuVZK4DHLddLUE9RFoZLKUVtj PPDWg9LQO5/j0o/Flr2+x/dFzulAoPTxfaxI7MCUmWunbSmNcsKrkqU2y0GpSwR9oqIRCH9ZUNmR Yx19latY90ZAJbIzGHcYO4zlSHsw5h8p1z9tAwRKdGl4iQgYxgJrbhUbvWxGWpvPmNLuNr7xXEIK oDdgIXUJ3F/lcnCdoUn5R1aLfWWH+IG9bmNCyU4s8NFEXMf/DaJIrQF6X1cz2uY50Gu65RK37mGJ q9t17ga2OiSnxtC1w/GmnPZ8+KjOVusjUElhRM4zgCOlnC9TSzQPyGrDFi21s5+to9mTB72+meBT YxfkNIV8M3PPmX7JaoXOT1xetjQOUFrFOU6ilBIQLq+XxjFdKvReovv0w0Pvcm7ms8xBGceKni3C 38O9cbEwE4U5FOdS6c2ZNHRhnUWeDyBraD5sm2qKEegmr9l8BzNS77gOK9upF0e5IWgNn2U42+10 2H3Vl2umQdtMTq2omNElOe+qAWcy2HwnclRlaN+9UfFk0ianhL4kXCpOLSx5VzNddArXqaEXtwDf eDFS96XliQwseFOEt/T2C+6kk0k4Dd8JRiruo64uVsVu81mWVGC+/W+dsCAJ6Wtap+NDV2tIDFjp ePx6sQLMnN00Do7sHJVvrWr3LdfY/Cz85lPJRJIkLUuIeQsUy0hFDlz8evNBkB1cg5U87HkIdg0S nK7904RqhwNIHGf3vaSpMxplcRbgiBkxHAmrHJfET1LVkoQMtIT3x2HcvE/7UYIOTv7HqbS/fAAk pbwAC272qlLmd4NPDdnxiok9c0+r++9AHaFr8Xt2BOXteGzOowE9KsGOM93RCGrdEGuarlEiMUde l/fMPzGCiOf3gQfO6P13REGpti9BPWTxjm1FyzTooueE+WTwuYsFAhadt6+3wuzOd1P2SF6usQIC e6C4k4hKED8VPyDgQ6F38jBiZU6vtUJQeE+OoAGEaYqYL2rds3b5F9C2AkKSUAxrRN0mCAflGHe0 KLTV02j3HfzlnhA1x4uvJuWo+yEIWwbh0/3Paxosv/OwXzExR3zF9GVE6bS9VUES67V/VyyAsQ0o +NaL9FIhqiWGRrMEM/ZY1kTPkhKKUy6yJygtCJAUIqOtAaJi7C9uuyw7kLPEQ8oelL75GV18BTVq b7NIx3B394DduoIuD+0ricroH9ZaPIfu07FleYodHHHhdrAl1z50ozeAj1FHvM7tRlh4MAXt54zY PBm5h3lZvnZ4NLBl5HLFZnNViflav4/4O+cpSd/41fJWJsFOwpXLA3x6tMkuOHsf9VRV+4alRJyC 54ERBeK+DuskKZP01Z5HJbnoh//TV7yj6y0+XwQ1byR/CDAWe+yrUUGs8jzrblu0h8ufY7/82rti p32XiCu8rAA3/5UC78i9xbrkDjcuzedCdQc8An7QA/ahIy8U2+WbhVq4LNcfpXzpHLQw1eQe5Xkc zF1NCnNT5wsawKqj2FW1hQYDLIAwF0kzMA6Txb73HiM8AxgOlfnyliy5bNXf/pRs0tmQ/84gJ5tN +XZKTV41n1vKOmEvtxmpL2HPFwIsozX3AznukZBdSr7BgVdlOvcJCUXvRANX91AMUKWJY8VvAUWI LBkjygf6MsJrWRD6qqmRUAhDwUv0udi6JfCKV1BozKJYtC0h3SyFoyYgdjtbPCpyQT91/ULlRTIA 8j5eA+Z768VYa+f08dUwZCblpvUbMhtmuBfLMmsdL0yFo/W4QjV50PeJgWZU7B67yghOAm1RE3lc lPZgZebUuzRFZxNoKgLgVDEQAPqVt564c+AlR0SXDpPOD0H8hr4ThFjKeEJ3Nv77slRJ7uHZDg1O nYCkmAPBKcAo6MHFsbGm8PnmJKPORkgGulEF9IApU5UgB9r5smUJFTWta6Y7Vbu9RlOoOk6tG6lJ xSHxMgPHwqcvGGN7IJvo5sqHjr0ohdI/qXf69fBdz+e7QqDTntNk+oK+2PvqexNNOw/mrkxO/qhl KDruTzmxO5CAuvq/xESrxxbPdaXOyzmTxHaaUADGU+8IrZ4o3NW9UUvfWReX3FdDI4YKcw8SAa6k Uf45VPHnJ4MG74xA8sEAjw4KTB7sRIwta9+C5STfWIqmZQ4mfltIzAK2H22E+3GadM/OuNw27gn4 YBT7Vlw/RpnOeL28JD42giu1dc1atOqw9XK4+XBeUWpoW7CXGF2sYwhgc8zsMtw4pdSOgEiNyMnl +dUdqVqefW1MkMKgwk4CQBnaSJSMITwsXIC2nD8FOzfFFkF0hXwFCZrfQaWQeoTI3UbE44myhYxB rTxBWSCZy89yRzmolBMGyrBxV2X6IqfhXQsPUg/w/5+yYgmCyizQYU2WabGXq1cdXO3tdlIt+J9O rxtuL/eaNPWTRcDSFNekLYXMHAua1E2VtBqO/2afq56GelSNoKHqnu2jBi41vn9weSNeKFOs2QDD 5mD3PzEuXmVFqy7P7ooPFV5X4oqnMs/0pCpmiWH/ySxTxkLKzNv/fF8RiOHeW0s+lXzG1884HXer 65bmvPMIO0QegDwJamA0u1VtwoDRT6F5X9wdcfr5oWeqm8ceNmY58JNu7Bqcu558i/poHsS54d+e 6Dn+n5pD6kM1GdZlp5YadjZUU2N4dcmGmERuQkhWNnMPxgKQe4I806zOcCGmXuOTtjBcQLQyFccC 81htxrlD8+TXIYv/3KLPTgO/g69qJIcpvZOV4R5ib6X9Y7AbQVqNPuWKcYFImHZUa/QsJIC0Q2tw cxFZUG9PBI+MXb8uC37EJzmU6+gU8tLRZpc2h4UDfO3Oj44wf8hV9nWkfDu5HUd6jCunOAuTct0S BuNObbRewq7zxrZXR2blx/OOB7KIYfCNxPEWLgh99LlVTJr10ZBL0exfksZc23Z4MqhlTCCuLXGd Yf4lqEuEdAEmUHeRf7EyVuypytdGGsbu3F7O0Mme/W9zMpR5EDo9ULlA6tLoRYkJg2NQ7Jh9aVlB kRD4Yo0DWA6Lbpddq4sZRPloZbZp8ZXS86QVjto4I9jMOqVsi24Pmx9pA5yM/J5z7b5Gtn657BcJ L0BdShDJa7DJSGdPo1f/imrxbRPTT9LjQVDI3NV802VgkeNtOnDxHpqDVqIlWx1nqbMpONXRhcOh 1m1s69ftQYoserPWOP3DwK0+ua2qyS8pfSEWTAhgX/xFDD+zzfH7fnn7DGb63+l5pSghyILDTmzw 1sg8s1nxvdJiU0DhaBsPlrCqHG/feXA7UgC7OIuf `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block pabZO1I/O5UlEfYaQEPwd4l9eUai0bqYoMxFZDUmBPXyS95K3GW98Ld97MzJKAXXnSlf1PewGW2v 0RIeWd32HQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block MnYS98CLv6GUlLtXXj0MDq/aXJWBamrEeFXZFkhzX7OjMU68I3JzEc2/1UN3CHInfTII6cQBis+f MSPPkhHYfjWA/UnlZNCfIbUjCA7v4zzzEDOXLdUwHhey61M2PDbtjo4F0M+PSYsHQUE61FCJYZr6 +aBOwyo0CpKkCUVEbxg= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block qncW/Cwz6DQ02ZtEcvyp5WdAA4sItotGPpP0REUtLyqefQhCtJmFILcg4T0iyRUg7VuYEwIANO5+ QvHNNc39qIJv9lOesalgHBZQgvNRJnIdYWaRfS0GyacwI/2JQRwAkuAQstvDCp4RTc3l8lwP6/ls 9Kgq/wnF0FIDD2zIsqBFYPVau5gOg+E2Yv8daLhsLbgUNkGI+w4/OZjRbQGSUjwZLuzAjcC7dEzW IiD8iCe2E3P5aTpTA2tXeuvseQy8KOwVCxJQuur+f/bmnE2QrPi5PPQMRcOyc4ok7k5U/64SCKlJ oITfL/xIL/xwZa26tMPcLgkkx7p0G3RLvL/tVw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Dnf6vaqe/V3pNaiPDsCpL4mEkUhuRTF8jsptuAsYR5QlsF0hNdnCfK2+aKM5H69faCvd5mpbM0GP Pqz+qhNmOYPHdckgaTUGR5o/7QyV8YKLvzwfyDMqTu2isTv6FP6Q6welH2CNBnmC1/h5T7i+fy/Q rlaoXYJxfrB3B6n9clU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block IMf8iBP4Q72XIQn7cHjsTbT2wNsnwrpqWy35OTpGthg9IgmIl2PQf4/c9imtaZPdkPVpIBywT+vW p0seCgJeCim8uHSlCA4Yuvzi7NiJqnEZtjEX9xSzaDj4EflUudOJTsvuYMqv/3kxvUgkIK0AS+U7 CWRV3RwJIjyzXaV3SkeD5i2xf0d/bezTocOrvt7wO8hz1n7ziicW5bgdFMZpO18+84bLDi0MzKYQ Ad5OLz8QJgoCqRTe+B2lLXuByvKd2+XBYArz50J0pDfy4RubYe7FYpZdW50ze6dgBWVP0HOw0tLX Pt7eQrmsKxnIhjnIQBRBht+Bb5QLkHSbaJnGbg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8352) `protect data_block 3Txl86gxySTjspY1bX6mjaEtHzHyJ6PEwV30HseHtq4UpP2jRFV0RDcUeckeAq3gEZ5Qu030LNT9 bbOM++HUbE1I8N7rcDRyyG1/zAJa57yK2mi3blq8tQKZ32YObDq6jHS9U2gM3rYjhBhVr2aKK7qQ c8X7nouwkJNW94GkZ7OhWlUV608zX7vk9Ytc1anxwA7VNM5uWs0oTqvG08wzxEO/Wp7eKojoSXwO 3Xhea7t/apLpI0orOHVPwHMzsjaxq56UlxouX+wqfNeT7gOjE86Icz9Kv6qJveIr35SBEOvmKTEu XXSNT6K9tm6q88SyQn3Fynw4R45InLxk96cdtyVOQFxzvDOOUUpt48giFXLbSh2J5eWLkh7wKkBY NwQFlaCkJdKCsT643SDourT31zKF+Ez8++L0sOmdPaVStcet+0/LOBpXifaCvmNLeKxnE44uDown kBMOgjRQlDv9IFqs6Wo034TMX/2IlRfFbca54S7HNhd4DqEOj/dLkdbi3hQqvKlUQLxGd/aByX1b hgEkVsmNNotqvCZpPQ9UsFsRcys6l++qYUPYLNf+xf+zP1lV2cgnRS4RT1iwGyboNFn3K77Tpsb7 9OpYXIW92RPDYHYOVluUlhGfDVUg0MYat/q2HEJQJrXI453QNfUDhUynqjNMtUc0dMa31quPvRVZ hHf+2/bR4Q7cjHspoRtCLGojgvnSf247q4ctuo7nbrdhYUuAa4Bjj5ju4myg9nxVU5ukJQzguBJL 3sQ6JJNrie8SnHcaAMftHxFvfSWwly88oe57Wp/UEarxKXac3A5nc41pt8Mv9Xgpu9v9VOJTxxUh 0lyGcjzLUm2XesldVnJ/PnO7FAjtpxgucH+XMQf+g2wsb59I8+ybUbOrYNQOqWYSwYCOn++p4OTm z6+e0PpDeW3xZTDe3cwHEBvM7k9KPgY+hpev11RMc+0TNT+raUGL3kNBmFiuUKDLoo9/RyEjagUr 0XsfKNMC2ZuGcJCLV4GR9MBQAHlIYRgt8pMqwpUU0tmvh50d1NgrWHgYQL1vhVQUjl2fL8jrCp0B +68ca7YQ1jwOO06mDdlvVYQjZYG/4HCGLQDVssbaag2EjF7zECcmS20NvYP6RjiCxeFLTts4nWNX QI9Rm6NxhHHcmzOEqLUcCSEhySlQwHac/hU0wa46OGSKe0mrmjph7yshq6QOx92ErIUyvhcBeJZo CYY1tCy8lK7N1pab+wcy1j3BbgC4h+YmgjRF6QzhsIcO0Gm9AWLAMvRIrWOPp/V66F7b53uZGfZg hBu915yc5M5CCeRHSBRqwlOTQ8b+GpsV2+8NdhIVSdXpGCVYKQExROd9Zd2r2RFpyx4WC8C9uISU ICBHRlp14RuEKS1tE3agqqBEQL8G83cM487JmgJrWO8alKV+Q5R/Y8cvNDq7FRMhEiPSO1OEMab0 xFA+efs/Tcf+HHo0OesKsFCjVlqwwpgbNSNTgtlDcfxD/icoQHkm8ZiY89TmjfvR0b0cnzwpHcDj RMaiCRYxWD1x6Iy8HAcn9ETbZjNvz/AykS/6e5fMYkeHvaSs0iTZgt6FMmApO8MaDCaUZJEzLv0U z5mb4bxB2IUUrSSt79+9IGbvbS7SphLSQpuyhmnr4JnQmDNj7qbKUz1unir19crnVKkDO6zxigd/ lR8zPfNWeXOCuLklXc3IcDDhBD+ysKGtw2idvIUOTMC0Wa4g2d1zmnUwx/0x0HNEwmXy5XyZpQpe MqREqJVr/i8Oed0hI1JR2OE/D9xvGQmy67OWwqOQtB58ZoJP8JmdoWCJKsQhk9569I+XRRmtvLCC d7m3tMoqYsWVMFY16sa1q0QO8R7PijrjPovnzqr48ZYLKmswIDxTakNM2zHM4zbqta7faU1m1++I nKYMlqUtnps/uGB8XKS5fnRFmB2KPBoCh3xddVUq2bbcUnb3pSgykrT+6T0Cy+V9trIv2IJ0c7l3 NaV+KvRYH0dgbBFOT5DsqIj0jiaAiwSrTgUsdI/LQ3cKu9M2/ExRpY3onDoKZc2dAD3GGb69SXAr w7p9Q18HYjcJHWpaItgzAqnpDNOsS8ZuVMmHOKqCAjG/8Krvw+TQI/+VL6o0RdDz23z6HmqTItKc 1lRdvoub1qnfL3uVJ4X9TsXy8cpZ6h0FbILRMnFsk5Vaf93/svpVrMIzYjt2Hpf62IKO5lipMuhh KPKesXaVK+Mwu/rkP45ABgmsuu4nNKVY2VnFSymuk2zHpmeeLL0v5PGSAq+qfBEAeH3EcIU0DUjF f/DZY0t+qDbjr8kuA9krOgPacrVu8+C08lCQKNB8H4zDq3aJkXYG2WmPI7/NCl6RpCTTp2ftZmHz uAg74PMVp7v+9dLBbb78ogz44Ue4t7HGbnLbVvygeth05fSmOhFEQLID3JaITPTsljDVOebzOEuB r/7Z54pRWs4eOGVJ6BTWU+2iR68Mp3+I0SipaTCSLWjdOaQxegyCEXlLXS2pP9fovqy6ohwKp4r8 SbQ+fQEXJR2Nf9iy+UBtxjz6TMTym7rgS/O9I89iDLJGFuP3z0VNGVQklauC3+Yp4gcMd0xnupu3 w27OKqWBGciRpZM6Fgrsy0mBGWFfxkKu86nJyiwgxtCocvKy5jpk7yiTaHgWOA7BrgEBHk5Nz5FL NHVIuZN7j1eFV4JAQzvPN9dsohwgB+k6T+bz8fxTf+e8RFUnDL43dOjicvnkIvfEQzwM0+JkeNvQ ta5xGpqaH1JD0qyIkJvzScWkIVGrzWzMMvxN+DtabQBIeE2j5DTmfMky0f63cNgmFWP6/SP8aELt kt38bI3RslECUinVEQnYbU2DhgBl2Bp2E7uq/YG8V1sM+J132SjhghxqJ6T1iaGzRtJrzV8uZJUI QV78YPB8Sw2WfIKPXHMOo8Wcuiq7hWP2gnMzlEhTruTfy43iOBynsEQiXlpz5MtA7mEni8hCK/ju wEgP4JhZ2tuIpn3vt//Hes8u0qpLAT4bL03JKYgTMtYLwgU/cKQ7mMqCQ6JazkTKGN1vdrb1P6FI jm7sXfEx1FtTOtiyoXtAyMhUgg+nYckjfJRB+Upgs+B8ajgnnZ4zZWZg9nXh4NOTgJqwSZoz3mYj 0YEoTAZoU5V/kM8lWYqbfC7ss3tgwGjQhmamh1yG2IBAFfbbm+1eaGzWKhnMkIe/ThTInM2B1954 qhG2i2RrFeFBjYacocDQaSbMgsDSx3bO0wRodxUv6ITBJWBtUXQ84psaazwKrD/48/Wk9SiEOiD1 bHUKJFcMGiEDXRQo6c2MPJQ6+AASb+mkg4r+ZMnCpAgNGWBSRtJ3JaJz3fpIua/0GcoKl2yUtmou QnC/LB3DfIirdC5fp4Ar2PTLUq41yjWqn49wmeFrni0Fyh6cBfPplgLIt5GduGYDHqCMzXsq7jMB J4K0ObKnDEOvNDZE0aYW1ePxQNtm2WgNAE5G1Bb4zoziBHf8WCtWuwOZD72tS7ZLwU0SRI/H1rgO NqpZtEe8PbhFF3Mu945oZP/D65wwWYbxbCefnwh7a9hurvKB+YX4xWg7Bd+gnzSBzDNtoULsaGaC Vl5fRhumkx+BwqRdeIvZbEBGKEAuT9fwLuBWbqk9HBZDRGqcse0evcpEJwwj3bvVq9LzXkuUYR1z Rj+rkr1VIOBvD406n/kA7zybInjl+abePxrvEczIc+9nNyt4olcCdlOPbnfijOTwpQ8D26mPfsYD i9O3VvkG9+NJIYW+QgS2AKJXFvHQptmkEqXIaW5nI1pxbps2GKYt7UeBwd3OGuNFPUwtXN28qSnG EkOYzBRsWNCHT6Er1YEX4gIcUpwGuzYkItG+yZY6/dH49QZnIuVWqiFNU6F/5rfoOf81SF+rcgn4 OJPqnzNuGtn0dwUAWA17Bc6BzZ407CNs/QiW5tcgtLCQ0OQSvgN4gNMgvig9LHofpmNnlTQAvYYe BVMg4B3jAZj23PTEw7AVpjHMYUWAHgiPZG4vsf+KQIkXE2LwvfDjNzHLr2iLhAHJXd0IiqeTGiaz IlFj8ULdg+8d8cqV9NCVt2TdAsPgvBFn5tejC2z6o6lQx7u5LDB6LxPxb9b6Zin6lexVCtUzMvMC 0M9fb0Y1ZfMukFBjX5eV4TAtVULwlFjyiwbQ86oEjKcSLpynhYc0F7ITr9iGQjCT1gMB6gkU+r1A lCJapEUql8wzY3VFG5X7mMFuNMnvwzIKZ+jAtrIgNE4JdpAIYTcFEkxq8akjQVVUDedUUJb8ltag RUw79Gm+0c5hgnSPw8xrqk1M73/tMFsPDOCESMOCY62RTQvEJ3xns96vKjn1c12j9qkYq5Rv/4fC s3wFId2akJGz81EuJW8OGSOkFdLYmhVLgXPJXM5DAWhKKcOBO1RTfb+2KF5sP16/tXkQ9StRq4VX c+UD/PMrTDZM4G6HgrYZ/9dGhLTHxganTLx62+QqSuwA99tMdCd0GbQneOfqNHb8C123G3zS7fMj swXKIyhroWPHZdxxm2Osyv3wAxCg8T8XirESRway9GoO+UFL477kJLZ+HmiJsXQTEelxX7WK8ze/ 22UYNqX/fzMT2M824ohaQFAtjXa+mwiOm6ydU3dCehJ+W3m+D6l4bVwPwbv4xGA2wBb/aiIGuqVN o8NK9XsDyh2RFFihGZrvJyoxBbzQH3hvISJlrfKmknMk9vQ/hBNtdWOkLTZ9WZR/pgD+eVzF6ot2 rbuarAjEDrlldyE5l8wZ1JOz3wU4S+cD6jx00DiL3FA62+fMbxmTmAZgHudnMBVHWMHx65pookQ1 PH/eetNoWd3uMDXzMzNF/eHW3LXRwmpckxQgn5C6HlfMlIBwL3tTRgY7M5iZhuvORqToXY/7rdTK laP4Yffx9Go9q3T31S09Rn3SD1Bq9R7bd/7LqrdYezN7jyNSinl1SRoCRVbjD+5zIG0psVectW66 C6xBHdEHgSjehXEaSak2uWDskIo3YkZUpvAF7KGnm9bUP+zer9dfwk0EQAzxap6tIZ/q8ZFO+aEa cU/zpQnghtgefYQTdEbF5hc1GtdPi9V03glTxYGdLJZd9h+ITNvKfwEJZ+T4ERkL8RVu0tL7Q5Tn C+GGjYe5vSj0n3o4M6Q9OEYowOKC68LP39oVGI09gHYGwHQLNKoEe9Xxsu6k5+HvEp7xqQRgTkMr KYQ1YwAyYyjezGrGnATKvcRCl8Qv6ob0wgmr3n1DSenWBBkptfiMEueDrvBzCvLooImQiLTrIZpv BzATxNSFTzXmAfuP28U17N3EXCWA3AkWGS5IcY9blseoPjgVF8mAIukuDd5IWMehpWny50JTfJXq PXVLsOk6aN9TmZCbQdfKJLKUq2wf6IfhH/6LZ4kzWKjp7cjIVVzBBU5YFoJTc9Xcn2cWcxdMxUDo +atD56hnbO8Yv1qD5j1IyZQR26x1VUYCT8surMUyOeMYDf0QOmFXW8vFXM4iFJch0TQJVc+wNTQ5 r/JXQBwjLloIKh+zjBGML90YyHEi8lBIegcHQjcfdVAiSguffRzvi1GyY0tJ9+uuSPvR8MsmySw+ qJax9eOrGGMrkaMutrm4coh+90PGwrVBw7C9CJ20slujK4fzED1n2GI7HIk5C/nwustjJ3aY9FId 5RgVZsF66MOXe8JWXJYePleTKcIMkc81dgw5GpAxgrcfxQEuq4MYXQq/HU09N/Ba+knDJmloMsrq h2ruTb/P7ZZBeNDGQR3kNYVJCkMIe8EqhFfeDarNLviUZEmbnuNyWO17WJH2175txLa6XYHVPuXl xZyalFZzMdEmW0ehRQZxGlteMC/ISZK8lV4jiKzTJzCv1LEZjYhjHYakr21eVgBYZimn2FxeGfUU OJyqiweGOShLgragFNrgH1BcUeT7n/gaMJ7AvFXZfj/UjGyXnadgQE3W27OfFR+yKgDXIV+Ll7Sy JqhpUFVILNRiN9EOsszyG1lDmWIqFIswPyYAcdL0vWfGRAGdlSZkfIxioF85gpNWrZcWLABc0YLo EpwhTas0yCgDNkyb2pKsi+AEFp9GCF8uXDJjdhZ5oLAiNM521YbRRYRN3sTJ0trJrmSQZ/w1Ng4B ukLHpJ++YqCKWMQSeqxPS1cd3FmFzrnuQZ2zgnbiXUjMBOf3EiHMKBTeRIfRNV6MPy8Dva8CPabX Bpi5YTB/kK4wFn7oGntO4ju0zarDapC7dkQRHvD0FNNW0uWDxf4ZC2hdlImcLC8GwD4Jqx+Ww5vQ iWb5a5qeHv8FbwX9avXhWV7W595r70shlOyX+cBLUevhdivgviVBYx6pp/PwrtpQZE41FymWtqTU eTITzgOySas9n4ArQT8EvyuSsZh5ZoONr2mvGkOzFqKRNxwJfLP3JGB2JDtyXl2G6LyE3wj+bkjp bFPmVN37bVtYGhSeB4rYxt4pHWAMexghGjZOhb9wideTxEmxMPUkUOTheSqUXw1411WoB1wxVM6Y r1/9/pw6VWXqKUKls6bf+uZw7U9Ko2OUpSFVOKTweTJuBbDHi3SYWXIPUJrSJdyLg98+QJWyyY6C BsU6vDcAWRqIXMuTxlc1Dn4rQnP4/lLSZ4TmDjBpf1rXBAOkVmFlr9RspUv94oqTwbZhCnpQsx/e 4MUd5Ot6CgxzbhYvp9bA7mL0HFMsq22B1V0u2Onn5VyUXdY5cmY3DRBJxQPx7yLC7X+eZMsBVQ+T aoMXwhJx3dHjfWRgPW94w/wQuufuExuG4R2T+ShvvNxUye8SVGMPZR8z94j+Dg3LyUMMtKOdhTjM ETq8q1gT+As23MYg0Qh+YOh6lt0kuieB3VPok5s4xBHxEC7W9665X+mRU9W3qyNTbaSt18iZDeE+ Y8479RRrRzSqcJ5nDgy/wXHej126J1eo7ecwew827Xh2VtEBqcqtAfrt2Kps79NUZaaBUDJYQruG Qh6T2u8iAgDZ2+2PBdpCybxeDQH4uWBmYuZfcSGuHmei/WJAQjKERXXxv+LYvrji4eKGsTw+Suel 0R1R0VXI7ckRq/ZBf0Kqk0+xybdpNXnM8emHK2Hxl/mZ+7W9/0wVhT4uBTgu2xVLj983x0X6vIhK FV4wa02Xei1qBoBY//pWaVBaxvKSPjWVCp8g7okVIe6+NeEIaN4oUBq+3byQvC/cdzPDCc49y11s wHQNPeoyEv1qHjhmH/ikDVuqH9VNg0HMA4g6lsI8Vjr/SFthTo/uHR+U5Ex8egTO+BFOgiknLJJe RxKcVxRiZh+DnGrTxgwzfYiz2T7I2dZaFYfR0qE2YZl+McZBQ7CBwjZV0QQyvu6RI7wC4qxrl53c DZl5xTr7/uLEgrm+a5DFAybjtbdGICi9V7tiTbRj8FXZ7eIHPzaKU7EFrPm1BQvwljKum2ziKmvp KZV4s4YGJ+YJ4j6tt+egQ0Q+gqA43/X7wYoS17Nw9zvN3/29u3q6fB6URMTwfpI89Ju5ZB4pAJIL 4OF7j9ZglPK85CpSMNZa/C7naaqQXPEpBmCkUQ7j5sdm8jYpoBgGr56wYEgJTb3t8ISfb/8ClDM3 OBVWQZoCrmYWZRTDTTQV5Q3oPVIQ2lomOiOtBj/tHmFeqAVaqVyYTWAPMh4wUXIMf77Gxiyzwe5l lbfHOKa3MYrd9pScNjRrE6rCddnwJLtcuMdJGT/K1s+rdBRWrlSNYWB0OPjqRBHQHkJQ7Z43Z3a2 ug7trN9rkGAz1o3F4cjkQBjxFqynXGClk5W8x1LtPyfcPmvODgwjDN6NWQbospmYR5Tk+9BpmRZt 9eLGft5A5YMAPU/O+VLRfPlVbP3qh/UI3TCpFpimtuRLFTCz4JztU8ZhIPncgrV0gK935ZKTtGnW BaMzGRyUSEKb0Zk78tlj5/srTcV5W2JedcjsdTEt0VmEaXIdJq7zuVZK4DHLddLUE9RFoZLKUVtj PPDWg9LQO5/j0o/Flr2+x/dFzulAoPTxfaxI7MCUmWunbSmNcsKrkqU2y0GpSwR9oqIRCH9ZUNmR Yx19latY90ZAJbIzGHcYO4zlSHsw5h8p1z9tAwRKdGl4iQgYxgJrbhUbvWxGWpvPmNLuNr7xXEIK oDdgIXUJ3F/lcnCdoUn5R1aLfWWH+IG9bmNCyU4s8NFEXMf/DaJIrQF6X1cz2uY50Gu65RK37mGJ q9t17ga2OiSnxtC1w/GmnPZ8+KjOVusjUElhRM4zgCOlnC9TSzQPyGrDFi21s5+to9mTB72+meBT YxfkNIV8M3PPmX7JaoXOT1xetjQOUFrFOU6ilBIQLq+XxjFdKvReovv0w0Pvcm7ms8xBGceKni3C 38O9cbEwE4U5FOdS6c2ZNHRhnUWeDyBraD5sm2qKEegmr9l8BzNS77gOK9upF0e5IWgNn2U42+10 2H3Vl2umQdtMTq2omNElOe+qAWcy2HwnclRlaN+9UfFk0ianhL4kXCpOLSx5VzNddArXqaEXtwDf eDFS96XliQwseFOEt/T2C+6kk0k4Dd8JRiruo64uVsVu81mWVGC+/W+dsCAJ6Wtap+NDV2tIDFjp ePx6sQLMnN00Do7sHJVvrWr3LdfY/Cz85lPJRJIkLUuIeQsUy0hFDlz8evNBkB1cg5U87HkIdg0S nK7904RqhwNIHGf3vaSpMxplcRbgiBkxHAmrHJfET1LVkoQMtIT3x2HcvE/7UYIOTv7HqbS/fAAk pbwAC272qlLmd4NPDdnxiok9c0+r++9AHaFr8Xt2BOXteGzOowE9KsGOM93RCGrdEGuarlEiMUde l/fMPzGCiOf3gQfO6P13REGpti9BPWTxjm1FyzTooueE+WTwuYsFAhadt6+3wuzOd1P2SF6usQIC e6C4k4hKED8VPyDgQ6F38jBiZU6vtUJQeE+OoAGEaYqYL2rds3b5F9C2AkKSUAxrRN0mCAflGHe0 KLTV02j3HfzlnhA1x4uvJuWo+yEIWwbh0/3Paxosv/OwXzExR3zF9GVE6bS9VUES67V/VyyAsQ0o +NaL9FIhqiWGRrMEM/ZY1kTPkhKKUy6yJygtCJAUIqOtAaJi7C9uuyw7kLPEQ8oelL75GV18BTVq b7NIx3B394DduoIuD+0ricroH9ZaPIfu07FleYodHHHhdrAl1z50ozeAj1FHvM7tRlh4MAXt54zY PBm5h3lZvnZ4NLBl5HLFZnNViflav4/4O+cpSd/41fJWJsFOwpXLA3x6tMkuOHsf9VRV+4alRJyC 54ERBeK+DuskKZP01Z5HJbnoh//TV7yj6y0+XwQ1byR/CDAWe+yrUUGs8jzrblu0h8ufY7/82rti p32XiCu8rAA3/5UC78i9xbrkDjcuzedCdQc8An7QA/ahIy8U2+WbhVq4LNcfpXzpHLQw1eQe5Xkc zF1NCnNT5wsawKqj2FW1hQYDLIAwF0kzMA6Txb73HiM8AxgOlfnyliy5bNXf/pRs0tmQ/84gJ5tN +XZKTV41n1vKOmEvtxmpL2HPFwIsozX3AznukZBdSr7BgVdlOvcJCUXvRANX91AMUKWJY8VvAUWI LBkjygf6MsJrWRD6qqmRUAhDwUv0udi6JfCKV1BozKJYtC0h3SyFoyYgdjtbPCpyQT91/ULlRTIA 8j5eA+Z768VYa+f08dUwZCblpvUbMhtmuBfLMmsdL0yFo/W4QjV50PeJgWZU7B67yghOAm1RE3lc lPZgZebUuzRFZxNoKgLgVDEQAPqVt564c+AlR0SXDpPOD0H8hr4ThFjKeEJ3Nv77slRJ7uHZDg1O nYCkmAPBKcAo6MHFsbGm8PnmJKPORkgGulEF9IApU5UgB9r5smUJFTWta6Y7Vbu9RlOoOk6tG6lJ xSHxMgPHwqcvGGN7IJvo5sqHjr0ohdI/qXf69fBdz+e7QqDTntNk+oK+2PvqexNNOw/mrkxO/qhl KDruTzmxO5CAuvq/xESrxxbPdaXOyzmTxHaaUADGU+8IrZ4o3NW9UUvfWReX3FdDI4YKcw8SAa6k Uf45VPHnJ4MG74xA8sEAjw4KTB7sRIwta9+C5STfWIqmZQ4mfltIzAK2H22E+3GadM/OuNw27gn4 YBT7Vlw/RpnOeL28JD42giu1dc1atOqw9XK4+XBeUWpoW7CXGF2sYwhgc8zsMtw4pdSOgEiNyMnl +dUdqVqefW1MkMKgwk4CQBnaSJSMITwsXIC2nD8FOzfFFkF0hXwFCZrfQaWQeoTI3UbE44myhYxB rTxBWSCZy89yRzmolBMGyrBxV2X6IqfhXQsPUg/w/5+yYgmCyizQYU2WabGXq1cdXO3tdlIt+J9O rxtuL/eaNPWTRcDSFNekLYXMHAua1E2VtBqO/2afq56GelSNoKHqnu2jBi41vn9weSNeKFOs2QDD 5mD3PzEuXmVFqy7P7ooPFV5X4oqnMs/0pCpmiWH/ySxTxkLKzNv/fF8RiOHeW0s+lXzG1884HXer 65bmvPMIO0QegDwJamA0u1VtwoDRT6F5X9wdcfr5oWeqm8ceNmY58JNu7Bqcu558i/poHsS54d+e 6Dn+n5pD6kM1GdZlp5YadjZUU2N4dcmGmERuQkhWNnMPxgKQe4I806zOcCGmXuOTtjBcQLQyFccC 81htxrlD8+TXIYv/3KLPTgO/g69qJIcpvZOV4R5ib6X9Y7AbQVqNPuWKcYFImHZUa/QsJIC0Q2tw cxFZUG9PBI+MXb8uC37EJzmU6+gU8tLRZpc2h4UDfO3Oj44wf8hV9nWkfDu5HUd6jCunOAuTct0S BuNObbRewq7zxrZXR2blx/OOB7KIYfCNxPEWLgh99LlVTJr10ZBL0exfksZc23Z4MqhlTCCuLXGd Yf4lqEuEdAEmUHeRf7EyVuypytdGGsbu3F7O0Mme/W9zMpR5EDo9ULlA6tLoRYkJg2NQ7Jh9aVlB kRD4Yo0DWA6Lbpddq4sZRPloZbZp8ZXS86QVjto4I9jMOqVsi24Pmx9pA5yM/J5z7b5Gtn657BcJ L0BdShDJa7DJSGdPo1f/imrxbRPTT9LjQVDI3NV802VgkeNtOnDxHpqDVqIlWx1nqbMpONXRhcOh 1m1s69ftQYoserPWOP3DwK0+ua2qyS8pfSEWTAhgX/xFDD+zzfH7fnn7DGb63+l5pSghyILDTmzw 1sg8s1nxvdJiU0DhaBsPlrCqHG/feXA7UgC7OIuf `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block pabZO1I/O5UlEfYaQEPwd4l9eUai0bqYoMxFZDUmBPXyS95K3GW98Ld97MzJKAXXnSlf1PewGW2v 0RIeWd32HQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block MnYS98CLv6GUlLtXXj0MDq/aXJWBamrEeFXZFkhzX7OjMU68I3JzEc2/1UN3CHInfTII6cQBis+f MSPPkhHYfjWA/UnlZNCfIbUjCA7v4zzzEDOXLdUwHhey61M2PDbtjo4F0M+PSYsHQUE61FCJYZr6 +aBOwyo0CpKkCUVEbxg= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block qncW/Cwz6DQ02ZtEcvyp5WdAA4sItotGPpP0REUtLyqefQhCtJmFILcg4T0iyRUg7VuYEwIANO5+ QvHNNc39qIJv9lOesalgHBZQgvNRJnIdYWaRfS0GyacwI/2JQRwAkuAQstvDCp4RTc3l8lwP6/ls 9Kgq/wnF0FIDD2zIsqBFYPVau5gOg+E2Yv8daLhsLbgUNkGI+w4/OZjRbQGSUjwZLuzAjcC7dEzW IiD8iCe2E3P5aTpTA2tXeuvseQy8KOwVCxJQuur+f/bmnE2QrPi5PPQMRcOyc4ok7k5U/64SCKlJ oITfL/xIL/xwZa26tMPcLgkkx7p0G3RLvL/tVw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Dnf6vaqe/V3pNaiPDsCpL4mEkUhuRTF8jsptuAsYR5QlsF0hNdnCfK2+aKM5H69faCvd5mpbM0GP Pqz+qhNmOYPHdckgaTUGR5o/7QyV8YKLvzwfyDMqTu2isTv6FP6Q6welH2CNBnmC1/h5T7i+fy/Q rlaoXYJxfrB3B6n9clU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block IMf8iBP4Q72XIQn7cHjsTbT2wNsnwrpqWy35OTpGthg9IgmIl2PQf4/c9imtaZPdkPVpIBywT+vW p0seCgJeCim8uHSlCA4Yuvzi7NiJqnEZtjEX9xSzaDj4EflUudOJTsvuYMqv/3kxvUgkIK0AS+U7 CWRV3RwJIjyzXaV3SkeD5i2xf0d/bezTocOrvt7wO8hz1n7ziicW5bgdFMZpO18+84bLDi0MzKYQ Ad5OLz8QJgoCqRTe+B2lLXuByvKd2+XBYArz50J0pDfy4RubYe7FYpZdW50ze6dgBWVP0HOw0tLX Pt7eQrmsKxnIhjnIQBRBht+Bb5QLkHSbaJnGbg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8352) `protect data_block 3Txl86gxySTjspY1bX6mjaEtHzHyJ6PEwV30HseHtq4UpP2jRFV0RDcUeckeAq3gEZ5Qu030LNT9 bbOM++HUbE1I8N7rcDRyyG1/zAJa57yK2mi3blq8tQKZ32YObDq6jHS9U2gM3rYjhBhVr2aKK7qQ c8X7nouwkJNW94GkZ7OhWlUV608zX7vk9Ytc1anxwA7VNM5uWs0oTqvG08wzxEO/Wp7eKojoSXwO 3Xhea7t/apLpI0orOHVPwHMzsjaxq56UlxouX+wqfNeT7gOjE86Icz9Kv6qJveIr35SBEOvmKTEu XXSNT6K9tm6q88SyQn3Fynw4R45InLxk96cdtyVOQFxzvDOOUUpt48giFXLbSh2J5eWLkh7wKkBY NwQFlaCkJdKCsT643SDourT31zKF+Ez8++L0sOmdPaVStcet+0/LOBpXifaCvmNLeKxnE44uDown kBMOgjRQlDv9IFqs6Wo034TMX/2IlRfFbca54S7HNhd4DqEOj/dLkdbi3hQqvKlUQLxGd/aByX1b hgEkVsmNNotqvCZpPQ9UsFsRcys6l++qYUPYLNf+xf+zP1lV2cgnRS4RT1iwGyboNFn3K77Tpsb7 9OpYXIW92RPDYHYOVluUlhGfDVUg0MYat/q2HEJQJrXI453QNfUDhUynqjNMtUc0dMa31quPvRVZ hHf+2/bR4Q7cjHspoRtCLGojgvnSf247q4ctuo7nbrdhYUuAa4Bjj5ju4myg9nxVU5ukJQzguBJL 3sQ6JJNrie8SnHcaAMftHxFvfSWwly88oe57Wp/UEarxKXac3A5nc41pt8Mv9Xgpu9v9VOJTxxUh 0lyGcjzLUm2XesldVnJ/PnO7FAjtpxgucH+XMQf+g2wsb59I8+ybUbOrYNQOqWYSwYCOn++p4OTm z6+e0PpDeW3xZTDe3cwHEBvM7k9KPgY+hpev11RMc+0TNT+raUGL3kNBmFiuUKDLoo9/RyEjagUr 0XsfKNMC2ZuGcJCLV4GR9MBQAHlIYRgt8pMqwpUU0tmvh50d1NgrWHgYQL1vhVQUjl2fL8jrCp0B +68ca7YQ1jwOO06mDdlvVYQjZYG/4HCGLQDVssbaag2EjF7zECcmS20NvYP6RjiCxeFLTts4nWNX QI9Rm6NxhHHcmzOEqLUcCSEhySlQwHac/hU0wa46OGSKe0mrmjph7yshq6QOx92ErIUyvhcBeJZo CYY1tCy8lK7N1pab+wcy1j3BbgC4h+YmgjRF6QzhsIcO0Gm9AWLAMvRIrWOPp/V66F7b53uZGfZg hBu915yc5M5CCeRHSBRqwlOTQ8b+GpsV2+8NdhIVSdXpGCVYKQExROd9Zd2r2RFpyx4WC8C9uISU ICBHRlp14RuEKS1tE3agqqBEQL8G83cM487JmgJrWO8alKV+Q5R/Y8cvNDq7FRMhEiPSO1OEMab0 xFA+efs/Tcf+HHo0OesKsFCjVlqwwpgbNSNTgtlDcfxD/icoQHkm8ZiY89TmjfvR0b0cnzwpHcDj RMaiCRYxWD1x6Iy8HAcn9ETbZjNvz/AykS/6e5fMYkeHvaSs0iTZgt6FMmApO8MaDCaUZJEzLv0U z5mb4bxB2IUUrSSt79+9IGbvbS7SphLSQpuyhmnr4JnQmDNj7qbKUz1unir19crnVKkDO6zxigd/ lR8zPfNWeXOCuLklXc3IcDDhBD+ysKGtw2idvIUOTMC0Wa4g2d1zmnUwx/0x0HNEwmXy5XyZpQpe MqREqJVr/i8Oed0hI1JR2OE/D9xvGQmy67OWwqOQtB58ZoJP8JmdoWCJKsQhk9569I+XRRmtvLCC d7m3tMoqYsWVMFY16sa1q0QO8R7PijrjPovnzqr48ZYLKmswIDxTakNM2zHM4zbqta7faU1m1++I nKYMlqUtnps/uGB8XKS5fnRFmB2KPBoCh3xddVUq2bbcUnb3pSgykrT+6T0Cy+V9trIv2IJ0c7l3 NaV+KvRYH0dgbBFOT5DsqIj0jiaAiwSrTgUsdI/LQ3cKu9M2/ExRpY3onDoKZc2dAD3GGb69SXAr w7p9Q18HYjcJHWpaItgzAqnpDNOsS8ZuVMmHOKqCAjG/8Krvw+TQI/+VL6o0RdDz23z6HmqTItKc 1lRdvoub1qnfL3uVJ4X9TsXy8cpZ6h0FbILRMnFsk5Vaf93/svpVrMIzYjt2Hpf62IKO5lipMuhh KPKesXaVK+Mwu/rkP45ABgmsuu4nNKVY2VnFSymuk2zHpmeeLL0v5PGSAq+qfBEAeH3EcIU0DUjF f/DZY0t+qDbjr8kuA9krOgPacrVu8+C08lCQKNB8H4zDq3aJkXYG2WmPI7/NCl6RpCTTp2ftZmHz uAg74PMVp7v+9dLBbb78ogz44Ue4t7HGbnLbVvygeth05fSmOhFEQLID3JaITPTsljDVOebzOEuB r/7Z54pRWs4eOGVJ6BTWU+2iR68Mp3+I0SipaTCSLWjdOaQxegyCEXlLXS2pP9fovqy6ohwKp4r8 SbQ+fQEXJR2Nf9iy+UBtxjz6TMTym7rgS/O9I89iDLJGFuP3z0VNGVQklauC3+Yp4gcMd0xnupu3 w27OKqWBGciRpZM6Fgrsy0mBGWFfxkKu86nJyiwgxtCocvKy5jpk7yiTaHgWOA7BrgEBHk5Nz5FL NHVIuZN7j1eFV4JAQzvPN9dsohwgB+k6T+bz8fxTf+e8RFUnDL43dOjicvnkIvfEQzwM0+JkeNvQ ta5xGpqaH1JD0qyIkJvzScWkIVGrzWzMMvxN+DtabQBIeE2j5DTmfMky0f63cNgmFWP6/SP8aELt kt38bI3RslECUinVEQnYbU2DhgBl2Bp2E7uq/YG8V1sM+J132SjhghxqJ6T1iaGzRtJrzV8uZJUI QV78YPB8Sw2WfIKPXHMOo8Wcuiq7hWP2gnMzlEhTruTfy43iOBynsEQiXlpz5MtA7mEni8hCK/ju wEgP4JhZ2tuIpn3vt//Hes8u0qpLAT4bL03JKYgTMtYLwgU/cKQ7mMqCQ6JazkTKGN1vdrb1P6FI jm7sXfEx1FtTOtiyoXtAyMhUgg+nYckjfJRB+Upgs+B8ajgnnZ4zZWZg9nXh4NOTgJqwSZoz3mYj 0YEoTAZoU5V/kM8lWYqbfC7ss3tgwGjQhmamh1yG2IBAFfbbm+1eaGzWKhnMkIe/ThTInM2B1954 qhG2i2RrFeFBjYacocDQaSbMgsDSx3bO0wRodxUv6ITBJWBtUXQ84psaazwKrD/48/Wk9SiEOiD1 bHUKJFcMGiEDXRQo6c2MPJQ6+AASb+mkg4r+ZMnCpAgNGWBSRtJ3JaJz3fpIua/0GcoKl2yUtmou QnC/LB3DfIirdC5fp4Ar2PTLUq41yjWqn49wmeFrni0Fyh6cBfPplgLIt5GduGYDHqCMzXsq7jMB J4K0ObKnDEOvNDZE0aYW1ePxQNtm2WgNAE5G1Bb4zoziBHf8WCtWuwOZD72tS7ZLwU0SRI/H1rgO NqpZtEe8PbhFF3Mu945oZP/D65wwWYbxbCefnwh7a9hurvKB+YX4xWg7Bd+gnzSBzDNtoULsaGaC Vl5fRhumkx+BwqRdeIvZbEBGKEAuT9fwLuBWbqk9HBZDRGqcse0evcpEJwwj3bvVq9LzXkuUYR1z Rj+rkr1VIOBvD406n/kA7zybInjl+abePxrvEczIc+9nNyt4olcCdlOPbnfijOTwpQ8D26mPfsYD i9O3VvkG9+NJIYW+QgS2AKJXFvHQptmkEqXIaW5nI1pxbps2GKYt7UeBwd3OGuNFPUwtXN28qSnG EkOYzBRsWNCHT6Er1YEX4gIcUpwGuzYkItG+yZY6/dH49QZnIuVWqiFNU6F/5rfoOf81SF+rcgn4 OJPqnzNuGtn0dwUAWA17Bc6BzZ407CNs/QiW5tcgtLCQ0OQSvgN4gNMgvig9LHofpmNnlTQAvYYe BVMg4B3jAZj23PTEw7AVpjHMYUWAHgiPZG4vsf+KQIkXE2LwvfDjNzHLr2iLhAHJXd0IiqeTGiaz IlFj8ULdg+8d8cqV9NCVt2TdAsPgvBFn5tejC2z6o6lQx7u5LDB6LxPxb9b6Zin6lexVCtUzMvMC 0M9fb0Y1ZfMukFBjX5eV4TAtVULwlFjyiwbQ86oEjKcSLpynhYc0F7ITr9iGQjCT1gMB6gkU+r1A lCJapEUql8wzY3VFG5X7mMFuNMnvwzIKZ+jAtrIgNE4JdpAIYTcFEkxq8akjQVVUDedUUJb8ltag RUw79Gm+0c5hgnSPw8xrqk1M73/tMFsPDOCESMOCY62RTQvEJ3xns96vKjn1c12j9qkYq5Rv/4fC s3wFId2akJGz81EuJW8OGSOkFdLYmhVLgXPJXM5DAWhKKcOBO1RTfb+2KF5sP16/tXkQ9StRq4VX c+UD/PMrTDZM4G6HgrYZ/9dGhLTHxganTLx62+QqSuwA99tMdCd0GbQneOfqNHb8C123G3zS7fMj swXKIyhroWPHZdxxm2Osyv3wAxCg8T8XirESRway9GoO+UFL477kJLZ+HmiJsXQTEelxX7WK8ze/ 22UYNqX/fzMT2M824ohaQFAtjXa+mwiOm6ydU3dCehJ+W3m+D6l4bVwPwbv4xGA2wBb/aiIGuqVN o8NK9XsDyh2RFFihGZrvJyoxBbzQH3hvISJlrfKmknMk9vQ/hBNtdWOkLTZ9WZR/pgD+eVzF6ot2 rbuarAjEDrlldyE5l8wZ1JOz3wU4S+cD6jx00DiL3FA62+fMbxmTmAZgHudnMBVHWMHx65pookQ1 PH/eetNoWd3uMDXzMzNF/eHW3LXRwmpckxQgn5C6HlfMlIBwL3tTRgY7M5iZhuvORqToXY/7rdTK laP4Yffx9Go9q3T31S09Rn3SD1Bq9R7bd/7LqrdYezN7jyNSinl1SRoCRVbjD+5zIG0psVectW66 C6xBHdEHgSjehXEaSak2uWDskIo3YkZUpvAF7KGnm9bUP+zer9dfwk0EQAzxap6tIZ/q8ZFO+aEa cU/zpQnghtgefYQTdEbF5hc1GtdPi9V03glTxYGdLJZd9h+ITNvKfwEJZ+T4ERkL8RVu0tL7Q5Tn C+GGjYe5vSj0n3o4M6Q9OEYowOKC68LP39oVGI09gHYGwHQLNKoEe9Xxsu6k5+HvEp7xqQRgTkMr KYQ1YwAyYyjezGrGnATKvcRCl8Qv6ob0wgmr3n1DSenWBBkptfiMEueDrvBzCvLooImQiLTrIZpv BzATxNSFTzXmAfuP28U17N3EXCWA3AkWGS5IcY9blseoPjgVF8mAIukuDd5IWMehpWny50JTfJXq PXVLsOk6aN9TmZCbQdfKJLKUq2wf6IfhH/6LZ4kzWKjp7cjIVVzBBU5YFoJTc9Xcn2cWcxdMxUDo +atD56hnbO8Yv1qD5j1IyZQR26x1VUYCT8surMUyOeMYDf0QOmFXW8vFXM4iFJch0TQJVc+wNTQ5 r/JXQBwjLloIKh+zjBGML90YyHEi8lBIegcHQjcfdVAiSguffRzvi1GyY0tJ9+uuSPvR8MsmySw+ qJax9eOrGGMrkaMutrm4coh+90PGwrVBw7C9CJ20slujK4fzED1n2GI7HIk5C/nwustjJ3aY9FId 5RgVZsF66MOXe8JWXJYePleTKcIMkc81dgw5GpAxgrcfxQEuq4MYXQq/HU09N/Ba+knDJmloMsrq h2ruTb/P7ZZBeNDGQR3kNYVJCkMIe8EqhFfeDarNLviUZEmbnuNyWO17WJH2175txLa6XYHVPuXl xZyalFZzMdEmW0ehRQZxGlteMC/ISZK8lV4jiKzTJzCv1LEZjYhjHYakr21eVgBYZimn2FxeGfUU OJyqiweGOShLgragFNrgH1BcUeT7n/gaMJ7AvFXZfj/UjGyXnadgQE3W27OfFR+yKgDXIV+Ll7Sy JqhpUFVILNRiN9EOsszyG1lDmWIqFIswPyYAcdL0vWfGRAGdlSZkfIxioF85gpNWrZcWLABc0YLo EpwhTas0yCgDNkyb2pKsi+AEFp9GCF8uXDJjdhZ5oLAiNM521YbRRYRN3sTJ0trJrmSQZ/w1Ng4B ukLHpJ++YqCKWMQSeqxPS1cd3FmFzrnuQZ2zgnbiXUjMBOf3EiHMKBTeRIfRNV6MPy8Dva8CPabX Bpi5YTB/kK4wFn7oGntO4ju0zarDapC7dkQRHvD0FNNW0uWDxf4ZC2hdlImcLC8GwD4Jqx+Ww5vQ iWb5a5qeHv8FbwX9avXhWV7W595r70shlOyX+cBLUevhdivgviVBYx6pp/PwrtpQZE41FymWtqTU eTITzgOySas9n4ArQT8EvyuSsZh5ZoONr2mvGkOzFqKRNxwJfLP3JGB2JDtyXl2G6LyE3wj+bkjp bFPmVN37bVtYGhSeB4rYxt4pHWAMexghGjZOhb9wideTxEmxMPUkUOTheSqUXw1411WoB1wxVM6Y r1/9/pw6VWXqKUKls6bf+uZw7U9Ko2OUpSFVOKTweTJuBbDHi3SYWXIPUJrSJdyLg98+QJWyyY6C BsU6vDcAWRqIXMuTxlc1Dn4rQnP4/lLSZ4TmDjBpf1rXBAOkVmFlr9RspUv94oqTwbZhCnpQsx/e 4MUd5Ot6CgxzbhYvp9bA7mL0HFMsq22B1V0u2Onn5VyUXdY5cmY3DRBJxQPx7yLC7X+eZMsBVQ+T aoMXwhJx3dHjfWRgPW94w/wQuufuExuG4R2T+ShvvNxUye8SVGMPZR8z94j+Dg3LyUMMtKOdhTjM ETq8q1gT+As23MYg0Qh+YOh6lt0kuieB3VPok5s4xBHxEC7W9665X+mRU9W3qyNTbaSt18iZDeE+ Y8479RRrRzSqcJ5nDgy/wXHej126J1eo7ecwew827Xh2VtEBqcqtAfrt2Kps79NUZaaBUDJYQruG Qh6T2u8iAgDZ2+2PBdpCybxeDQH4uWBmYuZfcSGuHmei/WJAQjKERXXxv+LYvrji4eKGsTw+Suel 0R1R0VXI7ckRq/ZBf0Kqk0+xybdpNXnM8emHK2Hxl/mZ+7W9/0wVhT4uBTgu2xVLj983x0X6vIhK FV4wa02Xei1qBoBY//pWaVBaxvKSPjWVCp8g7okVIe6+NeEIaN4oUBq+3byQvC/cdzPDCc49y11s wHQNPeoyEv1qHjhmH/ikDVuqH9VNg0HMA4g6lsI8Vjr/SFthTo/uHR+U5Ex8egTO+BFOgiknLJJe RxKcVxRiZh+DnGrTxgwzfYiz2T7I2dZaFYfR0qE2YZl+McZBQ7CBwjZV0QQyvu6RI7wC4qxrl53c DZl5xTr7/uLEgrm+a5DFAybjtbdGICi9V7tiTbRj8FXZ7eIHPzaKU7EFrPm1BQvwljKum2ziKmvp KZV4s4YGJ+YJ4j6tt+egQ0Q+gqA43/X7wYoS17Nw9zvN3/29u3q6fB6URMTwfpI89Ju5ZB4pAJIL 4OF7j9ZglPK85CpSMNZa/C7naaqQXPEpBmCkUQ7j5sdm8jYpoBgGr56wYEgJTb3t8ISfb/8ClDM3 OBVWQZoCrmYWZRTDTTQV5Q3oPVIQ2lomOiOtBj/tHmFeqAVaqVyYTWAPMh4wUXIMf77Gxiyzwe5l lbfHOKa3MYrd9pScNjRrE6rCddnwJLtcuMdJGT/K1s+rdBRWrlSNYWB0OPjqRBHQHkJQ7Z43Z3a2 ug7trN9rkGAz1o3F4cjkQBjxFqynXGClk5W8x1LtPyfcPmvODgwjDN6NWQbospmYR5Tk+9BpmRZt 9eLGft5A5YMAPU/O+VLRfPlVbP3qh/UI3TCpFpimtuRLFTCz4JztU8ZhIPncgrV0gK935ZKTtGnW BaMzGRyUSEKb0Zk78tlj5/srTcV5W2JedcjsdTEt0VmEaXIdJq7zuVZK4DHLddLUE9RFoZLKUVtj PPDWg9LQO5/j0o/Flr2+x/dFzulAoPTxfaxI7MCUmWunbSmNcsKrkqU2y0GpSwR9oqIRCH9ZUNmR Yx19latY90ZAJbIzGHcYO4zlSHsw5h8p1z9tAwRKdGl4iQgYxgJrbhUbvWxGWpvPmNLuNr7xXEIK oDdgIXUJ3F/lcnCdoUn5R1aLfWWH+IG9bmNCyU4s8NFEXMf/DaJIrQF6X1cz2uY50Gu65RK37mGJ q9t17ga2OiSnxtC1w/GmnPZ8+KjOVusjUElhRM4zgCOlnC9TSzQPyGrDFi21s5+to9mTB72+meBT YxfkNIV8M3PPmX7JaoXOT1xetjQOUFrFOU6ilBIQLq+XxjFdKvReovv0w0Pvcm7ms8xBGceKni3C 38O9cbEwE4U5FOdS6c2ZNHRhnUWeDyBraD5sm2qKEegmr9l8BzNS77gOK9upF0e5IWgNn2U42+10 2H3Vl2umQdtMTq2omNElOe+qAWcy2HwnclRlaN+9UfFk0ianhL4kXCpOLSx5VzNddArXqaEXtwDf eDFS96XliQwseFOEt/T2C+6kk0k4Dd8JRiruo64uVsVu81mWVGC+/W+dsCAJ6Wtap+NDV2tIDFjp ePx6sQLMnN00Do7sHJVvrWr3LdfY/Cz85lPJRJIkLUuIeQsUy0hFDlz8evNBkB1cg5U87HkIdg0S nK7904RqhwNIHGf3vaSpMxplcRbgiBkxHAmrHJfET1LVkoQMtIT3x2HcvE/7UYIOTv7HqbS/fAAk pbwAC272qlLmd4NPDdnxiok9c0+r++9AHaFr8Xt2BOXteGzOowE9KsGOM93RCGrdEGuarlEiMUde l/fMPzGCiOf3gQfO6P13REGpti9BPWTxjm1FyzTooueE+WTwuYsFAhadt6+3wuzOd1P2SF6usQIC e6C4k4hKED8VPyDgQ6F38jBiZU6vtUJQeE+OoAGEaYqYL2rds3b5F9C2AkKSUAxrRN0mCAflGHe0 KLTV02j3HfzlnhA1x4uvJuWo+yEIWwbh0/3Paxosv/OwXzExR3zF9GVE6bS9VUES67V/VyyAsQ0o +NaL9FIhqiWGRrMEM/ZY1kTPkhKKUy6yJygtCJAUIqOtAaJi7C9uuyw7kLPEQ8oelL75GV18BTVq b7NIx3B394DduoIuD+0ricroH9ZaPIfu07FleYodHHHhdrAl1z50ozeAj1FHvM7tRlh4MAXt54zY PBm5h3lZvnZ4NLBl5HLFZnNViflav4/4O+cpSd/41fJWJsFOwpXLA3x6tMkuOHsf9VRV+4alRJyC 54ERBeK+DuskKZP01Z5HJbnoh//TV7yj6y0+XwQ1byR/CDAWe+yrUUGs8jzrblu0h8ufY7/82rti p32XiCu8rAA3/5UC78i9xbrkDjcuzedCdQc8An7QA/ahIy8U2+WbhVq4LNcfpXzpHLQw1eQe5Xkc zF1NCnNT5wsawKqj2FW1hQYDLIAwF0kzMA6Txb73HiM8AxgOlfnyliy5bNXf/pRs0tmQ/84gJ5tN +XZKTV41n1vKOmEvtxmpL2HPFwIsozX3AznukZBdSr7BgVdlOvcJCUXvRANX91AMUKWJY8VvAUWI LBkjygf6MsJrWRD6qqmRUAhDwUv0udi6JfCKV1BozKJYtC0h3SyFoyYgdjtbPCpyQT91/ULlRTIA 8j5eA+Z768VYa+f08dUwZCblpvUbMhtmuBfLMmsdL0yFo/W4QjV50PeJgWZU7B67yghOAm1RE3lc lPZgZebUuzRFZxNoKgLgVDEQAPqVt564c+AlR0SXDpPOD0H8hr4ThFjKeEJ3Nv77slRJ7uHZDg1O nYCkmAPBKcAo6MHFsbGm8PnmJKPORkgGulEF9IApU5UgB9r5smUJFTWta6Y7Vbu9RlOoOk6tG6lJ xSHxMgPHwqcvGGN7IJvo5sqHjr0ohdI/qXf69fBdz+e7QqDTntNk+oK+2PvqexNNOw/mrkxO/qhl KDruTzmxO5CAuvq/xESrxxbPdaXOyzmTxHaaUADGU+8IrZ4o3NW9UUvfWReX3FdDI4YKcw8SAa6k Uf45VPHnJ4MG74xA8sEAjw4KTB7sRIwta9+C5STfWIqmZQ4mfltIzAK2H22E+3GadM/OuNw27gn4 YBT7Vlw/RpnOeL28JD42giu1dc1atOqw9XK4+XBeUWpoW7CXGF2sYwhgc8zsMtw4pdSOgEiNyMnl +dUdqVqefW1MkMKgwk4CQBnaSJSMITwsXIC2nD8FOzfFFkF0hXwFCZrfQaWQeoTI3UbE44myhYxB rTxBWSCZy89yRzmolBMGyrBxV2X6IqfhXQsPUg/w/5+yYgmCyizQYU2WabGXq1cdXO3tdlIt+J9O rxtuL/eaNPWTRcDSFNekLYXMHAua1E2VtBqO/2afq56GelSNoKHqnu2jBi41vn9weSNeKFOs2QDD 5mD3PzEuXmVFqy7P7ooPFV5X4oqnMs/0pCpmiWH/ySxTxkLKzNv/fF8RiOHeW0s+lXzG1884HXer 65bmvPMIO0QegDwJamA0u1VtwoDRT6F5X9wdcfr5oWeqm8ceNmY58JNu7Bqcu558i/poHsS54d+e 6Dn+n5pD6kM1GdZlp5YadjZUU2N4dcmGmERuQkhWNnMPxgKQe4I806zOcCGmXuOTtjBcQLQyFccC 81htxrlD8+TXIYv/3KLPTgO/g69qJIcpvZOV4R5ib6X9Y7AbQVqNPuWKcYFImHZUa/QsJIC0Q2tw cxFZUG9PBI+MXb8uC37EJzmU6+gU8tLRZpc2h4UDfO3Oj44wf8hV9nWkfDu5HUd6jCunOAuTct0S BuNObbRewq7zxrZXR2blx/OOB7KIYfCNxPEWLgh99LlVTJr10ZBL0exfksZc23Z4MqhlTCCuLXGd Yf4lqEuEdAEmUHeRf7EyVuypytdGGsbu3F7O0Mme/W9zMpR5EDo9ULlA6tLoRYkJg2NQ7Jh9aVlB kRD4Yo0DWA6Lbpddq4sZRPloZbZp8ZXS86QVjto4I9jMOqVsi24Pmx9pA5yM/J5z7b5Gtn657BcJ L0BdShDJa7DJSGdPo1f/imrxbRPTT9LjQVDI3NV802VgkeNtOnDxHpqDVqIlWx1nqbMpONXRhcOh 1m1s69ftQYoserPWOP3DwK0+ua2qyS8pfSEWTAhgX/xFDD+zzfH7fnn7DGb63+l5pSghyILDTmzw 1sg8s1nxvdJiU0DhaBsPlrCqHG/feXA7UgC7OIuf `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block pabZO1I/O5UlEfYaQEPwd4l9eUai0bqYoMxFZDUmBPXyS95K3GW98Ld97MzJKAXXnSlf1PewGW2v 0RIeWd32HQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block MnYS98CLv6GUlLtXXj0MDq/aXJWBamrEeFXZFkhzX7OjMU68I3JzEc2/1UN3CHInfTII6cQBis+f MSPPkhHYfjWA/UnlZNCfIbUjCA7v4zzzEDOXLdUwHhey61M2PDbtjo4F0M+PSYsHQUE61FCJYZr6 +aBOwyo0CpKkCUVEbxg= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block qncW/Cwz6DQ02ZtEcvyp5WdAA4sItotGPpP0REUtLyqefQhCtJmFILcg4T0iyRUg7VuYEwIANO5+ QvHNNc39qIJv9lOesalgHBZQgvNRJnIdYWaRfS0GyacwI/2JQRwAkuAQstvDCp4RTc3l8lwP6/ls 9Kgq/wnF0FIDD2zIsqBFYPVau5gOg+E2Yv8daLhsLbgUNkGI+w4/OZjRbQGSUjwZLuzAjcC7dEzW IiD8iCe2E3P5aTpTA2tXeuvseQy8KOwVCxJQuur+f/bmnE2QrPi5PPQMRcOyc4ok7k5U/64SCKlJ oITfL/xIL/xwZa26tMPcLgkkx7p0G3RLvL/tVw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Dnf6vaqe/V3pNaiPDsCpL4mEkUhuRTF8jsptuAsYR5QlsF0hNdnCfK2+aKM5H69faCvd5mpbM0GP Pqz+qhNmOYPHdckgaTUGR5o/7QyV8YKLvzwfyDMqTu2isTv6FP6Q6welH2CNBnmC1/h5T7i+fy/Q rlaoXYJxfrB3B6n9clU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block IMf8iBP4Q72XIQn7cHjsTbT2wNsnwrpqWy35OTpGthg9IgmIl2PQf4/c9imtaZPdkPVpIBywT+vW p0seCgJeCim8uHSlCA4Yuvzi7NiJqnEZtjEX9xSzaDj4EflUudOJTsvuYMqv/3kxvUgkIK0AS+U7 CWRV3RwJIjyzXaV3SkeD5i2xf0d/bezTocOrvt7wO8hz1n7ziicW5bgdFMZpO18+84bLDi0MzKYQ Ad5OLz8QJgoCqRTe+B2lLXuByvKd2+XBYArz50J0pDfy4RubYe7FYpZdW50ze6dgBWVP0HOw0tLX Pt7eQrmsKxnIhjnIQBRBht+Bb5QLkHSbaJnGbg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8352) `protect data_block 3Txl86gxySTjspY1bX6mjaEtHzHyJ6PEwV30HseHtq4UpP2jRFV0RDcUeckeAq3gEZ5Qu030LNT9 bbOM++HUbE1I8N7rcDRyyG1/zAJa57yK2mi3blq8tQKZ32YObDq6jHS9U2gM3rYjhBhVr2aKK7qQ c8X7nouwkJNW94GkZ7OhWlUV608zX7vk9Ytc1anxwA7VNM5uWs0oTqvG08wzxEO/Wp7eKojoSXwO 3Xhea7t/apLpI0orOHVPwHMzsjaxq56UlxouX+wqfNeT7gOjE86Icz9Kv6qJveIr35SBEOvmKTEu XXSNT6K9tm6q88SyQn3Fynw4R45InLxk96cdtyVOQFxzvDOOUUpt48giFXLbSh2J5eWLkh7wKkBY NwQFlaCkJdKCsT643SDourT31zKF+Ez8++L0sOmdPaVStcet+0/LOBpXifaCvmNLeKxnE44uDown kBMOgjRQlDv9IFqs6Wo034TMX/2IlRfFbca54S7HNhd4DqEOj/dLkdbi3hQqvKlUQLxGd/aByX1b hgEkVsmNNotqvCZpPQ9UsFsRcys6l++qYUPYLNf+xf+zP1lV2cgnRS4RT1iwGyboNFn3K77Tpsb7 9OpYXIW92RPDYHYOVluUlhGfDVUg0MYat/q2HEJQJrXI453QNfUDhUynqjNMtUc0dMa31quPvRVZ hHf+2/bR4Q7cjHspoRtCLGojgvnSf247q4ctuo7nbrdhYUuAa4Bjj5ju4myg9nxVU5ukJQzguBJL 3sQ6JJNrie8SnHcaAMftHxFvfSWwly88oe57Wp/UEarxKXac3A5nc41pt8Mv9Xgpu9v9VOJTxxUh 0lyGcjzLUm2XesldVnJ/PnO7FAjtpxgucH+XMQf+g2wsb59I8+ybUbOrYNQOqWYSwYCOn++p4OTm z6+e0PpDeW3xZTDe3cwHEBvM7k9KPgY+hpev11RMc+0TNT+raUGL3kNBmFiuUKDLoo9/RyEjagUr 0XsfKNMC2ZuGcJCLV4GR9MBQAHlIYRgt8pMqwpUU0tmvh50d1NgrWHgYQL1vhVQUjl2fL8jrCp0B +68ca7YQ1jwOO06mDdlvVYQjZYG/4HCGLQDVssbaag2EjF7zECcmS20NvYP6RjiCxeFLTts4nWNX QI9Rm6NxhHHcmzOEqLUcCSEhySlQwHac/hU0wa46OGSKe0mrmjph7yshq6QOx92ErIUyvhcBeJZo CYY1tCy8lK7N1pab+wcy1j3BbgC4h+YmgjRF6QzhsIcO0Gm9AWLAMvRIrWOPp/V66F7b53uZGfZg hBu915yc5M5CCeRHSBRqwlOTQ8b+GpsV2+8NdhIVSdXpGCVYKQExROd9Zd2r2RFpyx4WC8C9uISU ICBHRlp14RuEKS1tE3agqqBEQL8G83cM487JmgJrWO8alKV+Q5R/Y8cvNDq7FRMhEiPSO1OEMab0 xFA+efs/Tcf+HHo0OesKsFCjVlqwwpgbNSNTgtlDcfxD/icoQHkm8ZiY89TmjfvR0b0cnzwpHcDj RMaiCRYxWD1x6Iy8HAcn9ETbZjNvz/AykS/6e5fMYkeHvaSs0iTZgt6FMmApO8MaDCaUZJEzLv0U z5mb4bxB2IUUrSSt79+9IGbvbS7SphLSQpuyhmnr4JnQmDNj7qbKUz1unir19crnVKkDO6zxigd/ lR8zPfNWeXOCuLklXc3IcDDhBD+ysKGtw2idvIUOTMC0Wa4g2d1zmnUwx/0x0HNEwmXy5XyZpQpe MqREqJVr/i8Oed0hI1JR2OE/D9xvGQmy67OWwqOQtB58ZoJP8JmdoWCJKsQhk9569I+XRRmtvLCC d7m3tMoqYsWVMFY16sa1q0QO8R7PijrjPovnzqr48ZYLKmswIDxTakNM2zHM4zbqta7faU1m1++I nKYMlqUtnps/uGB8XKS5fnRFmB2KPBoCh3xddVUq2bbcUnb3pSgykrT+6T0Cy+V9trIv2IJ0c7l3 NaV+KvRYH0dgbBFOT5DsqIj0jiaAiwSrTgUsdI/LQ3cKu9M2/ExRpY3onDoKZc2dAD3GGb69SXAr w7p9Q18HYjcJHWpaItgzAqnpDNOsS8ZuVMmHOKqCAjG/8Krvw+TQI/+VL6o0RdDz23z6HmqTItKc 1lRdvoub1qnfL3uVJ4X9TsXy8cpZ6h0FbILRMnFsk5Vaf93/svpVrMIzYjt2Hpf62IKO5lipMuhh KPKesXaVK+Mwu/rkP45ABgmsuu4nNKVY2VnFSymuk2zHpmeeLL0v5PGSAq+qfBEAeH3EcIU0DUjF f/DZY0t+qDbjr8kuA9krOgPacrVu8+C08lCQKNB8H4zDq3aJkXYG2WmPI7/NCl6RpCTTp2ftZmHz uAg74PMVp7v+9dLBbb78ogz44Ue4t7HGbnLbVvygeth05fSmOhFEQLID3JaITPTsljDVOebzOEuB r/7Z54pRWs4eOGVJ6BTWU+2iR68Mp3+I0SipaTCSLWjdOaQxegyCEXlLXS2pP9fovqy6ohwKp4r8 SbQ+fQEXJR2Nf9iy+UBtxjz6TMTym7rgS/O9I89iDLJGFuP3z0VNGVQklauC3+Yp4gcMd0xnupu3 w27OKqWBGciRpZM6Fgrsy0mBGWFfxkKu86nJyiwgxtCocvKy5jpk7yiTaHgWOA7BrgEBHk5Nz5FL NHVIuZN7j1eFV4JAQzvPN9dsohwgB+k6T+bz8fxTf+e8RFUnDL43dOjicvnkIvfEQzwM0+JkeNvQ ta5xGpqaH1JD0qyIkJvzScWkIVGrzWzMMvxN+DtabQBIeE2j5DTmfMky0f63cNgmFWP6/SP8aELt kt38bI3RslECUinVEQnYbU2DhgBl2Bp2E7uq/YG8V1sM+J132SjhghxqJ6T1iaGzRtJrzV8uZJUI QV78YPB8Sw2WfIKPXHMOo8Wcuiq7hWP2gnMzlEhTruTfy43iOBynsEQiXlpz5MtA7mEni8hCK/ju wEgP4JhZ2tuIpn3vt//Hes8u0qpLAT4bL03JKYgTMtYLwgU/cKQ7mMqCQ6JazkTKGN1vdrb1P6FI jm7sXfEx1FtTOtiyoXtAyMhUgg+nYckjfJRB+Upgs+B8ajgnnZ4zZWZg9nXh4NOTgJqwSZoz3mYj 0YEoTAZoU5V/kM8lWYqbfC7ss3tgwGjQhmamh1yG2IBAFfbbm+1eaGzWKhnMkIe/ThTInM2B1954 qhG2i2RrFeFBjYacocDQaSbMgsDSx3bO0wRodxUv6ITBJWBtUXQ84psaazwKrD/48/Wk9SiEOiD1 bHUKJFcMGiEDXRQo6c2MPJQ6+AASb+mkg4r+ZMnCpAgNGWBSRtJ3JaJz3fpIua/0GcoKl2yUtmou QnC/LB3DfIirdC5fp4Ar2PTLUq41yjWqn49wmeFrni0Fyh6cBfPplgLIt5GduGYDHqCMzXsq7jMB J4K0ObKnDEOvNDZE0aYW1ePxQNtm2WgNAE5G1Bb4zoziBHf8WCtWuwOZD72tS7ZLwU0SRI/H1rgO NqpZtEe8PbhFF3Mu945oZP/D65wwWYbxbCefnwh7a9hurvKB+YX4xWg7Bd+gnzSBzDNtoULsaGaC Vl5fRhumkx+BwqRdeIvZbEBGKEAuT9fwLuBWbqk9HBZDRGqcse0evcpEJwwj3bvVq9LzXkuUYR1z Rj+rkr1VIOBvD406n/kA7zybInjl+abePxrvEczIc+9nNyt4olcCdlOPbnfijOTwpQ8D26mPfsYD i9O3VvkG9+NJIYW+QgS2AKJXFvHQptmkEqXIaW5nI1pxbps2GKYt7UeBwd3OGuNFPUwtXN28qSnG EkOYzBRsWNCHT6Er1YEX4gIcUpwGuzYkItG+yZY6/dH49QZnIuVWqiFNU6F/5rfoOf81SF+rcgn4 OJPqnzNuGtn0dwUAWA17Bc6BzZ407CNs/QiW5tcgtLCQ0OQSvgN4gNMgvig9LHofpmNnlTQAvYYe BVMg4B3jAZj23PTEw7AVpjHMYUWAHgiPZG4vsf+KQIkXE2LwvfDjNzHLr2iLhAHJXd0IiqeTGiaz IlFj8ULdg+8d8cqV9NCVt2TdAsPgvBFn5tejC2z6o6lQx7u5LDB6LxPxb9b6Zin6lexVCtUzMvMC 0M9fb0Y1ZfMukFBjX5eV4TAtVULwlFjyiwbQ86oEjKcSLpynhYc0F7ITr9iGQjCT1gMB6gkU+r1A lCJapEUql8wzY3VFG5X7mMFuNMnvwzIKZ+jAtrIgNE4JdpAIYTcFEkxq8akjQVVUDedUUJb8ltag RUw79Gm+0c5hgnSPw8xrqk1M73/tMFsPDOCESMOCY62RTQvEJ3xns96vKjn1c12j9qkYq5Rv/4fC s3wFId2akJGz81EuJW8OGSOkFdLYmhVLgXPJXM5DAWhKKcOBO1RTfb+2KF5sP16/tXkQ9StRq4VX c+UD/PMrTDZM4G6HgrYZ/9dGhLTHxganTLx62+QqSuwA99tMdCd0GbQneOfqNHb8C123G3zS7fMj swXKIyhroWPHZdxxm2Osyv3wAxCg8T8XirESRway9GoO+UFL477kJLZ+HmiJsXQTEelxX7WK8ze/ 22UYNqX/fzMT2M824ohaQFAtjXa+mwiOm6ydU3dCehJ+W3m+D6l4bVwPwbv4xGA2wBb/aiIGuqVN o8NK9XsDyh2RFFihGZrvJyoxBbzQH3hvISJlrfKmknMk9vQ/hBNtdWOkLTZ9WZR/pgD+eVzF6ot2 rbuarAjEDrlldyE5l8wZ1JOz3wU4S+cD6jx00DiL3FA62+fMbxmTmAZgHudnMBVHWMHx65pookQ1 PH/eetNoWd3uMDXzMzNF/eHW3LXRwmpckxQgn5C6HlfMlIBwL3tTRgY7M5iZhuvORqToXY/7rdTK laP4Yffx9Go9q3T31S09Rn3SD1Bq9R7bd/7LqrdYezN7jyNSinl1SRoCRVbjD+5zIG0psVectW66 C6xBHdEHgSjehXEaSak2uWDskIo3YkZUpvAF7KGnm9bUP+zer9dfwk0EQAzxap6tIZ/q8ZFO+aEa cU/zpQnghtgefYQTdEbF5hc1GtdPi9V03glTxYGdLJZd9h+ITNvKfwEJZ+T4ERkL8RVu0tL7Q5Tn C+GGjYe5vSj0n3o4M6Q9OEYowOKC68LP39oVGI09gHYGwHQLNKoEe9Xxsu6k5+HvEp7xqQRgTkMr KYQ1YwAyYyjezGrGnATKvcRCl8Qv6ob0wgmr3n1DSenWBBkptfiMEueDrvBzCvLooImQiLTrIZpv BzATxNSFTzXmAfuP28U17N3EXCWA3AkWGS5IcY9blseoPjgVF8mAIukuDd5IWMehpWny50JTfJXq PXVLsOk6aN9TmZCbQdfKJLKUq2wf6IfhH/6LZ4kzWKjp7cjIVVzBBU5YFoJTc9Xcn2cWcxdMxUDo +atD56hnbO8Yv1qD5j1IyZQR26x1VUYCT8surMUyOeMYDf0QOmFXW8vFXM4iFJch0TQJVc+wNTQ5 r/JXQBwjLloIKh+zjBGML90YyHEi8lBIegcHQjcfdVAiSguffRzvi1GyY0tJ9+uuSPvR8MsmySw+ qJax9eOrGGMrkaMutrm4coh+90PGwrVBw7C9CJ20slujK4fzED1n2GI7HIk5C/nwustjJ3aY9FId 5RgVZsF66MOXe8JWXJYePleTKcIMkc81dgw5GpAxgrcfxQEuq4MYXQq/HU09N/Ba+knDJmloMsrq h2ruTb/P7ZZBeNDGQR3kNYVJCkMIe8EqhFfeDarNLviUZEmbnuNyWO17WJH2175txLa6XYHVPuXl xZyalFZzMdEmW0ehRQZxGlteMC/ISZK8lV4jiKzTJzCv1LEZjYhjHYakr21eVgBYZimn2FxeGfUU OJyqiweGOShLgragFNrgH1BcUeT7n/gaMJ7AvFXZfj/UjGyXnadgQE3W27OfFR+yKgDXIV+Ll7Sy JqhpUFVILNRiN9EOsszyG1lDmWIqFIswPyYAcdL0vWfGRAGdlSZkfIxioF85gpNWrZcWLABc0YLo EpwhTas0yCgDNkyb2pKsi+AEFp9GCF8uXDJjdhZ5oLAiNM521YbRRYRN3sTJ0trJrmSQZ/w1Ng4B ukLHpJ++YqCKWMQSeqxPS1cd3FmFzrnuQZ2zgnbiXUjMBOf3EiHMKBTeRIfRNV6MPy8Dva8CPabX Bpi5YTB/kK4wFn7oGntO4ju0zarDapC7dkQRHvD0FNNW0uWDxf4ZC2hdlImcLC8GwD4Jqx+Ww5vQ iWb5a5qeHv8FbwX9avXhWV7W595r70shlOyX+cBLUevhdivgviVBYx6pp/PwrtpQZE41FymWtqTU eTITzgOySas9n4ArQT8EvyuSsZh5ZoONr2mvGkOzFqKRNxwJfLP3JGB2JDtyXl2G6LyE3wj+bkjp bFPmVN37bVtYGhSeB4rYxt4pHWAMexghGjZOhb9wideTxEmxMPUkUOTheSqUXw1411WoB1wxVM6Y r1/9/pw6VWXqKUKls6bf+uZw7U9Ko2OUpSFVOKTweTJuBbDHi3SYWXIPUJrSJdyLg98+QJWyyY6C BsU6vDcAWRqIXMuTxlc1Dn4rQnP4/lLSZ4TmDjBpf1rXBAOkVmFlr9RspUv94oqTwbZhCnpQsx/e 4MUd5Ot6CgxzbhYvp9bA7mL0HFMsq22B1V0u2Onn5VyUXdY5cmY3DRBJxQPx7yLC7X+eZMsBVQ+T aoMXwhJx3dHjfWRgPW94w/wQuufuExuG4R2T+ShvvNxUye8SVGMPZR8z94j+Dg3LyUMMtKOdhTjM ETq8q1gT+As23MYg0Qh+YOh6lt0kuieB3VPok5s4xBHxEC7W9665X+mRU9W3qyNTbaSt18iZDeE+ Y8479RRrRzSqcJ5nDgy/wXHej126J1eo7ecwew827Xh2VtEBqcqtAfrt2Kps79NUZaaBUDJYQruG Qh6T2u8iAgDZ2+2PBdpCybxeDQH4uWBmYuZfcSGuHmei/WJAQjKERXXxv+LYvrji4eKGsTw+Suel 0R1R0VXI7ckRq/ZBf0Kqk0+xybdpNXnM8emHK2Hxl/mZ+7W9/0wVhT4uBTgu2xVLj983x0X6vIhK FV4wa02Xei1qBoBY//pWaVBaxvKSPjWVCp8g7okVIe6+NeEIaN4oUBq+3byQvC/cdzPDCc49y11s wHQNPeoyEv1qHjhmH/ikDVuqH9VNg0HMA4g6lsI8Vjr/SFthTo/uHR+U5Ex8egTO+BFOgiknLJJe RxKcVxRiZh+DnGrTxgwzfYiz2T7I2dZaFYfR0qE2YZl+McZBQ7CBwjZV0QQyvu6RI7wC4qxrl53c DZl5xTr7/uLEgrm+a5DFAybjtbdGICi9V7tiTbRj8FXZ7eIHPzaKU7EFrPm1BQvwljKum2ziKmvp KZV4s4YGJ+YJ4j6tt+egQ0Q+gqA43/X7wYoS17Nw9zvN3/29u3q6fB6URMTwfpI89Ju5ZB4pAJIL 4OF7j9ZglPK85CpSMNZa/C7naaqQXPEpBmCkUQ7j5sdm8jYpoBgGr56wYEgJTb3t8ISfb/8ClDM3 OBVWQZoCrmYWZRTDTTQV5Q3oPVIQ2lomOiOtBj/tHmFeqAVaqVyYTWAPMh4wUXIMf77Gxiyzwe5l lbfHOKa3MYrd9pScNjRrE6rCddnwJLtcuMdJGT/K1s+rdBRWrlSNYWB0OPjqRBHQHkJQ7Z43Z3a2 ug7trN9rkGAz1o3F4cjkQBjxFqynXGClk5W8x1LtPyfcPmvODgwjDN6NWQbospmYR5Tk+9BpmRZt 9eLGft5A5YMAPU/O+VLRfPlVbP3qh/UI3TCpFpimtuRLFTCz4JztU8ZhIPncgrV0gK935ZKTtGnW BaMzGRyUSEKb0Zk78tlj5/srTcV5W2JedcjsdTEt0VmEaXIdJq7zuVZK4DHLddLUE9RFoZLKUVtj PPDWg9LQO5/j0o/Flr2+x/dFzulAoPTxfaxI7MCUmWunbSmNcsKrkqU2y0GpSwR9oqIRCH9ZUNmR Yx19latY90ZAJbIzGHcYO4zlSHsw5h8p1z9tAwRKdGl4iQgYxgJrbhUbvWxGWpvPmNLuNr7xXEIK oDdgIXUJ3F/lcnCdoUn5R1aLfWWH+IG9bmNCyU4s8NFEXMf/DaJIrQF6X1cz2uY50Gu65RK37mGJ q9t17ga2OiSnxtC1w/GmnPZ8+KjOVusjUElhRM4zgCOlnC9TSzQPyGrDFi21s5+to9mTB72+meBT YxfkNIV8M3PPmX7JaoXOT1xetjQOUFrFOU6ilBIQLq+XxjFdKvReovv0w0Pvcm7ms8xBGceKni3C 38O9cbEwE4U5FOdS6c2ZNHRhnUWeDyBraD5sm2qKEegmr9l8BzNS77gOK9upF0e5IWgNn2U42+10 2H3Vl2umQdtMTq2omNElOe+qAWcy2HwnclRlaN+9UfFk0ianhL4kXCpOLSx5VzNddArXqaEXtwDf eDFS96XliQwseFOEt/T2C+6kk0k4Dd8JRiruo64uVsVu81mWVGC+/W+dsCAJ6Wtap+NDV2tIDFjp ePx6sQLMnN00Do7sHJVvrWr3LdfY/Cz85lPJRJIkLUuIeQsUy0hFDlz8evNBkB1cg5U87HkIdg0S nK7904RqhwNIHGf3vaSpMxplcRbgiBkxHAmrHJfET1LVkoQMtIT3x2HcvE/7UYIOTv7HqbS/fAAk pbwAC272qlLmd4NPDdnxiok9c0+r++9AHaFr8Xt2BOXteGzOowE9KsGOM93RCGrdEGuarlEiMUde l/fMPzGCiOf3gQfO6P13REGpti9BPWTxjm1FyzTooueE+WTwuYsFAhadt6+3wuzOd1P2SF6usQIC e6C4k4hKED8VPyDgQ6F38jBiZU6vtUJQeE+OoAGEaYqYL2rds3b5F9C2AkKSUAxrRN0mCAflGHe0 KLTV02j3HfzlnhA1x4uvJuWo+yEIWwbh0/3Paxosv/OwXzExR3zF9GVE6bS9VUES67V/VyyAsQ0o +NaL9FIhqiWGRrMEM/ZY1kTPkhKKUy6yJygtCJAUIqOtAaJi7C9uuyw7kLPEQ8oelL75GV18BTVq b7NIx3B394DduoIuD+0ricroH9ZaPIfu07FleYodHHHhdrAl1z50ozeAj1FHvM7tRlh4MAXt54zY PBm5h3lZvnZ4NLBl5HLFZnNViflav4/4O+cpSd/41fJWJsFOwpXLA3x6tMkuOHsf9VRV+4alRJyC 54ERBeK+DuskKZP01Z5HJbnoh//TV7yj6y0+XwQ1byR/CDAWe+yrUUGs8jzrblu0h8ufY7/82rti p32XiCu8rAA3/5UC78i9xbrkDjcuzedCdQc8An7QA/ahIy8U2+WbhVq4LNcfpXzpHLQw1eQe5Xkc zF1NCnNT5wsawKqj2FW1hQYDLIAwF0kzMA6Txb73HiM8AxgOlfnyliy5bNXf/pRs0tmQ/84gJ5tN +XZKTV41n1vKOmEvtxmpL2HPFwIsozX3AznukZBdSr7BgVdlOvcJCUXvRANX91AMUKWJY8VvAUWI LBkjygf6MsJrWRD6qqmRUAhDwUv0udi6JfCKV1BozKJYtC0h3SyFoyYgdjtbPCpyQT91/ULlRTIA 8j5eA+Z768VYa+f08dUwZCblpvUbMhtmuBfLMmsdL0yFo/W4QjV50PeJgWZU7B67yghOAm1RE3lc lPZgZebUuzRFZxNoKgLgVDEQAPqVt564c+AlR0SXDpPOD0H8hr4ThFjKeEJ3Nv77slRJ7uHZDg1O nYCkmAPBKcAo6MHFsbGm8PnmJKPORkgGulEF9IApU5UgB9r5smUJFTWta6Y7Vbu9RlOoOk6tG6lJ xSHxMgPHwqcvGGN7IJvo5sqHjr0ohdI/qXf69fBdz+e7QqDTntNk+oK+2PvqexNNOw/mrkxO/qhl KDruTzmxO5CAuvq/xESrxxbPdaXOyzmTxHaaUADGU+8IrZ4o3NW9UUvfWReX3FdDI4YKcw8SAa6k Uf45VPHnJ4MG74xA8sEAjw4KTB7sRIwta9+C5STfWIqmZQ4mfltIzAK2H22E+3GadM/OuNw27gn4 YBT7Vlw/RpnOeL28JD42giu1dc1atOqw9XK4+XBeUWpoW7CXGF2sYwhgc8zsMtw4pdSOgEiNyMnl +dUdqVqefW1MkMKgwk4CQBnaSJSMITwsXIC2nD8FOzfFFkF0hXwFCZrfQaWQeoTI3UbE44myhYxB rTxBWSCZy89yRzmolBMGyrBxV2X6IqfhXQsPUg/w/5+yYgmCyizQYU2WabGXq1cdXO3tdlIt+J9O rxtuL/eaNPWTRcDSFNekLYXMHAua1E2VtBqO/2afq56GelSNoKHqnu2jBi41vn9weSNeKFOs2QDD 5mD3PzEuXmVFqy7P7ooPFV5X4oqnMs/0pCpmiWH/ySxTxkLKzNv/fF8RiOHeW0s+lXzG1884HXer 65bmvPMIO0QegDwJamA0u1VtwoDRT6F5X9wdcfr5oWeqm8ceNmY58JNu7Bqcu558i/poHsS54d+e 6Dn+n5pD6kM1GdZlp5YadjZUU2N4dcmGmERuQkhWNnMPxgKQe4I806zOcCGmXuOTtjBcQLQyFccC 81htxrlD8+TXIYv/3KLPTgO/g69qJIcpvZOV4R5ib6X9Y7AbQVqNPuWKcYFImHZUa/QsJIC0Q2tw cxFZUG9PBI+MXb8uC37EJzmU6+gU8tLRZpc2h4UDfO3Oj44wf8hV9nWkfDu5HUd6jCunOAuTct0S BuNObbRewq7zxrZXR2blx/OOB7KIYfCNxPEWLgh99LlVTJr10ZBL0exfksZc23Z4MqhlTCCuLXGd Yf4lqEuEdAEmUHeRf7EyVuypytdGGsbu3F7O0Mme/W9zMpR5EDo9ULlA6tLoRYkJg2NQ7Jh9aVlB kRD4Yo0DWA6Lbpddq4sZRPloZbZp8ZXS86QVjto4I9jMOqVsi24Pmx9pA5yM/J5z7b5Gtn657BcJ L0BdShDJa7DJSGdPo1f/imrxbRPTT9LjQVDI3NV802VgkeNtOnDxHpqDVqIlWx1nqbMpONXRhcOh 1m1s69ftQYoserPWOP3DwK0+ua2qyS8pfSEWTAhgX/xFDD+zzfH7fnn7DGb63+l5pSghyILDTmzw 1sg8s1nxvdJiU0DhaBsPlrCqHG/feXA7UgC7OIuf `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block pabZO1I/O5UlEfYaQEPwd4l9eUai0bqYoMxFZDUmBPXyS95K3GW98Ld97MzJKAXXnSlf1PewGW2v 0RIeWd32HQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block MnYS98CLv6GUlLtXXj0MDq/aXJWBamrEeFXZFkhzX7OjMU68I3JzEc2/1UN3CHInfTII6cQBis+f MSPPkhHYfjWA/UnlZNCfIbUjCA7v4zzzEDOXLdUwHhey61M2PDbtjo4F0M+PSYsHQUE61FCJYZr6 +aBOwyo0CpKkCUVEbxg= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block qncW/Cwz6DQ02ZtEcvyp5WdAA4sItotGPpP0REUtLyqefQhCtJmFILcg4T0iyRUg7VuYEwIANO5+ QvHNNc39qIJv9lOesalgHBZQgvNRJnIdYWaRfS0GyacwI/2JQRwAkuAQstvDCp4RTc3l8lwP6/ls 9Kgq/wnF0FIDD2zIsqBFYPVau5gOg+E2Yv8daLhsLbgUNkGI+w4/OZjRbQGSUjwZLuzAjcC7dEzW IiD8iCe2E3P5aTpTA2tXeuvseQy8KOwVCxJQuur+f/bmnE2QrPi5PPQMRcOyc4ok7k5U/64SCKlJ oITfL/xIL/xwZa26tMPcLgkkx7p0G3RLvL/tVw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Dnf6vaqe/V3pNaiPDsCpL4mEkUhuRTF8jsptuAsYR5QlsF0hNdnCfK2+aKM5H69faCvd5mpbM0GP Pqz+qhNmOYPHdckgaTUGR5o/7QyV8YKLvzwfyDMqTu2isTv6FP6Q6welH2CNBnmC1/h5T7i+fy/Q rlaoXYJxfrB3B6n9clU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block IMf8iBP4Q72XIQn7cHjsTbT2wNsnwrpqWy35OTpGthg9IgmIl2PQf4/c9imtaZPdkPVpIBywT+vW p0seCgJeCim8uHSlCA4Yuvzi7NiJqnEZtjEX9xSzaDj4EflUudOJTsvuYMqv/3kxvUgkIK0AS+U7 CWRV3RwJIjyzXaV3SkeD5i2xf0d/bezTocOrvt7wO8hz1n7ziicW5bgdFMZpO18+84bLDi0MzKYQ Ad5OLz8QJgoCqRTe+B2lLXuByvKd2+XBYArz50J0pDfy4RubYe7FYpZdW50ze6dgBWVP0HOw0tLX Pt7eQrmsKxnIhjnIQBRBht+Bb5QLkHSbaJnGbg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8352) `protect data_block 3Txl86gxySTjspY1bX6mjaEtHzHyJ6PEwV30HseHtq4UpP2jRFV0RDcUeckeAq3gEZ5Qu030LNT9 bbOM++HUbE1I8N7rcDRyyG1/zAJa57yK2mi3blq8tQKZ32YObDq6jHS9U2gM3rYjhBhVr2aKK7qQ c8X7nouwkJNW94GkZ7OhWlUV608zX7vk9Ytc1anxwA7VNM5uWs0oTqvG08wzxEO/Wp7eKojoSXwO 3Xhea7t/apLpI0orOHVPwHMzsjaxq56UlxouX+wqfNeT7gOjE86Icz9Kv6qJveIr35SBEOvmKTEu XXSNT6K9tm6q88SyQn3Fynw4R45InLxk96cdtyVOQFxzvDOOUUpt48giFXLbSh2J5eWLkh7wKkBY NwQFlaCkJdKCsT643SDourT31zKF+Ez8++L0sOmdPaVStcet+0/LOBpXifaCvmNLeKxnE44uDown kBMOgjRQlDv9IFqs6Wo034TMX/2IlRfFbca54S7HNhd4DqEOj/dLkdbi3hQqvKlUQLxGd/aByX1b hgEkVsmNNotqvCZpPQ9UsFsRcys6l++qYUPYLNf+xf+zP1lV2cgnRS4RT1iwGyboNFn3K77Tpsb7 9OpYXIW92RPDYHYOVluUlhGfDVUg0MYat/q2HEJQJrXI453QNfUDhUynqjNMtUc0dMa31quPvRVZ hHf+2/bR4Q7cjHspoRtCLGojgvnSf247q4ctuo7nbrdhYUuAa4Bjj5ju4myg9nxVU5ukJQzguBJL 3sQ6JJNrie8SnHcaAMftHxFvfSWwly88oe57Wp/UEarxKXac3A5nc41pt8Mv9Xgpu9v9VOJTxxUh 0lyGcjzLUm2XesldVnJ/PnO7FAjtpxgucH+XMQf+g2wsb59I8+ybUbOrYNQOqWYSwYCOn++p4OTm z6+e0PpDeW3xZTDe3cwHEBvM7k9KPgY+hpev11RMc+0TNT+raUGL3kNBmFiuUKDLoo9/RyEjagUr 0XsfKNMC2ZuGcJCLV4GR9MBQAHlIYRgt8pMqwpUU0tmvh50d1NgrWHgYQL1vhVQUjl2fL8jrCp0B +68ca7YQ1jwOO06mDdlvVYQjZYG/4HCGLQDVssbaag2EjF7zECcmS20NvYP6RjiCxeFLTts4nWNX QI9Rm6NxhHHcmzOEqLUcCSEhySlQwHac/hU0wa46OGSKe0mrmjph7yshq6QOx92ErIUyvhcBeJZo CYY1tCy8lK7N1pab+wcy1j3BbgC4h+YmgjRF6QzhsIcO0Gm9AWLAMvRIrWOPp/V66F7b53uZGfZg hBu915yc5M5CCeRHSBRqwlOTQ8b+GpsV2+8NdhIVSdXpGCVYKQExROd9Zd2r2RFpyx4WC8C9uISU ICBHRlp14RuEKS1tE3agqqBEQL8G83cM487JmgJrWO8alKV+Q5R/Y8cvNDq7FRMhEiPSO1OEMab0 xFA+efs/Tcf+HHo0OesKsFCjVlqwwpgbNSNTgtlDcfxD/icoQHkm8ZiY89TmjfvR0b0cnzwpHcDj RMaiCRYxWD1x6Iy8HAcn9ETbZjNvz/AykS/6e5fMYkeHvaSs0iTZgt6FMmApO8MaDCaUZJEzLv0U z5mb4bxB2IUUrSSt79+9IGbvbS7SphLSQpuyhmnr4JnQmDNj7qbKUz1unir19crnVKkDO6zxigd/ lR8zPfNWeXOCuLklXc3IcDDhBD+ysKGtw2idvIUOTMC0Wa4g2d1zmnUwx/0x0HNEwmXy5XyZpQpe MqREqJVr/i8Oed0hI1JR2OE/D9xvGQmy67OWwqOQtB58ZoJP8JmdoWCJKsQhk9569I+XRRmtvLCC d7m3tMoqYsWVMFY16sa1q0QO8R7PijrjPovnzqr48ZYLKmswIDxTakNM2zHM4zbqta7faU1m1++I nKYMlqUtnps/uGB8XKS5fnRFmB2KPBoCh3xddVUq2bbcUnb3pSgykrT+6T0Cy+V9trIv2IJ0c7l3 NaV+KvRYH0dgbBFOT5DsqIj0jiaAiwSrTgUsdI/LQ3cKu9M2/ExRpY3onDoKZc2dAD3GGb69SXAr w7p9Q18HYjcJHWpaItgzAqnpDNOsS8ZuVMmHOKqCAjG/8Krvw+TQI/+VL6o0RdDz23z6HmqTItKc 1lRdvoub1qnfL3uVJ4X9TsXy8cpZ6h0FbILRMnFsk5Vaf93/svpVrMIzYjt2Hpf62IKO5lipMuhh KPKesXaVK+Mwu/rkP45ABgmsuu4nNKVY2VnFSymuk2zHpmeeLL0v5PGSAq+qfBEAeH3EcIU0DUjF f/DZY0t+qDbjr8kuA9krOgPacrVu8+C08lCQKNB8H4zDq3aJkXYG2WmPI7/NCl6RpCTTp2ftZmHz uAg74PMVp7v+9dLBbb78ogz44Ue4t7HGbnLbVvygeth05fSmOhFEQLID3JaITPTsljDVOebzOEuB r/7Z54pRWs4eOGVJ6BTWU+2iR68Mp3+I0SipaTCSLWjdOaQxegyCEXlLXS2pP9fovqy6ohwKp4r8 SbQ+fQEXJR2Nf9iy+UBtxjz6TMTym7rgS/O9I89iDLJGFuP3z0VNGVQklauC3+Yp4gcMd0xnupu3 w27OKqWBGciRpZM6Fgrsy0mBGWFfxkKu86nJyiwgxtCocvKy5jpk7yiTaHgWOA7BrgEBHk5Nz5FL NHVIuZN7j1eFV4JAQzvPN9dsohwgB+k6T+bz8fxTf+e8RFUnDL43dOjicvnkIvfEQzwM0+JkeNvQ ta5xGpqaH1JD0qyIkJvzScWkIVGrzWzMMvxN+DtabQBIeE2j5DTmfMky0f63cNgmFWP6/SP8aELt kt38bI3RslECUinVEQnYbU2DhgBl2Bp2E7uq/YG8V1sM+J132SjhghxqJ6T1iaGzRtJrzV8uZJUI QV78YPB8Sw2WfIKPXHMOo8Wcuiq7hWP2gnMzlEhTruTfy43iOBynsEQiXlpz5MtA7mEni8hCK/ju wEgP4JhZ2tuIpn3vt//Hes8u0qpLAT4bL03JKYgTMtYLwgU/cKQ7mMqCQ6JazkTKGN1vdrb1P6FI jm7sXfEx1FtTOtiyoXtAyMhUgg+nYckjfJRB+Upgs+B8ajgnnZ4zZWZg9nXh4NOTgJqwSZoz3mYj 0YEoTAZoU5V/kM8lWYqbfC7ss3tgwGjQhmamh1yG2IBAFfbbm+1eaGzWKhnMkIe/ThTInM2B1954 qhG2i2RrFeFBjYacocDQaSbMgsDSx3bO0wRodxUv6ITBJWBtUXQ84psaazwKrD/48/Wk9SiEOiD1 bHUKJFcMGiEDXRQo6c2MPJQ6+AASb+mkg4r+ZMnCpAgNGWBSRtJ3JaJz3fpIua/0GcoKl2yUtmou QnC/LB3DfIirdC5fp4Ar2PTLUq41yjWqn49wmeFrni0Fyh6cBfPplgLIt5GduGYDHqCMzXsq7jMB J4K0ObKnDEOvNDZE0aYW1ePxQNtm2WgNAE5G1Bb4zoziBHf8WCtWuwOZD72tS7ZLwU0SRI/H1rgO NqpZtEe8PbhFF3Mu945oZP/D65wwWYbxbCefnwh7a9hurvKB+YX4xWg7Bd+gnzSBzDNtoULsaGaC Vl5fRhumkx+BwqRdeIvZbEBGKEAuT9fwLuBWbqk9HBZDRGqcse0evcpEJwwj3bvVq9LzXkuUYR1z Rj+rkr1VIOBvD406n/kA7zybInjl+abePxrvEczIc+9nNyt4olcCdlOPbnfijOTwpQ8D26mPfsYD i9O3VvkG9+NJIYW+QgS2AKJXFvHQptmkEqXIaW5nI1pxbps2GKYt7UeBwd3OGuNFPUwtXN28qSnG EkOYzBRsWNCHT6Er1YEX4gIcUpwGuzYkItG+yZY6/dH49QZnIuVWqiFNU6F/5rfoOf81SF+rcgn4 OJPqnzNuGtn0dwUAWA17Bc6BzZ407CNs/QiW5tcgtLCQ0OQSvgN4gNMgvig9LHofpmNnlTQAvYYe BVMg4B3jAZj23PTEw7AVpjHMYUWAHgiPZG4vsf+KQIkXE2LwvfDjNzHLr2iLhAHJXd0IiqeTGiaz IlFj8ULdg+8d8cqV9NCVt2TdAsPgvBFn5tejC2z6o6lQx7u5LDB6LxPxb9b6Zin6lexVCtUzMvMC 0M9fb0Y1ZfMukFBjX5eV4TAtVULwlFjyiwbQ86oEjKcSLpynhYc0F7ITr9iGQjCT1gMB6gkU+r1A lCJapEUql8wzY3VFG5X7mMFuNMnvwzIKZ+jAtrIgNE4JdpAIYTcFEkxq8akjQVVUDedUUJb8ltag RUw79Gm+0c5hgnSPw8xrqk1M73/tMFsPDOCESMOCY62RTQvEJ3xns96vKjn1c12j9qkYq5Rv/4fC s3wFId2akJGz81EuJW8OGSOkFdLYmhVLgXPJXM5DAWhKKcOBO1RTfb+2KF5sP16/tXkQ9StRq4VX c+UD/PMrTDZM4G6HgrYZ/9dGhLTHxganTLx62+QqSuwA99tMdCd0GbQneOfqNHb8C123G3zS7fMj swXKIyhroWPHZdxxm2Osyv3wAxCg8T8XirESRway9GoO+UFL477kJLZ+HmiJsXQTEelxX7WK8ze/ 22UYNqX/fzMT2M824ohaQFAtjXa+mwiOm6ydU3dCehJ+W3m+D6l4bVwPwbv4xGA2wBb/aiIGuqVN o8NK9XsDyh2RFFihGZrvJyoxBbzQH3hvISJlrfKmknMk9vQ/hBNtdWOkLTZ9WZR/pgD+eVzF6ot2 rbuarAjEDrlldyE5l8wZ1JOz3wU4S+cD6jx00DiL3FA62+fMbxmTmAZgHudnMBVHWMHx65pookQ1 PH/eetNoWd3uMDXzMzNF/eHW3LXRwmpckxQgn5C6HlfMlIBwL3tTRgY7M5iZhuvORqToXY/7rdTK laP4Yffx9Go9q3T31S09Rn3SD1Bq9R7bd/7LqrdYezN7jyNSinl1SRoCRVbjD+5zIG0psVectW66 C6xBHdEHgSjehXEaSak2uWDskIo3YkZUpvAF7KGnm9bUP+zer9dfwk0EQAzxap6tIZ/q8ZFO+aEa cU/zpQnghtgefYQTdEbF5hc1GtdPi9V03glTxYGdLJZd9h+ITNvKfwEJZ+T4ERkL8RVu0tL7Q5Tn C+GGjYe5vSj0n3o4M6Q9OEYowOKC68LP39oVGI09gHYGwHQLNKoEe9Xxsu6k5+HvEp7xqQRgTkMr KYQ1YwAyYyjezGrGnATKvcRCl8Qv6ob0wgmr3n1DSenWBBkptfiMEueDrvBzCvLooImQiLTrIZpv BzATxNSFTzXmAfuP28U17N3EXCWA3AkWGS5IcY9blseoPjgVF8mAIukuDd5IWMehpWny50JTfJXq PXVLsOk6aN9TmZCbQdfKJLKUq2wf6IfhH/6LZ4kzWKjp7cjIVVzBBU5YFoJTc9Xcn2cWcxdMxUDo +atD56hnbO8Yv1qD5j1IyZQR26x1VUYCT8surMUyOeMYDf0QOmFXW8vFXM4iFJch0TQJVc+wNTQ5 r/JXQBwjLloIKh+zjBGML90YyHEi8lBIegcHQjcfdVAiSguffRzvi1GyY0tJ9+uuSPvR8MsmySw+ qJax9eOrGGMrkaMutrm4coh+90PGwrVBw7C9CJ20slujK4fzED1n2GI7HIk5C/nwustjJ3aY9FId 5RgVZsF66MOXe8JWXJYePleTKcIMkc81dgw5GpAxgrcfxQEuq4MYXQq/HU09N/Ba+knDJmloMsrq h2ruTb/P7ZZBeNDGQR3kNYVJCkMIe8EqhFfeDarNLviUZEmbnuNyWO17WJH2175txLa6XYHVPuXl xZyalFZzMdEmW0ehRQZxGlteMC/ISZK8lV4jiKzTJzCv1LEZjYhjHYakr21eVgBYZimn2FxeGfUU OJyqiweGOShLgragFNrgH1BcUeT7n/gaMJ7AvFXZfj/UjGyXnadgQE3W27OfFR+yKgDXIV+Ll7Sy JqhpUFVILNRiN9EOsszyG1lDmWIqFIswPyYAcdL0vWfGRAGdlSZkfIxioF85gpNWrZcWLABc0YLo EpwhTas0yCgDNkyb2pKsi+AEFp9GCF8uXDJjdhZ5oLAiNM521YbRRYRN3sTJ0trJrmSQZ/w1Ng4B ukLHpJ++YqCKWMQSeqxPS1cd3FmFzrnuQZ2zgnbiXUjMBOf3EiHMKBTeRIfRNV6MPy8Dva8CPabX Bpi5YTB/kK4wFn7oGntO4ju0zarDapC7dkQRHvD0FNNW0uWDxf4ZC2hdlImcLC8GwD4Jqx+Ww5vQ iWb5a5qeHv8FbwX9avXhWV7W595r70shlOyX+cBLUevhdivgviVBYx6pp/PwrtpQZE41FymWtqTU eTITzgOySas9n4ArQT8EvyuSsZh5ZoONr2mvGkOzFqKRNxwJfLP3JGB2JDtyXl2G6LyE3wj+bkjp bFPmVN37bVtYGhSeB4rYxt4pHWAMexghGjZOhb9wideTxEmxMPUkUOTheSqUXw1411WoB1wxVM6Y r1/9/pw6VWXqKUKls6bf+uZw7U9Ko2OUpSFVOKTweTJuBbDHi3SYWXIPUJrSJdyLg98+QJWyyY6C BsU6vDcAWRqIXMuTxlc1Dn4rQnP4/lLSZ4TmDjBpf1rXBAOkVmFlr9RspUv94oqTwbZhCnpQsx/e 4MUd5Ot6CgxzbhYvp9bA7mL0HFMsq22B1V0u2Onn5VyUXdY5cmY3DRBJxQPx7yLC7X+eZMsBVQ+T aoMXwhJx3dHjfWRgPW94w/wQuufuExuG4R2T+ShvvNxUye8SVGMPZR8z94j+Dg3LyUMMtKOdhTjM ETq8q1gT+As23MYg0Qh+YOh6lt0kuieB3VPok5s4xBHxEC7W9665X+mRU9W3qyNTbaSt18iZDeE+ Y8479RRrRzSqcJ5nDgy/wXHej126J1eo7ecwew827Xh2VtEBqcqtAfrt2Kps79NUZaaBUDJYQruG Qh6T2u8iAgDZ2+2PBdpCybxeDQH4uWBmYuZfcSGuHmei/WJAQjKERXXxv+LYvrji4eKGsTw+Suel 0R1R0VXI7ckRq/ZBf0Kqk0+xybdpNXnM8emHK2Hxl/mZ+7W9/0wVhT4uBTgu2xVLj983x0X6vIhK FV4wa02Xei1qBoBY//pWaVBaxvKSPjWVCp8g7okVIe6+NeEIaN4oUBq+3byQvC/cdzPDCc49y11s wHQNPeoyEv1qHjhmH/ikDVuqH9VNg0HMA4g6lsI8Vjr/SFthTo/uHR+U5Ex8egTO+BFOgiknLJJe RxKcVxRiZh+DnGrTxgwzfYiz2T7I2dZaFYfR0qE2YZl+McZBQ7CBwjZV0QQyvu6RI7wC4qxrl53c DZl5xTr7/uLEgrm+a5DFAybjtbdGICi9V7tiTbRj8FXZ7eIHPzaKU7EFrPm1BQvwljKum2ziKmvp KZV4s4YGJ+YJ4j6tt+egQ0Q+gqA43/X7wYoS17Nw9zvN3/29u3q6fB6URMTwfpI89Ju5ZB4pAJIL 4OF7j9ZglPK85CpSMNZa/C7naaqQXPEpBmCkUQ7j5sdm8jYpoBgGr56wYEgJTb3t8ISfb/8ClDM3 OBVWQZoCrmYWZRTDTTQV5Q3oPVIQ2lomOiOtBj/tHmFeqAVaqVyYTWAPMh4wUXIMf77Gxiyzwe5l lbfHOKa3MYrd9pScNjRrE6rCddnwJLtcuMdJGT/K1s+rdBRWrlSNYWB0OPjqRBHQHkJQ7Z43Z3a2 ug7trN9rkGAz1o3F4cjkQBjxFqynXGClk5W8x1LtPyfcPmvODgwjDN6NWQbospmYR5Tk+9BpmRZt 9eLGft5A5YMAPU/O+VLRfPlVbP3qh/UI3TCpFpimtuRLFTCz4JztU8ZhIPncgrV0gK935ZKTtGnW BaMzGRyUSEKb0Zk78tlj5/srTcV5W2JedcjsdTEt0VmEaXIdJq7zuVZK4DHLddLUE9RFoZLKUVtj PPDWg9LQO5/j0o/Flr2+x/dFzulAoPTxfaxI7MCUmWunbSmNcsKrkqU2y0GpSwR9oqIRCH9ZUNmR Yx19latY90ZAJbIzGHcYO4zlSHsw5h8p1z9tAwRKdGl4iQgYxgJrbhUbvWxGWpvPmNLuNr7xXEIK oDdgIXUJ3F/lcnCdoUn5R1aLfWWH+IG9bmNCyU4s8NFEXMf/DaJIrQF6X1cz2uY50Gu65RK37mGJ q9t17ga2OiSnxtC1w/GmnPZ8+KjOVusjUElhRM4zgCOlnC9TSzQPyGrDFi21s5+to9mTB72+meBT YxfkNIV8M3PPmX7JaoXOT1xetjQOUFrFOU6ilBIQLq+XxjFdKvReovv0w0Pvcm7ms8xBGceKni3C 38O9cbEwE4U5FOdS6c2ZNHRhnUWeDyBraD5sm2qKEegmr9l8BzNS77gOK9upF0e5IWgNn2U42+10 2H3Vl2umQdtMTq2omNElOe+qAWcy2HwnclRlaN+9UfFk0ianhL4kXCpOLSx5VzNddArXqaEXtwDf eDFS96XliQwseFOEt/T2C+6kk0k4Dd8JRiruo64uVsVu81mWVGC+/W+dsCAJ6Wtap+NDV2tIDFjp ePx6sQLMnN00Do7sHJVvrWr3LdfY/Cz85lPJRJIkLUuIeQsUy0hFDlz8evNBkB1cg5U87HkIdg0S nK7904RqhwNIHGf3vaSpMxplcRbgiBkxHAmrHJfET1LVkoQMtIT3x2HcvE/7UYIOTv7HqbS/fAAk pbwAC272qlLmd4NPDdnxiok9c0+r++9AHaFr8Xt2BOXteGzOowE9KsGOM93RCGrdEGuarlEiMUde l/fMPzGCiOf3gQfO6P13REGpti9BPWTxjm1FyzTooueE+WTwuYsFAhadt6+3wuzOd1P2SF6usQIC e6C4k4hKED8VPyDgQ6F38jBiZU6vtUJQeE+OoAGEaYqYL2rds3b5F9C2AkKSUAxrRN0mCAflGHe0 KLTV02j3HfzlnhA1x4uvJuWo+yEIWwbh0/3Paxosv/OwXzExR3zF9GVE6bS9VUES67V/VyyAsQ0o +NaL9FIhqiWGRrMEM/ZY1kTPkhKKUy6yJygtCJAUIqOtAaJi7C9uuyw7kLPEQ8oelL75GV18BTVq b7NIx3B394DduoIuD+0ricroH9ZaPIfu07FleYodHHHhdrAl1z50ozeAj1FHvM7tRlh4MAXt54zY PBm5h3lZvnZ4NLBl5HLFZnNViflav4/4O+cpSd/41fJWJsFOwpXLA3x6tMkuOHsf9VRV+4alRJyC 54ERBeK+DuskKZP01Z5HJbnoh//TV7yj6y0+XwQ1byR/CDAWe+yrUUGs8jzrblu0h8ufY7/82rti p32XiCu8rAA3/5UC78i9xbrkDjcuzedCdQc8An7QA/ahIy8U2+WbhVq4LNcfpXzpHLQw1eQe5Xkc zF1NCnNT5wsawKqj2FW1hQYDLIAwF0kzMA6Txb73HiM8AxgOlfnyliy5bNXf/pRs0tmQ/84gJ5tN +XZKTV41n1vKOmEvtxmpL2HPFwIsozX3AznukZBdSr7BgVdlOvcJCUXvRANX91AMUKWJY8VvAUWI LBkjygf6MsJrWRD6qqmRUAhDwUv0udi6JfCKV1BozKJYtC0h3SyFoyYgdjtbPCpyQT91/ULlRTIA 8j5eA+Z768VYa+f08dUwZCblpvUbMhtmuBfLMmsdL0yFo/W4QjV50PeJgWZU7B67yghOAm1RE3lc lPZgZebUuzRFZxNoKgLgVDEQAPqVt564c+AlR0SXDpPOD0H8hr4ThFjKeEJ3Nv77slRJ7uHZDg1O nYCkmAPBKcAo6MHFsbGm8PnmJKPORkgGulEF9IApU5UgB9r5smUJFTWta6Y7Vbu9RlOoOk6tG6lJ xSHxMgPHwqcvGGN7IJvo5sqHjr0ohdI/qXf69fBdz+e7QqDTntNk+oK+2PvqexNNOw/mrkxO/qhl KDruTzmxO5CAuvq/xESrxxbPdaXOyzmTxHaaUADGU+8IrZ4o3NW9UUvfWReX3FdDI4YKcw8SAa6k Uf45VPHnJ4MG74xA8sEAjw4KTB7sRIwta9+C5STfWIqmZQ4mfltIzAK2H22E+3GadM/OuNw27gn4 YBT7Vlw/RpnOeL28JD42giu1dc1atOqw9XK4+XBeUWpoW7CXGF2sYwhgc8zsMtw4pdSOgEiNyMnl +dUdqVqefW1MkMKgwk4CQBnaSJSMITwsXIC2nD8FOzfFFkF0hXwFCZrfQaWQeoTI3UbE44myhYxB rTxBWSCZy89yRzmolBMGyrBxV2X6IqfhXQsPUg/w/5+yYgmCyizQYU2WabGXq1cdXO3tdlIt+J9O rxtuL/eaNPWTRcDSFNekLYXMHAua1E2VtBqO/2afq56GelSNoKHqnu2jBi41vn9weSNeKFOs2QDD 5mD3PzEuXmVFqy7P7ooPFV5X4oqnMs/0pCpmiWH/ySxTxkLKzNv/fF8RiOHeW0s+lXzG1884HXer 65bmvPMIO0QegDwJamA0u1VtwoDRT6F5X9wdcfr5oWeqm8ceNmY58JNu7Bqcu558i/poHsS54d+e 6Dn+n5pD6kM1GdZlp5YadjZUU2N4dcmGmERuQkhWNnMPxgKQe4I806zOcCGmXuOTtjBcQLQyFccC 81htxrlD8+TXIYv/3KLPTgO/g69qJIcpvZOV4R5ib6X9Y7AbQVqNPuWKcYFImHZUa/QsJIC0Q2tw cxFZUG9PBI+MXb8uC37EJzmU6+gU8tLRZpc2h4UDfO3Oj44wf8hV9nWkfDu5HUd6jCunOAuTct0S BuNObbRewq7zxrZXR2blx/OOB7KIYfCNxPEWLgh99LlVTJr10ZBL0exfksZc23Z4MqhlTCCuLXGd Yf4lqEuEdAEmUHeRf7EyVuypytdGGsbu3F7O0Mme/W9zMpR5EDo9ULlA6tLoRYkJg2NQ7Jh9aVlB kRD4Yo0DWA6Lbpddq4sZRPloZbZp8ZXS86QVjto4I9jMOqVsi24Pmx9pA5yM/J5z7b5Gtn657BcJ L0BdShDJa7DJSGdPo1f/imrxbRPTT9LjQVDI3NV802VgkeNtOnDxHpqDVqIlWx1nqbMpONXRhcOh 1m1s69ftQYoserPWOP3DwK0+ua2qyS8pfSEWTAhgX/xFDD+zzfH7fnn7DGb63+l5pSghyILDTmzw 1sg8s1nxvdJiU0DhaBsPlrCqHG/feXA7UgC7OIuf `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block pabZO1I/O5UlEfYaQEPwd4l9eUai0bqYoMxFZDUmBPXyS95K3GW98Ld97MzJKAXXnSlf1PewGW2v 0RIeWd32HQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block MnYS98CLv6GUlLtXXj0MDq/aXJWBamrEeFXZFkhzX7OjMU68I3JzEc2/1UN3CHInfTII6cQBis+f MSPPkhHYfjWA/UnlZNCfIbUjCA7v4zzzEDOXLdUwHhey61M2PDbtjo4F0M+PSYsHQUE61FCJYZr6 +aBOwyo0CpKkCUVEbxg= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block qncW/Cwz6DQ02ZtEcvyp5WdAA4sItotGPpP0REUtLyqefQhCtJmFILcg4T0iyRUg7VuYEwIANO5+ QvHNNc39qIJv9lOesalgHBZQgvNRJnIdYWaRfS0GyacwI/2JQRwAkuAQstvDCp4RTc3l8lwP6/ls 9Kgq/wnF0FIDD2zIsqBFYPVau5gOg+E2Yv8daLhsLbgUNkGI+w4/OZjRbQGSUjwZLuzAjcC7dEzW IiD8iCe2E3P5aTpTA2tXeuvseQy8KOwVCxJQuur+f/bmnE2QrPi5PPQMRcOyc4ok7k5U/64SCKlJ oITfL/xIL/xwZa26tMPcLgkkx7p0G3RLvL/tVw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Dnf6vaqe/V3pNaiPDsCpL4mEkUhuRTF8jsptuAsYR5QlsF0hNdnCfK2+aKM5H69faCvd5mpbM0GP Pqz+qhNmOYPHdckgaTUGR5o/7QyV8YKLvzwfyDMqTu2isTv6FP6Q6welH2CNBnmC1/h5T7i+fy/Q rlaoXYJxfrB3B6n9clU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block IMf8iBP4Q72XIQn7cHjsTbT2wNsnwrpqWy35OTpGthg9IgmIl2PQf4/c9imtaZPdkPVpIBywT+vW p0seCgJeCim8uHSlCA4Yuvzi7NiJqnEZtjEX9xSzaDj4EflUudOJTsvuYMqv/3kxvUgkIK0AS+U7 CWRV3RwJIjyzXaV3SkeD5i2xf0d/bezTocOrvt7wO8hz1n7ziicW5bgdFMZpO18+84bLDi0MzKYQ Ad5OLz8QJgoCqRTe+B2lLXuByvKd2+XBYArz50J0pDfy4RubYe7FYpZdW50ze6dgBWVP0HOw0tLX Pt7eQrmsKxnIhjnIQBRBht+Bb5QLkHSbaJnGbg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8352) `protect data_block 3Txl86gxySTjspY1bX6mjaEtHzHyJ6PEwV30HseHtq4UpP2jRFV0RDcUeckeAq3gEZ5Qu030LNT9 bbOM++HUbE1I8N7rcDRyyG1/zAJa57yK2mi3blq8tQKZ32YObDq6jHS9U2gM3rYjhBhVr2aKK7qQ c8X7nouwkJNW94GkZ7OhWlUV608zX7vk9Ytc1anxwA7VNM5uWs0oTqvG08wzxEO/Wp7eKojoSXwO 3Xhea7t/apLpI0orOHVPwHMzsjaxq56UlxouX+wqfNeT7gOjE86Icz9Kv6qJveIr35SBEOvmKTEu XXSNT6K9tm6q88SyQn3Fynw4R45InLxk96cdtyVOQFxzvDOOUUpt48giFXLbSh2J5eWLkh7wKkBY NwQFlaCkJdKCsT643SDourT31zKF+Ez8++L0sOmdPaVStcet+0/LOBpXifaCvmNLeKxnE44uDown kBMOgjRQlDv9IFqs6Wo034TMX/2IlRfFbca54S7HNhd4DqEOj/dLkdbi3hQqvKlUQLxGd/aByX1b hgEkVsmNNotqvCZpPQ9UsFsRcys6l++qYUPYLNf+xf+zP1lV2cgnRS4RT1iwGyboNFn3K77Tpsb7 9OpYXIW92RPDYHYOVluUlhGfDVUg0MYat/q2HEJQJrXI453QNfUDhUynqjNMtUc0dMa31quPvRVZ hHf+2/bR4Q7cjHspoRtCLGojgvnSf247q4ctuo7nbrdhYUuAa4Bjj5ju4myg9nxVU5ukJQzguBJL 3sQ6JJNrie8SnHcaAMftHxFvfSWwly88oe57Wp/UEarxKXac3A5nc41pt8Mv9Xgpu9v9VOJTxxUh 0lyGcjzLUm2XesldVnJ/PnO7FAjtpxgucH+XMQf+g2wsb59I8+ybUbOrYNQOqWYSwYCOn++p4OTm z6+e0PpDeW3xZTDe3cwHEBvM7k9KPgY+hpev11RMc+0TNT+raUGL3kNBmFiuUKDLoo9/RyEjagUr 0XsfKNMC2ZuGcJCLV4GR9MBQAHlIYRgt8pMqwpUU0tmvh50d1NgrWHgYQL1vhVQUjl2fL8jrCp0B +68ca7YQ1jwOO06mDdlvVYQjZYG/4HCGLQDVssbaag2EjF7zECcmS20NvYP6RjiCxeFLTts4nWNX QI9Rm6NxhHHcmzOEqLUcCSEhySlQwHac/hU0wa46OGSKe0mrmjph7yshq6QOx92ErIUyvhcBeJZo CYY1tCy8lK7N1pab+wcy1j3BbgC4h+YmgjRF6QzhsIcO0Gm9AWLAMvRIrWOPp/V66F7b53uZGfZg hBu915yc5M5CCeRHSBRqwlOTQ8b+GpsV2+8NdhIVSdXpGCVYKQExROd9Zd2r2RFpyx4WC8C9uISU ICBHRlp14RuEKS1tE3agqqBEQL8G83cM487JmgJrWO8alKV+Q5R/Y8cvNDq7FRMhEiPSO1OEMab0 xFA+efs/Tcf+HHo0OesKsFCjVlqwwpgbNSNTgtlDcfxD/icoQHkm8ZiY89TmjfvR0b0cnzwpHcDj RMaiCRYxWD1x6Iy8HAcn9ETbZjNvz/AykS/6e5fMYkeHvaSs0iTZgt6FMmApO8MaDCaUZJEzLv0U z5mb4bxB2IUUrSSt79+9IGbvbS7SphLSQpuyhmnr4JnQmDNj7qbKUz1unir19crnVKkDO6zxigd/ lR8zPfNWeXOCuLklXc3IcDDhBD+ysKGtw2idvIUOTMC0Wa4g2d1zmnUwx/0x0HNEwmXy5XyZpQpe MqREqJVr/i8Oed0hI1JR2OE/D9xvGQmy67OWwqOQtB58ZoJP8JmdoWCJKsQhk9569I+XRRmtvLCC d7m3tMoqYsWVMFY16sa1q0QO8R7PijrjPovnzqr48ZYLKmswIDxTakNM2zHM4zbqta7faU1m1++I nKYMlqUtnps/uGB8XKS5fnRFmB2KPBoCh3xddVUq2bbcUnb3pSgykrT+6T0Cy+V9trIv2IJ0c7l3 NaV+KvRYH0dgbBFOT5DsqIj0jiaAiwSrTgUsdI/LQ3cKu9M2/ExRpY3onDoKZc2dAD3GGb69SXAr w7p9Q18HYjcJHWpaItgzAqnpDNOsS8ZuVMmHOKqCAjG/8Krvw+TQI/+VL6o0RdDz23z6HmqTItKc 1lRdvoub1qnfL3uVJ4X9TsXy8cpZ6h0FbILRMnFsk5Vaf93/svpVrMIzYjt2Hpf62IKO5lipMuhh KPKesXaVK+Mwu/rkP45ABgmsuu4nNKVY2VnFSymuk2zHpmeeLL0v5PGSAq+qfBEAeH3EcIU0DUjF f/DZY0t+qDbjr8kuA9krOgPacrVu8+C08lCQKNB8H4zDq3aJkXYG2WmPI7/NCl6RpCTTp2ftZmHz uAg74PMVp7v+9dLBbb78ogz44Ue4t7HGbnLbVvygeth05fSmOhFEQLID3JaITPTsljDVOebzOEuB r/7Z54pRWs4eOGVJ6BTWU+2iR68Mp3+I0SipaTCSLWjdOaQxegyCEXlLXS2pP9fovqy6ohwKp4r8 SbQ+fQEXJR2Nf9iy+UBtxjz6TMTym7rgS/O9I89iDLJGFuP3z0VNGVQklauC3+Yp4gcMd0xnupu3 w27OKqWBGciRpZM6Fgrsy0mBGWFfxkKu86nJyiwgxtCocvKy5jpk7yiTaHgWOA7BrgEBHk5Nz5FL NHVIuZN7j1eFV4JAQzvPN9dsohwgB+k6T+bz8fxTf+e8RFUnDL43dOjicvnkIvfEQzwM0+JkeNvQ ta5xGpqaH1JD0qyIkJvzScWkIVGrzWzMMvxN+DtabQBIeE2j5DTmfMky0f63cNgmFWP6/SP8aELt kt38bI3RslECUinVEQnYbU2DhgBl2Bp2E7uq/YG8V1sM+J132SjhghxqJ6T1iaGzRtJrzV8uZJUI QV78YPB8Sw2WfIKPXHMOo8Wcuiq7hWP2gnMzlEhTruTfy43iOBynsEQiXlpz5MtA7mEni8hCK/ju wEgP4JhZ2tuIpn3vt//Hes8u0qpLAT4bL03JKYgTMtYLwgU/cKQ7mMqCQ6JazkTKGN1vdrb1P6FI jm7sXfEx1FtTOtiyoXtAyMhUgg+nYckjfJRB+Upgs+B8ajgnnZ4zZWZg9nXh4NOTgJqwSZoz3mYj 0YEoTAZoU5V/kM8lWYqbfC7ss3tgwGjQhmamh1yG2IBAFfbbm+1eaGzWKhnMkIe/ThTInM2B1954 qhG2i2RrFeFBjYacocDQaSbMgsDSx3bO0wRodxUv6ITBJWBtUXQ84psaazwKrD/48/Wk9SiEOiD1 bHUKJFcMGiEDXRQo6c2MPJQ6+AASb+mkg4r+ZMnCpAgNGWBSRtJ3JaJz3fpIua/0GcoKl2yUtmou QnC/LB3DfIirdC5fp4Ar2PTLUq41yjWqn49wmeFrni0Fyh6cBfPplgLIt5GduGYDHqCMzXsq7jMB J4K0ObKnDEOvNDZE0aYW1ePxQNtm2WgNAE5G1Bb4zoziBHf8WCtWuwOZD72tS7ZLwU0SRI/H1rgO NqpZtEe8PbhFF3Mu945oZP/D65wwWYbxbCefnwh7a9hurvKB+YX4xWg7Bd+gnzSBzDNtoULsaGaC Vl5fRhumkx+BwqRdeIvZbEBGKEAuT9fwLuBWbqk9HBZDRGqcse0evcpEJwwj3bvVq9LzXkuUYR1z Rj+rkr1VIOBvD406n/kA7zybInjl+abePxrvEczIc+9nNyt4olcCdlOPbnfijOTwpQ8D26mPfsYD i9O3VvkG9+NJIYW+QgS2AKJXFvHQptmkEqXIaW5nI1pxbps2GKYt7UeBwd3OGuNFPUwtXN28qSnG EkOYzBRsWNCHT6Er1YEX4gIcUpwGuzYkItG+yZY6/dH49QZnIuVWqiFNU6F/5rfoOf81SF+rcgn4 OJPqnzNuGtn0dwUAWA17Bc6BzZ407CNs/QiW5tcgtLCQ0OQSvgN4gNMgvig9LHofpmNnlTQAvYYe BVMg4B3jAZj23PTEw7AVpjHMYUWAHgiPZG4vsf+KQIkXE2LwvfDjNzHLr2iLhAHJXd0IiqeTGiaz IlFj8ULdg+8d8cqV9NCVt2TdAsPgvBFn5tejC2z6o6lQx7u5LDB6LxPxb9b6Zin6lexVCtUzMvMC 0M9fb0Y1ZfMukFBjX5eV4TAtVULwlFjyiwbQ86oEjKcSLpynhYc0F7ITr9iGQjCT1gMB6gkU+r1A lCJapEUql8wzY3VFG5X7mMFuNMnvwzIKZ+jAtrIgNE4JdpAIYTcFEkxq8akjQVVUDedUUJb8ltag RUw79Gm+0c5hgnSPw8xrqk1M73/tMFsPDOCESMOCY62RTQvEJ3xns96vKjn1c12j9qkYq5Rv/4fC s3wFId2akJGz81EuJW8OGSOkFdLYmhVLgXPJXM5DAWhKKcOBO1RTfb+2KF5sP16/tXkQ9StRq4VX c+UD/PMrTDZM4G6HgrYZ/9dGhLTHxganTLx62+QqSuwA99tMdCd0GbQneOfqNHb8C123G3zS7fMj swXKIyhroWPHZdxxm2Osyv3wAxCg8T8XirESRway9GoO+UFL477kJLZ+HmiJsXQTEelxX7WK8ze/ 22UYNqX/fzMT2M824ohaQFAtjXa+mwiOm6ydU3dCehJ+W3m+D6l4bVwPwbv4xGA2wBb/aiIGuqVN o8NK9XsDyh2RFFihGZrvJyoxBbzQH3hvISJlrfKmknMk9vQ/hBNtdWOkLTZ9WZR/pgD+eVzF6ot2 rbuarAjEDrlldyE5l8wZ1JOz3wU4S+cD6jx00DiL3FA62+fMbxmTmAZgHudnMBVHWMHx65pookQ1 PH/eetNoWd3uMDXzMzNF/eHW3LXRwmpckxQgn5C6HlfMlIBwL3tTRgY7M5iZhuvORqToXY/7rdTK laP4Yffx9Go9q3T31S09Rn3SD1Bq9R7bd/7LqrdYezN7jyNSinl1SRoCRVbjD+5zIG0psVectW66 C6xBHdEHgSjehXEaSak2uWDskIo3YkZUpvAF7KGnm9bUP+zer9dfwk0EQAzxap6tIZ/q8ZFO+aEa cU/zpQnghtgefYQTdEbF5hc1GtdPi9V03glTxYGdLJZd9h+ITNvKfwEJZ+T4ERkL8RVu0tL7Q5Tn C+GGjYe5vSj0n3o4M6Q9OEYowOKC68LP39oVGI09gHYGwHQLNKoEe9Xxsu6k5+HvEp7xqQRgTkMr KYQ1YwAyYyjezGrGnATKvcRCl8Qv6ob0wgmr3n1DSenWBBkptfiMEueDrvBzCvLooImQiLTrIZpv BzATxNSFTzXmAfuP28U17N3EXCWA3AkWGS5IcY9blseoPjgVF8mAIukuDd5IWMehpWny50JTfJXq PXVLsOk6aN9TmZCbQdfKJLKUq2wf6IfhH/6LZ4kzWKjp7cjIVVzBBU5YFoJTc9Xcn2cWcxdMxUDo +atD56hnbO8Yv1qD5j1IyZQR26x1VUYCT8surMUyOeMYDf0QOmFXW8vFXM4iFJch0TQJVc+wNTQ5 r/JXQBwjLloIKh+zjBGML90YyHEi8lBIegcHQjcfdVAiSguffRzvi1GyY0tJ9+uuSPvR8MsmySw+ qJax9eOrGGMrkaMutrm4coh+90PGwrVBw7C9CJ20slujK4fzED1n2GI7HIk5C/nwustjJ3aY9FId 5RgVZsF66MOXe8JWXJYePleTKcIMkc81dgw5GpAxgrcfxQEuq4MYXQq/HU09N/Ba+knDJmloMsrq h2ruTb/P7ZZBeNDGQR3kNYVJCkMIe8EqhFfeDarNLviUZEmbnuNyWO17WJH2175txLa6XYHVPuXl xZyalFZzMdEmW0ehRQZxGlteMC/ISZK8lV4jiKzTJzCv1LEZjYhjHYakr21eVgBYZimn2FxeGfUU OJyqiweGOShLgragFNrgH1BcUeT7n/gaMJ7AvFXZfj/UjGyXnadgQE3W27OfFR+yKgDXIV+Ll7Sy JqhpUFVILNRiN9EOsszyG1lDmWIqFIswPyYAcdL0vWfGRAGdlSZkfIxioF85gpNWrZcWLABc0YLo EpwhTas0yCgDNkyb2pKsi+AEFp9GCF8uXDJjdhZ5oLAiNM521YbRRYRN3sTJ0trJrmSQZ/w1Ng4B ukLHpJ++YqCKWMQSeqxPS1cd3FmFzrnuQZ2zgnbiXUjMBOf3EiHMKBTeRIfRNV6MPy8Dva8CPabX Bpi5YTB/kK4wFn7oGntO4ju0zarDapC7dkQRHvD0FNNW0uWDxf4ZC2hdlImcLC8GwD4Jqx+Ww5vQ iWb5a5qeHv8FbwX9avXhWV7W595r70shlOyX+cBLUevhdivgviVBYx6pp/PwrtpQZE41FymWtqTU eTITzgOySas9n4ArQT8EvyuSsZh5ZoONr2mvGkOzFqKRNxwJfLP3JGB2JDtyXl2G6LyE3wj+bkjp bFPmVN37bVtYGhSeB4rYxt4pHWAMexghGjZOhb9wideTxEmxMPUkUOTheSqUXw1411WoB1wxVM6Y r1/9/pw6VWXqKUKls6bf+uZw7U9Ko2OUpSFVOKTweTJuBbDHi3SYWXIPUJrSJdyLg98+QJWyyY6C BsU6vDcAWRqIXMuTxlc1Dn4rQnP4/lLSZ4TmDjBpf1rXBAOkVmFlr9RspUv94oqTwbZhCnpQsx/e 4MUd5Ot6CgxzbhYvp9bA7mL0HFMsq22B1V0u2Onn5VyUXdY5cmY3DRBJxQPx7yLC7X+eZMsBVQ+T aoMXwhJx3dHjfWRgPW94w/wQuufuExuG4R2T+ShvvNxUye8SVGMPZR8z94j+Dg3LyUMMtKOdhTjM ETq8q1gT+As23MYg0Qh+YOh6lt0kuieB3VPok5s4xBHxEC7W9665X+mRU9W3qyNTbaSt18iZDeE+ Y8479RRrRzSqcJ5nDgy/wXHej126J1eo7ecwew827Xh2VtEBqcqtAfrt2Kps79NUZaaBUDJYQruG Qh6T2u8iAgDZ2+2PBdpCybxeDQH4uWBmYuZfcSGuHmei/WJAQjKERXXxv+LYvrji4eKGsTw+Suel 0R1R0VXI7ckRq/ZBf0Kqk0+xybdpNXnM8emHK2Hxl/mZ+7W9/0wVhT4uBTgu2xVLj983x0X6vIhK FV4wa02Xei1qBoBY//pWaVBaxvKSPjWVCp8g7okVIe6+NeEIaN4oUBq+3byQvC/cdzPDCc49y11s wHQNPeoyEv1qHjhmH/ikDVuqH9VNg0HMA4g6lsI8Vjr/SFthTo/uHR+U5Ex8egTO+BFOgiknLJJe RxKcVxRiZh+DnGrTxgwzfYiz2T7I2dZaFYfR0qE2YZl+McZBQ7CBwjZV0QQyvu6RI7wC4qxrl53c DZl5xTr7/uLEgrm+a5DFAybjtbdGICi9V7tiTbRj8FXZ7eIHPzaKU7EFrPm1BQvwljKum2ziKmvp KZV4s4YGJ+YJ4j6tt+egQ0Q+gqA43/X7wYoS17Nw9zvN3/29u3q6fB6URMTwfpI89Ju5ZB4pAJIL 4OF7j9ZglPK85CpSMNZa/C7naaqQXPEpBmCkUQ7j5sdm8jYpoBgGr56wYEgJTb3t8ISfb/8ClDM3 OBVWQZoCrmYWZRTDTTQV5Q3oPVIQ2lomOiOtBj/tHmFeqAVaqVyYTWAPMh4wUXIMf77Gxiyzwe5l lbfHOKa3MYrd9pScNjRrE6rCddnwJLtcuMdJGT/K1s+rdBRWrlSNYWB0OPjqRBHQHkJQ7Z43Z3a2 ug7trN9rkGAz1o3F4cjkQBjxFqynXGClk5W8x1LtPyfcPmvODgwjDN6NWQbospmYR5Tk+9BpmRZt 9eLGft5A5YMAPU/O+VLRfPlVbP3qh/UI3TCpFpimtuRLFTCz4JztU8ZhIPncgrV0gK935ZKTtGnW BaMzGRyUSEKb0Zk78tlj5/srTcV5W2JedcjsdTEt0VmEaXIdJq7zuVZK4DHLddLUE9RFoZLKUVtj PPDWg9LQO5/j0o/Flr2+x/dFzulAoPTxfaxI7MCUmWunbSmNcsKrkqU2y0GpSwR9oqIRCH9ZUNmR Yx19latY90ZAJbIzGHcYO4zlSHsw5h8p1z9tAwRKdGl4iQgYxgJrbhUbvWxGWpvPmNLuNr7xXEIK oDdgIXUJ3F/lcnCdoUn5R1aLfWWH+IG9bmNCyU4s8NFEXMf/DaJIrQF6X1cz2uY50Gu65RK37mGJ q9t17ga2OiSnxtC1w/GmnPZ8+KjOVusjUElhRM4zgCOlnC9TSzQPyGrDFi21s5+to9mTB72+meBT YxfkNIV8M3PPmX7JaoXOT1xetjQOUFrFOU6ilBIQLq+XxjFdKvReovv0w0Pvcm7ms8xBGceKni3C 38O9cbEwE4U5FOdS6c2ZNHRhnUWeDyBraD5sm2qKEegmr9l8BzNS77gOK9upF0e5IWgNn2U42+10 2H3Vl2umQdtMTq2omNElOe+qAWcy2HwnclRlaN+9UfFk0ianhL4kXCpOLSx5VzNddArXqaEXtwDf eDFS96XliQwseFOEt/T2C+6kk0k4Dd8JRiruo64uVsVu81mWVGC+/W+dsCAJ6Wtap+NDV2tIDFjp ePx6sQLMnN00Do7sHJVvrWr3LdfY/Cz85lPJRJIkLUuIeQsUy0hFDlz8evNBkB1cg5U87HkIdg0S nK7904RqhwNIHGf3vaSpMxplcRbgiBkxHAmrHJfET1LVkoQMtIT3x2HcvE/7UYIOTv7HqbS/fAAk pbwAC272qlLmd4NPDdnxiok9c0+r++9AHaFr8Xt2BOXteGzOowE9KsGOM93RCGrdEGuarlEiMUde l/fMPzGCiOf3gQfO6P13REGpti9BPWTxjm1FyzTooueE+WTwuYsFAhadt6+3wuzOd1P2SF6usQIC e6C4k4hKED8VPyDgQ6F38jBiZU6vtUJQeE+OoAGEaYqYL2rds3b5F9C2AkKSUAxrRN0mCAflGHe0 KLTV02j3HfzlnhA1x4uvJuWo+yEIWwbh0/3Paxosv/OwXzExR3zF9GVE6bS9VUES67V/VyyAsQ0o +NaL9FIhqiWGRrMEM/ZY1kTPkhKKUy6yJygtCJAUIqOtAaJi7C9uuyw7kLPEQ8oelL75GV18BTVq b7NIx3B394DduoIuD+0ricroH9ZaPIfu07FleYodHHHhdrAl1z50ozeAj1FHvM7tRlh4MAXt54zY PBm5h3lZvnZ4NLBl5HLFZnNViflav4/4O+cpSd/41fJWJsFOwpXLA3x6tMkuOHsf9VRV+4alRJyC 54ERBeK+DuskKZP01Z5HJbnoh//TV7yj6y0+XwQ1byR/CDAWe+yrUUGs8jzrblu0h8ufY7/82rti p32XiCu8rAA3/5UC78i9xbrkDjcuzedCdQc8An7QA/ahIy8U2+WbhVq4LNcfpXzpHLQw1eQe5Xkc zF1NCnNT5wsawKqj2FW1hQYDLIAwF0kzMA6Txb73HiM8AxgOlfnyliy5bNXf/pRs0tmQ/84gJ5tN +XZKTV41n1vKOmEvtxmpL2HPFwIsozX3AznukZBdSr7BgVdlOvcJCUXvRANX91AMUKWJY8VvAUWI LBkjygf6MsJrWRD6qqmRUAhDwUv0udi6JfCKV1BozKJYtC0h3SyFoyYgdjtbPCpyQT91/ULlRTIA 8j5eA+Z768VYa+f08dUwZCblpvUbMhtmuBfLMmsdL0yFo/W4QjV50PeJgWZU7B67yghOAm1RE3lc lPZgZebUuzRFZxNoKgLgVDEQAPqVt564c+AlR0SXDpPOD0H8hr4ThFjKeEJ3Nv77slRJ7uHZDg1O nYCkmAPBKcAo6MHFsbGm8PnmJKPORkgGulEF9IApU5UgB9r5smUJFTWta6Y7Vbu9RlOoOk6tG6lJ xSHxMgPHwqcvGGN7IJvo5sqHjr0ohdI/qXf69fBdz+e7QqDTntNk+oK+2PvqexNNOw/mrkxO/qhl KDruTzmxO5CAuvq/xESrxxbPdaXOyzmTxHaaUADGU+8IrZ4o3NW9UUvfWReX3FdDI4YKcw8SAa6k Uf45VPHnJ4MG74xA8sEAjw4KTB7sRIwta9+C5STfWIqmZQ4mfltIzAK2H22E+3GadM/OuNw27gn4 YBT7Vlw/RpnOeL28JD42giu1dc1atOqw9XK4+XBeUWpoW7CXGF2sYwhgc8zsMtw4pdSOgEiNyMnl +dUdqVqefW1MkMKgwk4CQBnaSJSMITwsXIC2nD8FOzfFFkF0hXwFCZrfQaWQeoTI3UbE44myhYxB rTxBWSCZy89yRzmolBMGyrBxV2X6IqfhXQsPUg/w/5+yYgmCyizQYU2WabGXq1cdXO3tdlIt+J9O rxtuL/eaNPWTRcDSFNekLYXMHAua1E2VtBqO/2afq56GelSNoKHqnu2jBi41vn9weSNeKFOs2QDD 5mD3PzEuXmVFqy7P7ooPFV5X4oqnMs/0pCpmiWH/ySxTxkLKzNv/fF8RiOHeW0s+lXzG1884HXer 65bmvPMIO0QegDwJamA0u1VtwoDRT6F5X9wdcfr5oWeqm8ceNmY58JNu7Bqcu558i/poHsS54d+e 6Dn+n5pD6kM1GdZlp5YadjZUU2N4dcmGmERuQkhWNnMPxgKQe4I806zOcCGmXuOTtjBcQLQyFccC 81htxrlD8+TXIYv/3KLPTgO/g69qJIcpvZOV4R5ib6X9Y7AbQVqNPuWKcYFImHZUa/QsJIC0Q2tw cxFZUG9PBI+MXb8uC37EJzmU6+gU8tLRZpc2h4UDfO3Oj44wf8hV9nWkfDu5HUd6jCunOAuTct0S BuNObbRewq7zxrZXR2blx/OOB7KIYfCNxPEWLgh99LlVTJr10ZBL0exfksZc23Z4MqhlTCCuLXGd Yf4lqEuEdAEmUHeRf7EyVuypytdGGsbu3F7O0Mme/W9zMpR5EDo9ULlA6tLoRYkJg2NQ7Jh9aVlB kRD4Yo0DWA6Lbpddq4sZRPloZbZp8ZXS86QVjto4I9jMOqVsi24Pmx9pA5yM/J5z7b5Gtn657BcJ L0BdShDJa7DJSGdPo1f/imrxbRPTT9LjQVDI3NV802VgkeNtOnDxHpqDVqIlWx1nqbMpONXRhcOh 1m1s69ftQYoserPWOP3DwK0+ua2qyS8pfSEWTAhgX/xFDD+zzfH7fnn7DGb63+l5pSghyILDTmzw 1sg8s1nxvdJiU0DhaBsPlrCqHG/feXA7UgC7OIuf `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block pabZO1I/O5UlEfYaQEPwd4l9eUai0bqYoMxFZDUmBPXyS95K3GW98Ld97MzJKAXXnSlf1PewGW2v 0RIeWd32HQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block MnYS98CLv6GUlLtXXj0MDq/aXJWBamrEeFXZFkhzX7OjMU68I3JzEc2/1UN3CHInfTII6cQBis+f MSPPkhHYfjWA/UnlZNCfIbUjCA7v4zzzEDOXLdUwHhey61M2PDbtjo4F0M+PSYsHQUE61FCJYZr6 +aBOwyo0CpKkCUVEbxg= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block qncW/Cwz6DQ02ZtEcvyp5WdAA4sItotGPpP0REUtLyqefQhCtJmFILcg4T0iyRUg7VuYEwIANO5+ QvHNNc39qIJv9lOesalgHBZQgvNRJnIdYWaRfS0GyacwI/2JQRwAkuAQstvDCp4RTc3l8lwP6/ls 9Kgq/wnF0FIDD2zIsqBFYPVau5gOg+E2Yv8daLhsLbgUNkGI+w4/OZjRbQGSUjwZLuzAjcC7dEzW IiD8iCe2E3P5aTpTA2tXeuvseQy8KOwVCxJQuur+f/bmnE2QrPi5PPQMRcOyc4ok7k5U/64SCKlJ oITfL/xIL/xwZa26tMPcLgkkx7p0G3RLvL/tVw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Dnf6vaqe/V3pNaiPDsCpL4mEkUhuRTF8jsptuAsYR5QlsF0hNdnCfK2+aKM5H69faCvd5mpbM0GP Pqz+qhNmOYPHdckgaTUGR5o/7QyV8YKLvzwfyDMqTu2isTv6FP6Q6welH2CNBnmC1/h5T7i+fy/Q rlaoXYJxfrB3B6n9clU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block IMf8iBP4Q72XIQn7cHjsTbT2wNsnwrpqWy35OTpGthg9IgmIl2PQf4/c9imtaZPdkPVpIBywT+vW p0seCgJeCim8uHSlCA4Yuvzi7NiJqnEZtjEX9xSzaDj4EflUudOJTsvuYMqv/3kxvUgkIK0AS+U7 CWRV3RwJIjyzXaV3SkeD5i2xf0d/bezTocOrvt7wO8hz1n7ziicW5bgdFMZpO18+84bLDi0MzKYQ Ad5OLz8QJgoCqRTe+B2lLXuByvKd2+XBYArz50J0pDfy4RubYe7FYpZdW50ze6dgBWVP0HOw0tLX Pt7eQrmsKxnIhjnIQBRBht+Bb5QLkHSbaJnGbg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8352) `protect data_block 3Txl86gxySTjspY1bX6mjaEtHzHyJ6PEwV30HseHtq4UpP2jRFV0RDcUeckeAq3gEZ5Qu030LNT9 bbOM++HUbE1I8N7rcDRyyG1/zAJa57yK2mi3blq8tQKZ32YObDq6jHS9U2gM3rYjhBhVr2aKK7qQ c8X7nouwkJNW94GkZ7OhWlUV608zX7vk9Ytc1anxwA7VNM5uWs0oTqvG08wzxEO/Wp7eKojoSXwO 3Xhea7t/apLpI0orOHVPwHMzsjaxq56UlxouX+wqfNeT7gOjE86Icz9Kv6qJveIr35SBEOvmKTEu XXSNT6K9tm6q88SyQn3Fynw4R45InLxk96cdtyVOQFxzvDOOUUpt48giFXLbSh2J5eWLkh7wKkBY NwQFlaCkJdKCsT643SDourT31zKF+Ez8++L0sOmdPaVStcet+0/LOBpXifaCvmNLeKxnE44uDown kBMOgjRQlDv9IFqs6Wo034TMX/2IlRfFbca54S7HNhd4DqEOj/dLkdbi3hQqvKlUQLxGd/aByX1b hgEkVsmNNotqvCZpPQ9UsFsRcys6l++qYUPYLNf+xf+zP1lV2cgnRS4RT1iwGyboNFn3K77Tpsb7 9OpYXIW92RPDYHYOVluUlhGfDVUg0MYat/q2HEJQJrXI453QNfUDhUynqjNMtUc0dMa31quPvRVZ hHf+2/bR4Q7cjHspoRtCLGojgvnSf247q4ctuo7nbrdhYUuAa4Bjj5ju4myg9nxVU5ukJQzguBJL 3sQ6JJNrie8SnHcaAMftHxFvfSWwly88oe57Wp/UEarxKXac3A5nc41pt8Mv9Xgpu9v9VOJTxxUh 0lyGcjzLUm2XesldVnJ/PnO7FAjtpxgucH+XMQf+g2wsb59I8+ybUbOrYNQOqWYSwYCOn++p4OTm z6+e0PpDeW3xZTDe3cwHEBvM7k9KPgY+hpev11RMc+0TNT+raUGL3kNBmFiuUKDLoo9/RyEjagUr 0XsfKNMC2ZuGcJCLV4GR9MBQAHlIYRgt8pMqwpUU0tmvh50d1NgrWHgYQL1vhVQUjl2fL8jrCp0B +68ca7YQ1jwOO06mDdlvVYQjZYG/4HCGLQDVssbaag2EjF7zECcmS20NvYP6RjiCxeFLTts4nWNX QI9Rm6NxhHHcmzOEqLUcCSEhySlQwHac/hU0wa46OGSKe0mrmjph7yshq6QOx92ErIUyvhcBeJZo CYY1tCy8lK7N1pab+wcy1j3BbgC4h+YmgjRF6QzhsIcO0Gm9AWLAMvRIrWOPp/V66F7b53uZGfZg hBu915yc5M5CCeRHSBRqwlOTQ8b+GpsV2+8NdhIVSdXpGCVYKQExROd9Zd2r2RFpyx4WC8C9uISU ICBHRlp14RuEKS1tE3agqqBEQL8G83cM487JmgJrWO8alKV+Q5R/Y8cvNDq7FRMhEiPSO1OEMab0 xFA+efs/Tcf+HHo0OesKsFCjVlqwwpgbNSNTgtlDcfxD/icoQHkm8ZiY89TmjfvR0b0cnzwpHcDj RMaiCRYxWD1x6Iy8HAcn9ETbZjNvz/AykS/6e5fMYkeHvaSs0iTZgt6FMmApO8MaDCaUZJEzLv0U z5mb4bxB2IUUrSSt79+9IGbvbS7SphLSQpuyhmnr4JnQmDNj7qbKUz1unir19crnVKkDO6zxigd/ lR8zPfNWeXOCuLklXc3IcDDhBD+ysKGtw2idvIUOTMC0Wa4g2d1zmnUwx/0x0HNEwmXy5XyZpQpe MqREqJVr/i8Oed0hI1JR2OE/D9xvGQmy67OWwqOQtB58ZoJP8JmdoWCJKsQhk9569I+XRRmtvLCC d7m3tMoqYsWVMFY16sa1q0QO8R7PijrjPovnzqr48ZYLKmswIDxTakNM2zHM4zbqta7faU1m1++I nKYMlqUtnps/uGB8XKS5fnRFmB2KPBoCh3xddVUq2bbcUnb3pSgykrT+6T0Cy+V9trIv2IJ0c7l3 NaV+KvRYH0dgbBFOT5DsqIj0jiaAiwSrTgUsdI/LQ3cKu9M2/ExRpY3onDoKZc2dAD3GGb69SXAr w7p9Q18HYjcJHWpaItgzAqnpDNOsS8ZuVMmHOKqCAjG/8Krvw+TQI/+VL6o0RdDz23z6HmqTItKc 1lRdvoub1qnfL3uVJ4X9TsXy8cpZ6h0FbILRMnFsk5Vaf93/svpVrMIzYjt2Hpf62IKO5lipMuhh KPKesXaVK+Mwu/rkP45ABgmsuu4nNKVY2VnFSymuk2zHpmeeLL0v5PGSAq+qfBEAeH3EcIU0DUjF f/DZY0t+qDbjr8kuA9krOgPacrVu8+C08lCQKNB8H4zDq3aJkXYG2WmPI7/NCl6RpCTTp2ftZmHz uAg74PMVp7v+9dLBbb78ogz44Ue4t7HGbnLbVvygeth05fSmOhFEQLID3JaITPTsljDVOebzOEuB r/7Z54pRWs4eOGVJ6BTWU+2iR68Mp3+I0SipaTCSLWjdOaQxegyCEXlLXS2pP9fovqy6ohwKp4r8 SbQ+fQEXJR2Nf9iy+UBtxjz6TMTym7rgS/O9I89iDLJGFuP3z0VNGVQklauC3+Yp4gcMd0xnupu3 w27OKqWBGciRpZM6Fgrsy0mBGWFfxkKu86nJyiwgxtCocvKy5jpk7yiTaHgWOA7BrgEBHk5Nz5FL NHVIuZN7j1eFV4JAQzvPN9dsohwgB+k6T+bz8fxTf+e8RFUnDL43dOjicvnkIvfEQzwM0+JkeNvQ ta5xGpqaH1JD0qyIkJvzScWkIVGrzWzMMvxN+DtabQBIeE2j5DTmfMky0f63cNgmFWP6/SP8aELt kt38bI3RslECUinVEQnYbU2DhgBl2Bp2E7uq/YG8V1sM+J132SjhghxqJ6T1iaGzRtJrzV8uZJUI QV78YPB8Sw2WfIKPXHMOo8Wcuiq7hWP2gnMzlEhTruTfy43iOBynsEQiXlpz5MtA7mEni8hCK/ju wEgP4JhZ2tuIpn3vt//Hes8u0qpLAT4bL03JKYgTMtYLwgU/cKQ7mMqCQ6JazkTKGN1vdrb1P6FI jm7sXfEx1FtTOtiyoXtAyMhUgg+nYckjfJRB+Upgs+B8ajgnnZ4zZWZg9nXh4NOTgJqwSZoz3mYj 0YEoTAZoU5V/kM8lWYqbfC7ss3tgwGjQhmamh1yG2IBAFfbbm+1eaGzWKhnMkIe/ThTInM2B1954 qhG2i2RrFeFBjYacocDQaSbMgsDSx3bO0wRodxUv6ITBJWBtUXQ84psaazwKrD/48/Wk9SiEOiD1 bHUKJFcMGiEDXRQo6c2MPJQ6+AASb+mkg4r+ZMnCpAgNGWBSRtJ3JaJz3fpIua/0GcoKl2yUtmou QnC/LB3DfIirdC5fp4Ar2PTLUq41yjWqn49wmeFrni0Fyh6cBfPplgLIt5GduGYDHqCMzXsq7jMB J4K0ObKnDEOvNDZE0aYW1ePxQNtm2WgNAE5G1Bb4zoziBHf8WCtWuwOZD72tS7ZLwU0SRI/H1rgO NqpZtEe8PbhFF3Mu945oZP/D65wwWYbxbCefnwh7a9hurvKB+YX4xWg7Bd+gnzSBzDNtoULsaGaC Vl5fRhumkx+BwqRdeIvZbEBGKEAuT9fwLuBWbqk9HBZDRGqcse0evcpEJwwj3bvVq9LzXkuUYR1z Rj+rkr1VIOBvD406n/kA7zybInjl+abePxrvEczIc+9nNyt4olcCdlOPbnfijOTwpQ8D26mPfsYD i9O3VvkG9+NJIYW+QgS2AKJXFvHQptmkEqXIaW5nI1pxbps2GKYt7UeBwd3OGuNFPUwtXN28qSnG EkOYzBRsWNCHT6Er1YEX4gIcUpwGuzYkItG+yZY6/dH49QZnIuVWqiFNU6F/5rfoOf81SF+rcgn4 OJPqnzNuGtn0dwUAWA17Bc6BzZ407CNs/QiW5tcgtLCQ0OQSvgN4gNMgvig9LHofpmNnlTQAvYYe BVMg4B3jAZj23PTEw7AVpjHMYUWAHgiPZG4vsf+KQIkXE2LwvfDjNzHLr2iLhAHJXd0IiqeTGiaz IlFj8ULdg+8d8cqV9NCVt2TdAsPgvBFn5tejC2z6o6lQx7u5LDB6LxPxb9b6Zin6lexVCtUzMvMC 0M9fb0Y1ZfMukFBjX5eV4TAtVULwlFjyiwbQ86oEjKcSLpynhYc0F7ITr9iGQjCT1gMB6gkU+r1A lCJapEUql8wzY3VFG5X7mMFuNMnvwzIKZ+jAtrIgNE4JdpAIYTcFEkxq8akjQVVUDedUUJb8ltag RUw79Gm+0c5hgnSPw8xrqk1M73/tMFsPDOCESMOCY62RTQvEJ3xns96vKjn1c12j9qkYq5Rv/4fC s3wFId2akJGz81EuJW8OGSOkFdLYmhVLgXPJXM5DAWhKKcOBO1RTfb+2KF5sP16/tXkQ9StRq4VX c+UD/PMrTDZM4G6HgrYZ/9dGhLTHxganTLx62+QqSuwA99tMdCd0GbQneOfqNHb8C123G3zS7fMj swXKIyhroWPHZdxxm2Osyv3wAxCg8T8XirESRway9GoO+UFL477kJLZ+HmiJsXQTEelxX7WK8ze/ 22UYNqX/fzMT2M824ohaQFAtjXa+mwiOm6ydU3dCehJ+W3m+D6l4bVwPwbv4xGA2wBb/aiIGuqVN o8NK9XsDyh2RFFihGZrvJyoxBbzQH3hvISJlrfKmknMk9vQ/hBNtdWOkLTZ9WZR/pgD+eVzF6ot2 rbuarAjEDrlldyE5l8wZ1JOz3wU4S+cD6jx00DiL3FA62+fMbxmTmAZgHudnMBVHWMHx65pookQ1 PH/eetNoWd3uMDXzMzNF/eHW3LXRwmpckxQgn5C6HlfMlIBwL3tTRgY7M5iZhuvORqToXY/7rdTK laP4Yffx9Go9q3T31S09Rn3SD1Bq9R7bd/7LqrdYezN7jyNSinl1SRoCRVbjD+5zIG0psVectW66 C6xBHdEHgSjehXEaSak2uWDskIo3YkZUpvAF7KGnm9bUP+zer9dfwk0EQAzxap6tIZ/q8ZFO+aEa cU/zpQnghtgefYQTdEbF5hc1GtdPi9V03glTxYGdLJZd9h+ITNvKfwEJZ+T4ERkL8RVu0tL7Q5Tn C+GGjYe5vSj0n3o4M6Q9OEYowOKC68LP39oVGI09gHYGwHQLNKoEe9Xxsu6k5+HvEp7xqQRgTkMr KYQ1YwAyYyjezGrGnATKvcRCl8Qv6ob0wgmr3n1DSenWBBkptfiMEueDrvBzCvLooImQiLTrIZpv BzATxNSFTzXmAfuP28U17N3EXCWA3AkWGS5IcY9blseoPjgVF8mAIukuDd5IWMehpWny50JTfJXq PXVLsOk6aN9TmZCbQdfKJLKUq2wf6IfhH/6LZ4kzWKjp7cjIVVzBBU5YFoJTc9Xcn2cWcxdMxUDo +atD56hnbO8Yv1qD5j1IyZQR26x1VUYCT8surMUyOeMYDf0QOmFXW8vFXM4iFJch0TQJVc+wNTQ5 r/JXQBwjLloIKh+zjBGML90YyHEi8lBIegcHQjcfdVAiSguffRzvi1GyY0tJ9+uuSPvR8MsmySw+ qJax9eOrGGMrkaMutrm4coh+90PGwrVBw7C9CJ20slujK4fzED1n2GI7HIk5C/nwustjJ3aY9FId 5RgVZsF66MOXe8JWXJYePleTKcIMkc81dgw5GpAxgrcfxQEuq4MYXQq/HU09N/Ba+knDJmloMsrq h2ruTb/P7ZZBeNDGQR3kNYVJCkMIe8EqhFfeDarNLviUZEmbnuNyWO17WJH2175txLa6XYHVPuXl xZyalFZzMdEmW0ehRQZxGlteMC/ISZK8lV4jiKzTJzCv1LEZjYhjHYakr21eVgBYZimn2FxeGfUU OJyqiweGOShLgragFNrgH1BcUeT7n/gaMJ7AvFXZfj/UjGyXnadgQE3W27OfFR+yKgDXIV+Ll7Sy JqhpUFVILNRiN9EOsszyG1lDmWIqFIswPyYAcdL0vWfGRAGdlSZkfIxioF85gpNWrZcWLABc0YLo EpwhTas0yCgDNkyb2pKsi+AEFp9GCF8uXDJjdhZ5oLAiNM521YbRRYRN3sTJ0trJrmSQZ/w1Ng4B ukLHpJ++YqCKWMQSeqxPS1cd3FmFzrnuQZ2zgnbiXUjMBOf3EiHMKBTeRIfRNV6MPy8Dva8CPabX Bpi5YTB/kK4wFn7oGntO4ju0zarDapC7dkQRHvD0FNNW0uWDxf4ZC2hdlImcLC8GwD4Jqx+Ww5vQ iWb5a5qeHv8FbwX9avXhWV7W595r70shlOyX+cBLUevhdivgviVBYx6pp/PwrtpQZE41FymWtqTU eTITzgOySas9n4ArQT8EvyuSsZh5ZoONr2mvGkOzFqKRNxwJfLP3JGB2JDtyXl2G6LyE3wj+bkjp bFPmVN37bVtYGhSeB4rYxt4pHWAMexghGjZOhb9wideTxEmxMPUkUOTheSqUXw1411WoB1wxVM6Y r1/9/pw6VWXqKUKls6bf+uZw7U9Ko2OUpSFVOKTweTJuBbDHi3SYWXIPUJrSJdyLg98+QJWyyY6C BsU6vDcAWRqIXMuTxlc1Dn4rQnP4/lLSZ4TmDjBpf1rXBAOkVmFlr9RspUv94oqTwbZhCnpQsx/e 4MUd5Ot6CgxzbhYvp9bA7mL0HFMsq22B1V0u2Onn5VyUXdY5cmY3DRBJxQPx7yLC7X+eZMsBVQ+T aoMXwhJx3dHjfWRgPW94w/wQuufuExuG4R2T+ShvvNxUye8SVGMPZR8z94j+Dg3LyUMMtKOdhTjM ETq8q1gT+As23MYg0Qh+YOh6lt0kuieB3VPok5s4xBHxEC7W9665X+mRU9W3qyNTbaSt18iZDeE+ Y8479RRrRzSqcJ5nDgy/wXHej126J1eo7ecwew827Xh2VtEBqcqtAfrt2Kps79NUZaaBUDJYQruG Qh6T2u8iAgDZ2+2PBdpCybxeDQH4uWBmYuZfcSGuHmei/WJAQjKERXXxv+LYvrji4eKGsTw+Suel 0R1R0VXI7ckRq/ZBf0Kqk0+xybdpNXnM8emHK2Hxl/mZ+7W9/0wVhT4uBTgu2xVLj983x0X6vIhK FV4wa02Xei1qBoBY//pWaVBaxvKSPjWVCp8g7okVIe6+NeEIaN4oUBq+3byQvC/cdzPDCc49y11s wHQNPeoyEv1qHjhmH/ikDVuqH9VNg0HMA4g6lsI8Vjr/SFthTo/uHR+U5Ex8egTO+BFOgiknLJJe RxKcVxRiZh+DnGrTxgwzfYiz2T7I2dZaFYfR0qE2YZl+McZBQ7CBwjZV0QQyvu6RI7wC4qxrl53c DZl5xTr7/uLEgrm+a5DFAybjtbdGICi9V7tiTbRj8FXZ7eIHPzaKU7EFrPm1BQvwljKum2ziKmvp KZV4s4YGJ+YJ4j6tt+egQ0Q+gqA43/X7wYoS17Nw9zvN3/29u3q6fB6URMTwfpI89Ju5ZB4pAJIL 4OF7j9ZglPK85CpSMNZa/C7naaqQXPEpBmCkUQ7j5sdm8jYpoBgGr56wYEgJTb3t8ISfb/8ClDM3 OBVWQZoCrmYWZRTDTTQV5Q3oPVIQ2lomOiOtBj/tHmFeqAVaqVyYTWAPMh4wUXIMf77Gxiyzwe5l lbfHOKa3MYrd9pScNjRrE6rCddnwJLtcuMdJGT/K1s+rdBRWrlSNYWB0OPjqRBHQHkJQ7Z43Z3a2 ug7trN9rkGAz1o3F4cjkQBjxFqynXGClk5W8x1LtPyfcPmvODgwjDN6NWQbospmYR5Tk+9BpmRZt 9eLGft5A5YMAPU/O+VLRfPlVbP3qh/UI3TCpFpimtuRLFTCz4JztU8ZhIPncgrV0gK935ZKTtGnW BaMzGRyUSEKb0Zk78tlj5/srTcV5W2JedcjsdTEt0VmEaXIdJq7zuVZK4DHLddLUE9RFoZLKUVtj PPDWg9LQO5/j0o/Flr2+x/dFzulAoPTxfaxI7MCUmWunbSmNcsKrkqU2y0GpSwR9oqIRCH9ZUNmR Yx19latY90ZAJbIzGHcYO4zlSHsw5h8p1z9tAwRKdGl4iQgYxgJrbhUbvWxGWpvPmNLuNr7xXEIK oDdgIXUJ3F/lcnCdoUn5R1aLfWWH+IG9bmNCyU4s8NFEXMf/DaJIrQF6X1cz2uY50Gu65RK37mGJ q9t17ga2OiSnxtC1w/GmnPZ8+KjOVusjUElhRM4zgCOlnC9TSzQPyGrDFi21s5+to9mTB72+meBT YxfkNIV8M3PPmX7JaoXOT1xetjQOUFrFOU6ilBIQLq+XxjFdKvReovv0w0Pvcm7ms8xBGceKni3C 38O9cbEwE4U5FOdS6c2ZNHRhnUWeDyBraD5sm2qKEegmr9l8BzNS77gOK9upF0e5IWgNn2U42+10 2H3Vl2umQdtMTq2omNElOe+qAWcy2HwnclRlaN+9UfFk0ianhL4kXCpOLSx5VzNddArXqaEXtwDf eDFS96XliQwseFOEt/T2C+6kk0k4Dd8JRiruo64uVsVu81mWVGC+/W+dsCAJ6Wtap+NDV2tIDFjp ePx6sQLMnN00Do7sHJVvrWr3LdfY/Cz85lPJRJIkLUuIeQsUy0hFDlz8evNBkB1cg5U87HkIdg0S nK7904RqhwNIHGf3vaSpMxplcRbgiBkxHAmrHJfET1LVkoQMtIT3x2HcvE/7UYIOTv7HqbS/fAAk pbwAC272qlLmd4NPDdnxiok9c0+r++9AHaFr8Xt2BOXteGzOowE9KsGOM93RCGrdEGuarlEiMUde l/fMPzGCiOf3gQfO6P13REGpti9BPWTxjm1FyzTooueE+WTwuYsFAhadt6+3wuzOd1P2SF6usQIC e6C4k4hKED8VPyDgQ6F38jBiZU6vtUJQeE+OoAGEaYqYL2rds3b5F9C2AkKSUAxrRN0mCAflGHe0 KLTV02j3HfzlnhA1x4uvJuWo+yEIWwbh0/3Paxosv/OwXzExR3zF9GVE6bS9VUES67V/VyyAsQ0o +NaL9FIhqiWGRrMEM/ZY1kTPkhKKUy6yJygtCJAUIqOtAaJi7C9uuyw7kLPEQ8oelL75GV18BTVq b7NIx3B394DduoIuD+0ricroH9ZaPIfu07FleYodHHHhdrAl1z50ozeAj1FHvM7tRlh4MAXt54zY PBm5h3lZvnZ4NLBl5HLFZnNViflav4/4O+cpSd/41fJWJsFOwpXLA3x6tMkuOHsf9VRV+4alRJyC 54ERBeK+DuskKZP01Z5HJbnoh//TV7yj6y0+XwQ1byR/CDAWe+yrUUGs8jzrblu0h8ufY7/82rti p32XiCu8rAA3/5UC78i9xbrkDjcuzedCdQc8An7QA/ahIy8U2+WbhVq4LNcfpXzpHLQw1eQe5Xkc zF1NCnNT5wsawKqj2FW1hQYDLIAwF0kzMA6Txb73HiM8AxgOlfnyliy5bNXf/pRs0tmQ/84gJ5tN +XZKTV41n1vKOmEvtxmpL2HPFwIsozX3AznukZBdSr7BgVdlOvcJCUXvRANX91AMUKWJY8VvAUWI LBkjygf6MsJrWRD6qqmRUAhDwUv0udi6JfCKV1BozKJYtC0h3SyFoyYgdjtbPCpyQT91/ULlRTIA 8j5eA+Z768VYa+f08dUwZCblpvUbMhtmuBfLMmsdL0yFo/W4QjV50PeJgWZU7B67yghOAm1RE3lc lPZgZebUuzRFZxNoKgLgVDEQAPqVt564c+AlR0SXDpPOD0H8hr4ThFjKeEJ3Nv77slRJ7uHZDg1O nYCkmAPBKcAo6MHFsbGm8PnmJKPORkgGulEF9IApU5UgB9r5smUJFTWta6Y7Vbu9RlOoOk6tG6lJ xSHxMgPHwqcvGGN7IJvo5sqHjr0ohdI/qXf69fBdz+e7QqDTntNk+oK+2PvqexNNOw/mrkxO/qhl KDruTzmxO5CAuvq/xESrxxbPdaXOyzmTxHaaUADGU+8IrZ4o3NW9UUvfWReX3FdDI4YKcw8SAa6k Uf45VPHnJ4MG74xA8sEAjw4KTB7sRIwta9+C5STfWIqmZQ4mfltIzAK2H22E+3GadM/OuNw27gn4 YBT7Vlw/RpnOeL28JD42giu1dc1atOqw9XK4+XBeUWpoW7CXGF2sYwhgc8zsMtw4pdSOgEiNyMnl +dUdqVqefW1MkMKgwk4CQBnaSJSMITwsXIC2nD8FOzfFFkF0hXwFCZrfQaWQeoTI3UbE44myhYxB rTxBWSCZy89yRzmolBMGyrBxV2X6IqfhXQsPUg/w/5+yYgmCyizQYU2WabGXq1cdXO3tdlIt+J9O rxtuL/eaNPWTRcDSFNekLYXMHAua1E2VtBqO/2afq56GelSNoKHqnu2jBi41vn9weSNeKFOs2QDD 5mD3PzEuXmVFqy7P7ooPFV5X4oqnMs/0pCpmiWH/ySxTxkLKzNv/fF8RiOHeW0s+lXzG1884HXer 65bmvPMIO0QegDwJamA0u1VtwoDRT6F5X9wdcfr5oWeqm8ceNmY58JNu7Bqcu558i/poHsS54d+e 6Dn+n5pD6kM1GdZlp5YadjZUU2N4dcmGmERuQkhWNnMPxgKQe4I806zOcCGmXuOTtjBcQLQyFccC 81htxrlD8+TXIYv/3KLPTgO/g69qJIcpvZOV4R5ib6X9Y7AbQVqNPuWKcYFImHZUa/QsJIC0Q2tw cxFZUG9PBI+MXb8uC37EJzmU6+gU8tLRZpc2h4UDfO3Oj44wf8hV9nWkfDu5HUd6jCunOAuTct0S BuNObbRewq7zxrZXR2blx/OOB7KIYfCNxPEWLgh99LlVTJr10ZBL0exfksZc23Z4MqhlTCCuLXGd Yf4lqEuEdAEmUHeRf7EyVuypytdGGsbu3F7O0Mme/W9zMpR5EDo9ULlA6tLoRYkJg2NQ7Jh9aVlB kRD4Yo0DWA6Lbpddq4sZRPloZbZp8ZXS86QVjto4I9jMOqVsi24Pmx9pA5yM/J5z7b5Gtn657BcJ L0BdShDJa7DJSGdPo1f/imrxbRPTT9LjQVDI3NV802VgkeNtOnDxHpqDVqIlWx1nqbMpONXRhcOh 1m1s69ftQYoserPWOP3DwK0+ua2qyS8pfSEWTAhgX/xFDD+zzfH7fnn7DGb63+l5pSghyILDTmzw 1sg8s1nxvdJiU0DhaBsPlrCqHG/feXA7UgC7OIuf `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block pabZO1I/O5UlEfYaQEPwd4l9eUai0bqYoMxFZDUmBPXyS95K3GW98Ld97MzJKAXXnSlf1PewGW2v 0RIeWd32HQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block MnYS98CLv6GUlLtXXj0MDq/aXJWBamrEeFXZFkhzX7OjMU68I3JzEc2/1UN3CHInfTII6cQBis+f MSPPkhHYfjWA/UnlZNCfIbUjCA7v4zzzEDOXLdUwHhey61M2PDbtjo4F0M+PSYsHQUE61FCJYZr6 +aBOwyo0CpKkCUVEbxg= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block qncW/Cwz6DQ02ZtEcvyp5WdAA4sItotGPpP0REUtLyqefQhCtJmFILcg4T0iyRUg7VuYEwIANO5+ QvHNNc39qIJv9lOesalgHBZQgvNRJnIdYWaRfS0GyacwI/2JQRwAkuAQstvDCp4RTc3l8lwP6/ls 9Kgq/wnF0FIDD2zIsqBFYPVau5gOg+E2Yv8daLhsLbgUNkGI+w4/OZjRbQGSUjwZLuzAjcC7dEzW IiD8iCe2E3P5aTpTA2tXeuvseQy8KOwVCxJQuur+f/bmnE2QrPi5PPQMRcOyc4ok7k5U/64SCKlJ oITfL/xIL/xwZa26tMPcLgkkx7p0G3RLvL/tVw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Dnf6vaqe/V3pNaiPDsCpL4mEkUhuRTF8jsptuAsYR5QlsF0hNdnCfK2+aKM5H69faCvd5mpbM0GP Pqz+qhNmOYPHdckgaTUGR5o/7QyV8YKLvzwfyDMqTu2isTv6FP6Q6welH2CNBnmC1/h5T7i+fy/Q rlaoXYJxfrB3B6n9clU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block IMf8iBP4Q72XIQn7cHjsTbT2wNsnwrpqWy35OTpGthg9IgmIl2PQf4/c9imtaZPdkPVpIBywT+vW p0seCgJeCim8uHSlCA4Yuvzi7NiJqnEZtjEX9xSzaDj4EflUudOJTsvuYMqv/3kxvUgkIK0AS+U7 CWRV3RwJIjyzXaV3SkeD5i2xf0d/bezTocOrvt7wO8hz1n7ziicW5bgdFMZpO18+84bLDi0MzKYQ Ad5OLz8QJgoCqRTe+B2lLXuByvKd2+XBYArz50J0pDfy4RubYe7FYpZdW50ze6dgBWVP0HOw0tLX Pt7eQrmsKxnIhjnIQBRBht+Bb5QLkHSbaJnGbg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8352) `protect data_block 3Txl86gxySTjspY1bX6mjaEtHzHyJ6PEwV30HseHtq4UpP2jRFV0RDcUeckeAq3gEZ5Qu030LNT9 bbOM++HUbE1I8N7rcDRyyG1/zAJa57yK2mi3blq8tQKZ32YObDq6jHS9U2gM3rYjhBhVr2aKK7qQ c8X7nouwkJNW94GkZ7OhWlUV608zX7vk9Ytc1anxwA7VNM5uWs0oTqvG08wzxEO/Wp7eKojoSXwO 3Xhea7t/apLpI0orOHVPwHMzsjaxq56UlxouX+wqfNeT7gOjE86Icz9Kv6qJveIr35SBEOvmKTEu XXSNT6K9tm6q88SyQn3Fynw4R45InLxk96cdtyVOQFxzvDOOUUpt48giFXLbSh2J5eWLkh7wKkBY NwQFlaCkJdKCsT643SDourT31zKF+Ez8++L0sOmdPaVStcet+0/LOBpXifaCvmNLeKxnE44uDown kBMOgjRQlDv9IFqs6Wo034TMX/2IlRfFbca54S7HNhd4DqEOj/dLkdbi3hQqvKlUQLxGd/aByX1b hgEkVsmNNotqvCZpPQ9UsFsRcys6l++qYUPYLNf+xf+zP1lV2cgnRS4RT1iwGyboNFn3K77Tpsb7 9OpYXIW92RPDYHYOVluUlhGfDVUg0MYat/q2HEJQJrXI453QNfUDhUynqjNMtUc0dMa31quPvRVZ hHf+2/bR4Q7cjHspoRtCLGojgvnSf247q4ctuo7nbrdhYUuAa4Bjj5ju4myg9nxVU5ukJQzguBJL 3sQ6JJNrie8SnHcaAMftHxFvfSWwly88oe57Wp/UEarxKXac3A5nc41pt8Mv9Xgpu9v9VOJTxxUh 0lyGcjzLUm2XesldVnJ/PnO7FAjtpxgucH+XMQf+g2wsb59I8+ybUbOrYNQOqWYSwYCOn++p4OTm z6+e0PpDeW3xZTDe3cwHEBvM7k9KPgY+hpev11RMc+0TNT+raUGL3kNBmFiuUKDLoo9/RyEjagUr 0XsfKNMC2ZuGcJCLV4GR9MBQAHlIYRgt8pMqwpUU0tmvh50d1NgrWHgYQL1vhVQUjl2fL8jrCp0B +68ca7YQ1jwOO06mDdlvVYQjZYG/4HCGLQDVssbaag2EjF7zECcmS20NvYP6RjiCxeFLTts4nWNX QI9Rm6NxhHHcmzOEqLUcCSEhySlQwHac/hU0wa46OGSKe0mrmjph7yshq6QOx92ErIUyvhcBeJZo CYY1tCy8lK7N1pab+wcy1j3BbgC4h+YmgjRF6QzhsIcO0Gm9AWLAMvRIrWOPp/V66F7b53uZGfZg hBu915yc5M5CCeRHSBRqwlOTQ8b+GpsV2+8NdhIVSdXpGCVYKQExROd9Zd2r2RFpyx4WC8C9uISU ICBHRlp14RuEKS1tE3agqqBEQL8G83cM487JmgJrWO8alKV+Q5R/Y8cvNDq7FRMhEiPSO1OEMab0 xFA+efs/Tcf+HHo0OesKsFCjVlqwwpgbNSNTgtlDcfxD/icoQHkm8ZiY89TmjfvR0b0cnzwpHcDj RMaiCRYxWD1x6Iy8HAcn9ETbZjNvz/AykS/6e5fMYkeHvaSs0iTZgt6FMmApO8MaDCaUZJEzLv0U z5mb4bxB2IUUrSSt79+9IGbvbS7SphLSQpuyhmnr4JnQmDNj7qbKUz1unir19crnVKkDO6zxigd/ lR8zPfNWeXOCuLklXc3IcDDhBD+ysKGtw2idvIUOTMC0Wa4g2d1zmnUwx/0x0HNEwmXy5XyZpQpe MqREqJVr/i8Oed0hI1JR2OE/D9xvGQmy67OWwqOQtB58ZoJP8JmdoWCJKsQhk9569I+XRRmtvLCC d7m3tMoqYsWVMFY16sa1q0QO8R7PijrjPovnzqr48ZYLKmswIDxTakNM2zHM4zbqta7faU1m1++I nKYMlqUtnps/uGB8XKS5fnRFmB2KPBoCh3xddVUq2bbcUnb3pSgykrT+6T0Cy+V9trIv2IJ0c7l3 NaV+KvRYH0dgbBFOT5DsqIj0jiaAiwSrTgUsdI/LQ3cKu9M2/ExRpY3onDoKZc2dAD3GGb69SXAr w7p9Q18HYjcJHWpaItgzAqnpDNOsS8ZuVMmHOKqCAjG/8Krvw+TQI/+VL6o0RdDz23z6HmqTItKc 1lRdvoub1qnfL3uVJ4X9TsXy8cpZ6h0FbILRMnFsk5Vaf93/svpVrMIzYjt2Hpf62IKO5lipMuhh KPKesXaVK+Mwu/rkP45ABgmsuu4nNKVY2VnFSymuk2zHpmeeLL0v5PGSAq+qfBEAeH3EcIU0DUjF f/DZY0t+qDbjr8kuA9krOgPacrVu8+C08lCQKNB8H4zDq3aJkXYG2WmPI7/NCl6RpCTTp2ftZmHz uAg74PMVp7v+9dLBbb78ogz44Ue4t7HGbnLbVvygeth05fSmOhFEQLID3JaITPTsljDVOebzOEuB r/7Z54pRWs4eOGVJ6BTWU+2iR68Mp3+I0SipaTCSLWjdOaQxegyCEXlLXS2pP9fovqy6ohwKp4r8 SbQ+fQEXJR2Nf9iy+UBtxjz6TMTym7rgS/O9I89iDLJGFuP3z0VNGVQklauC3+Yp4gcMd0xnupu3 w27OKqWBGciRpZM6Fgrsy0mBGWFfxkKu86nJyiwgxtCocvKy5jpk7yiTaHgWOA7BrgEBHk5Nz5FL NHVIuZN7j1eFV4JAQzvPN9dsohwgB+k6T+bz8fxTf+e8RFUnDL43dOjicvnkIvfEQzwM0+JkeNvQ ta5xGpqaH1JD0qyIkJvzScWkIVGrzWzMMvxN+DtabQBIeE2j5DTmfMky0f63cNgmFWP6/SP8aELt kt38bI3RslECUinVEQnYbU2DhgBl2Bp2E7uq/YG8V1sM+J132SjhghxqJ6T1iaGzRtJrzV8uZJUI QV78YPB8Sw2WfIKPXHMOo8Wcuiq7hWP2gnMzlEhTruTfy43iOBynsEQiXlpz5MtA7mEni8hCK/ju wEgP4JhZ2tuIpn3vt//Hes8u0qpLAT4bL03JKYgTMtYLwgU/cKQ7mMqCQ6JazkTKGN1vdrb1P6FI jm7sXfEx1FtTOtiyoXtAyMhUgg+nYckjfJRB+Upgs+B8ajgnnZ4zZWZg9nXh4NOTgJqwSZoz3mYj 0YEoTAZoU5V/kM8lWYqbfC7ss3tgwGjQhmamh1yG2IBAFfbbm+1eaGzWKhnMkIe/ThTInM2B1954 qhG2i2RrFeFBjYacocDQaSbMgsDSx3bO0wRodxUv6ITBJWBtUXQ84psaazwKrD/48/Wk9SiEOiD1 bHUKJFcMGiEDXRQo6c2MPJQ6+AASb+mkg4r+ZMnCpAgNGWBSRtJ3JaJz3fpIua/0GcoKl2yUtmou QnC/LB3DfIirdC5fp4Ar2PTLUq41yjWqn49wmeFrni0Fyh6cBfPplgLIt5GduGYDHqCMzXsq7jMB J4K0ObKnDEOvNDZE0aYW1ePxQNtm2WgNAE5G1Bb4zoziBHf8WCtWuwOZD72tS7ZLwU0SRI/H1rgO NqpZtEe8PbhFF3Mu945oZP/D65wwWYbxbCefnwh7a9hurvKB+YX4xWg7Bd+gnzSBzDNtoULsaGaC Vl5fRhumkx+BwqRdeIvZbEBGKEAuT9fwLuBWbqk9HBZDRGqcse0evcpEJwwj3bvVq9LzXkuUYR1z Rj+rkr1VIOBvD406n/kA7zybInjl+abePxrvEczIc+9nNyt4olcCdlOPbnfijOTwpQ8D26mPfsYD i9O3VvkG9+NJIYW+QgS2AKJXFvHQptmkEqXIaW5nI1pxbps2GKYt7UeBwd3OGuNFPUwtXN28qSnG EkOYzBRsWNCHT6Er1YEX4gIcUpwGuzYkItG+yZY6/dH49QZnIuVWqiFNU6F/5rfoOf81SF+rcgn4 OJPqnzNuGtn0dwUAWA17Bc6BzZ407CNs/QiW5tcgtLCQ0OQSvgN4gNMgvig9LHofpmNnlTQAvYYe BVMg4B3jAZj23PTEw7AVpjHMYUWAHgiPZG4vsf+KQIkXE2LwvfDjNzHLr2iLhAHJXd0IiqeTGiaz IlFj8ULdg+8d8cqV9NCVt2TdAsPgvBFn5tejC2z6o6lQx7u5LDB6LxPxb9b6Zin6lexVCtUzMvMC 0M9fb0Y1ZfMukFBjX5eV4TAtVULwlFjyiwbQ86oEjKcSLpynhYc0F7ITr9iGQjCT1gMB6gkU+r1A lCJapEUql8wzY3VFG5X7mMFuNMnvwzIKZ+jAtrIgNE4JdpAIYTcFEkxq8akjQVVUDedUUJb8ltag RUw79Gm+0c5hgnSPw8xrqk1M73/tMFsPDOCESMOCY62RTQvEJ3xns96vKjn1c12j9qkYq5Rv/4fC s3wFId2akJGz81EuJW8OGSOkFdLYmhVLgXPJXM5DAWhKKcOBO1RTfb+2KF5sP16/tXkQ9StRq4VX c+UD/PMrTDZM4G6HgrYZ/9dGhLTHxganTLx62+QqSuwA99tMdCd0GbQneOfqNHb8C123G3zS7fMj swXKIyhroWPHZdxxm2Osyv3wAxCg8T8XirESRway9GoO+UFL477kJLZ+HmiJsXQTEelxX7WK8ze/ 22UYNqX/fzMT2M824ohaQFAtjXa+mwiOm6ydU3dCehJ+W3m+D6l4bVwPwbv4xGA2wBb/aiIGuqVN o8NK9XsDyh2RFFihGZrvJyoxBbzQH3hvISJlrfKmknMk9vQ/hBNtdWOkLTZ9WZR/pgD+eVzF6ot2 rbuarAjEDrlldyE5l8wZ1JOz3wU4S+cD6jx00DiL3FA62+fMbxmTmAZgHudnMBVHWMHx65pookQ1 PH/eetNoWd3uMDXzMzNF/eHW3LXRwmpckxQgn5C6HlfMlIBwL3tTRgY7M5iZhuvORqToXY/7rdTK laP4Yffx9Go9q3T31S09Rn3SD1Bq9R7bd/7LqrdYezN7jyNSinl1SRoCRVbjD+5zIG0psVectW66 C6xBHdEHgSjehXEaSak2uWDskIo3YkZUpvAF7KGnm9bUP+zer9dfwk0EQAzxap6tIZ/q8ZFO+aEa cU/zpQnghtgefYQTdEbF5hc1GtdPi9V03glTxYGdLJZd9h+ITNvKfwEJZ+T4ERkL8RVu0tL7Q5Tn C+GGjYe5vSj0n3o4M6Q9OEYowOKC68LP39oVGI09gHYGwHQLNKoEe9Xxsu6k5+HvEp7xqQRgTkMr KYQ1YwAyYyjezGrGnATKvcRCl8Qv6ob0wgmr3n1DSenWBBkptfiMEueDrvBzCvLooImQiLTrIZpv BzATxNSFTzXmAfuP28U17N3EXCWA3AkWGS5IcY9blseoPjgVF8mAIukuDd5IWMehpWny50JTfJXq PXVLsOk6aN9TmZCbQdfKJLKUq2wf6IfhH/6LZ4kzWKjp7cjIVVzBBU5YFoJTc9Xcn2cWcxdMxUDo +atD56hnbO8Yv1qD5j1IyZQR26x1VUYCT8surMUyOeMYDf0QOmFXW8vFXM4iFJch0TQJVc+wNTQ5 r/JXQBwjLloIKh+zjBGML90YyHEi8lBIegcHQjcfdVAiSguffRzvi1GyY0tJ9+uuSPvR8MsmySw+ qJax9eOrGGMrkaMutrm4coh+90PGwrVBw7C9CJ20slujK4fzED1n2GI7HIk5C/nwustjJ3aY9FId 5RgVZsF66MOXe8JWXJYePleTKcIMkc81dgw5GpAxgrcfxQEuq4MYXQq/HU09N/Ba+knDJmloMsrq h2ruTb/P7ZZBeNDGQR3kNYVJCkMIe8EqhFfeDarNLviUZEmbnuNyWO17WJH2175txLa6XYHVPuXl xZyalFZzMdEmW0ehRQZxGlteMC/ISZK8lV4jiKzTJzCv1LEZjYhjHYakr21eVgBYZimn2FxeGfUU OJyqiweGOShLgragFNrgH1BcUeT7n/gaMJ7AvFXZfj/UjGyXnadgQE3W27OfFR+yKgDXIV+Ll7Sy JqhpUFVILNRiN9EOsszyG1lDmWIqFIswPyYAcdL0vWfGRAGdlSZkfIxioF85gpNWrZcWLABc0YLo EpwhTas0yCgDNkyb2pKsi+AEFp9GCF8uXDJjdhZ5oLAiNM521YbRRYRN3sTJ0trJrmSQZ/w1Ng4B ukLHpJ++YqCKWMQSeqxPS1cd3FmFzrnuQZ2zgnbiXUjMBOf3EiHMKBTeRIfRNV6MPy8Dva8CPabX Bpi5YTB/kK4wFn7oGntO4ju0zarDapC7dkQRHvD0FNNW0uWDxf4ZC2hdlImcLC8GwD4Jqx+Ww5vQ iWb5a5qeHv8FbwX9avXhWV7W595r70shlOyX+cBLUevhdivgviVBYx6pp/PwrtpQZE41FymWtqTU eTITzgOySas9n4ArQT8EvyuSsZh5ZoONr2mvGkOzFqKRNxwJfLP3JGB2JDtyXl2G6LyE3wj+bkjp bFPmVN37bVtYGhSeB4rYxt4pHWAMexghGjZOhb9wideTxEmxMPUkUOTheSqUXw1411WoB1wxVM6Y r1/9/pw6VWXqKUKls6bf+uZw7U9Ko2OUpSFVOKTweTJuBbDHi3SYWXIPUJrSJdyLg98+QJWyyY6C BsU6vDcAWRqIXMuTxlc1Dn4rQnP4/lLSZ4TmDjBpf1rXBAOkVmFlr9RspUv94oqTwbZhCnpQsx/e 4MUd5Ot6CgxzbhYvp9bA7mL0HFMsq22B1V0u2Onn5VyUXdY5cmY3DRBJxQPx7yLC7X+eZMsBVQ+T aoMXwhJx3dHjfWRgPW94w/wQuufuExuG4R2T+ShvvNxUye8SVGMPZR8z94j+Dg3LyUMMtKOdhTjM ETq8q1gT+As23MYg0Qh+YOh6lt0kuieB3VPok5s4xBHxEC7W9665X+mRU9W3qyNTbaSt18iZDeE+ Y8479RRrRzSqcJ5nDgy/wXHej126J1eo7ecwew827Xh2VtEBqcqtAfrt2Kps79NUZaaBUDJYQruG Qh6T2u8iAgDZ2+2PBdpCybxeDQH4uWBmYuZfcSGuHmei/WJAQjKERXXxv+LYvrji4eKGsTw+Suel 0R1R0VXI7ckRq/ZBf0Kqk0+xybdpNXnM8emHK2Hxl/mZ+7W9/0wVhT4uBTgu2xVLj983x0X6vIhK FV4wa02Xei1qBoBY//pWaVBaxvKSPjWVCp8g7okVIe6+NeEIaN4oUBq+3byQvC/cdzPDCc49y11s wHQNPeoyEv1qHjhmH/ikDVuqH9VNg0HMA4g6lsI8Vjr/SFthTo/uHR+U5Ex8egTO+BFOgiknLJJe RxKcVxRiZh+DnGrTxgwzfYiz2T7I2dZaFYfR0qE2YZl+McZBQ7CBwjZV0QQyvu6RI7wC4qxrl53c DZl5xTr7/uLEgrm+a5DFAybjtbdGICi9V7tiTbRj8FXZ7eIHPzaKU7EFrPm1BQvwljKum2ziKmvp KZV4s4YGJ+YJ4j6tt+egQ0Q+gqA43/X7wYoS17Nw9zvN3/29u3q6fB6URMTwfpI89Ju5ZB4pAJIL 4OF7j9ZglPK85CpSMNZa/C7naaqQXPEpBmCkUQ7j5sdm8jYpoBgGr56wYEgJTb3t8ISfb/8ClDM3 OBVWQZoCrmYWZRTDTTQV5Q3oPVIQ2lomOiOtBj/tHmFeqAVaqVyYTWAPMh4wUXIMf77Gxiyzwe5l lbfHOKa3MYrd9pScNjRrE6rCddnwJLtcuMdJGT/K1s+rdBRWrlSNYWB0OPjqRBHQHkJQ7Z43Z3a2 ug7trN9rkGAz1o3F4cjkQBjxFqynXGClk5W8x1LtPyfcPmvODgwjDN6NWQbospmYR5Tk+9BpmRZt 9eLGft5A5YMAPU/O+VLRfPlVbP3qh/UI3TCpFpimtuRLFTCz4JztU8ZhIPncgrV0gK935ZKTtGnW BaMzGRyUSEKb0Zk78tlj5/srTcV5W2JedcjsdTEt0VmEaXIdJq7zuVZK4DHLddLUE9RFoZLKUVtj PPDWg9LQO5/j0o/Flr2+x/dFzulAoPTxfaxI7MCUmWunbSmNcsKrkqU2y0GpSwR9oqIRCH9ZUNmR Yx19latY90ZAJbIzGHcYO4zlSHsw5h8p1z9tAwRKdGl4iQgYxgJrbhUbvWxGWpvPmNLuNr7xXEIK oDdgIXUJ3F/lcnCdoUn5R1aLfWWH+IG9bmNCyU4s8NFEXMf/DaJIrQF6X1cz2uY50Gu65RK37mGJ q9t17ga2OiSnxtC1w/GmnPZ8+KjOVusjUElhRM4zgCOlnC9TSzQPyGrDFi21s5+to9mTB72+meBT YxfkNIV8M3PPmX7JaoXOT1xetjQOUFrFOU6ilBIQLq+XxjFdKvReovv0w0Pvcm7ms8xBGceKni3C 38O9cbEwE4U5FOdS6c2ZNHRhnUWeDyBraD5sm2qKEegmr9l8BzNS77gOK9upF0e5IWgNn2U42+10 2H3Vl2umQdtMTq2omNElOe+qAWcy2HwnclRlaN+9UfFk0ianhL4kXCpOLSx5VzNddArXqaEXtwDf eDFS96XliQwseFOEt/T2C+6kk0k4Dd8JRiruo64uVsVu81mWVGC+/W+dsCAJ6Wtap+NDV2tIDFjp ePx6sQLMnN00Do7sHJVvrWr3LdfY/Cz85lPJRJIkLUuIeQsUy0hFDlz8evNBkB1cg5U87HkIdg0S nK7904RqhwNIHGf3vaSpMxplcRbgiBkxHAmrHJfET1LVkoQMtIT3x2HcvE/7UYIOTv7HqbS/fAAk pbwAC272qlLmd4NPDdnxiok9c0+r++9AHaFr8Xt2BOXteGzOowE9KsGOM93RCGrdEGuarlEiMUde l/fMPzGCiOf3gQfO6P13REGpti9BPWTxjm1FyzTooueE+WTwuYsFAhadt6+3wuzOd1P2SF6usQIC e6C4k4hKED8VPyDgQ6F38jBiZU6vtUJQeE+OoAGEaYqYL2rds3b5F9C2AkKSUAxrRN0mCAflGHe0 KLTV02j3HfzlnhA1x4uvJuWo+yEIWwbh0/3Paxosv/OwXzExR3zF9GVE6bS9VUES67V/VyyAsQ0o +NaL9FIhqiWGRrMEM/ZY1kTPkhKKUy6yJygtCJAUIqOtAaJi7C9uuyw7kLPEQ8oelL75GV18BTVq b7NIx3B394DduoIuD+0ricroH9ZaPIfu07FleYodHHHhdrAl1z50ozeAj1FHvM7tRlh4MAXt54zY PBm5h3lZvnZ4NLBl5HLFZnNViflav4/4O+cpSd/41fJWJsFOwpXLA3x6tMkuOHsf9VRV+4alRJyC 54ERBeK+DuskKZP01Z5HJbnoh//TV7yj6y0+XwQ1byR/CDAWe+yrUUGs8jzrblu0h8ufY7/82rti p32XiCu8rAA3/5UC78i9xbrkDjcuzedCdQc8An7QA/ahIy8U2+WbhVq4LNcfpXzpHLQw1eQe5Xkc zF1NCnNT5wsawKqj2FW1hQYDLIAwF0kzMA6Txb73HiM8AxgOlfnyliy5bNXf/pRs0tmQ/84gJ5tN +XZKTV41n1vKOmEvtxmpL2HPFwIsozX3AznukZBdSr7BgVdlOvcJCUXvRANX91AMUKWJY8VvAUWI LBkjygf6MsJrWRD6qqmRUAhDwUv0udi6JfCKV1BozKJYtC0h3SyFoyYgdjtbPCpyQT91/ULlRTIA 8j5eA+Z768VYa+f08dUwZCblpvUbMhtmuBfLMmsdL0yFo/W4QjV50PeJgWZU7B67yghOAm1RE3lc lPZgZebUuzRFZxNoKgLgVDEQAPqVt564c+AlR0SXDpPOD0H8hr4ThFjKeEJ3Nv77slRJ7uHZDg1O nYCkmAPBKcAo6MHFsbGm8PnmJKPORkgGulEF9IApU5UgB9r5smUJFTWta6Y7Vbu9RlOoOk6tG6lJ xSHxMgPHwqcvGGN7IJvo5sqHjr0ohdI/qXf69fBdz+e7QqDTntNk+oK+2PvqexNNOw/mrkxO/qhl KDruTzmxO5CAuvq/xESrxxbPdaXOyzmTxHaaUADGU+8IrZ4o3NW9UUvfWReX3FdDI4YKcw8SAa6k Uf45VPHnJ4MG74xA8sEAjw4KTB7sRIwta9+C5STfWIqmZQ4mfltIzAK2H22E+3GadM/OuNw27gn4 YBT7Vlw/RpnOeL28JD42giu1dc1atOqw9XK4+XBeUWpoW7CXGF2sYwhgc8zsMtw4pdSOgEiNyMnl +dUdqVqefW1MkMKgwk4CQBnaSJSMITwsXIC2nD8FOzfFFkF0hXwFCZrfQaWQeoTI3UbE44myhYxB rTxBWSCZy89yRzmolBMGyrBxV2X6IqfhXQsPUg/w/5+yYgmCyizQYU2WabGXq1cdXO3tdlIt+J9O rxtuL/eaNPWTRcDSFNekLYXMHAua1E2VtBqO/2afq56GelSNoKHqnu2jBi41vn9weSNeKFOs2QDD 5mD3PzEuXmVFqy7P7ooPFV5X4oqnMs/0pCpmiWH/ySxTxkLKzNv/fF8RiOHeW0s+lXzG1884HXer 65bmvPMIO0QegDwJamA0u1VtwoDRT6F5X9wdcfr5oWeqm8ceNmY58JNu7Bqcu558i/poHsS54d+e 6Dn+n5pD6kM1GdZlp5YadjZUU2N4dcmGmERuQkhWNnMPxgKQe4I806zOcCGmXuOTtjBcQLQyFccC 81htxrlD8+TXIYv/3KLPTgO/g69qJIcpvZOV4R5ib6X9Y7AbQVqNPuWKcYFImHZUa/QsJIC0Q2tw cxFZUG9PBI+MXb8uC37EJzmU6+gU8tLRZpc2h4UDfO3Oj44wf8hV9nWkfDu5HUd6jCunOAuTct0S BuNObbRewq7zxrZXR2blx/OOB7KIYfCNxPEWLgh99LlVTJr10ZBL0exfksZc23Z4MqhlTCCuLXGd Yf4lqEuEdAEmUHeRf7EyVuypytdGGsbu3F7O0Mme/W9zMpR5EDo9ULlA6tLoRYkJg2NQ7Jh9aVlB kRD4Yo0DWA6Lbpddq4sZRPloZbZp8ZXS86QVjto4I9jMOqVsi24Pmx9pA5yM/J5z7b5Gtn657BcJ L0BdShDJa7DJSGdPo1f/imrxbRPTT9LjQVDI3NV802VgkeNtOnDxHpqDVqIlWx1nqbMpONXRhcOh 1m1s69ftQYoserPWOP3DwK0+ua2qyS8pfSEWTAhgX/xFDD+zzfH7fnn7DGb63+l5pSghyILDTmzw 1sg8s1nxvdJiU0DhaBsPlrCqHG/feXA7UgC7OIuf `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block pabZO1I/O5UlEfYaQEPwd4l9eUai0bqYoMxFZDUmBPXyS95K3GW98Ld97MzJKAXXnSlf1PewGW2v 0RIeWd32HQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block MnYS98CLv6GUlLtXXj0MDq/aXJWBamrEeFXZFkhzX7OjMU68I3JzEc2/1UN3CHInfTII6cQBis+f MSPPkhHYfjWA/UnlZNCfIbUjCA7v4zzzEDOXLdUwHhey61M2PDbtjo4F0M+PSYsHQUE61FCJYZr6 +aBOwyo0CpKkCUVEbxg= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block qncW/Cwz6DQ02ZtEcvyp5WdAA4sItotGPpP0REUtLyqefQhCtJmFILcg4T0iyRUg7VuYEwIANO5+ QvHNNc39qIJv9lOesalgHBZQgvNRJnIdYWaRfS0GyacwI/2JQRwAkuAQstvDCp4RTc3l8lwP6/ls 9Kgq/wnF0FIDD2zIsqBFYPVau5gOg+E2Yv8daLhsLbgUNkGI+w4/OZjRbQGSUjwZLuzAjcC7dEzW IiD8iCe2E3P5aTpTA2tXeuvseQy8KOwVCxJQuur+f/bmnE2QrPi5PPQMRcOyc4ok7k5U/64SCKlJ oITfL/xIL/xwZa26tMPcLgkkx7p0G3RLvL/tVw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Dnf6vaqe/V3pNaiPDsCpL4mEkUhuRTF8jsptuAsYR5QlsF0hNdnCfK2+aKM5H69faCvd5mpbM0GP Pqz+qhNmOYPHdckgaTUGR5o/7QyV8YKLvzwfyDMqTu2isTv6FP6Q6welH2CNBnmC1/h5T7i+fy/Q rlaoXYJxfrB3B6n9clU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block IMf8iBP4Q72XIQn7cHjsTbT2wNsnwrpqWy35OTpGthg9IgmIl2PQf4/c9imtaZPdkPVpIBywT+vW p0seCgJeCim8uHSlCA4Yuvzi7NiJqnEZtjEX9xSzaDj4EflUudOJTsvuYMqv/3kxvUgkIK0AS+U7 CWRV3RwJIjyzXaV3SkeD5i2xf0d/bezTocOrvt7wO8hz1n7ziicW5bgdFMZpO18+84bLDi0MzKYQ Ad5OLz8QJgoCqRTe+B2lLXuByvKd2+XBYArz50J0pDfy4RubYe7FYpZdW50ze6dgBWVP0HOw0tLX Pt7eQrmsKxnIhjnIQBRBht+Bb5QLkHSbaJnGbg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8352) `protect data_block 3Txl86gxySTjspY1bX6mjaEtHzHyJ6PEwV30HseHtq4UpP2jRFV0RDcUeckeAq3gEZ5Qu030LNT9 bbOM++HUbE1I8N7rcDRyyG1/zAJa57yK2mi3blq8tQKZ32YObDq6jHS9U2gM3rYjhBhVr2aKK7qQ c8X7nouwkJNW94GkZ7OhWlUV608zX7vk9Ytc1anxwA7VNM5uWs0oTqvG08wzxEO/Wp7eKojoSXwO 3Xhea7t/apLpI0orOHVPwHMzsjaxq56UlxouX+wqfNeT7gOjE86Icz9Kv6qJveIr35SBEOvmKTEu XXSNT6K9tm6q88SyQn3Fynw4R45InLxk96cdtyVOQFxzvDOOUUpt48giFXLbSh2J5eWLkh7wKkBY NwQFlaCkJdKCsT643SDourT31zKF+Ez8++L0sOmdPaVStcet+0/LOBpXifaCvmNLeKxnE44uDown kBMOgjRQlDv9IFqs6Wo034TMX/2IlRfFbca54S7HNhd4DqEOj/dLkdbi3hQqvKlUQLxGd/aByX1b hgEkVsmNNotqvCZpPQ9UsFsRcys6l++qYUPYLNf+xf+zP1lV2cgnRS4RT1iwGyboNFn3K77Tpsb7 9OpYXIW92RPDYHYOVluUlhGfDVUg0MYat/q2HEJQJrXI453QNfUDhUynqjNMtUc0dMa31quPvRVZ hHf+2/bR4Q7cjHspoRtCLGojgvnSf247q4ctuo7nbrdhYUuAa4Bjj5ju4myg9nxVU5ukJQzguBJL 3sQ6JJNrie8SnHcaAMftHxFvfSWwly88oe57Wp/UEarxKXac3A5nc41pt8Mv9Xgpu9v9VOJTxxUh 0lyGcjzLUm2XesldVnJ/PnO7FAjtpxgucH+XMQf+g2wsb59I8+ybUbOrYNQOqWYSwYCOn++p4OTm z6+e0PpDeW3xZTDe3cwHEBvM7k9KPgY+hpev11RMc+0TNT+raUGL3kNBmFiuUKDLoo9/RyEjagUr 0XsfKNMC2ZuGcJCLV4GR9MBQAHlIYRgt8pMqwpUU0tmvh50d1NgrWHgYQL1vhVQUjl2fL8jrCp0B +68ca7YQ1jwOO06mDdlvVYQjZYG/4HCGLQDVssbaag2EjF7zECcmS20NvYP6RjiCxeFLTts4nWNX QI9Rm6NxhHHcmzOEqLUcCSEhySlQwHac/hU0wa46OGSKe0mrmjph7yshq6QOx92ErIUyvhcBeJZo CYY1tCy8lK7N1pab+wcy1j3BbgC4h+YmgjRF6QzhsIcO0Gm9AWLAMvRIrWOPp/V66F7b53uZGfZg hBu915yc5M5CCeRHSBRqwlOTQ8b+GpsV2+8NdhIVSdXpGCVYKQExROd9Zd2r2RFpyx4WC8C9uISU ICBHRlp14RuEKS1tE3agqqBEQL8G83cM487JmgJrWO8alKV+Q5R/Y8cvNDq7FRMhEiPSO1OEMab0 xFA+efs/Tcf+HHo0OesKsFCjVlqwwpgbNSNTgtlDcfxD/icoQHkm8ZiY89TmjfvR0b0cnzwpHcDj RMaiCRYxWD1x6Iy8HAcn9ETbZjNvz/AykS/6e5fMYkeHvaSs0iTZgt6FMmApO8MaDCaUZJEzLv0U z5mb4bxB2IUUrSSt79+9IGbvbS7SphLSQpuyhmnr4JnQmDNj7qbKUz1unir19crnVKkDO6zxigd/ lR8zPfNWeXOCuLklXc3IcDDhBD+ysKGtw2idvIUOTMC0Wa4g2d1zmnUwx/0x0HNEwmXy5XyZpQpe MqREqJVr/i8Oed0hI1JR2OE/D9xvGQmy67OWwqOQtB58ZoJP8JmdoWCJKsQhk9569I+XRRmtvLCC d7m3tMoqYsWVMFY16sa1q0QO8R7PijrjPovnzqr48ZYLKmswIDxTakNM2zHM4zbqta7faU1m1++I nKYMlqUtnps/uGB8XKS5fnRFmB2KPBoCh3xddVUq2bbcUnb3pSgykrT+6T0Cy+V9trIv2IJ0c7l3 NaV+KvRYH0dgbBFOT5DsqIj0jiaAiwSrTgUsdI/LQ3cKu9M2/ExRpY3onDoKZc2dAD3GGb69SXAr w7p9Q18HYjcJHWpaItgzAqnpDNOsS8ZuVMmHOKqCAjG/8Krvw+TQI/+VL6o0RdDz23z6HmqTItKc 1lRdvoub1qnfL3uVJ4X9TsXy8cpZ6h0FbILRMnFsk5Vaf93/svpVrMIzYjt2Hpf62IKO5lipMuhh KPKesXaVK+Mwu/rkP45ABgmsuu4nNKVY2VnFSymuk2zHpmeeLL0v5PGSAq+qfBEAeH3EcIU0DUjF f/DZY0t+qDbjr8kuA9krOgPacrVu8+C08lCQKNB8H4zDq3aJkXYG2WmPI7/NCl6RpCTTp2ftZmHz uAg74PMVp7v+9dLBbb78ogz44Ue4t7HGbnLbVvygeth05fSmOhFEQLID3JaITPTsljDVOebzOEuB r/7Z54pRWs4eOGVJ6BTWU+2iR68Mp3+I0SipaTCSLWjdOaQxegyCEXlLXS2pP9fovqy6ohwKp4r8 SbQ+fQEXJR2Nf9iy+UBtxjz6TMTym7rgS/O9I89iDLJGFuP3z0VNGVQklauC3+Yp4gcMd0xnupu3 w27OKqWBGciRpZM6Fgrsy0mBGWFfxkKu86nJyiwgxtCocvKy5jpk7yiTaHgWOA7BrgEBHk5Nz5FL NHVIuZN7j1eFV4JAQzvPN9dsohwgB+k6T+bz8fxTf+e8RFUnDL43dOjicvnkIvfEQzwM0+JkeNvQ ta5xGpqaH1JD0qyIkJvzScWkIVGrzWzMMvxN+DtabQBIeE2j5DTmfMky0f63cNgmFWP6/SP8aELt kt38bI3RslECUinVEQnYbU2DhgBl2Bp2E7uq/YG8V1sM+J132SjhghxqJ6T1iaGzRtJrzV8uZJUI QV78YPB8Sw2WfIKPXHMOo8Wcuiq7hWP2gnMzlEhTruTfy43iOBynsEQiXlpz5MtA7mEni8hCK/ju wEgP4JhZ2tuIpn3vt//Hes8u0qpLAT4bL03JKYgTMtYLwgU/cKQ7mMqCQ6JazkTKGN1vdrb1P6FI jm7sXfEx1FtTOtiyoXtAyMhUgg+nYckjfJRB+Upgs+B8ajgnnZ4zZWZg9nXh4NOTgJqwSZoz3mYj 0YEoTAZoU5V/kM8lWYqbfC7ss3tgwGjQhmamh1yG2IBAFfbbm+1eaGzWKhnMkIe/ThTInM2B1954 qhG2i2RrFeFBjYacocDQaSbMgsDSx3bO0wRodxUv6ITBJWBtUXQ84psaazwKrD/48/Wk9SiEOiD1 bHUKJFcMGiEDXRQo6c2MPJQ6+AASb+mkg4r+ZMnCpAgNGWBSRtJ3JaJz3fpIua/0GcoKl2yUtmou QnC/LB3DfIirdC5fp4Ar2PTLUq41yjWqn49wmeFrni0Fyh6cBfPplgLIt5GduGYDHqCMzXsq7jMB J4K0ObKnDEOvNDZE0aYW1ePxQNtm2WgNAE5G1Bb4zoziBHf8WCtWuwOZD72tS7ZLwU0SRI/H1rgO NqpZtEe8PbhFF3Mu945oZP/D65wwWYbxbCefnwh7a9hurvKB+YX4xWg7Bd+gnzSBzDNtoULsaGaC Vl5fRhumkx+BwqRdeIvZbEBGKEAuT9fwLuBWbqk9HBZDRGqcse0evcpEJwwj3bvVq9LzXkuUYR1z Rj+rkr1VIOBvD406n/kA7zybInjl+abePxrvEczIc+9nNyt4olcCdlOPbnfijOTwpQ8D26mPfsYD i9O3VvkG9+NJIYW+QgS2AKJXFvHQptmkEqXIaW5nI1pxbps2GKYt7UeBwd3OGuNFPUwtXN28qSnG EkOYzBRsWNCHT6Er1YEX4gIcUpwGuzYkItG+yZY6/dH49QZnIuVWqiFNU6F/5rfoOf81SF+rcgn4 OJPqnzNuGtn0dwUAWA17Bc6BzZ407CNs/QiW5tcgtLCQ0OQSvgN4gNMgvig9LHofpmNnlTQAvYYe BVMg4B3jAZj23PTEw7AVpjHMYUWAHgiPZG4vsf+KQIkXE2LwvfDjNzHLr2iLhAHJXd0IiqeTGiaz IlFj8ULdg+8d8cqV9NCVt2TdAsPgvBFn5tejC2z6o6lQx7u5LDB6LxPxb9b6Zin6lexVCtUzMvMC 0M9fb0Y1ZfMukFBjX5eV4TAtVULwlFjyiwbQ86oEjKcSLpynhYc0F7ITr9iGQjCT1gMB6gkU+r1A lCJapEUql8wzY3VFG5X7mMFuNMnvwzIKZ+jAtrIgNE4JdpAIYTcFEkxq8akjQVVUDedUUJb8ltag RUw79Gm+0c5hgnSPw8xrqk1M73/tMFsPDOCESMOCY62RTQvEJ3xns96vKjn1c12j9qkYq5Rv/4fC s3wFId2akJGz81EuJW8OGSOkFdLYmhVLgXPJXM5DAWhKKcOBO1RTfb+2KF5sP16/tXkQ9StRq4VX c+UD/PMrTDZM4G6HgrYZ/9dGhLTHxganTLx62+QqSuwA99tMdCd0GbQneOfqNHb8C123G3zS7fMj swXKIyhroWPHZdxxm2Osyv3wAxCg8T8XirESRway9GoO+UFL477kJLZ+HmiJsXQTEelxX7WK8ze/ 22UYNqX/fzMT2M824ohaQFAtjXa+mwiOm6ydU3dCehJ+W3m+D6l4bVwPwbv4xGA2wBb/aiIGuqVN o8NK9XsDyh2RFFihGZrvJyoxBbzQH3hvISJlrfKmknMk9vQ/hBNtdWOkLTZ9WZR/pgD+eVzF6ot2 rbuarAjEDrlldyE5l8wZ1JOz3wU4S+cD6jx00DiL3FA62+fMbxmTmAZgHudnMBVHWMHx65pookQ1 PH/eetNoWd3uMDXzMzNF/eHW3LXRwmpckxQgn5C6HlfMlIBwL3tTRgY7M5iZhuvORqToXY/7rdTK laP4Yffx9Go9q3T31S09Rn3SD1Bq9R7bd/7LqrdYezN7jyNSinl1SRoCRVbjD+5zIG0psVectW66 C6xBHdEHgSjehXEaSak2uWDskIo3YkZUpvAF7KGnm9bUP+zer9dfwk0EQAzxap6tIZ/q8ZFO+aEa cU/zpQnghtgefYQTdEbF5hc1GtdPi9V03glTxYGdLJZd9h+ITNvKfwEJZ+T4ERkL8RVu0tL7Q5Tn C+GGjYe5vSj0n3o4M6Q9OEYowOKC68LP39oVGI09gHYGwHQLNKoEe9Xxsu6k5+HvEp7xqQRgTkMr KYQ1YwAyYyjezGrGnATKvcRCl8Qv6ob0wgmr3n1DSenWBBkptfiMEueDrvBzCvLooImQiLTrIZpv BzATxNSFTzXmAfuP28U17N3EXCWA3AkWGS5IcY9blseoPjgVF8mAIukuDd5IWMehpWny50JTfJXq PXVLsOk6aN9TmZCbQdfKJLKUq2wf6IfhH/6LZ4kzWKjp7cjIVVzBBU5YFoJTc9Xcn2cWcxdMxUDo +atD56hnbO8Yv1qD5j1IyZQR26x1VUYCT8surMUyOeMYDf0QOmFXW8vFXM4iFJch0TQJVc+wNTQ5 r/JXQBwjLloIKh+zjBGML90YyHEi8lBIegcHQjcfdVAiSguffRzvi1GyY0tJ9+uuSPvR8MsmySw+ qJax9eOrGGMrkaMutrm4coh+90PGwrVBw7C9CJ20slujK4fzED1n2GI7HIk5C/nwustjJ3aY9FId 5RgVZsF66MOXe8JWXJYePleTKcIMkc81dgw5GpAxgrcfxQEuq4MYXQq/HU09N/Ba+knDJmloMsrq h2ruTb/P7ZZBeNDGQR3kNYVJCkMIe8EqhFfeDarNLviUZEmbnuNyWO17WJH2175txLa6XYHVPuXl xZyalFZzMdEmW0ehRQZxGlteMC/ISZK8lV4jiKzTJzCv1LEZjYhjHYakr21eVgBYZimn2FxeGfUU OJyqiweGOShLgragFNrgH1BcUeT7n/gaMJ7AvFXZfj/UjGyXnadgQE3W27OfFR+yKgDXIV+Ll7Sy JqhpUFVILNRiN9EOsszyG1lDmWIqFIswPyYAcdL0vWfGRAGdlSZkfIxioF85gpNWrZcWLABc0YLo EpwhTas0yCgDNkyb2pKsi+AEFp9GCF8uXDJjdhZ5oLAiNM521YbRRYRN3sTJ0trJrmSQZ/w1Ng4B ukLHpJ++YqCKWMQSeqxPS1cd3FmFzrnuQZ2zgnbiXUjMBOf3EiHMKBTeRIfRNV6MPy8Dva8CPabX Bpi5YTB/kK4wFn7oGntO4ju0zarDapC7dkQRHvD0FNNW0uWDxf4ZC2hdlImcLC8GwD4Jqx+Ww5vQ iWb5a5qeHv8FbwX9avXhWV7W595r70shlOyX+cBLUevhdivgviVBYx6pp/PwrtpQZE41FymWtqTU eTITzgOySas9n4ArQT8EvyuSsZh5ZoONr2mvGkOzFqKRNxwJfLP3JGB2JDtyXl2G6LyE3wj+bkjp bFPmVN37bVtYGhSeB4rYxt4pHWAMexghGjZOhb9wideTxEmxMPUkUOTheSqUXw1411WoB1wxVM6Y r1/9/pw6VWXqKUKls6bf+uZw7U9Ko2OUpSFVOKTweTJuBbDHi3SYWXIPUJrSJdyLg98+QJWyyY6C BsU6vDcAWRqIXMuTxlc1Dn4rQnP4/lLSZ4TmDjBpf1rXBAOkVmFlr9RspUv94oqTwbZhCnpQsx/e 4MUd5Ot6CgxzbhYvp9bA7mL0HFMsq22B1V0u2Onn5VyUXdY5cmY3DRBJxQPx7yLC7X+eZMsBVQ+T aoMXwhJx3dHjfWRgPW94w/wQuufuExuG4R2T+ShvvNxUye8SVGMPZR8z94j+Dg3LyUMMtKOdhTjM ETq8q1gT+As23MYg0Qh+YOh6lt0kuieB3VPok5s4xBHxEC7W9665X+mRU9W3qyNTbaSt18iZDeE+ Y8479RRrRzSqcJ5nDgy/wXHej126J1eo7ecwew827Xh2VtEBqcqtAfrt2Kps79NUZaaBUDJYQruG Qh6T2u8iAgDZ2+2PBdpCybxeDQH4uWBmYuZfcSGuHmei/WJAQjKERXXxv+LYvrji4eKGsTw+Suel 0R1R0VXI7ckRq/ZBf0Kqk0+xybdpNXnM8emHK2Hxl/mZ+7W9/0wVhT4uBTgu2xVLj983x0X6vIhK FV4wa02Xei1qBoBY//pWaVBaxvKSPjWVCp8g7okVIe6+NeEIaN4oUBq+3byQvC/cdzPDCc49y11s wHQNPeoyEv1qHjhmH/ikDVuqH9VNg0HMA4g6lsI8Vjr/SFthTo/uHR+U5Ex8egTO+BFOgiknLJJe RxKcVxRiZh+DnGrTxgwzfYiz2T7I2dZaFYfR0qE2YZl+McZBQ7CBwjZV0QQyvu6RI7wC4qxrl53c DZl5xTr7/uLEgrm+a5DFAybjtbdGICi9V7tiTbRj8FXZ7eIHPzaKU7EFrPm1BQvwljKum2ziKmvp KZV4s4YGJ+YJ4j6tt+egQ0Q+gqA43/X7wYoS17Nw9zvN3/29u3q6fB6URMTwfpI89Ju5ZB4pAJIL 4OF7j9ZglPK85CpSMNZa/C7naaqQXPEpBmCkUQ7j5sdm8jYpoBgGr56wYEgJTb3t8ISfb/8ClDM3 OBVWQZoCrmYWZRTDTTQV5Q3oPVIQ2lomOiOtBj/tHmFeqAVaqVyYTWAPMh4wUXIMf77Gxiyzwe5l lbfHOKa3MYrd9pScNjRrE6rCddnwJLtcuMdJGT/K1s+rdBRWrlSNYWB0OPjqRBHQHkJQ7Z43Z3a2 ug7trN9rkGAz1o3F4cjkQBjxFqynXGClk5W8x1LtPyfcPmvODgwjDN6NWQbospmYR5Tk+9BpmRZt 9eLGft5A5YMAPU/O+VLRfPlVbP3qh/UI3TCpFpimtuRLFTCz4JztU8ZhIPncgrV0gK935ZKTtGnW BaMzGRyUSEKb0Zk78tlj5/srTcV5W2JedcjsdTEt0VmEaXIdJq7zuVZK4DHLddLUE9RFoZLKUVtj PPDWg9LQO5/j0o/Flr2+x/dFzulAoPTxfaxI7MCUmWunbSmNcsKrkqU2y0GpSwR9oqIRCH9ZUNmR Yx19latY90ZAJbIzGHcYO4zlSHsw5h8p1z9tAwRKdGl4iQgYxgJrbhUbvWxGWpvPmNLuNr7xXEIK oDdgIXUJ3F/lcnCdoUn5R1aLfWWH+IG9bmNCyU4s8NFEXMf/DaJIrQF6X1cz2uY50Gu65RK37mGJ q9t17ga2OiSnxtC1w/GmnPZ8+KjOVusjUElhRM4zgCOlnC9TSzQPyGrDFi21s5+to9mTB72+meBT YxfkNIV8M3PPmX7JaoXOT1xetjQOUFrFOU6ilBIQLq+XxjFdKvReovv0w0Pvcm7ms8xBGceKni3C 38O9cbEwE4U5FOdS6c2ZNHRhnUWeDyBraD5sm2qKEegmr9l8BzNS77gOK9upF0e5IWgNn2U42+10 2H3Vl2umQdtMTq2omNElOe+qAWcy2HwnclRlaN+9UfFk0ianhL4kXCpOLSx5VzNddArXqaEXtwDf eDFS96XliQwseFOEt/T2C+6kk0k4Dd8JRiruo64uVsVu81mWVGC+/W+dsCAJ6Wtap+NDV2tIDFjp ePx6sQLMnN00Do7sHJVvrWr3LdfY/Cz85lPJRJIkLUuIeQsUy0hFDlz8evNBkB1cg5U87HkIdg0S nK7904RqhwNIHGf3vaSpMxplcRbgiBkxHAmrHJfET1LVkoQMtIT3x2HcvE/7UYIOTv7HqbS/fAAk pbwAC272qlLmd4NPDdnxiok9c0+r++9AHaFr8Xt2BOXteGzOowE9KsGOM93RCGrdEGuarlEiMUde l/fMPzGCiOf3gQfO6P13REGpti9BPWTxjm1FyzTooueE+WTwuYsFAhadt6+3wuzOd1P2SF6usQIC e6C4k4hKED8VPyDgQ6F38jBiZU6vtUJQeE+OoAGEaYqYL2rds3b5F9C2AkKSUAxrRN0mCAflGHe0 KLTV02j3HfzlnhA1x4uvJuWo+yEIWwbh0/3Paxosv/OwXzExR3zF9GVE6bS9VUES67V/VyyAsQ0o +NaL9FIhqiWGRrMEM/ZY1kTPkhKKUy6yJygtCJAUIqOtAaJi7C9uuyw7kLPEQ8oelL75GV18BTVq b7NIx3B394DduoIuD+0ricroH9ZaPIfu07FleYodHHHhdrAl1z50ozeAj1FHvM7tRlh4MAXt54zY PBm5h3lZvnZ4NLBl5HLFZnNViflav4/4O+cpSd/41fJWJsFOwpXLA3x6tMkuOHsf9VRV+4alRJyC 54ERBeK+DuskKZP01Z5HJbnoh//TV7yj6y0+XwQ1byR/CDAWe+yrUUGs8jzrblu0h8ufY7/82rti p32XiCu8rAA3/5UC78i9xbrkDjcuzedCdQc8An7QA/ahIy8U2+WbhVq4LNcfpXzpHLQw1eQe5Xkc zF1NCnNT5wsawKqj2FW1hQYDLIAwF0kzMA6Txb73HiM8AxgOlfnyliy5bNXf/pRs0tmQ/84gJ5tN +XZKTV41n1vKOmEvtxmpL2HPFwIsozX3AznukZBdSr7BgVdlOvcJCUXvRANX91AMUKWJY8VvAUWI LBkjygf6MsJrWRD6qqmRUAhDwUv0udi6JfCKV1BozKJYtC0h3SyFoyYgdjtbPCpyQT91/ULlRTIA 8j5eA+Z768VYa+f08dUwZCblpvUbMhtmuBfLMmsdL0yFo/W4QjV50PeJgWZU7B67yghOAm1RE3lc lPZgZebUuzRFZxNoKgLgVDEQAPqVt564c+AlR0SXDpPOD0H8hr4ThFjKeEJ3Nv77slRJ7uHZDg1O nYCkmAPBKcAo6MHFsbGm8PnmJKPORkgGulEF9IApU5UgB9r5smUJFTWta6Y7Vbu9RlOoOk6tG6lJ xSHxMgPHwqcvGGN7IJvo5sqHjr0ohdI/qXf69fBdz+e7QqDTntNk+oK+2PvqexNNOw/mrkxO/qhl KDruTzmxO5CAuvq/xESrxxbPdaXOyzmTxHaaUADGU+8IrZ4o3NW9UUvfWReX3FdDI4YKcw8SAa6k Uf45VPHnJ4MG74xA8sEAjw4KTB7sRIwta9+C5STfWIqmZQ4mfltIzAK2H22E+3GadM/OuNw27gn4 YBT7Vlw/RpnOeL28JD42giu1dc1atOqw9XK4+XBeUWpoW7CXGF2sYwhgc8zsMtw4pdSOgEiNyMnl +dUdqVqefW1MkMKgwk4CQBnaSJSMITwsXIC2nD8FOzfFFkF0hXwFCZrfQaWQeoTI3UbE44myhYxB rTxBWSCZy89yRzmolBMGyrBxV2X6IqfhXQsPUg/w/5+yYgmCyizQYU2WabGXq1cdXO3tdlIt+J9O rxtuL/eaNPWTRcDSFNekLYXMHAua1E2VtBqO/2afq56GelSNoKHqnu2jBi41vn9weSNeKFOs2QDD 5mD3PzEuXmVFqy7P7ooPFV5X4oqnMs/0pCpmiWH/ySxTxkLKzNv/fF8RiOHeW0s+lXzG1884HXer 65bmvPMIO0QegDwJamA0u1VtwoDRT6F5X9wdcfr5oWeqm8ceNmY58JNu7Bqcu558i/poHsS54d+e 6Dn+n5pD6kM1GdZlp5YadjZUU2N4dcmGmERuQkhWNnMPxgKQe4I806zOcCGmXuOTtjBcQLQyFccC 81htxrlD8+TXIYv/3KLPTgO/g69qJIcpvZOV4R5ib6X9Y7AbQVqNPuWKcYFImHZUa/QsJIC0Q2tw cxFZUG9PBI+MXb8uC37EJzmU6+gU8tLRZpc2h4UDfO3Oj44wf8hV9nWkfDu5HUd6jCunOAuTct0S BuNObbRewq7zxrZXR2blx/OOB7KIYfCNxPEWLgh99LlVTJr10ZBL0exfksZc23Z4MqhlTCCuLXGd Yf4lqEuEdAEmUHeRf7EyVuypytdGGsbu3F7O0Mme/W9zMpR5EDo9ULlA6tLoRYkJg2NQ7Jh9aVlB kRD4Yo0DWA6Lbpddq4sZRPloZbZp8ZXS86QVjto4I9jMOqVsi24Pmx9pA5yM/J5z7b5Gtn657BcJ L0BdShDJa7DJSGdPo1f/imrxbRPTT9LjQVDI3NV802VgkeNtOnDxHpqDVqIlWx1nqbMpONXRhcOh 1m1s69ftQYoserPWOP3DwK0+ua2qyS8pfSEWTAhgX/xFDD+zzfH7fnn7DGb63+l5pSghyILDTmzw 1sg8s1nxvdJiU0DhaBsPlrCqHG/feXA7UgC7OIuf `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block pabZO1I/O5UlEfYaQEPwd4l9eUai0bqYoMxFZDUmBPXyS95K3GW98Ld97MzJKAXXnSlf1PewGW2v 0RIeWd32HQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block MnYS98CLv6GUlLtXXj0MDq/aXJWBamrEeFXZFkhzX7OjMU68I3JzEc2/1UN3CHInfTII6cQBis+f MSPPkhHYfjWA/UnlZNCfIbUjCA7v4zzzEDOXLdUwHhey61M2PDbtjo4F0M+PSYsHQUE61FCJYZr6 +aBOwyo0CpKkCUVEbxg= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block qncW/Cwz6DQ02ZtEcvyp5WdAA4sItotGPpP0REUtLyqefQhCtJmFILcg4T0iyRUg7VuYEwIANO5+ QvHNNc39qIJv9lOesalgHBZQgvNRJnIdYWaRfS0GyacwI/2JQRwAkuAQstvDCp4RTc3l8lwP6/ls 9Kgq/wnF0FIDD2zIsqBFYPVau5gOg+E2Yv8daLhsLbgUNkGI+w4/OZjRbQGSUjwZLuzAjcC7dEzW IiD8iCe2E3P5aTpTA2tXeuvseQy8KOwVCxJQuur+f/bmnE2QrPi5PPQMRcOyc4ok7k5U/64SCKlJ oITfL/xIL/xwZa26tMPcLgkkx7p0G3RLvL/tVw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Dnf6vaqe/V3pNaiPDsCpL4mEkUhuRTF8jsptuAsYR5QlsF0hNdnCfK2+aKM5H69faCvd5mpbM0GP Pqz+qhNmOYPHdckgaTUGR5o/7QyV8YKLvzwfyDMqTu2isTv6FP6Q6welH2CNBnmC1/h5T7i+fy/Q rlaoXYJxfrB3B6n9clU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block IMf8iBP4Q72XIQn7cHjsTbT2wNsnwrpqWy35OTpGthg9IgmIl2PQf4/c9imtaZPdkPVpIBywT+vW p0seCgJeCim8uHSlCA4Yuvzi7NiJqnEZtjEX9xSzaDj4EflUudOJTsvuYMqv/3kxvUgkIK0AS+U7 CWRV3RwJIjyzXaV3SkeD5i2xf0d/bezTocOrvt7wO8hz1n7ziicW5bgdFMZpO18+84bLDi0MzKYQ Ad5OLz8QJgoCqRTe+B2lLXuByvKd2+XBYArz50J0pDfy4RubYe7FYpZdW50ze6dgBWVP0HOw0tLX Pt7eQrmsKxnIhjnIQBRBht+Bb5QLkHSbaJnGbg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8352) `protect data_block 3Txl86gxySTjspY1bX6mjaEtHzHyJ6PEwV30HseHtq4UpP2jRFV0RDcUeckeAq3gEZ5Qu030LNT9 bbOM++HUbE1I8N7rcDRyyG1/zAJa57yK2mi3blq8tQKZ32YObDq6jHS9U2gM3rYjhBhVr2aKK7qQ c8X7nouwkJNW94GkZ7OhWlUV608zX7vk9Ytc1anxwA7VNM5uWs0oTqvG08wzxEO/Wp7eKojoSXwO 3Xhea7t/apLpI0orOHVPwHMzsjaxq56UlxouX+wqfNeT7gOjE86Icz9Kv6qJveIr35SBEOvmKTEu XXSNT6K9tm6q88SyQn3Fynw4R45InLxk96cdtyVOQFxzvDOOUUpt48giFXLbSh2J5eWLkh7wKkBY NwQFlaCkJdKCsT643SDourT31zKF+Ez8++L0sOmdPaVStcet+0/LOBpXifaCvmNLeKxnE44uDown kBMOgjRQlDv9IFqs6Wo034TMX/2IlRfFbca54S7HNhd4DqEOj/dLkdbi3hQqvKlUQLxGd/aByX1b hgEkVsmNNotqvCZpPQ9UsFsRcys6l++qYUPYLNf+xf+zP1lV2cgnRS4RT1iwGyboNFn3K77Tpsb7 9OpYXIW92RPDYHYOVluUlhGfDVUg0MYat/q2HEJQJrXI453QNfUDhUynqjNMtUc0dMa31quPvRVZ hHf+2/bR4Q7cjHspoRtCLGojgvnSf247q4ctuo7nbrdhYUuAa4Bjj5ju4myg9nxVU5ukJQzguBJL 3sQ6JJNrie8SnHcaAMftHxFvfSWwly88oe57Wp/UEarxKXac3A5nc41pt8Mv9Xgpu9v9VOJTxxUh 0lyGcjzLUm2XesldVnJ/PnO7FAjtpxgucH+XMQf+g2wsb59I8+ybUbOrYNQOqWYSwYCOn++p4OTm z6+e0PpDeW3xZTDe3cwHEBvM7k9KPgY+hpev11RMc+0TNT+raUGL3kNBmFiuUKDLoo9/RyEjagUr 0XsfKNMC2ZuGcJCLV4GR9MBQAHlIYRgt8pMqwpUU0tmvh50d1NgrWHgYQL1vhVQUjl2fL8jrCp0B +68ca7YQ1jwOO06mDdlvVYQjZYG/4HCGLQDVssbaag2EjF7zECcmS20NvYP6RjiCxeFLTts4nWNX QI9Rm6NxhHHcmzOEqLUcCSEhySlQwHac/hU0wa46OGSKe0mrmjph7yshq6QOx92ErIUyvhcBeJZo CYY1tCy8lK7N1pab+wcy1j3BbgC4h+YmgjRF6QzhsIcO0Gm9AWLAMvRIrWOPp/V66F7b53uZGfZg hBu915yc5M5CCeRHSBRqwlOTQ8b+GpsV2+8NdhIVSdXpGCVYKQExROd9Zd2r2RFpyx4WC8C9uISU ICBHRlp14RuEKS1tE3agqqBEQL8G83cM487JmgJrWO8alKV+Q5R/Y8cvNDq7FRMhEiPSO1OEMab0 xFA+efs/Tcf+HHo0OesKsFCjVlqwwpgbNSNTgtlDcfxD/icoQHkm8ZiY89TmjfvR0b0cnzwpHcDj RMaiCRYxWD1x6Iy8HAcn9ETbZjNvz/AykS/6e5fMYkeHvaSs0iTZgt6FMmApO8MaDCaUZJEzLv0U z5mb4bxB2IUUrSSt79+9IGbvbS7SphLSQpuyhmnr4JnQmDNj7qbKUz1unir19crnVKkDO6zxigd/ lR8zPfNWeXOCuLklXc3IcDDhBD+ysKGtw2idvIUOTMC0Wa4g2d1zmnUwx/0x0HNEwmXy5XyZpQpe MqREqJVr/i8Oed0hI1JR2OE/D9xvGQmy67OWwqOQtB58ZoJP8JmdoWCJKsQhk9569I+XRRmtvLCC d7m3tMoqYsWVMFY16sa1q0QO8R7PijrjPovnzqr48ZYLKmswIDxTakNM2zHM4zbqta7faU1m1++I nKYMlqUtnps/uGB8XKS5fnRFmB2KPBoCh3xddVUq2bbcUnb3pSgykrT+6T0Cy+V9trIv2IJ0c7l3 NaV+KvRYH0dgbBFOT5DsqIj0jiaAiwSrTgUsdI/LQ3cKu9M2/ExRpY3onDoKZc2dAD3GGb69SXAr w7p9Q18HYjcJHWpaItgzAqnpDNOsS8ZuVMmHOKqCAjG/8Krvw+TQI/+VL6o0RdDz23z6HmqTItKc 1lRdvoub1qnfL3uVJ4X9TsXy8cpZ6h0FbILRMnFsk5Vaf93/svpVrMIzYjt2Hpf62IKO5lipMuhh KPKesXaVK+Mwu/rkP45ABgmsuu4nNKVY2VnFSymuk2zHpmeeLL0v5PGSAq+qfBEAeH3EcIU0DUjF f/DZY0t+qDbjr8kuA9krOgPacrVu8+C08lCQKNB8H4zDq3aJkXYG2WmPI7/NCl6RpCTTp2ftZmHz uAg74PMVp7v+9dLBbb78ogz44Ue4t7HGbnLbVvygeth05fSmOhFEQLID3JaITPTsljDVOebzOEuB r/7Z54pRWs4eOGVJ6BTWU+2iR68Mp3+I0SipaTCSLWjdOaQxegyCEXlLXS2pP9fovqy6ohwKp4r8 SbQ+fQEXJR2Nf9iy+UBtxjz6TMTym7rgS/O9I89iDLJGFuP3z0VNGVQklauC3+Yp4gcMd0xnupu3 w27OKqWBGciRpZM6Fgrsy0mBGWFfxkKu86nJyiwgxtCocvKy5jpk7yiTaHgWOA7BrgEBHk5Nz5FL NHVIuZN7j1eFV4JAQzvPN9dsohwgB+k6T+bz8fxTf+e8RFUnDL43dOjicvnkIvfEQzwM0+JkeNvQ ta5xGpqaH1JD0qyIkJvzScWkIVGrzWzMMvxN+DtabQBIeE2j5DTmfMky0f63cNgmFWP6/SP8aELt kt38bI3RslECUinVEQnYbU2DhgBl2Bp2E7uq/YG8V1sM+J132SjhghxqJ6T1iaGzRtJrzV8uZJUI QV78YPB8Sw2WfIKPXHMOo8Wcuiq7hWP2gnMzlEhTruTfy43iOBynsEQiXlpz5MtA7mEni8hCK/ju wEgP4JhZ2tuIpn3vt//Hes8u0qpLAT4bL03JKYgTMtYLwgU/cKQ7mMqCQ6JazkTKGN1vdrb1P6FI jm7sXfEx1FtTOtiyoXtAyMhUgg+nYckjfJRB+Upgs+B8ajgnnZ4zZWZg9nXh4NOTgJqwSZoz3mYj 0YEoTAZoU5V/kM8lWYqbfC7ss3tgwGjQhmamh1yG2IBAFfbbm+1eaGzWKhnMkIe/ThTInM2B1954 qhG2i2RrFeFBjYacocDQaSbMgsDSx3bO0wRodxUv6ITBJWBtUXQ84psaazwKrD/48/Wk9SiEOiD1 bHUKJFcMGiEDXRQo6c2MPJQ6+AASb+mkg4r+ZMnCpAgNGWBSRtJ3JaJz3fpIua/0GcoKl2yUtmou QnC/LB3DfIirdC5fp4Ar2PTLUq41yjWqn49wmeFrni0Fyh6cBfPplgLIt5GduGYDHqCMzXsq7jMB J4K0ObKnDEOvNDZE0aYW1ePxQNtm2WgNAE5G1Bb4zoziBHf8WCtWuwOZD72tS7ZLwU0SRI/H1rgO NqpZtEe8PbhFF3Mu945oZP/D65wwWYbxbCefnwh7a9hurvKB+YX4xWg7Bd+gnzSBzDNtoULsaGaC Vl5fRhumkx+BwqRdeIvZbEBGKEAuT9fwLuBWbqk9HBZDRGqcse0evcpEJwwj3bvVq9LzXkuUYR1z Rj+rkr1VIOBvD406n/kA7zybInjl+abePxrvEczIc+9nNyt4olcCdlOPbnfijOTwpQ8D26mPfsYD i9O3VvkG9+NJIYW+QgS2AKJXFvHQptmkEqXIaW5nI1pxbps2GKYt7UeBwd3OGuNFPUwtXN28qSnG EkOYzBRsWNCHT6Er1YEX4gIcUpwGuzYkItG+yZY6/dH49QZnIuVWqiFNU6F/5rfoOf81SF+rcgn4 OJPqnzNuGtn0dwUAWA17Bc6BzZ407CNs/QiW5tcgtLCQ0OQSvgN4gNMgvig9LHofpmNnlTQAvYYe BVMg4B3jAZj23PTEw7AVpjHMYUWAHgiPZG4vsf+KQIkXE2LwvfDjNzHLr2iLhAHJXd0IiqeTGiaz IlFj8ULdg+8d8cqV9NCVt2TdAsPgvBFn5tejC2z6o6lQx7u5LDB6LxPxb9b6Zin6lexVCtUzMvMC 0M9fb0Y1ZfMukFBjX5eV4TAtVULwlFjyiwbQ86oEjKcSLpynhYc0F7ITr9iGQjCT1gMB6gkU+r1A lCJapEUql8wzY3VFG5X7mMFuNMnvwzIKZ+jAtrIgNE4JdpAIYTcFEkxq8akjQVVUDedUUJb8ltag RUw79Gm+0c5hgnSPw8xrqk1M73/tMFsPDOCESMOCY62RTQvEJ3xns96vKjn1c12j9qkYq5Rv/4fC s3wFId2akJGz81EuJW8OGSOkFdLYmhVLgXPJXM5DAWhKKcOBO1RTfb+2KF5sP16/tXkQ9StRq4VX c+UD/PMrTDZM4G6HgrYZ/9dGhLTHxganTLx62+QqSuwA99tMdCd0GbQneOfqNHb8C123G3zS7fMj swXKIyhroWPHZdxxm2Osyv3wAxCg8T8XirESRway9GoO+UFL477kJLZ+HmiJsXQTEelxX7WK8ze/ 22UYNqX/fzMT2M824ohaQFAtjXa+mwiOm6ydU3dCehJ+W3m+D6l4bVwPwbv4xGA2wBb/aiIGuqVN o8NK9XsDyh2RFFihGZrvJyoxBbzQH3hvISJlrfKmknMk9vQ/hBNtdWOkLTZ9WZR/pgD+eVzF6ot2 rbuarAjEDrlldyE5l8wZ1JOz3wU4S+cD6jx00DiL3FA62+fMbxmTmAZgHudnMBVHWMHx65pookQ1 PH/eetNoWd3uMDXzMzNF/eHW3LXRwmpckxQgn5C6HlfMlIBwL3tTRgY7M5iZhuvORqToXY/7rdTK laP4Yffx9Go9q3T31S09Rn3SD1Bq9R7bd/7LqrdYezN7jyNSinl1SRoCRVbjD+5zIG0psVectW66 C6xBHdEHgSjehXEaSak2uWDskIo3YkZUpvAF7KGnm9bUP+zer9dfwk0EQAzxap6tIZ/q8ZFO+aEa cU/zpQnghtgefYQTdEbF5hc1GtdPi9V03glTxYGdLJZd9h+ITNvKfwEJZ+T4ERkL8RVu0tL7Q5Tn C+GGjYe5vSj0n3o4M6Q9OEYowOKC68LP39oVGI09gHYGwHQLNKoEe9Xxsu6k5+HvEp7xqQRgTkMr KYQ1YwAyYyjezGrGnATKvcRCl8Qv6ob0wgmr3n1DSenWBBkptfiMEueDrvBzCvLooImQiLTrIZpv BzATxNSFTzXmAfuP28U17N3EXCWA3AkWGS5IcY9blseoPjgVF8mAIukuDd5IWMehpWny50JTfJXq PXVLsOk6aN9TmZCbQdfKJLKUq2wf6IfhH/6LZ4kzWKjp7cjIVVzBBU5YFoJTc9Xcn2cWcxdMxUDo +atD56hnbO8Yv1qD5j1IyZQR26x1VUYCT8surMUyOeMYDf0QOmFXW8vFXM4iFJch0TQJVc+wNTQ5 r/JXQBwjLloIKh+zjBGML90YyHEi8lBIegcHQjcfdVAiSguffRzvi1GyY0tJ9+uuSPvR8MsmySw+ qJax9eOrGGMrkaMutrm4coh+90PGwrVBw7C9CJ20slujK4fzED1n2GI7HIk5C/nwustjJ3aY9FId 5RgVZsF66MOXe8JWXJYePleTKcIMkc81dgw5GpAxgrcfxQEuq4MYXQq/HU09N/Ba+knDJmloMsrq h2ruTb/P7ZZBeNDGQR3kNYVJCkMIe8EqhFfeDarNLviUZEmbnuNyWO17WJH2175txLa6XYHVPuXl xZyalFZzMdEmW0ehRQZxGlteMC/ISZK8lV4jiKzTJzCv1LEZjYhjHYakr21eVgBYZimn2FxeGfUU OJyqiweGOShLgragFNrgH1BcUeT7n/gaMJ7AvFXZfj/UjGyXnadgQE3W27OfFR+yKgDXIV+Ll7Sy JqhpUFVILNRiN9EOsszyG1lDmWIqFIswPyYAcdL0vWfGRAGdlSZkfIxioF85gpNWrZcWLABc0YLo EpwhTas0yCgDNkyb2pKsi+AEFp9GCF8uXDJjdhZ5oLAiNM521YbRRYRN3sTJ0trJrmSQZ/w1Ng4B ukLHpJ++YqCKWMQSeqxPS1cd3FmFzrnuQZ2zgnbiXUjMBOf3EiHMKBTeRIfRNV6MPy8Dva8CPabX Bpi5YTB/kK4wFn7oGntO4ju0zarDapC7dkQRHvD0FNNW0uWDxf4ZC2hdlImcLC8GwD4Jqx+Ww5vQ iWb5a5qeHv8FbwX9avXhWV7W595r70shlOyX+cBLUevhdivgviVBYx6pp/PwrtpQZE41FymWtqTU eTITzgOySas9n4ArQT8EvyuSsZh5ZoONr2mvGkOzFqKRNxwJfLP3JGB2JDtyXl2G6LyE3wj+bkjp bFPmVN37bVtYGhSeB4rYxt4pHWAMexghGjZOhb9wideTxEmxMPUkUOTheSqUXw1411WoB1wxVM6Y r1/9/pw6VWXqKUKls6bf+uZw7U9Ko2OUpSFVOKTweTJuBbDHi3SYWXIPUJrSJdyLg98+QJWyyY6C BsU6vDcAWRqIXMuTxlc1Dn4rQnP4/lLSZ4TmDjBpf1rXBAOkVmFlr9RspUv94oqTwbZhCnpQsx/e 4MUd5Ot6CgxzbhYvp9bA7mL0HFMsq22B1V0u2Onn5VyUXdY5cmY3DRBJxQPx7yLC7X+eZMsBVQ+T aoMXwhJx3dHjfWRgPW94w/wQuufuExuG4R2T+ShvvNxUye8SVGMPZR8z94j+Dg3LyUMMtKOdhTjM ETq8q1gT+As23MYg0Qh+YOh6lt0kuieB3VPok5s4xBHxEC7W9665X+mRU9W3qyNTbaSt18iZDeE+ Y8479RRrRzSqcJ5nDgy/wXHej126J1eo7ecwew827Xh2VtEBqcqtAfrt2Kps79NUZaaBUDJYQruG Qh6T2u8iAgDZ2+2PBdpCybxeDQH4uWBmYuZfcSGuHmei/WJAQjKERXXxv+LYvrji4eKGsTw+Suel 0R1R0VXI7ckRq/ZBf0Kqk0+xybdpNXnM8emHK2Hxl/mZ+7W9/0wVhT4uBTgu2xVLj983x0X6vIhK FV4wa02Xei1qBoBY//pWaVBaxvKSPjWVCp8g7okVIe6+NeEIaN4oUBq+3byQvC/cdzPDCc49y11s wHQNPeoyEv1qHjhmH/ikDVuqH9VNg0HMA4g6lsI8Vjr/SFthTo/uHR+U5Ex8egTO+BFOgiknLJJe RxKcVxRiZh+DnGrTxgwzfYiz2T7I2dZaFYfR0qE2YZl+McZBQ7CBwjZV0QQyvu6RI7wC4qxrl53c DZl5xTr7/uLEgrm+a5DFAybjtbdGICi9V7tiTbRj8FXZ7eIHPzaKU7EFrPm1BQvwljKum2ziKmvp KZV4s4YGJ+YJ4j6tt+egQ0Q+gqA43/X7wYoS17Nw9zvN3/29u3q6fB6URMTwfpI89Ju5ZB4pAJIL 4OF7j9ZglPK85CpSMNZa/C7naaqQXPEpBmCkUQ7j5sdm8jYpoBgGr56wYEgJTb3t8ISfb/8ClDM3 OBVWQZoCrmYWZRTDTTQV5Q3oPVIQ2lomOiOtBj/tHmFeqAVaqVyYTWAPMh4wUXIMf77Gxiyzwe5l lbfHOKa3MYrd9pScNjRrE6rCddnwJLtcuMdJGT/K1s+rdBRWrlSNYWB0OPjqRBHQHkJQ7Z43Z3a2 ug7trN9rkGAz1o3F4cjkQBjxFqynXGClk5W8x1LtPyfcPmvODgwjDN6NWQbospmYR5Tk+9BpmRZt 9eLGft5A5YMAPU/O+VLRfPlVbP3qh/UI3TCpFpimtuRLFTCz4JztU8ZhIPncgrV0gK935ZKTtGnW BaMzGRyUSEKb0Zk78tlj5/srTcV5W2JedcjsdTEt0VmEaXIdJq7zuVZK4DHLddLUE9RFoZLKUVtj PPDWg9LQO5/j0o/Flr2+x/dFzulAoPTxfaxI7MCUmWunbSmNcsKrkqU2y0GpSwR9oqIRCH9ZUNmR Yx19latY90ZAJbIzGHcYO4zlSHsw5h8p1z9tAwRKdGl4iQgYxgJrbhUbvWxGWpvPmNLuNr7xXEIK oDdgIXUJ3F/lcnCdoUn5R1aLfWWH+IG9bmNCyU4s8NFEXMf/DaJIrQF6X1cz2uY50Gu65RK37mGJ q9t17ga2OiSnxtC1w/GmnPZ8+KjOVusjUElhRM4zgCOlnC9TSzQPyGrDFi21s5+to9mTB72+meBT YxfkNIV8M3PPmX7JaoXOT1xetjQOUFrFOU6ilBIQLq+XxjFdKvReovv0w0Pvcm7ms8xBGceKni3C 38O9cbEwE4U5FOdS6c2ZNHRhnUWeDyBraD5sm2qKEegmr9l8BzNS77gOK9upF0e5IWgNn2U42+10 2H3Vl2umQdtMTq2omNElOe+qAWcy2HwnclRlaN+9UfFk0ianhL4kXCpOLSx5VzNddArXqaEXtwDf eDFS96XliQwseFOEt/T2C+6kk0k4Dd8JRiruo64uVsVu81mWVGC+/W+dsCAJ6Wtap+NDV2tIDFjp ePx6sQLMnN00Do7sHJVvrWr3LdfY/Cz85lPJRJIkLUuIeQsUy0hFDlz8evNBkB1cg5U87HkIdg0S nK7904RqhwNIHGf3vaSpMxplcRbgiBkxHAmrHJfET1LVkoQMtIT3x2HcvE/7UYIOTv7HqbS/fAAk pbwAC272qlLmd4NPDdnxiok9c0+r++9AHaFr8Xt2BOXteGzOowE9KsGOM93RCGrdEGuarlEiMUde l/fMPzGCiOf3gQfO6P13REGpti9BPWTxjm1FyzTooueE+WTwuYsFAhadt6+3wuzOd1P2SF6usQIC e6C4k4hKED8VPyDgQ6F38jBiZU6vtUJQeE+OoAGEaYqYL2rds3b5F9C2AkKSUAxrRN0mCAflGHe0 KLTV02j3HfzlnhA1x4uvJuWo+yEIWwbh0/3Paxosv/OwXzExR3zF9GVE6bS9VUES67V/VyyAsQ0o +NaL9FIhqiWGRrMEM/ZY1kTPkhKKUy6yJygtCJAUIqOtAaJi7C9uuyw7kLPEQ8oelL75GV18BTVq b7NIx3B394DduoIuD+0ricroH9ZaPIfu07FleYodHHHhdrAl1z50ozeAj1FHvM7tRlh4MAXt54zY PBm5h3lZvnZ4NLBl5HLFZnNViflav4/4O+cpSd/41fJWJsFOwpXLA3x6tMkuOHsf9VRV+4alRJyC 54ERBeK+DuskKZP01Z5HJbnoh//TV7yj6y0+XwQ1byR/CDAWe+yrUUGs8jzrblu0h8ufY7/82rti p32XiCu8rAA3/5UC78i9xbrkDjcuzedCdQc8An7QA/ahIy8U2+WbhVq4LNcfpXzpHLQw1eQe5Xkc zF1NCnNT5wsawKqj2FW1hQYDLIAwF0kzMA6Txb73HiM8AxgOlfnyliy5bNXf/pRs0tmQ/84gJ5tN +XZKTV41n1vKOmEvtxmpL2HPFwIsozX3AznukZBdSr7BgVdlOvcJCUXvRANX91AMUKWJY8VvAUWI LBkjygf6MsJrWRD6qqmRUAhDwUv0udi6JfCKV1BozKJYtC0h3SyFoyYgdjtbPCpyQT91/ULlRTIA 8j5eA+Z768VYa+f08dUwZCblpvUbMhtmuBfLMmsdL0yFo/W4QjV50PeJgWZU7B67yghOAm1RE3lc lPZgZebUuzRFZxNoKgLgVDEQAPqVt564c+AlR0SXDpPOD0H8hr4ThFjKeEJ3Nv77slRJ7uHZDg1O nYCkmAPBKcAo6MHFsbGm8PnmJKPORkgGulEF9IApU5UgB9r5smUJFTWta6Y7Vbu9RlOoOk6tG6lJ xSHxMgPHwqcvGGN7IJvo5sqHjr0ohdI/qXf69fBdz+e7QqDTntNk+oK+2PvqexNNOw/mrkxO/qhl KDruTzmxO5CAuvq/xESrxxbPdaXOyzmTxHaaUADGU+8IrZ4o3NW9UUvfWReX3FdDI4YKcw8SAa6k Uf45VPHnJ4MG74xA8sEAjw4KTB7sRIwta9+C5STfWIqmZQ4mfltIzAK2H22E+3GadM/OuNw27gn4 YBT7Vlw/RpnOeL28JD42giu1dc1atOqw9XK4+XBeUWpoW7CXGF2sYwhgc8zsMtw4pdSOgEiNyMnl +dUdqVqefW1MkMKgwk4CQBnaSJSMITwsXIC2nD8FOzfFFkF0hXwFCZrfQaWQeoTI3UbE44myhYxB rTxBWSCZy89yRzmolBMGyrBxV2X6IqfhXQsPUg/w/5+yYgmCyizQYU2WabGXq1cdXO3tdlIt+J9O rxtuL/eaNPWTRcDSFNekLYXMHAua1E2VtBqO/2afq56GelSNoKHqnu2jBi41vn9weSNeKFOs2QDD 5mD3PzEuXmVFqy7P7ooPFV5X4oqnMs/0pCpmiWH/ySxTxkLKzNv/fF8RiOHeW0s+lXzG1884HXer 65bmvPMIO0QegDwJamA0u1VtwoDRT6F5X9wdcfr5oWeqm8ceNmY58JNu7Bqcu558i/poHsS54d+e 6Dn+n5pD6kM1GdZlp5YadjZUU2N4dcmGmERuQkhWNnMPxgKQe4I806zOcCGmXuOTtjBcQLQyFccC 81htxrlD8+TXIYv/3KLPTgO/g69qJIcpvZOV4R5ib6X9Y7AbQVqNPuWKcYFImHZUa/QsJIC0Q2tw cxFZUG9PBI+MXb8uC37EJzmU6+gU8tLRZpc2h4UDfO3Oj44wf8hV9nWkfDu5HUd6jCunOAuTct0S BuNObbRewq7zxrZXR2blx/OOB7KIYfCNxPEWLgh99LlVTJr10ZBL0exfksZc23Z4MqhlTCCuLXGd Yf4lqEuEdAEmUHeRf7EyVuypytdGGsbu3F7O0Mme/W9zMpR5EDo9ULlA6tLoRYkJg2NQ7Jh9aVlB kRD4Yo0DWA6Lbpddq4sZRPloZbZp8ZXS86QVjto4I9jMOqVsi24Pmx9pA5yM/J5z7b5Gtn657BcJ L0BdShDJa7DJSGdPo1f/imrxbRPTT9LjQVDI3NV802VgkeNtOnDxHpqDVqIlWx1nqbMpONXRhcOh 1m1s69ftQYoserPWOP3DwK0+ua2qyS8pfSEWTAhgX/xFDD+zzfH7fnn7DGb63+l5pSghyILDTmzw 1sg8s1nxvdJiU0DhaBsPlrCqHG/feXA7UgC7OIuf `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block pabZO1I/O5UlEfYaQEPwd4l9eUai0bqYoMxFZDUmBPXyS95K3GW98Ld97MzJKAXXnSlf1PewGW2v 0RIeWd32HQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block MnYS98CLv6GUlLtXXj0MDq/aXJWBamrEeFXZFkhzX7OjMU68I3JzEc2/1UN3CHInfTII6cQBis+f MSPPkhHYfjWA/UnlZNCfIbUjCA7v4zzzEDOXLdUwHhey61M2PDbtjo4F0M+PSYsHQUE61FCJYZr6 +aBOwyo0CpKkCUVEbxg= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block qncW/Cwz6DQ02ZtEcvyp5WdAA4sItotGPpP0REUtLyqefQhCtJmFILcg4T0iyRUg7VuYEwIANO5+ QvHNNc39qIJv9lOesalgHBZQgvNRJnIdYWaRfS0GyacwI/2JQRwAkuAQstvDCp4RTc3l8lwP6/ls 9Kgq/wnF0FIDD2zIsqBFYPVau5gOg+E2Yv8daLhsLbgUNkGI+w4/OZjRbQGSUjwZLuzAjcC7dEzW IiD8iCe2E3P5aTpTA2tXeuvseQy8KOwVCxJQuur+f/bmnE2QrPi5PPQMRcOyc4ok7k5U/64SCKlJ oITfL/xIL/xwZa26tMPcLgkkx7p0G3RLvL/tVw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Dnf6vaqe/V3pNaiPDsCpL4mEkUhuRTF8jsptuAsYR5QlsF0hNdnCfK2+aKM5H69faCvd5mpbM0GP Pqz+qhNmOYPHdckgaTUGR5o/7QyV8YKLvzwfyDMqTu2isTv6FP6Q6welH2CNBnmC1/h5T7i+fy/Q rlaoXYJxfrB3B6n9clU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block IMf8iBP4Q72XIQn7cHjsTbT2wNsnwrpqWy35OTpGthg9IgmIl2PQf4/c9imtaZPdkPVpIBywT+vW p0seCgJeCim8uHSlCA4Yuvzi7NiJqnEZtjEX9xSzaDj4EflUudOJTsvuYMqv/3kxvUgkIK0AS+U7 CWRV3RwJIjyzXaV3SkeD5i2xf0d/bezTocOrvt7wO8hz1n7ziicW5bgdFMZpO18+84bLDi0MzKYQ Ad5OLz8QJgoCqRTe+B2lLXuByvKd2+XBYArz50J0pDfy4RubYe7FYpZdW50ze6dgBWVP0HOw0tLX Pt7eQrmsKxnIhjnIQBRBht+Bb5QLkHSbaJnGbg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8352) `protect data_block 3Txl86gxySTjspY1bX6mjaEtHzHyJ6PEwV30HseHtq4UpP2jRFV0RDcUeckeAq3gEZ5Qu030LNT9 bbOM++HUbE1I8N7rcDRyyG1/zAJa57yK2mi3blq8tQKZ32YObDq6jHS9U2gM3rYjhBhVr2aKK7qQ c8X7nouwkJNW94GkZ7OhWlUV608zX7vk9Ytc1anxwA7VNM5uWs0oTqvG08wzxEO/Wp7eKojoSXwO 3Xhea7t/apLpI0orOHVPwHMzsjaxq56UlxouX+wqfNeT7gOjE86Icz9Kv6qJveIr35SBEOvmKTEu XXSNT6K9tm6q88SyQn3Fynw4R45InLxk96cdtyVOQFxzvDOOUUpt48giFXLbSh2J5eWLkh7wKkBY NwQFlaCkJdKCsT643SDourT31zKF+Ez8++L0sOmdPaVStcet+0/LOBpXifaCvmNLeKxnE44uDown kBMOgjRQlDv9IFqs6Wo034TMX/2IlRfFbca54S7HNhd4DqEOj/dLkdbi3hQqvKlUQLxGd/aByX1b hgEkVsmNNotqvCZpPQ9UsFsRcys6l++qYUPYLNf+xf+zP1lV2cgnRS4RT1iwGyboNFn3K77Tpsb7 9OpYXIW92RPDYHYOVluUlhGfDVUg0MYat/q2HEJQJrXI453QNfUDhUynqjNMtUc0dMa31quPvRVZ hHf+2/bR4Q7cjHspoRtCLGojgvnSf247q4ctuo7nbrdhYUuAa4Bjj5ju4myg9nxVU5ukJQzguBJL 3sQ6JJNrie8SnHcaAMftHxFvfSWwly88oe57Wp/UEarxKXac3A5nc41pt8Mv9Xgpu9v9VOJTxxUh 0lyGcjzLUm2XesldVnJ/PnO7FAjtpxgucH+XMQf+g2wsb59I8+ybUbOrYNQOqWYSwYCOn++p4OTm z6+e0PpDeW3xZTDe3cwHEBvM7k9KPgY+hpev11RMc+0TNT+raUGL3kNBmFiuUKDLoo9/RyEjagUr 0XsfKNMC2ZuGcJCLV4GR9MBQAHlIYRgt8pMqwpUU0tmvh50d1NgrWHgYQL1vhVQUjl2fL8jrCp0B +68ca7YQ1jwOO06mDdlvVYQjZYG/4HCGLQDVssbaag2EjF7zECcmS20NvYP6RjiCxeFLTts4nWNX QI9Rm6NxhHHcmzOEqLUcCSEhySlQwHac/hU0wa46OGSKe0mrmjph7yshq6QOx92ErIUyvhcBeJZo CYY1tCy8lK7N1pab+wcy1j3BbgC4h+YmgjRF6QzhsIcO0Gm9AWLAMvRIrWOPp/V66F7b53uZGfZg hBu915yc5M5CCeRHSBRqwlOTQ8b+GpsV2+8NdhIVSdXpGCVYKQExROd9Zd2r2RFpyx4WC8C9uISU ICBHRlp14RuEKS1tE3agqqBEQL8G83cM487JmgJrWO8alKV+Q5R/Y8cvNDq7FRMhEiPSO1OEMab0 xFA+efs/Tcf+HHo0OesKsFCjVlqwwpgbNSNTgtlDcfxD/icoQHkm8ZiY89TmjfvR0b0cnzwpHcDj RMaiCRYxWD1x6Iy8HAcn9ETbZjNvz/AykS/6e5fMYkeHvaSs0iTZgt6FMmApO8MaDCaUZJEzLv0U z5mb4bxB2IUUrSSt79+9IGbvbS7SphLSQpuyhmnr4JnQmDNj7qbKUz1unir19crnVKkDO6zxigd/ lR8zPfNWeXOCuLklXc3IcDDhBD+ysKGtw2idvIUOTMC0Wa4g2d1zmnUwx/0x0HNEwmXy5XyZpQpe MqREqJVr/i8Oed0hI1JR2OE/D9xvGQmy67OWwqOQtB58ZoJP8JmdoWCJKsQhk9569I+XRRmtvLCC d7m3tMoqYsWVMFY16sa1q0QO8R7PijrjPovnzqr48ZYLKmswIDxTakNM2zHM4zbqta7faU1m1++I nKYMlqUtnps/uGB8XKS5fnRFmB2KPBoCh3xddVUq2bbcUnb3pSgykrT+6T0Cy+V9trIv2IJ0c7l3 NaV+KvRYH0dgbBFOT5DsqIj0jiaAiwSrTgUsdI/LQ3cKu9M2/ExRpY3onDoKZc2dAD3GGb69SXAr w7p9Q18HYjcJHWpaItgzAqnpDNOsS8ZuVMmHOKqCAjG/8Krvw+TQI/+VL6o0RdDz23z6HmqTItKc 1lRdvoub1qnfL3uVJ4X9TsXy8cpZ6h0FbILRMnFsk5Vaf93/svpVrMIzYjt2Hpf62IKO5lipMuhh KPKesXaVK+Mwu/rkP45ABgmsuu4nNKVY2VnFSymuk2zHpmeeLL0v5PGSAq+qfBEAeH3EcIU0DUjF f/DZY0t+qDbjr8kuA9krOgPacrVu8+C08lCQKNB8H4zDq3aJkXYG2WmPI7/NCl6RpCTTp2ftZmHz uAg74PMVp7v+9dLBbb78ogz44Ue4t7HGbnLbVvygeth05fSmOhFEQLID3JaITPTsljDVOebzOEuB r/7Z54pRWs4eOGVJ6BTWU+2iR68Mp3+I0SipaTCSLWjdOaQxegyCEXlLXS2pP9fovqy6ohwKp4r8 SbQ+fQEXJR2Nf9iy+UBtxjz6TMTym7rgS/O9I89iDLJGFuP3z0VNGVQklauC3+Yp4gcMd0xnupu3 w27OKqWBGciRpZM6Fgrsy0mBGWFfxkKu86nJyiwgxtCocvKy5jpk7yiTaHgWOA7BrgEBHk5Nz5FL NHVIuZN7j1eFV4JAQzvPN9dsohwgB+k6T+bz8fxTf+e8RFUnDL43dOjicvnkIvfEQzwM0+JkeNvQ ta5xGpqaH1JD0qyIkJvzScWkIVGrzWzMMvxN+DtabQBIeE2j5DTmfMky0f63cNgmFWP6/SP8aELt kt38bI3RslECUinVEQnYbU2DhgBl2Bp2E7uq/YG8V1sM+J132SjhghxqJ6T1iaGzRtJrzV8uZJUI QV78YPB8Sw2WfIKPXHMOo8Wcuiq7hWP2gnMzlEhTruTfy43iOBynsEQiXlpz5MtA7mEni8hCK/ju wEgP4JhZ2tuIpn3vt//Hes8u0qpLAT4bL03JKYgTMtYLwgU/cKQ7mMqCQ6JazkTKGN1vdrb1P6FI jm7sXfEx1FtTOtiyoXtAyMhUgg+nYckjfJRB+Upgs+B8ajgnnZ4zZWZg9nXh4NOTgJqwSZoz3mYj 0YEoTAZoU5V/kM8lWYqbfC7ss3tgwGjQhmamh1yG2IBAFfbbm+1eaGzWKhnMkIe/ThTInM2B1954 qhG2i2RrFeFBjYacocDQaSbMgsDSx3bO0wRodxUv6ITBJWBtUXQ84psaazwKrD/48/Wk9SiEOiD1 bHUKJFcMGiEDXRQo6c2MPJQ6+AASb+mkg4r+ZMnCpAgNGWBSRtJ3JaJz3fpIua/0GcoKl2yUtmou QnC/LB3DfIirdC5fp4Ar2PTLUq41yjWqn49wmeFrni0Fyh6cBfPplgLIt5GduGYDHqCMzXsq7jMB J4K0ObKnDEOvNDZE0aYW1ePxQNtm2WgNAE5G1Bb4zoziBHf8WCtWuwOZD72tS7ZLwU0SRI/H1rgO NqpZtEe8PbhFF3Mu945oZP/D65wwWYbxbCefnwh7a9hurvKB+YX4xWg7Bd+gnzSBzDNtoULsaGaC Vl5fRhumkx+BwqRdeIvZbEBGKEAuT9fwLuBWbqk9HBZDRGqcse0evcpEJwwj3bvVq9LzXkuUYR1z Rj+rkr1VIOBvD406n/kA7zybInjl+abePxrvEczIc+9nNyt4olcCdlOPbnfijOTwpQ8D26mPfsYD i9O3VvkG9+NJIYW+QgS2AKJXFvHQptmkEqXIaW5nI1pxbps2GKYt7UeBwd3OGuNFPUwtXN28qSnG EkOYzBRsWNCHT6Er1YEX4gIcUpwGuzYkItG+yZY6/dH49QZnIuVWqiFNU6F/5rfoOf81SF+rcgn4 OJPqnzNuGtn0dwUAWA17Bc6BzZ407CNs/QiW5tcgtLCQ0OQSvgN4gNMgvig9LHofpmNnlTQAvYYe BVMg4B3jAZj23PTEw7AVpjHMYUWAHgiPZG4vsf+KQIkXE2LwvfDjNzHLr2iLhAHJXd0IiqeTGiaz IlFj8ULdg+8d8cqV9NCVt2TdAsPgvBFn5tejC2z6o6lQx7u5LDB6LxPxb9b6Zin6lexVCtUzMvMC 0M9fb0Y1ZfMukFBjX5eV4TAtVULwlFjyiwbQ86oEjKcSLpynhYc0F7ITr9iGQjCT1gMB6gkU+r1A lCJapEUql8wzY3VFG5X7mMFuNMnvwzIKZ+jAtrIgNE4JdpAIYTcFEkxq8akjQVVUDedUUJb8ltag RUw79Gm+0c5hgnSPw8xrqk1M73/tMFsPDOCESMOCY62RTQvEJ3xns96vKjn1c12j9qkYq5Rv/4fC s3wFId2akJGz81EuJW8OGSOkFdLYmhVLgXPJXM5DAWhKKcOBO1RTfb+2KF5sP16/tXkQ9StRq4VX c+UD/PMrTDZM4G6HgrYZ/9dGhLTHxganTLx62+QqSuwA99tMdCd0GbQneOfqNHb8C123G3zS7fMj swXKIyhroWPHZdxxm2Osyv3wAxCg8T8XirESRway9GoO+UFL477kJLZ+HmiJsXQTEelxX7WK8ze/ 22UYNqX/fzMT2M824ohaQFAtjXa+mwiOm6ydU3dCehJ+W3m+D6l4bVwPwbv4xGA2wBb/aiIGuqVN o8NK9XsDyh2RFFihGZrvJyoxBbzQH3hvISJlrfKmknMk9vQ/hBNtdWOkLTZ9WZR/pgD+eVzF6ot2 rbuarAjEDrlldyE5l8wZ1JOz3wU4S+cD6jx00DiL3FA62+fMbxmTmAZgHudnMBVHWMHx65pookQ1 PH/eetNoWd3uMDXzMzNF/eHW3LXRwmpckxQgn5C6HlfMlIBwL3tTRgY7M5iZhuvORqToXY/7rdTK laP4Yffx9Go9q3T31S09Rn3SD1Bq9R7bd/7LqrdYezN7jyNSinl1SRoCRVbjD+5zIG0psVectW66 C6xBHdEHgSjehXEaSak2uWDskIo3YkZUpvAF7KGnm9bUP+zer9dfwk0EQAzxap6tIZ/q8ZFO+aEa cU/zpQnghtgefYQTdEbF5hc1GtdPi9V03glTxYGdLJZd9h+ITNvKfwEJZ+T4ERkL8RVu0tL7Q5Tn C+GGjYe5vSj0n3o4M6Q9OEYowOKC68LP39oVGI09gHYGwHQLNKoEe9Xxsu6k5+HvEp7xqQRgTkMr KYQ1YwAyYyjezGrGnATKvcRCl8Qv6ob0wgmr3n1DSenWBBkptfiMEueDrvBzCvLooImQiLTrIZpv BzATxNSFTzXmAfuP28U17N3EXCWA3AkWGS5IcY9blseoPjgVF8mAIukuDd5IWMehpWny50JTfJXq PXVLsOk6aN9TmZCbQdfKJLKUq2wf6IfhH/6LZ4kzWKjp7cjIVVzBBU5YFoJTc9Xcn2cWcxdMxUDo +atD56hnbO8Yv1qD5j1IyZQR26x1VUYCT8surMUyOeMYDf0QOmFXW8vFXM4iFJch0TQJVc+wNTQ5 r/JXQBwjLloIKh+zjBGML90YyHEi8lBIegcHQjcfdVAiSguffRzvi1GyY0tJ9+uuSPvR8MsmySw+ qJax9eOrGGMrkaMutrm4coh+90PGwrVBw7C9CJ20slujK4fzED1n2GI7HIk5C/nwustjJ3aY9FId 5RgVZsF66MOXe8JWXJYePleTKcIMkc81dgw5GpAxgrcfxQEuq4MYXQq/HU09N/Ba+knDJmloMsrq h2ruTb/P7ZZBeNDGQR3kNYVJCkMIe8EqhFfeDarNLviUZEmbnuNyWO17WJH2175txLa6XYHVPuXl xZyalFZzMdEmW0ehRQZxGlteMC/ISZK8lV4jiKzTJzCv1LEZjYhjHYakr21eVgBYZimn2FxeGfUU OJyqiweGOShLgragFNrgH1BcUeT7n/gaMJ7AvFXZfj/UjGyXnadgQE3W27OfFR+yKgDXIV+Ll7Sy JqhpUFVILNRiN9EOsszyG1lDmWIqFIswPyYAcdL0vWfGRAGdlSZkfIxioF85gpNWrZcWLABc0YLo EpwhTas0yCgDNkyb2pKsi+AEFp9GCF8uXDJjdhZ5oLAiNM521YbRRYRN3sTJ0trJrmSQZ/w1Ng4B ukLHpJ++YqCKWMQSeqxPS1cd3FmFzrnuQZ2zgnbiXUjMBOf3EiHMKBTeRIfRNV6MPy8Dva8CPabX Bpi5YTB/kK4wFn7oGntO4ju0zarDapC7dkQRHvD0FNNW0uWDxf4ZC2hdlImcLC8GwD4Jqx+Ww5vQ iWb5a5qeHv8FbwX9avXhWV7W595r70shlOyX+cBLUevhdivgviVBYx6pp/PwrtpQZE41FymWtqTU eTITzgOySas9n4ArQT8EvyuSsZh5ZoONr2mvGkOzFqKRNxwJfLP3JGB2JDtyXl2G6LyE3wj+bkjp bFPmVN37bVtYGhSeB4rYxt4pHWAMexghGjZOhb9wideTxEmxMPUkUOTheSqUXw1411WoB1wxVM6Y r1/9/pw6VWXqKUKls6bf+uZw7U9Ko2OUpSFVOKTweTJuBbDHi3SYWXIPUJrSJdyLg98+QJWyyY6C BsU6vDcAWRqIXMuTxlc1Dn4rQnP4/lLSZ4TmDjBpf1rXBAOkVmFlr9RspUv94oqTwbZhCnpQsx/e 4MUd5Ot6CgxzbhYvp9bA7mL0HFMsq22B1V0u2Onn5VyUXdY5cmY3DRBJxQPx7yLC7X+eZMsBVQ+T aoMXwhJx3dHjfWRgPW94w/wQuufuExuG4R2T+ShvvNxUye8SVGMPZR8z94j+Dg3LyUMMtKOdhTjM ETq8q1gT+As23MYg0Qh+YOh6lt0kuieB3VPok5s4xBHxEC7W9665X+mRU9W3qyNTbaSt18iZDeE+ Y8479RRrRzSqcJ5nDgy/wXHej126J1eo7ecwew827Xh2VtEBqcqtAfrt2Kps79NUZaaBUDJYQruG Qh6T2u8iAgDZ2+2PBdpCybxeDQH4uWBmYuZfcSGuHmei/WJAQjKERXXxv+LYvrji4eKGsTw+Suel 0R1R0VXI7ckRq/ZBf0Kqk0+xybdpNXnM8emHK2Hxl/mZ+7W9/0wVhT4uBTgu2xVLj983x0X6vIhK FV4wa02Xei1qBoBY//pWaVBaxvKSPjWVCp8g7okVIe6+NeEIaN4oUBq+3byQvC/cdzPDCc49y11s wHQNPeoyEv1qHjhmH/ikDVuqH9VNg0HMA4g6lsI8Vjr/SFthTo/uHR+U5Ex8egTO+BFOgiknLJJe RxKcVxRiZh+DnGrTxgwzfYiz2T7I2dZaFYfR0qE2YZl+McZBQ7CBwjZV0QQyvu6RI7wC4qxrl53c DZl5xTr7/uLEgrm+a5DFAybjtbdGICi9V7tiTbRj8FXZ7eIHPzaKU7EFrPm1BQvwljKum2ziKmvp KZV4s4YGJ+YJ4j6tt+egQ0Q+gqA43/X7wYoS17Nw9zvN3/29u3q6fB6URMTwfpI89Ju5ZB4pAJIL 4OF7j9ZglPK85CpSMNZa/C7naaqQXPEpBmCkUQ7j5sdm8jYpoBgGr56wYEgJTb3t8ISfb/8ClDM3 OBVWQZoCrmYWZRTDTTQV5Q3oPVIQ2lomOiOtBj/tHmFeqAVaqVyYTWAPMh4wUXIMf77Gxiyzwe5l lbfHOKa3MYrd9pScNjRrE6rCddnwJLtcuMdJGT/K1s+rdBRWrlSNYWB0OPjqRBHQHkJQ7Z43Z3a2 ug7trN9rkGAz1o3F4cjkQBjxFqynXGClk5W8x1LtPyfcPmvODgwjDN6NWQbospmYR5Tk+9BpmRZt 9eLGft5A5YMAPU/O+VLRfPlVbP3qh/UI3TCpFpimtuRLFTCz4JztU8ZhIPncgrV0gK935ZKTtGnW BaMzGRyUSEKb0Zk78tlj5/srTcV5W2JedcjsdTEt0VmEaXIdJq7zuVZK4DHLddLUE9RFoZLKUVtj PPDWg9LQO5/j0o/Flr2+x/dFzulAoPTxfaxI7MCUmWunbSmNcsKrkqU2y0GpSwR9oqIRCH9ZUNmR Yx19latY90ZAJbIzGHcYO4zlSHsw5h8p1z9tAwRKdGl4iQgYxgJrbhUbvWxGWpvPmNLuNr7xXEIK oDdgIXUJ3F/lcnCdoUn5R1aLfWWH+IG9bmNCyU4s8NFEXMf/DaJIrQF6X1cz2uY50Gu65RK37mGJ q9t17ga2OiSnxtC1w/GmnPZ8+KjOVusjUElhRM4zgCOlnC9TSzQPyGrDFi21s5+to9mTB72+meBT YxfkNIV8M3PPmX7JaoXOT1xetjQOUFrFOU6ilBIQLq+XxjFdKvReovv0w0Pvcm7ms8xBGceKni3C 38O9cbEwE4U5FOdS6c2ZNHRhnUWeDyBraD5sm2qKEegmr9l8BzNS77gOK9upF0e5IWgNn2U42+10 2H3Vl2umQdtMTq2omNElOe+qAWcy2HwnclRlaN+9UfFk0ianhL4kXCpOLSx5VzNddArXqaEXtwDf eDFS96XliQwseFOEt/T2C+6kk0k4Dd8JRiruo64uVsVu81mWVGC+/W+dsCAJ6Wtap+NDV2tIDFjp ePx6sQLMnN00Do7sHJVvrWr3LdfY/Cz85lPJRJIkLUuIeQsUy0hFDlz8evNBkB1cg5U87HkIdg0S nK7904RqhwNIHGf3vaSpMxplcRbgiBkxHAmrHJfET1LVkoQMtIT3x2HcvE/7UYIOTv7HqbS/fAAk pbwAC272qlLmd4NPDdnxiok9c0+r++9AHaFr8Xt2BOXteGzOowE9KsGOM93RCGrdEGuarlEiMUde l/fMPzGCiOf3gQfO6P13REGpti9BPWTxjm1FyzTooueE+WTwuYsFAhadt6+3wuzOd1P2SF6usQIC e6C4k4hKED8VPyDgQ6F38jBiZU6vtUJQeE+OoAGEaYqYL2rds3b5F9C2AkKSUAxrRN0mCAflGHe0 KLTV02j3HfzlnhA1x4uvJuWo+yEIWwbh0/3Paxosv/OwXzExR3zF9GVE6bS9VUES67V/VyyAsQ0o +NaL9FIhqiWGRrMEM/ZY1kTPkhKKUy6yJygtCJAUIqOtAaJi7C9uuyw7kLPEQ8oelL75GV18BTVq b7NIx3B394DduoIuD+0ricroH9ZaPIfu07FleYodHHHhdrAl1z50ozeAj1FHvM7tRlh4MAXt54zY PBm5h3lZvnZ4NLBl5HLFZnNViflav4/4O+cpSd/41fJWJsFOwpXLA3x6tMkuOHsf9VRV+4alRJyC 54ERBeK+DuskKZP01Z5HJbnoh//TV7yj6y0+XwQ1byR/CDAWe+yrUUGs8jzrblu0h8ufY7/82rti p32XiCu8rAA3/5UC78i9xbrkDjcuzedCdQc8An7QA/ahIy8U2+WbhVq4LNcfpXzpHLQw1eQe5Xkc zF1NCnNT5wsawKqj2FW1hQYDLIAwF0kzMA6Txb73HiM8AxgOlfnyliy5bNXf/pRs0tmQ/84gJ5tN +XZKTV41n1vKOmEvtxmpL2HPFwIsozX3AznukZBdSr7BgVdlOvcJCUXvRANX91AMUKWJY8VvAUWI LBkjygf6MsJrWRD6qqmRUAhDwUv0udi6JfCKV1BozKJYtC0h3SyFoyYgdjtbPCpyQT91/ULlRTIA 8j5eA+Z768VYa+f08dUwZCblpvUbMhtmuBfLMmsdL0yFo/W4QjV50PeJgWZU7B67yghOAm1RE3lc lPZgZebUuzRFZxNoKgLgVDEQAPqVt564c+AlR0SXDpPOD0H8hr4ThFjKeEJ3Nv77slRJ7uHZDg1O nYCkmAPBKcAo6MHFsbGm8PnmJKPORkgGulEF9IApU5UgB9r5smUJFTWta6Y7Vbu9RlOoOk6tG6lJ xSHxMgPHwqcvGGN7IJvo5sqHjr0ohdI/qXf69fBdz+e7QqDTntNk+oK+2PvqexNNOw/mrkxO/qhl KDruTzmxO5CAuvq/xESrxxbPdaXOyzmTxHaaUADGU+8IrZ4o3NW9UUvfWReX3FdDI4YKcw8SAa6k Uf45VPHnJ4MG74xA8sEAjw4KTB7sRIwta9+C5STfWIqmZQ4mfltIzAK2H22E+3GadM/OuNw27gn4 YBT7Vlw/RpnOeL28JD42giu1dc1atOqw9XK4+XBeUWpoW7CXGF2sYwhgc8zsMtw4pdSOgEiNyMnl +dUdqVqefW1MkMKgwk4CQBnaSJSMITwsXIC2nD8FOzfFFkF0hXwFCZrfQaWQeoTI3UbE44myhYxB rTxBWSCZy89yRzmolBMGyrBxV2X6IqfhXQsPUg/w/5+yYgmCyizQYU2WabGXq1cdXO3tdlIt+J9O rxtuL/eaNPWTRcDSFNekLYXMHAua1E2VtBqO/2afq56GelSNoKHqnu2jBi41vn9weSNeKFOs2QDD 5mD3PzEuXmVFqy7P7ooPFV5X4oqnMs/0pCpmiWH/ySxTxkLKzNv/fF8RiOHeW0s+lXzG1884HXer 65bmvPMIO0QegDwJamA0u1VtwoDRT6F5X9wdcfr5oWeqm8ceNmY58JNu7Bqcu558i/poHsS54d+e 6Dn+n5pD6kM1GdZlp5YadjZUU2N4dcmGmERuQkhWNnMPxgKQe4I806zOcCGmXuOTtjBcQLQyFccC 81htxrlD8+TXIYv/3KLPTgO/g69qJIcpvZOV4R5ib6X9Y7AbQVqNPuWKcYFImHZUa/QsJIC0Q2tw cxFZUG9PBI+MXb8uC37EJzmU6+gU8tLRZpc2h4UDfO3Oj44wf8hV9nWkfDu5HUd6jCunOAuTct0S BuNObbRewq7zxrZXR2blx/OOB7KIYfCNxPEWLgh99LlVTJr10ZBL0exfksZc23Z4MqhlTCCuLXGd Yf4lqEuEdAEmUHeRf7EyVuypytdGGsbu3F7O0Mme/W9zMpR5EDo9ULlA6tLoRYkJg2NQ7Jh9aVlB kRD4Yo0DWA6Lbpddq4sZRPloZbZp8ZXS86QVjto4I9jMOqVsi24Pmx9pA5yM/J5z7b5Gtn657BcJ L0BdShDJa7DJSGdPo1f/imrxbRPTT9LjQVDI3NV802VgkeNtOnDxHpqDVqIlWx1nqbMpONXRhcOh 1m1s69ftQYoserPWOP3DwK0+ua2qyS8pfSEWTAhgX/xFDD+zzfH7fnn7DGb63+l5pSghyILDTmzw 1sg8s1nxvdJiU0DhaBsPlrCqHG/feXA7UgC7OIuf `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block pabZO1I/O5UlEfYaQEPwd4l9eUai0bqYoMxFZDUmBPXyS95K3GW98Ld97MzJKAXXnSlf1PewGW2v 0RIeWd32HQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block MnYS98CLv6GUlLtXXj0MDq/aXJWBamrEeFXZFkhzX7OjMU68I3JzEc2/1UN3CHInfTII6cQBis+f MSPPkhHYfjWA/UnlZNCfIbUjCA7v4zzzEDOXLdUwHhey61M2PDbtjo4F0M+PSYsHQUE61FCJYZr6 +aBOwyo0CpKkCUVEbxg= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block qncW/Cwz6DQ02ZtEcvyp5WdAA4sItotGPpP0REUtLyqefQhCtJmFILcg4T0iyRUg7VuYEwIANO5+ QvHNNc39qIJv9lOesalgHBZQgvNRJnIdYWaRfS0GyacwI/2JQRwAkuAQstvDCp4RTc3l8lwP6/ls 9Kgq/wnF0FIDD2zIsqBFYPVau5gOg+E2Yv8daLhsLbgUNkGI+w4/OZjRbQGSUjwZLuzAjcC7dEzW IiD8iCe2E3P5aTpTA2tXeuvseQy8KOwVCxJQuur+f/bmnE2QrPi5PPQMRcOyc4ok7k5U/64SCKlJ oITfL/xIL/xwZa26tMPcLgkkx7p0G3RLvL/tVw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Dnf6vaqe/V3pNaiPDsCpL4mEkUhuRTF8jsptuAsYR5QlsF0hNdnCfK2+aKM5H69faCvd5mpbM0GP Pqz+qhNmOYPHdckgaTUGR5o/7QyV8YKLvzwfyDMqTu2isTv6FP6Q6welH2CNBnmC1/h5T7i+fy/Q rlaoXYJxfrB3B6n9clU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block IMf8iBP4Q72XIQn7cHjsTbT2wNsnwrpqWy35OTpGthg9IgmIl2PQf4/c9imtaZPdkPVpIBywT+vW p0seCgJeCim8uHSlCA4Yuvzi7NiJqnEZtjEX9xSzaDj4EflUudOJTsvuYMqv/3kxvUgkIK0AS+U7 CWRV3RwJIjyzXaV3SkeD5i2xf0d/bezTocOrvt7wO8hz1n7ziicW5bgdFMZpO18+84bLDi0MzKYQ Ad5OLz8QJgoCqRTe+B2lLXuByvKd2+XBYArz50J0pDfy4RubYe7FYpZdW50ze6dgBWVP0HOw0tLX Pt7eQrmsKxnIhjnIQBRBht+Bb5QLkHSbaJnGbg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8352) `protect data_block 3Txl86gxySTjspY1bX6mjaEtHzHyJ6PEwV30HseHtq4UpP2jRFV0RDcUeckeAq3gEZ5Qu030LNT9 bbOM++HUbE1I8N7rcDRyyG1/zAJa57yK2mi3blq8tQKZ32YObDq6jHS9U2gM3rYjhBhVr2aKK7qQ c8X7nouwkJNW94GkZ7OhWlUV608zX7vk9Ytc1anxwA7VNM5uWs0oTqvG08wzxEO/Wp7eKojoSXwO 3Xhea7t/apLpI0orOHVPwHMzsjaxq56UlxouX+wqfNeT7gOjE86Icz9Kv6qJveIr35SBEOvmKTEu XXSNT6K9tm6q88SyQn3Fynw4R45InLxk96cdtyVOQFxzvDOOUUpt48giFXLbSh2J5eWLkh7wKkBY NwQFlaCkJdKCsT643SDourT31zKF+Ez8++L0sOmdPaVStcet+0/LOBpXifaCvmNLeKxnE44uDown kBMOgjRQlDv9IFqs6Wo034TMX/2IlRfFbca54S7HNhd4DqEOj/dLkdbi3hQqvKlUQLxGd/aByX1b hgEkVsmNNotqvCZpPQ9UsFsRcys6l++qYUPYLNf+xf+zP1lV2cgnRS4RT1iwGyboNFn3K77Tpsb7 9OpYXIW92RPDYHYOVluUlhGfDVUg0MYat/q2HEJQJrXI453QNfUDhUynqjNMtUc0dMa31quPvRVZ hHf+2/bR4Q7cjHspoRtCLGojgvnSf247q4ctuo7nbrdhYUuAa4Bjj5ju4myg9nxVU5ukJQzguBJL 3sQ6JJNrie8SnHcaAMftHxFvfSWwly88oe57Wp/UEarxKXac3A5nc41pt8Mv9Xgpu9v9VOJTxxUh 0lyGcjzLUm2XesldVnJ/PnO7FAjtpxgucH+XMQf+g2wsb59I8+ybUbOrYNQOqWYSwYCOn++p4OTm z6+e0PpDeW3xZTDe3cwHEBvM7k9KPgY+hpev11RMc+0TNT+raUGL3kNBmFiuUKDLoo9/RyEjagUr 0XsfKNMC2ZuGcJCLV4GR9MBQAHlIYRgt8pMqwpUU0tmvh50d1NgrWHgYQL1vhVQUjl2fL8jrCp0B +68ca7YQ1jwOO06mDdlvVYQjZYG/4HCGLQDVssbaag2EjF7zECcmS20NvYP6RjiCxeFLTts4nWNX QI9Rm6NxhHHcmzOEqLUcCSEhySlQwHac/hU0wa46OGSKe0mrmjph7yshq6QOx92ErIUyvhcBeJZo CYY1tCy8lK7N1pab+wcy1j3BbgC4h+YmgjRF6QzhsIcO0Gm9AWLAMvRIrWOPp/V66F7b53uZGfZg hBu915yc5M5CCeRHSBRqwlOTQ8b+GpsV2+8NdhIVSdXpGCVYKQExROd9Zd2r2RFpyx4WC8C9uISU ICBHRlp14RuEKS1tE3agqqBEQL8G83cM487JmgJrWO8alKV+Q5R/Y8cvNDq7FRMhEiPSO1OEMab0 xFA+efs/Tcf+HHo0OesKsFCjVlqwwpgbNSNTgtlDcfxD/icoQHkm8ZiY89TmjfvR0b0cnzwpHcDj RMaiCRYxWD1x6Iy8HAcn9ETbZjNvz/AykS/6e5fMYkeHvaSs0iTZgt6FMmApO8MaDCaUZJEzLv0U z5mb4bxB2IUUrSSt79+9IGbvbS7SphLSQpuyhmnr4JnQmDNj7qbKUz1unir19crnVKkDO6zxigd/ lR8zPfNWeXOCuLklXc3IcDDhBD+ysKGtw2idvIUOTMC0Wa4g2d1zmnUwx/0x0HNEwmXy5XyZpQpe MqREqJVr/i8Oed0hI1JR2OE/D9xvGQmy67OWwqOQtB58ZoJP8JmdoWCJKsQhk9569I+XRRmtvLCC d7m3tMoqYsWVMFY16sa1q0QO8R7PijrjPovnzqr48ZYLKmswIDxTakNM2zHM4zbqta7faU1m1++I nKYMlqUtnps/uGB8XKS5fnRFmB2KPBoCh3xddVUq2bbcUnb3pSgykrT+6T0Cy+V9trIv2IJ0c7l3 NaV+KvRYH0dgbBFOT5DsqIj0jiaAiwSrTgUsdI/LQ3cKu9M2/ExRpY3onDoKZc2dAD3GGb69SXAr w7p9Q18HYjcJHWpaItgzAqnpDNOsS8ZuVMmHOKqCAjG/8Krvw+TQI/+VL6o0RdDz23z6HmqTItKc 1lRdvoub1qnfL3uVJ4X9TsXy8cpZ6h0FbILRMnFsk5Vaf93/svpVrMIzYjt2Hpf62IKO5lipMuhh KPKesXaVK+Mwu/rkP45ABgmsuu4nNKVY2VnFSymuk2zHpmeeLL0v5PGSAq+qfBEAeH3EcIU0DUjF f/DZY0t+qDbjr8kuA9krOgPacrVu8+C08lCQKNB8H4zDq3aJkXYG2WmPI7/NCl6RpCTTp2ftZmHz uAg74PMVp7v+9dLBbb78ogz44Ue4t7HGbnLbVvygeth05fSmOhFEQLID3JaITPTsljDVOebzOEuB r/7Z54pRWs4eOGVJ6BTWU+2iR68Mp3+I0SipaTCSLWjdOaQxegyCEXlLXS2pP9fovqy6ohwKp4r8 SbQ+fQEXJR2Nf9iy+UBtxjz6TMTym7rgS/O9I89iDLJGFuP3z0VNGVQklauC3+Yp4gcMd0xnupu3 w27OKqWBGciRpZM6Fgrsy0mBGWFfxkKu86nJyiwgxtCocvKy5jpk7yiTaHgWOA7BrgEBHk5Nz5FL NHVIuZN7j1eFV4JAQzvPN9dsohwgB+k6T+bz8fxTf+e8RFUnDL43dOjicvnkIvfEQzwM0+JkeNvQ ta5xGpqaH1JD0qyIkJvzScWkIVGrzWzMMvxN+DtabQBIeE2j5DTmfMky0f63cNgmFWP6/SP8aELt kt38bI3RslECUinVEQnYbU2DhgBl2Bp2E7uq/YG8V1sM+J132SjhghxqJ6T1iaGzRtJrzV8uZJUI QV78YPB8Sw2WfIKPXHMOo8Wcuiq7hWP2gnMzlEhTruTfy43iOBynsEQiXlpz5MtA7mEni8hCK/ju wEgP4JhZ2tuIpn3vt//Hes8u0qpLAT4bL03JKYgTMtYLwgU/cKQ7mMqCQ6JazkTKGN1vdrb1P6FI jm7sXfEx1FtTOtiyoXtAyMhUgg+nYckjfJRB+Upgs+B8ajgnnZ4zZWZg9nXh4NOTgJqwSZoz3mYj 0YEoTAZoU5V/kM8lWYqbfC7ss3tgwGjQhmamh1yG2IBAFfbbm+1eaGzWKhnMkIe/ThTInM2B1954 qhG2i2RrFeFBjYacocDQaSbMgsDSx3bO0wRodxUv6ITBJWBtUXQ84psaazwKrD/48/Wk9SiEOiD1 bHUKJFcMGiEDXRQo6c2MPJQ6+AASb+mkg4r+ZMnCpAgNGWBSRtJ3JaJz3fpIua/0GcoKl2yUtmou QnC/LB3DfIirdC5fp4Ar2PTLUq41yjWqn49wmeFrni0Fyh6cBfPplgLIt5GduGYDHqCMzXsq7jMB J4K0ObKnDEOvNDZE0aYW1ePxQNtm2WgNAE5G1Bb4zoziBHf8WCtWuwOZD72tS7ZLwU0SRI/H1rgO NqpZtEe8PbhFF3Mu945oZP/D65wwWYbxbCefnwh7a9hurvKB+YX4xWg7Bd+gnzSBzDNtoULsaGaC Vl5fRhumkx+BwqRdeIvZbEBGKEAuT9fwLuBWbqk9HBZDRGqcse0evcpEJwwj3bvVq9LzXkuUYR1z Rj+rkr1VIOBvD406n/kA7zybInjl+abePxrvEczIc+9nNyt4olcCdlOPbnfijOTwpQ8D26mPfsYD i9O3VvkG9+NJIYW+QgS2AKJXFvHQptmkEqXIaW5nI1pxbps2GKYt7UeBwd3OGuNFPUwtXN28qSnG EkOYzBRsWNCHT6Er1YEX4gIcUpwGuzYkItG+yZY6/dH49QZnIuVWqiFNU6F/5rfoOf81SF+rcgn4 OJPqnzNuGtn0dwUAWA17Bc6BzZ407CNs/QiW5tcgtLCQ0OQSvgN4gNMgvig9LHofpmNnlTQAvYYe BVMg4B3jAZj23PTEw7AVpjHMYUWAHgiPZG4vsf+KQIkXE2LwvfDjNzHLr2iLhAHJXd0IiqeTGiaz IlFj8ULdg+8d8cqV9NCVt2TdAsPgvBFn5tejC2z6o6lQx7u5LDB6LxPxb9b6Zin6lexVCtUzMvMC 0M9fb0Y1ZfMukFBjX5eV4TAtVULwlFjyiwbQ86oEjKcSLpynhYc0F7ITr9iGQjCT1gMB6gkU+r1A lCJapEUql8wzY3VFG5X7mMFuNMnvwzIKZ+jAtrIgNE4JdpAIYTcFEkxq8akjQVVUDedUUJb8ltag RUw79Gm+0c5hgnSPw8xrqk1M73/tMFsPDOCESMOCY62RTQvEJ3xns96vKjn1c12j9qkYq5Rv/4fC s3wFId2akJGz81EuJW8OGSOkFdLYmhVLgXPJXM5DAWhKKcOBO1RTfb+2KF5sP16/tXkQ9StRq4VX c+UD/PMrTDZM4G6HgrYZ/9dGhLTHxganTLx62+QqSuwA99tMdCd0GbQneOfqNHb8C123G3zS7fMj swXKIyhroWPHZdxxm2Osyv3wAxCg8T8XirESRway9GoO+UFL477kJLZ+HmiJsXQTEelxX7WK8ze/ 22UYNqX/fzMT2M824ohaQFAtjXa+mwiOm6ydU3dCehJ+W3m+D6l4bVwPwbv4xGA2wBb/aiIGuqVN o8NK9XsDyh2RFFihGZrvJyoxBbzQH3hvISJlrfKmknMk9vQ/hBNtdWOkLTZ9WZR/pgD+eVzF6ot2 rbuarAjEDrlldyE5l8wZ1JOz3wU4S+cD6jx00DiL3FA62+fMbxmTmAZgHudnMBVHWMHx65pookQ1 PH/eetNoWd3uMDXzMzNF/eHW3LXRwmpckxQgn5C6HlfMlIBwL3tTRgY7M5iZhuvORqToXY/7rdTK laP4Yffx9Go9q3T31S09Rn3SD1Bq9R7bd/7LqrdYezN7jyNSinl1SRoCRVbjD+5zIG0psVectW66 C6xBHdEHgSjehXEaSak2uWDskIo3YkZUpvAF7KGnm9bUP+zer9dfwk0EQAzxap6tIZ/q8ZFO+aEa cU/zpQnghtgefYQTdEbF5hc1GtdPi9V03glTxYGdLJZd9h+ITNvKfwEJZ+T4ERkL8RVu0tL7Q5Tn C+GGjYe5vSj0n3o4M6Q9OEYowOKC68LP39oVGI09gHYGwHQLNKoEe9Xxsu6k5+HvEp7xqQRgTkMr KYQ1YwAyYyjezGrGnATKvcRCl8Qv6ob0wgmr3n1DSenWBBkptfiMEueDrvBzCvLooImQiLTrIZpv BzATxNSFTzXmAfuP28U17N3EXCWA3AkWGS5IcY9blseoPjgVF8mAIukuDd5IWMehpWny50JTfJXq PXVLsOk6aN9TmZCbQdfKJLKUq2wf6IfhH/6LZ4kzWKjp7cjIVVzBBU5YFoJTc9Xcn2cWcxdMxUDo +atD56hnbO8Yv1qD5j1IyZQR26x1VUYCT8surMUyOeMYDf0QOmFXW8vFXM4iFJch0TQJVc+wNTQ5 r/JXQBwjLloIKh+zjBGML90YyHEi8lBIegcHQjcfdVAiSguffRzvi1GyY0tJ9+uuSPvR8MsmySw+ qJax9eOrGGMrkaMutrm4coh+90PGwrVBw7C9CJ20slujK4fzED1n2GI7HIk5C/nwustjJ3aY9FId 5RgVZsF66MOXe8JWXJYePleTKcIMkc81dgw5GpAxgrcfxQEuq4MYXQq/HU09N/Ba+knDJmloMsrq h2ruTb/P7ZZBeNDGQR3kNYVJCkMIe8EqhFfeDarNLviUZEmbnuNyWO17WJH2175txLa6XYHVPuXl xZyalFZzMdEmW0ehRQZxGlteMC/ISZK8lV4jiKzTJzCv1LEZjYhjHYakr21eVgBYZimn2FxeGfUU OJyqiweGOShLgragFNrgH1BcUeT7n/gaMJ7AvFXZfj/UjGyXnadgQE3W27OfFR+yKgDXIV+Ll7Sy JqhpUFVILNRiN9EOsszyG1lDmWIqFIswPyYAcdL0vWfGRAGdlSZkfIxioF85gpNWrZcWLABc0YLo EpwhTas0yCgDNkyb2pKsi+AEFp9GCF8uXDJjdhZ5oLAiNM521YbRRYRN3sTJ0trJrmSQZ/w1Ng4B ukLHpJ++YqCKWMQSeqxPS1cd3FmFzrnuQZ2zgnbiXUjMBOf3EiHMKBTeRIfRNV6MPy8Dva8CPabX Bpi5YTB/kK4wFn7oGntO4ju0zarDapC7dkQRHvD0FNNW0uWDxf4ZC2hdlImcLC8GwD4Jqx+Ww5vQ iWb5a5qeHv8FbwX9avXhWV7W595r70shlOyX+cBLUevhdivgviVBYx6pp/PwrtpQZE41FymWtqTU eTITzgOySas9n4ArQT8EvyuSsZh5ZoONr2mvGkOzFqKRNxwJfLP3JGB2JDtyXl2G6LyE3wj+bkjp bFPmVN37bVtYGhSeB4rYxt4pHWAMexghGjZOhb9wideTxEmxMPUkUOTheSqUXw1411WoB1wxVM6Y r1/9/pw6VWXqKUKls6bf+uZw7U9Ko2OUpSFVOKTweTJuBbDHi3SYWXIPUJrSJdyLg98+QJWyyY6C BsU6vDcAWRqIXMuTxlc1Dn4rQnP4/lLSZ4TmDjBpf1rXBAOkVmFlr9RspUv94oqTwbZhCnpQsx/e 4MUd5Ot6CgxzbhYvp9bA7mL0HFMsq22B1V0u2Onn5VyUXdY5cmY3DRBJxQPx7yLC7X+eZMsBVQ+T aoMXwhJx3dHjfWRgPW94w/wQuufuExuG4R2T+ShvvNxUye8SVGMPZR8z94j+Dg3LyUMMtKOdhTjM ETq8q1gT+As23MYg0Qh+YOh6lt0kuieB3VPok5s4xBHxEC7W9665X+mRU9W3qyNTbaSt18iZDeE+ Y8479RRrRzSqcJ5nDgy/wXHej126J1eo7ecwew827Xh2VtEBqcqtAfrt2Kps79NUZaaBUDJYQruG Qh6T2u8iAgDZ2+2PBdpCybxeDQH4uWBmYuZfcSGuHmei/WJAQjKERXXxv+LYvrji4eKGsTw+Suel 0R1R0VXI7ckRq/ZBf0Kqk0+xybdpNXnM8emHK2Hxl/mZ+7W9/0wVhT4uBTgu2xVLj983x0X6vIhK FV4wa02Xei1qBoBY//pWaVBaxvKSPjWVCp8g7okVIe6+NeEIaN4oUBq+3byQvC/cdzPDCc49y11s wHQNPeoyEv1qHjhmH/ikDVuqH9VNg0HMA4g6lsI8Vjr/SFthTo/uHR+U5Ex8egTO+BFOgiknLJJe RxKcVxRiZh+DnGrTxgwzfYiz2T7I2dZaFYfR0qE2YZl+McZBQ7CBwjZV0QQyvu6RI7wC4qxrl53c DZl5xTr7/uLEgrm+a5DFAybjtbdGICi9V7tiTbRj8FXZ7eIHPzaKU7EFrPm1BQvwljKum2ziKmvp KZV4s4YGJ+YJ4j6tt+egQ0Q+gqA43/X7wYoS17Nw9zvN3/29u3q6fB6URMTwfpI89Ju5ZB4pAJIL 4OF7j9ZglPK85CpSMNZa/C7naaqQXPEpBmCkUQ7j5sdm8jYpoBgGr56wYEgJTb3t8ISfb/8ClDM3 OBVWQZoCrmYWZRTDTTQV5Q3oPVIQ2lomOiOtBj/tHmFeqAVaqVyYTWAPMh4wUXIMf77Gxiyzwe5l lbfHOKa3MYrd9pScNjRrE6rCddnwJLtcuMdJGT/K1s+rdBRWrlSNYWB0OPjqRBHQHkJQ7Z43Z3a2 ug7trN9rkGAz1o3F4cjkQBjxFqynXGClk5W8x1LtPyfcPmvODgwjDN6NWQbospmYR5Tk+9BpmRZt 9eLGft5A5YMAPU/O+VLRfPlVbP3qh/UI3TCpFpimtuRLFTCz4JztU8ZhIPncgrV0gK935ZKTtGnW BaMzGRyUSEKb0Zk78tlj5/srTcV5W2JedcjsdTEt0VmEaXIdJq7zuVZK4DHLddLUE9RFoZLKUVtj PPDWg9LQO5/j0o/Flr2+x/dFzulAoPTxfaxI7MCUmWunbSmNcsKrkqU2y0GpSwR9oqIRCH9ZUNmR Yx19latY90ZAJbIzGHcYO4zlSHsw5h8p1z9tAwRKdGl4iQgYxgJrbhUbvWxGWpvPmNLuNr7xXEIK oDdgIXUJ3F/lcnCdoUn5R1aLfWWH+IG9bmNCyU4s8NFEXMf/DaJIrQF6X1cz2uY50Gu65RK37mGJ q9t17ga2OiSnxtC1w/GmnPZ8+KjOVusjUElhRM4zgCOlnC9TSzQPyGrDFi21s5+to9mTB72+meBT YxfkNIV8M3PPmX7JaoXOT1xetjQOUFrFOU6ilBIQLq+XxjFdKvReovv0w0Pvcm7ms8xBGceKni3C 38O9cbEwE4U5FOdS6c2ZNHRhnUWeDyBraD5sm2qKEegmr9l8BzNS77gOK9upF0e5IWgNn2U42+10 2H3Vl2umQdtMTq2omNElOe+qAWcy2HwnclRlaN+9UfFk0ianhL4kXCpOLSx5VzNddArXqaEXtwDf eDFS96XliQwseFOEt/T2C+6kk0k4Dd8JRiruo64uVsVu81mWVGC+/W+dsCAJ6Wtap+NDV2tIDFjp ePx6sQLMnN00Do7sHJVvrWr3LdfY/Cz85lPJRJIkLUuIeQsUy0hFDlz8evNBkB1cg5U87HkIdg0S nK7904RqhwNIHGf3vaSpMxplcRbgiBkxHAmrHJfET1LVkoQMtIT3x2HcvE/7UYIOTv7HqbS/fAAk pbwAC272qlLmd4NPDdnxiok9c0+r++9AHaFr8Xt2BOXteGzOowE9KsGOM93RCGrdEGuarlEiMUde l/fMPzGCiOf3gQfO6P13REGpti9BPWTxjm1FyzTooueE+WTwuYsFAhadt6+3wuzOd1P2SF6usQIC e6C4k4hKED8VPyDgQ6F38jBiZU6vtUJQeE+OoAGEaYqYL2rds3b5F9C2AkKSUAxrRN0mCAflGHe0 KLTV02j3HfzlnhA1x4uvJuWo+yEIWwbh0/3Paxosv/OwXzExR3zF9GVE6bS9VUES67V/VyyAsQ0o +NaL9FIhqiWGRrMEM/ZY1kTPkhKKUy6yJygtCJAUIqOtAaJi7C9uuyw7kLPEQ8oelL75GV18BTVq b7NIx3B394DduoIuD+0ricroH9ZaPIfu07FleYodHHHhdrAl1z50ozeAj1FHvM7tRlh4MAXt54zY PBm5h3lZvnZ4NLBl5HLFZnNViflav4/4O+cpSd/41fJWJsFOwpXLA3x6tMkuOHsf9VRV+4alRJyC 54ERBeK+DuskKZP01Z5HJbnoh//TV7yj6y0+XwQ1byR/CDAWe+yrUUGs8jzrblu0h8ufY7/82rti p32XiCu8rAA3/5UC78i9xbrkDjcuzedCdQc8An7QA/ahIy8U2+WbhVq4LNcfpXzpHLQw1eQe5Xkc zF1NCnNT5wsawKqj2FW1hQYDLIAwF0kzMA6Txb73HiM8AxgOlfnyliy5bNXf/pRs0tmQ/84gJ5tN +XZKTV41n1vKOmEvtxmpL2HPFwIsozX3AznukZBdSr7BgVdlOvcJCUXvRANX91AMUKWJY8VvAUWI LBkjygf6MsJrWRD6qqmRUAhDwUv0udi6JfCKV1BozKJYtC0h3SyFoyYgdjtbPCpyQT91/ULlRTIA 8j5eA+Z768VYa+f08dUwZCblpvUbMhtmuBfLMmsdL0yFo/W4QjV50PeJgWZU7B67yghOAm1RE3lc lPZgZebUuzRFZxNoKgLgVDEQAPqVt564c+AlR0SXDpPOD0H8hr4ThFjKeEJ3Nv77slRJ7uHZDg1O nYCkmAPBKcAo6MHFsbGm8PnmJKPORkgGulEF9IApU5UgB9r5smUJFTWta6Y7Vbu9RlOoOk6tG6lJ xSHxMgPHwqcvGGN7IJvo5sqHjr0ohdI/qXf69fBdz+e7QqDTntNk+oK+2PvqexNNOw/mrkxO/qhl KDruTzmxO5CAuvq/xESrxxbPdaXOyzmTxHaaUADGU+8IrZ4o3NW9UUvfWReX3FdDI4YKcw8SAa6k Uf45VPHnJ4MG74xA8sEAjw4KTB7sRIwta9+C5STfWIqmZQ4mfltIzAK2H22E+3GadM/OuNw27gn4 YBT7Vlw/RpnOeL28JD42giu1dc1atOqw9XK4+XBeUWpoW7CXGF2sYwhgc8zsMtw4pdSOgEiNyMnl +dUdqVqefW1MkMKgwk4CQBnaSJSMITwsXIC2nD8FOzfFFkF0hXwFCZrfQaWQeoTI3UbE44myhYxB rTxBWSCZy89yRzmolBMGyrBxV2X6IqfhXQsPUg/w/5+yYgmCyizQYU2WabGXq1cdXO3tdlIt+J9O rxtuL/eaNPWTRcDSFNekLYXMHAua1E2VtBqO/2afq56GelSNoKHqnu2jBi41vn9weSNeKFOs2QDD 5mD3PzEuXmVFqy7P7ooPFV5X4oqnMs/0pCpmiWH/ySxTxkLKzNv/fF8RiOHeW0s+lXzG1884HXer 65bmvPMIO0QegDwJamA0u1VtwoDRT6F5X9wdcfr5oWeqm8ceNmY58JNu7Bqcu558i/poHsS54d+e 6Dn+n5pD6kM1GdZlp5YadjZUU2N4dcmGmERuQkhWNnMPxgKQe4I806zOcCGmXuOTtjBcQLQyFccC 81htxrlD8+TXIYv/3KLPTgO/g69qJIcpvZOV4R5ib6X9Y7AbQVqNPuWKcYFImHZUa/QsJIC0Q2tw cxFZUG9PBI+MXb8uC37EJzmU6+gU8tLRZpc2h4UDfO3Oj44wf8hV9nWkfDu5HUd6jCunOAuTct0S BuNObbRewq7zxrZXR2blx/OOB7KIYfCNxPEWLgh99LlVTJr10ZBL0exfksZc23Z4MqhlTCCuLXGd Yf4lqEuEdAEmUHeRf7EyVuypytdGGsbu3F7O0Mme/W9zMpR5EDo9ULlA6tLoRYkJg2NQ7Jh9aVlB kRD4Yo0DWA6Lbpddq4sZRPloZbZp8ZXS86QVjto4I9jMOqVsi24Pmx9pA5yM/J5z7b5Gtn657BcJ L0BdShDJa7DJSGdPo1f/imrxbRPTT9LjQVDI3NV802VgkeNtOnDxHpqDVqIlWx1nqbMpONXRhcOh 1m1s69ftQYoserPWOP3DwK0+ua2qyS8pfSEWTAhgX/xFDD+zzfH7fnn7DGb63+l5pSghyILDTmzw 1sg8s1nxvdJiU0DhaBsPlrCqHG/feXA7UgC7OIuf `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block pabZO1I/O5UlEfYaQEPwd4l9eUai0bqYoMxFZDUmBPXyS95K3GW98Ld97MzJKAXXnSlf1PewGW2v 0RIeWd32HQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block MnYS98CLv6GUlLtXXj0MDq/aXJWBamrEeFXZFkhzX7OjMU68I3JzEc2/1UN3CHInfTII6cQBis+f MSPPkhHYfjWA/UnlZNCfIbUjCA7v4zzzEDOXLdUwHhey61M2PDbtjo4F0M+PSYsHQUE61FCJYZr6 +aBOwyo0CpKkCUVEbxg= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block qncW/Cwz6DQ02ZtEcvyp5WdAA4sItotGPpP0REUtLyqefQhCtJmFILcg4T0iyRUg7VuYEwIANO5+ QvHNNc39qIJv9lOesalgHBZQgvNRJnIdYWaRfS0GyacwI/2JQRwAkuAQstvDCp4RTc3l8lwP6/ls 9Kgq/wnF0FIDD2zIsqBFYPVau5gOg+E2Yv8daLhsLbgUNkGI+w4/OZjRbQGSUjwZLuzAjcC7dEzW IiD8iCe2E3P5aTpTA2tXeuvseQy8KOwVCxJQuur+f/bmnE2QrPi5PPQMRcOyc4ok7k5U/64SCKlJ oITfL/xIL/xwZa26tMPcLgkkx7p0G3RLvL/tVw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Dnf6vaqe/V3pNaiPDsCpL4mEkUhuRTF8jsptuAsYR5QlsF0hNdnCfK2+aKM5H69faCvd5mpbM0GP Pqz+qhNmOYPHdckgaTUGR5o/7QyV8YKLvzwfyDMqTu2isTv6FP6Q6welH2CNBnmC1/h5T7i+fy/Q rlaoXYJxfrB3B6n9clU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block IMf8iBP4Q72XIQn7cHjsTbT2wNsnwrpqWy35OTpGthg9IgmIl2PQf4/c9imtaZPdkPVpIBywT+vW p0seCgJeCim8uHSlCA4Yuvzi7NiJqnEZtjEX9xSzaDj4EflUudOJTsvuYMqv/3kxvUgkIK0AS+U7 CWRV3RwJIjyzXaV3SkeD5i2xf0d/bezTocOrvt7wO8hz1n7ziicW5bgdFMZpO18+84bLDi0MzKYQ Ad5OLz8QJgoCqRTe+B2lLXuByvKd2+XBYArz50J0pDfy4RubYe7FYpZdW50ze6dgBWVP0HOw0tLX Pt7eQrmsKxnIhjnIQBRBht+Bb5QLkHSbaJnGbg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8352) `protect data_block 3Txl86gxySTjspY1bX6mjaEtHzHyJ6PEwV30HseHtq4UpP2jRFV0RDcUeckeAq3gEZ5Qu030LNT9 bbOM++HUbE1I8N7rcDRyyG1/zAJa57yK2mi3blq8tQKZ32YObDq6jHS9U2gM3rYjhBhVr2aKK7qQ c8X7nouwkJNW94GkZ7OhWlUV608zX7vk9Ytc1anxwA7VNM5uWs0oTqvG08wzxEO/Wp7eKojoSXwO 3Xhea7t/apLpI0orOHVPwHMzsjaxq56UlxouX+wqfNeT7gOjE86Icz9Kv6qJveIr35SBEOvmKTEu XXSNT6K9tm6q88SyQn3Fynw4R45InLxk96cdtyVOQFxzvDOOUUpt48giFXLbSh2J5eWLkh7wKkBY NwQFlaCkJdKCsT643SDourT31zKF+Ez8++L0sOmdPaVStcet+0/LOBpXifaCvmNLeKxnE44uDown kBMOgjRQlDv9IFqs6Wo034TMX/2IlRfFbca54S7HNhd4DqEOj/dLkdbi3hQqvKlUQLxGd/aByX1b hgEkVsmNNotqvCZpPQ9UsFsRcys6l++qYUPYLNf+xf+zP1lV2cgnRS4RT1iwGyboNFn3K77Tpsb7 9OpYXIW92RPDYHYOVluUlhGfDVUg0MYat/q2HEJQJrXI453QNfUDhUynqjNMtUc0dMa31quPvRVZ hHf+2/bR4Q7cjHspoRtCLGojgvnSf247q4ctuo7nbrdhYUuAa4Bjj5ju4myg9nxVU5ukJQzguBJL 3sQ6JJNrie8SnHcaAMftHxFvfSWwly88oe57Wp/UEarxKXac3A5nc41pt8Mv9Xgpu9v9VOJTxxUh 0lyGcjzLUm2XesldVnJ/PnO7FAjtpxgucH+XMQf+g2wsb59I8+ybUbOrYNQOqWYSwYCOn++p4OTm z6+e0PpDeW3xZTDe3cwHEBvM7k9KPgY+hpev11RMc+0TNT+raUGL3kNBmFiuUKDLoo9/RyEjagUr 0XsfKNMC2ZuGcJCLV4GR9MBQAHlIYRgt8pMqwpUU0tmvh50d1NgrWHgYQL1vhVQUjl2fL8jrCp0B +68ca7YQ1jwOO06mDdlvVYQjZYG/4HCGLQDVssbaag2EjF7zECcmS20NvYP6RjiCxeFLTts4nWNX QI9Rm6NxhHHcmzOEqLUcCSEhySlQwHac/hU0wa46OGSKe0mrmjph7yshq6QOx92ErIUyvhcBeJZo CYY1tCy8lK7N1pab+wcy1j3BbgC4h+YmgjRF6QzhsIcO0Gm9AWLAMvRIrWOPp/V66F7b53uZGfZg hBu915yc5M5CCeRHSBRqwlOTQ8b+GpsV2+8NdhIVSdXpGCVYKQExROd9Zd2r2RFpyx4WC8C9uISU ICBHRlp14RuEKS1tE3agqqBEQL8G83cM487JmgJrWO8alKV+Q5R/Y8cvNDq7FRMhEiPSO1OEMab0 xFA+efs/Tcf+HHo0OesKsFCjVlqwwpgbNSNTgtlDcfxD/icoQHkm8ZiY89TmjfvR0b0cnzwpHcDj RMaiCRYxWD1x6Iy8HAcn9ETbZjNvz/AykS/6e5fMYkeHvaSs0iTZgt6FMmApO8MaDCaUZJEzLv0U z5mb4bxB2IUUrSSt79+9IGbvbS7SphLSQpuyhmnr4JnQmDNj7qbKUz1unir19crnVKkDO6zxigd/ lR8zPfNWeXOCuLklXc3IcDDhBD+ysKGtw2idvIUOTMC0Wa4g2d1zmnUwx/0x0HNEwmXy5XyZpQpe MqREqJVr/i8Oed0hI1JR2OE/D9xvGQmy67OWwqOQtB58ZoJP8JmdoWCJKsQhk9569I+XRRmtvLCC d7m3tMoqYsWVMFY16sa1q0QO8R7PijrjPovnzqr48ZYLKmswIDxTakNM2zHM4zbqta7faU1m1++I nKYMlqUtnps/uGB8XKS5fnRFmB2KPBoCh3xddVUq2bbcUnb3pSgykrT+6T0Cy+V9trIv2IJ0c7l3 NaV+KvRYH0dgbBFOT5DsqIj0jiaAiwSrTgUsdI/LQ3cKu9M2/ExRpY3onDoKZc2dAD3GGb69SXAr w7p9Q18HYjcJHWpaItgzAqnpDNOsS8ZuVMmHOKqCAjG/8Krvw+TQI/+VL6o0RdDz23z6HmqTItKc 1lRdvoub1qnfL3uVJ4X9TsXy8cpZ6h0FbILRMnFsk5Vaf93/svpVrMIzYjt2Hpf62IKO5lipMuhh KPKesXaVK+Mwu/rkP45ABgmsuu4nNKVY2VnFSymuk2zHpmeeLL0v5PGSAq+qfBEAeH3EcIU0DUjF f/DZY0t+qDbjr8kuA9krOgPacrVu8+C08lCQKNB8H4zDq3aJkXYG2WmPI7/NCl6RpCTTp2ftZmHz uAg74PMVp7v+9dLBbb78ogz44Ue4t7HGbnLbVvygeth05fSmOhFEQLID3JaITPTsljDVOebzOEuB r/7Z54pRWs4eOGVJ6BTWU+2iR68Mp3+I0SipaTCSLWjdOaQxegyCEXlLXS2pP9fovqy6ohwKp4r8 SbQ+fQEXJR2Nf9iy+UBtxjz6TMTym7rgS/O9I89iDLJGFuP3z0VNGVQklauC3+Yp4gcMd0xnupu3 w27OKqWBGciRpZM6Fgrsy0mBGWFfxkKu86nJyiwgxtCocvKy5jpk7yiTaHgWOA7BrgEBHk5Nz5FL NHVIuZN7j1eFV4JAQzvPN9dsohwgB+k6T+bz8fxTf+e8RFUnDL43dOjicvnkIvfEQzwM0+JkeNvQ ta5xGpqaH1JD0qyIkJvzScWkIVGrzWzMMvxN+DtabQBIeE2j5DTmfMky0f63cNgmFWP6/SP8aELt kt38bI3RslECUinVEQnYbU2DhgBl2Bp2E7uq/YG8V1sM+J132SjhghxqJ6T1iaGzRtJrzV8uZJUI QV78YPB8Sw2WfIKPXHMOo8Wcuiq7hWP2gnMzlEhTruTfy43iOBynsEQiXlpz5MtA7mEni8hCK/ju wEgP4JhZ2tuIpn3vt//Hes8u0qpLAT4bL03JKYgTMtYLwgU/cKQ7mMqCQ6JazkTKGN1vdrb1P6FI jm7sXfEx1FtTOtiyoXtAyMhUgg+nYckjfJRB+Upgs+B8ajgnnZ4zZWZg9nXh4NOTgJqwSZoz3mYj 0YEoTAZoU5V/kM8lWYqbfC7ss3tgwGjQhmamh1yG2IBAFfbbm+1eaGzWKhnMkIe/ThTInM2B1954 qhG2i2RrFeFBjYacocDQaSbMgsDSx3bO0wRodxUv6ITBJWBtUXQ84psaazwKrD/48/Wk9SiEOiD1 bHUKJFcMGiEDXRQo6c2MPJQ6+AASb+mkg4r+ZMnCpAgNGWBSRtJ3JaJz3fpIua/0GcoKl2yUtmou QnC/LB3DfIirdC5fp4Ar2PTLUq41yjWqn49wmeFrni0Fyh6cBfPplgLIt5GduGYDHqCMzXsq7jMB J4K0ObKnDEOvNDZE0aYW1ePxQNtm2WgNAE5G1Bb4zoziBHf8WCtWuwOZD72tS7ZLwU0SRI/H1rgO NqpZtEe8PbhFF3Mu945oZP/D65wwWYbxbCefnwh7a9hurvKB+YX4xWg7Bd+gnzSBzDNtoULsaGaC Vl5fRhumkx+BwqRdeIvZbEBGKEAuT9fwLuBWbqk9HBZDRGqcse0evcpEJwwj3bvVq9LzXkuUYR1z Rj+rkr1VIOBvD406n/kA7zybInjl+abePxrvEczIc+9nNyt4olcCdlOPbnfijOTwpQ8D26mPfsYD i9O3VvkG9+NJIYW+QgS2AKJXFvHQptmkEqXIaW5nI1pxbps2GKYt7UeBwd3OGuNFPUwtXN28qSnG EkOYzBRsWNCHT6Er1YEX4gIcUpwGuzYkItG+yZY6/dH49QZnIuVWqiFNU6F/5rfoOf81SF+rcgn4 OJPqnzNuGtn0dwUAWA17Bc6BzZ407CNs/QiW5tcgtLCQ0OQSvgN4gNMgvig9LHofpmNnlTQAvYYe BVMg4B3jAZj23PTEw7AVpjHMYUWAHgiPZG4vsf+KQIkXE2LwvfDjNzHLr2iLhAHJXd0IiqeTGiaz IlFj8ULdg+8d8cqV9NCVt2TdAsPgvBFn5tejC2z6o6lQx7u5LDB6LxPxb9b6Zin6lexVCtUzMvMC 0M9fb0Y1ZfMukFBjX5eV4TAtVULwlFjyiwbQ86oEjKcSLpynhYc0F7ITr9iGQjCT1gMB6gkU+r1A lCJapEUql8wzY3VFG5X7mMFuNMnvwzIKZ+jAtrIgNE4JdpAIYTcFEkxq8akjQVVUDedUUJb8ltag RUw79Gm+0c5hgnSPw8xrqk1M73/tMFsPDOCESMOCY62RTQvEJ3xns96vKjn1c12j9qkYq5Rv/4fC s3wFId2akJGz81EuJW8OGSOkFdLYmhVLgXPJXM5DAWhKKcOBO1RTfb+2KF5sP16/tXkQ9StRq4VX c+UD/PMrTDZM4G6HgrYZ/9dGhLTHxganTLx62+QqSuwA99tMdCd0GbQneOfqNHb8C123G3zS7fMj swXKIyhroWPHZdxxm2Osyv3wAxCg8T8XirESRway9GoO+UFL477kJLZ+HmiJsXQTEelxX7WK8ze/ 22UYNqX/fzMT2M824ohaQFAtjXa+mwiOm6ydU3dCehJ+W3m+D6l4bVwPwbv4xGA2wBb/aiIGuqVN o8NK9XsDyh2RFFihGZrvJyoxBbzQH3hvISJlrfKmknMk9vQ/hBNtdWOkLTZ9WZR/pgD+eVzF6ot2 rbuarAjEDrlldyE5l8wZ1JOz3wU4S+cD6jx00DiL3FA62+fMbxmTmAZgHudnMBVHWMHx65pookQ1 PH/eetNoWd3uMDXzMzNF/eHW3LXRwmpckxQgn5C6HlfMlIBwL3tTRgY7M5iZhuvORqToXY/7rdTK laP4Yffx9Go9q3T31S09Rn3SD1Bq9R7bd/7LqrdYezN7jyNSinl1SRoCRVbjD+5zIG0psVectW66 C6xBHdEHgSjehXEaSak2uWDskIo3YkZUpvAF7KGnm9bUP+zer9dfwk0EQAzxap6tIZ/q8ZFO+aEa cU/zpQnghtgefYQTdEbF5hc1GtdPi9V03glTxYGdLJZd9h+ITNvKfwEJZ+T4ERkL8RVu0tL7Q5Tn C+GGjYe5vSj0n3o4M6Q9OEYowOKC68LP39oVGI09gHYGwHQLNKoEe9Xxsu6k5+HvEp7xqQRgTkMr KYQ1YwAyYyjezGrGnATKvcRCl8Qv6ob0wgmr3n1DSenWBBkptfiMEueDrvBzCvLooImQiLTrIZpv BzATxNSFTzXmAfuP28U17N3EXCWA3AkWGS5IcY9blseoPjgVF8mAIukuDd5IWMehpWny50JTfJXq PXVLsOk6aN9TmZCbQdfKJLKUq2wf6IfhH/6LZ4kzWKjp7cjIVVzBBU5YFoJTc9Xcn2cWcxdMxUDo +atD56hnbO8Yv1qD5j1IyZQR26x1VUYCT8surMUyOeMYDf0QOmFXW8vFXM4iFJch0TQJVc+wNTQ5 r/JXQBwjLloIKh+zjBGML90YyHEi8lBIegcHQjcfdVAiSguffRzvi1GyY0tJ9+uuSPvR8MsmySw+ qJax9eOrGGMrkaMutrm4coh+90PGwrVBw7C9CJ20slujK4fzED1n2GI7HIk5C/nwustjJ3aY9FId 5RgVZsF66MOXe8JWXJYePleTKcIMkc81dgw5GpAxgrcfxQEuq4MYXQq/HU09N/Ba+knDJmloMsrq h2ruTb/P7ZZBeNDGQR3kNYVJCkMIe8EqhFfeDarNLviUZEmbnuNyWO17WJH2175txLa6XYHVPuXl xZyalFZzMdEmW0ehRQZxGlteMC/ISZK8lV4jiKzTJzCv1LEZjYhjHYakr21eVgBYZimn2FxeGfUU OJyqiweGOShLgragFNrgH1BcUeT7n/gaMJ7AvFXZfj/UjGyXnadgQE3W27OfFR+yKgDXIV+Ll7Sy JqhpUFVILNRiN9EOsszyG1lDmWIqFIswPyYAcdL0vWfGRAGdlSZkfIxioF85gpNWrZcWLABc0YLo EpwhTas0yCgDNkyb2pKsi+AEFp9GCF8uXDJjdhZ5oLAiNM521YbRRYRN3sTJ0trJrmSQZ/w1Ng4B ukLHpJ++YqCKWMQSeqxPS1cd3FmFzrnuQZ2zgnbiXUjMBOf3EiHMKBTeRIfRNV6MPy8Dva8CPabX Bpi5YTB/kK4wFn7oGntO4ju0zarDapC7dkQRHvD0FNNW0uWDxf4ZC2hdlImcLC8GwD4Jqx+Ww5vQ iWb5a5qeHv8FbwX9avXhWV7W595r70shlOyX+cBLUevhdivgviVBYx6pp/PwrtpQZE41FymWtqTU eTITzgOySas9n4ArQT8EvyuSsZh5ZoONr2mvGkOzFqKRNxwJfLP3JGB2JDtyXl2G6LyE3wj+bkjp bFPmVN37bVtYGhSeB4rYxt4pHWAMexghGjZOhb9wideTxEmxMPUkUOTheSqUXw1411WoB1wxVM6Y r1/9/pw6VWXqKUKls6bf+uZw7U9Ko2OUpSFVOKTweTJuBbDHi3SYWXIPUJrSJdyLg98+QJWyyY6C BsU6vDcAWRqIXMuTxlc1Dn4rQnP4/lLSZ4TmDjBpf1rXBAOkVmFlr9RspUv94oqTwbZhCnpQsx/e 4MUd5Ot6CgxzbhYvp9bA7mL0HFMsq22B1V0u2Onn5VyUXdY5cmY3DRBJxQPx7yLC7X+eZMsBVQ+T aoMXwhJx3dHjfWRgPW94w/wQuufuExuG4R2T+ShvvNxUye8SVGMPZR8z94j+Dg3LyUMMtKOdhTjM ETq8q1gT+As23MYg0Qh+YOh6lt0kuieB3VPok5s4xBHxEC7W9665X+mRU9W3qyNTbaSt18iZDeE+ Y8479RRrRzSqcJ5nDgy/wXHej126J1eo7ecwew827Xh2VtEBqcqtAfrt2Kps79NUZaaBUDJYQruG Qh6T2u8iAgDZ2+2PBdpCybxeDQH4uWBmYuZfcSGuHmei/WJAQjKERXXxv+LYvrji4eKGsTw+Suel 0R1R0VXI7ckRq/ZBf0Kqk0+xybdpNXnM8emHK2Hxl/mZ+7W9/0wVhT4uBTgu2xVLj983x0X6vIhK FV4wa02Xei1qBoBY//pWaVBaxvKSPjWVCp8g7okVIe6+NeEIaN4oUBq+3byQvC/cdzPDCc49y11s wHQNPeoyEv1qHjhmH/ikDVuqH9VNg0HMA4g6lsI8Vjr/SFthTo/uHR+U5Ex8egTO+BFOgiknLJJe RxKcVxRiZh+DnGrTxgwzfYiz2T7I2dZaFYfR0qE2YZl+McZBQ7CBwjZV0QQyvu6RI7wC4qxrl53c DZl5xTr7/uLEgrm+a5DFAybjtbdGICi9V7tiTbRj8FXZ7eIHPzaKU7EFrPm1BQvwljKum2ziKmvp KZV4s4YGJ+YJ4j6tt+egQ0Q+gqA43/X7wYoS17Nw9zvN3/29u3q6fB6URMTwfpI89Ju5ZB4pAJIL 4OF7j9ZglPK85CpSMNZa/C7naaqQXPEpBmCkUQ7j5sdm8jYpoBgGr56wYEgJTb3t8ISfb/8ClDM3 OBVWQZoCrmYWZRTDTTQV5Q3oPVIQ2lomOiOtBj/tHmFeqAVaqVyYTWAPMh4wUXIMf77Gxiyzwe5l lbfHOKa3MYrd9pScNjRrE6rCddnwJLtcuMdJGT/K1s+rdBRWrlSNYWB0OPjqRBHQHkJQ7Z43Z3a2 ug7trN9rkGAz1o3F4cjkQBjxFqynXGClk5W8x1LtPyfcPmvODgwjDN6NWQbospmYR5Tk+9BpmRZt 9eLGft5A5YMAPU/O+VLRfPlVbP3qh/UI3TCpFpimtuRLFTCz4JztU8ZhIPncgrV0gK935ZKTtGnW BaMzGRyUSEKb0Zk78tlj5/srTcV5W2JedcjsdTEt0VmEaXIdJq7zuVZK4DHLddLUE9RFoZLKUVtj PPDWg9LQO5/j0o/Flr2+x/dFzulAoPTxfaxI7MCUmWunbSmNcsKrkqU2y0GpSwR9oqIRCH9ZUNmR Yx19latY90ZAJbIzGHcYO4zlSHsw5h8p1z9tAwRKdGl4iQgYxgJrbhUbvWxGWpvPmNLuNr7xXEIK oDdgIXUJ3F/lcnCdoUn5R1aLfWWH+IG9bmNCyU4s8NFEXMf/DaJIrQF6X1cz2uY50Gu65RK37mGJ q9t17ga2OiSnxtC1w/GmnPZ8+KjOVusjUElhRM4zgCOlnC9TSzQPyGrDFi21s5+to9mTB72+meBT YxfkNIV8M3PPmX7JaoXOT1xetjQOUFrFOU6ilBIQLq+XxjFdKvReovv0w0Pvcm7ms8xBGceKni3C 38O9cbEwE4U5FOdS6c2ZNHRhnUWeDyBraD5sm2qKEegmr9l8BzNS77gOK9upF0e5IWgNn2U42+10 2H3Vl2umQdtMTq2omNElOe+qAWcy2HwnclRlaN+9UfFk0ianhL4kXCpOLSx5VzNddArXqaEXtwDf eDFS96XliQwseFOEt/T2C+6kk0k4Dd8JRiruo64uVsVu81mWVGC+/W+dsCAJ6Wtap+NDV2tIDFjp ePx6sQLMnN00Do7sHJVvrWr3LdfY/Cz85lPJRJIkLUuIeQsUy0hFDlz8evNBkB1cg5U87HkIdg0S nK7904RqhwNIHGf3vaSpMxplcRbgiBkxHAmrHJfET1LVkoQMtIT3x2HcvE/7UYIOTv7HqbS/fAAk pbwAC272qlLmd4NPDdnxiok9c0+r++9AHaFr8Xt2BOXteGzOowE9KsGOM93RCGrdEGuarlEiMUde l/fMPzGCiOf3gQfO6P13REGpti9BPWTxjm1FyzTooueE+WTwuYsFAhadt6+3wuzOd1P2SF6usQIC e6C4k4hKED8VPyDgQ6F38jBiZU6vtUJQeE+OoAGEaYqYL2rds3b5F9C2AkKSUAxrRN0mCAflGHe0 KLTV02j3HfzlnhA1x4uvJuWo+yEIWwbh0/3Paxosv/OwXzExR3zF9GVE6bS9VUES67V/VyyAsQ0o +NaL9FIhqiWGRrMEM/ZY1kTPkhKKUy6yJygtCJAUIqOtAaJi7C9uuyw7kLPEQ8oelL75GV18BTVq b7NIx3B394DduoIuD+0ricroH9ZaPIfu07FleYodHHHhdrAl1z50ozeAj1FHvM7tRlh4MAXt54zY PBm5h3lZvnZ4NLBl5HLFZnNViflav4/4O+cpSd/41fJWJsFOwpXLA3x6tMkuOHsf9VRV+4alRJyC 54ERBeK+DuskKZP01Z5HJbnoh//TV7yj6y0+XwQ1byR/CDAWe+yrUUGs8jzrblu0h8ufY7/82rti p32XiCu8rAA3/5UC78i9xbrkDjcuzedCdQc8An7QA/ahIy8U2+WbhVq4LNcfpXzpHLQw1eQe5Xkc zF1NCnNT5wsawKqj2FW1hQYDLIAwF0kzMA6Txb73HiM8AxgOlfnyliy5bNXf/pRs0tmQ/84gJ5tN +XZKTV41n1vKOmEvtxmpL2HPFwIsozX3AznukZBdSr7BgVdlOvcJCUXvRANX91AMUKWJY8VvAUWI LBkjygf6MsJrWRD6qqmRUAhDwUv0udi6JfCKV1BozKJYtC0h3SyFoyYgdjtbPCpyQT91/ULlRTIA 8j5eA+Z768VYa+f08dUwZCblpvUbMhtmuBfLMmsdL0yFo/W4QjV50PeJgWZU7B67yghOAm1RE3lc lPZgZebUuzRFZxNoKgLgVDEQAPqVt564c+AlR0SXDpPOD0H8hr4ThFjKeEJ3Nv77slRJ7uHZDg1O nYCkmAPBKcAo6MHFsbGm8PnmJKPORkgGulEF9IApU5UgB9r5smUJFTWta6Y7Vbu9RlOoOk6tG6lJ xSHxMgPHwqcvGGN7IJvo5sqHjr0ohdI/qXf69fBdz+e7QqDTntNk+oK+2PvqexNNOw/mrkxO/qhl KDruTzmxO5CAuvq/xESrxxbPdaXOyzmTxHaaUADGU+8IrZ4o3NW9UUvfWReX3FdDI4YKcw8SAa6k Uf45VPHnJ4MG74xA8sEAjw4KTB7sRIwta9+C5STfWIqmZQ4mfltIzAK2H22E+3GadM/OuNw27gn4 YBT7Vlw/RpnOeL28JD42giu1dc1atOqw9XK4+XBeUWpoW7CXGF2sYwhgc8zsMtw4pdSOgEiNyMnl +dUdqVqefW1MkMKgwk4CQBnaSJSMITwsXIC2nD8FOzfFFkF0hXwFCZrfQaWQeoTI3UbE44myhYxB rTxBWSCZy89yRzmolBMGyrBxV2X6IqfhXQsPUg/w/5+yYgmCyizQYU2WabGXq1cdXO3tdlIt+J9O rxtuL/eaNPWTRcDSFNekLYXMHAua1E2VtBqO/2afq56GelSNoKHqnu2jBi41vn9weSNeKFOs2QDD 5mD3PzEuXmVFqy7P7ooPFV5X4oqnMs/0pCpmiWH/ySxTxkLKzNv/fF8RiOHeW0s+lXzG1884HXer 65bmvPMIO0QegDwJamA0u1VtwoDRT6F5X9wdcfr5oWeqm8ceNmY58JNu7Bqcu558i/poHsS54d+e 6Dn+n5pD6kM1GdZlp5YadjZUU2N4dcmGmERuQkhWNnMPxgKQe4I806zOcCGmXuOTtjBcQLQyFccC 81htxrlD8+TXIYv/3KLPTgO/g69qJIcpvZOV4R5ib6X9Y7AbQVqNPuWKcYFImHZUa/QsJIC0Q2tw cxFZUG9PBI+MXb8uC37EJzmU6+gU8tLRZpc2h4UDfO3Oj44wf8hV9nWkfDu5HUd6jCunOAuTct0S BuNObbRewq7zxrZXR2blx/OOB7KIYfCNxPEWLgh99LlVTJr10ZBL0exfksZc23Z4MqhlTCCuLXGd Yf4lqEuEdAEmUHeRf7EyVuypytdGGsbu3F7O0Mme/W9zMpR5EDo9ULlA6tLoRYkJg2NQ7Jh9aVlB kRD4Yo0DWA6Lbpddq4sZRPloZbZp8ZXS86QVjto4I9jMOqVsi24Pmx9pA5yM/J5z7b5Gtn657BcJ L0BdShDJa7DJSGdPo1f/imrxbRPTT9LjQVDI3NV802VgkeNtOnDxHpqDVqIlWx1nqbMpONXRhcOh 1m1s69ftQYoserPWOP3DwK0+ua2qyS8pfSEWTAhgX/xFDD+zzfH7fnn7DGb63+l5pSghyILDTmzw 1sg8s1nxvdJiU0DhaBsPlrCqHG/feXA7UgC7OIuf `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block pabZO1I/O5UlEfYaQEPwd4l9eUai0bqYoMxFZDUmBPXyS95K3GW98Ld97MzJKAXXnSlf1PewGW2v 0RIeWd32HQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block MnYS98CLv6GUlLtXXj0MDq/aXJWBamrEeFXZFkhzX7OjMU68I3JzEc2/1UN3CHInfTII6cQBis+f MSPPkhHYfjWA/UnlZNCfIbUjCA7v4zzzEDOXLdUwHhey61M2PDbtjo4F0M+PSYsHQUE61FCJYZr6 +aBOwyo0CpKkCUVEbxg= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block qncW/Cwz6DQ02ZtEcvyp5WdAA4sItotGPpP0REUtLyqefQhCtJmFILcg4T0iyRUg7VuYEwIANO5+ QvHNNc39qIJv9lOesalgHBZQgvNRJnIdYWaRfS0GyacwI/2JQRwAkuAQstvDCp4RTc3l8lwP6/ls 9Kgq/wnF0FIDD2zIsqBFYPVau5gOg+E2Yv8daLhsLbgUNkGI+w4/OZjRbQGSUjwZLuzAjcC7dEzW IiD8iCe2E3P5aTpTA2tXeuvseQy8KOwVCxJQuur+f/bmnE2QrPi5PPQMRcOyc4ok7k5U/64SCKlJ oITfL/xIL/xwZa26tMPcLgkkx7p0G3RLvL/tVw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Dnf6vaqe/V3pNaiPDsCpL4mEkUhuRTF8jsptuAsYR5QlsF0hNdnCfK2+aKM5H69faCvd5mpbM0GP Pqz+qhNmOYPHdckgaTUGR5o/7QyV8YKLvzwfyDMqTu2isTv6FP6Q6welH2CNBnmC1/h5T7i+fy/Q rlaoXYJxfrB3B6n9clU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block IMf8iBP4Q72XIQn7cHjsTbT2wNsnwrpqWy35OTpGthg9IgmIl2PQf4/c9imtaZPdkPVpIBywT+vW p0seCgJeCim8uHSlCA4Yuvzi7NiJqnEZtjEX9xSzaDj4EflUudOJTsvuYMqv/3kxvUgkIK0AS+U7 CWRV3RwJIjyzXaV3SkeD5i2xf0d/bezTocOrvt7wO8hz1n7ziicW5bgdFMZpO18+84bLDi0MzKYQ Ad5OLz8QJgoCqRTe+B2lLXuByvKd2+XBYArz50J0pDfy4RubYe7FYpZdW50ze6dgBWVP0HOw0tLX Pt7eQrmsKxnIhjnIQBRBht+Bb5QLkHSbaJnGbg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8352) `protect data_block 3Txl86gxySTjspY1bX6mjaEtHzHyJ6PEwV30HseHtq4UpP2jRFV0RDcUeckeAq3gEZ5Qu030LNT9 bbOM++HUbE1I8N7rcDRyyG1/zAJa57yK2mi3blq8tQKZ32YObDq6jHS9U2gM3rYjhBhVr2aKK7qQ c8X7nouwkJNW94GkZ7OhWlUV608zX7vk9Ytc1anxwA7VNM5uWs0oTqvG08wzxEO/Wp7eKojoSXwO 3Xhea7t/apLpI0orOHVPwHMzsjaxq56UlxouX+wqfNeT7gOjE86Icz9Kv6qJveIr35SBEOvmKTEu XXSNT6K9tm6q88SyQn3Fynw4R45InLxk96cdtyVOQFxzvDOOUUpt48giFXLbSh2J5eWLkh7wKkBY NwQFlaCkJdKCsT643SDourT31zKF+Ez8++L0sOmdPaVStcet+0/LOBpXifaCvmNLeKxnE44uDown kBMOgjRQlDv9IFqs6Wo034TMX/2IlRfFbca54S7HNhd4DqEOj/dLkdbi3hQqvKlUQLxGd/aByX1b hgEkVsmNNotqvCZpPQ9UsFsRcys6l++qYUPYLNf+xf+zP1lV2cgnRS4RT1iwGyboNFn3K77Tpsb7 9OpYXIW92RPDYHYOVluUlhGfDVUg0MYat/q2HEJQJrXI453QNfUDhUynqjNMtUc0dMa31quPvRVZ hHf+2/bR4Q7cjHspoRtCLGojgvnSf247q4ctuo7nbrdhYUuAa4Bjj5ju4myg9nxVU5ukJQzguBJL 3sQ6JJNrie8SnHcaAMftHxFvfSWwly88oe57Wp/UEarxKXac3A5nc41pt8Mv9Xgpu9v9VOJTxxUh 0lyGcjzLUm2XesldVnJ/PnO7FAjtpxgucH+XMQf+g2wsb59I8+ybUbOrYNQOqWYSwYCOn++p4OTm z6+e0PpDeW3xZTDe3cwHEBvM7k9KPgY+hpev11RMc+0TNT+raUGL3kNBmFiuUKDLoo9/RyEjagUr 0XsfKNMC2ZuGcJCLV4GR9MBQAHlIYRgt8pMqwpUU0tmvh50d1NgrWHgYQL1vhVQUjl2fL8jrCp0B +68ca7YQ1jwOO06mDdlvVYQjZYG/4HCGLQDVssbaag2EjF7zECcmS20NvYP6RjiCxeFLTts4nWNX QI9Rm6NxhHHcmzOEqLUcCSEhySlQwHac/hU0wa46OGSKe0mrmjph7yshq6QOx92ErIUyvhcBeJZo CYY1tCy8lK7N1pab+wcy1j3BbgC4h+YmgjRF6QzhsIcO0Gm9AWLAMvRIrWOPp/V66F7b53uZGfZg hBu915yc5M5CCeRHSBRqwlOTQ8b+GpsV2+8NdhIVSdXpGCVYKQExROd9Zd2r2RFpyx4WC8C9uISU ICBHRlp14RuEKS1tE3agqqBEQL8G83cM487JmgJrWO8alKV+Q5R/Y8cvNDq7FRMhEiPSO1OEMab0 xFA+efs/Tcf+HHo0OesKsFCjVlqwwpgbNSNTgtlDcfxD/icoQHkm8ZiY89TmjfvR0b0cnzwpHcDj RMaiCRYxWD1x6Iy8HAcn9ETbZjNvz/AykS/6e5fMYkeHvaSs0iTZgt6FMmApO8MaDCaUZJEzLv0U z5mb4bxB2IUUrSSt79+9IGbvbS7SphLSQpuyhmnr4JnQmDNj7qbKUz1unir19crnVKkDO6zxigd/ lR8zPfNWeXOCuLklXc3IcDDhBD+ysKGtw2idvIUOTMC0Wa4g2d1zmnUwx/0x0HNEwmXy5XyZpQpe MqREqJVr/i8Oed0hI1JR2OE/D9xvGQmy67OWwqOQtB58ZoJP8JmdoWCJKsQhk9569I+XRRmtvLCC d7m3tMoqYsWVMFY16sa1q0QO8R7PijrjPovnzqr48ZYLKmswIDxTakNM2zHM4zbqta7faU1m1++I nKYMlqUtnps/uGB8XKS5fnRFmB2KPBoCh3xddVUq2bbcUnb3pSgykrT+6T0Cy+V9trIv2IJ0c7l3 NaV+KvRYH0dgbBFOT5DsqIj0jiaAiwSrTgUsdI/LQ3cKu9M2/ExRpY3onDoKZc2dAD3GGb69SXAr w7p9Q18HYjcJHWpaItgzAqnpDNOsS8ZuVMmHOKqCAjG/8Krvw+TQI/+VL6o0RdDz23z6HmqTItKc 1lRdvoub1qnfL3uVJ4X9TsXy8cpZ6h0FbILRMnFsk5Vaf93/svpVrMIzYjt2Hpf62IKO5lipMuhh KPKesXaVK+Mwu/rkP45ABgmsuu4nNKVY2VnFSymuk2zHpmeeLL0v5PGSAq+qfBEAeH3EcIU0DUjF f/DZY0t+qDbjr8kuA9krOgPacrVu8+C08lCQKNB8H4zDq3aJkXYG2WmPI7/NCl6RpCTTp2ftZmHz uAg74PMVp7v+9dLBbb78ogz44Ue4t7HGbnLbVvygeth05fSmOhFEQLID3JaITPTsljDVOebzOEuB r/7Z54pRWs4eOGVJ6BTWU+2iR68Mp3+I0SipaTCSLWjdOaQxegyCEXlLXS2pP9fovqy6ohwKp4r8 SbQ+fQEXJR2Nf9iy+UBtxjz6TMTym7rgS/O9I89iDLJGFuP3z0VNGVQklauC3+Yp4gcMd0xnupu3 w27OKqWBGciRpZM6Fgrsy0mBGWFfxkKu86nJyiwgxtCocvKy5jpk7yiTaHgWOA7BrgEBHk5Nz5FL NHVIuZN7j1eFV4JAQzvPN9dsohwgB+k6T+bz8fxTf+e8RFUnDL43dOjicvnkIvfEQzwM0+JkeNvQ ta5xGpqaH1JD0qyIkJvzScWkIVGrzWzMMvxN+DtabQBIeE2j5DTmfMky0f63cNgmFWP6/SP8aELt kt38bI3RslECUinVEQnYbU2DhgBl2Bp2E7uq/YG8V1sM+J132SjhghxqJ6T1iaGzRtJrzV8uZJUI QV78YPB8Sw2WfIKPXHMOo8Wcuiq7hWP2gnMzlEhTruTfy43iOBynsEQiXlpz5MtA7mEni8hCK/ju wEgP4JhZ2tuIpn3vt//Hes8u0qpLAT4bL03JKYgTMtYLwgU/cKQ7mMqCQ6JazkTKGN1vdrb1P6FI jm7sXfEx1FtTOtiyoXtAyMhUgg+nYckjfJRB+Upgs+B8ajgnnZ4zZWZg9nXh4NOTgJqwSZoz3mYj 0YEoTAZoU5V/kM8lWYqbfC7ss3tgwGjQhmamh1yG2IBAFfbbm+1eaGzWKhnMkIe/ThTInM2B1954 qhG2i2RrFeFBjYacocDQaSbMgsDSx3bO0wRodxUv6ITBJWBtUXQ84psaazwKrD/48/Wk9SiEOiD1 bHUKJFcMGiEDXRQo6c2MPJQ6+AASb+mkg4r+ZMnCpAgNGWBSRtJ3JaJz3fpIua/0GcoKl2yUtmou QnC/LB3DfIirdC5fp4Ar2PTLUq41yjWqn49wmeFrni0Fyh6cBfPplgLIt5GduGYDHqCMzXsq7jMB J4K0ObKnDEOvNDZE0aYW1ePxQNtm2WgNAE5G1Bb4zoziBHf8WCtWuwOZD72tS7ZLwU0SRI/H1rgO NqpZtEe8PbhFF3Mu945oZP/D65wwWYbxbCefnwh7a9hurvKB+YX4xWg7Bd+gnzSBzDNtoULsaGaC Vl5fRhumkx+BwqRdeIvZbEBGKEAuT9fwLuBWbqk9HBZDRGqcse0evcpEJwwj3bvVq9LzXkuUYR1z Rj+rkr1VIOBvD406n/kA7zybInjl+abePxrvEczIc+9nNyt4olcCdlOPbnfijOTwpQ8D26mPfsYD i9O3VvkG9+NJIYW+QgS2AKJXFvHQptmkEqXIaW5nI1pxbps2GKYt7UeBwd3OGuNFPUwtXN28qSnG EkOYzBRsWNCHT6Er1YEX4gIcUpwGuzYkItG+yZY6/dH49QZnIuVWqiFNU6F/5rfoOf81SF+rcgn4 OJPqnzNuGtn0dwUAWA17Bc6BzZ407CNs/QiW5tcgtLCQ0OQSvgN4gNMgvig9LHofpmNnlTQAvYYe BVMg4B3jAZj23PTEw7AVpjHMYUWAHgiPZG4vsf+KQIkXE2LwvfDjNzHLr2iLhAHJXd0IiqeTGiaz IlFj8ULdg+8d8cqV9NCVt2TdAsPgvBFn5tejC2z6o6lQx7u5LDB6LxPxb9b6Zin6lexVCtUzMvMC 0M9fb0Y1ZfMukFBjX5eV4TAtVULwlFjyiwbQ86oEjKcSLpynhYc0F7ITr9iGQjCT1gMB6gkU+r1A lCJapEUql8wzY3VFG5X7mMFuNMnvwzIKZ+jAtrIgNE4JdpAIYTcFEkxq8akjQVVUDedUUJb8ltag RUw79Gm+0c5hgnSPw8xrqk1M73/tMFsPDOCESMOCY62RTQvEJ3xns96vKjn1c12j9qkYq5Rv/4fC s3wFId2akJGz81EuJW8OGSOkFdLYmhVLgXPJXM5DAWhKKcOBO1RTfb+2KF5sP16/tXkQ9StRq4VX c+UD/PMrTDZM4G6HgrYZ/9dGhLTHxganTLx62+QqSuwA99tMdCd0GbQneOfqNHb8C123G3zS7fMj swXKIyhroWPHZdxxm2Osyv3wAxCg8T8XirESRway9GoO+UFL477kJLZ+HmiJsXQTEelxX7WK8ze/ 22UYNqX/fzMT2M824ohaQFAtjXa+mwiOm6ydU3dCehJ+W3m+D6l4bVwPwbv4xGA2wBb/aiIGuqVN o8NK9XsDyh2RFFihGZrvJyoxBbzQH3hvISJlrfKmknMk9vQ/hBNtdWOkLTZ9WZR/pgD+eVzF6ot2 rbuarAjEDrlldyE5l8wZ1JOz3wU4S+cD6jx00DiL3FA62+fMbxmTmAZgHudnMBVHWMHx65pookQ1 PH/eetNoWd3uMDXzMzNF/eHW3LXRwmpckxQgn5C6HlfMlIBwL3tTRgY7M5iZhuvORqToXY/7rdTK laP4Yffx9Go9q3T31S09Rn3SD1Bq9R7bd/7LqrdYezN7jyNSinl1SRoCRVbjD+5zIG0psVectW66 C6xBHdEHgSjehXEaSak2uWDskIo3YkZUpvAF7KGnm9bUP+zer9dfwk0EQAzxap6tIZ/q8ZFO+aEa cU/zpQnghtgefYQTdEbF5hc1GtdPi9V03glTxYGdLJZd9h+ITNvKfwEJZ+T4ERkL8RVu0tL7Q5Tn C+GGjYe5vSj0n3o4M6Q9OEYowOKC68LP39oVGI09gHYGwHQLNKoEe9Xxsu6k5+HvEp7xqQRgTkMr KYQ1YwAyYyjezGrGnATKvcRCl8Qv6ob0wgmr3n1DSenWBBkptfiMEueDrvBzCvLooImQiLTrIZpv BzATxNSFTzXmAfuP28U17N3EXCWA3AkWGS5IcY9blseoPjgVF8mAIukuDd5IWMehpWny50JTfJXq PXVLsOk6aN9TmZCbQdfKJLKUq2wf6IfhH/6LZ4kzWKjp7cjIVVzBBU5YFoJTc9Xcn2cWcxdMxUDo +atD56hnbO8Yv1qD5j1IyZQR26x1VUYCT8surMUyOeMYDf0QOmFXW8vFXM4iFJch0TQJVc+wNTQ5 r/JXQBwjLloIKh+zjBGML90YyHEi8lBIegcHQjcfdVAiSguffRzvi1GyY0tJ9+uuSPvR8MsmySw+ qJax9eOrGGMrkaMutrm4coh+90PGwrVBw7C9CJ20slujK4fzED1n2GI7HIk5C/nwustjJ3aY9FId 5RgVZsF66MOXe8JWXJYePleTKcIMkc81dgw5GpAxgrcfxQEuq4MYXQq/HU09N/Ba+knDJmloMsrq h2ruTb/P7ZZBeNDGQR3kNYVJCkMIe8EqhFfeDarNLviUZEmbnuNyWO17WJH2175txLa6XYHVPuXl xZyalFZzMdEmW0ehRQZxGlteMC/ISZK8lV4jiKzTJzCv1LEZjYhjHYakr21eVgBYZimn2FxeGfUU OJyqiweGOShLgragFNrgH1BcUeT7n/gaMJ7AvFXZfj/UjGyXnadgQE3W27OfFR+yKgDXIV+Ll7Sy JqhpUFVILNRiN9EOsszyG1lDmWIqFIswPyYAcdL0vWfGRAGdlSZkfIxioF85gpNWrZcWLABc0YLo EpwhTas0yCgDNkyb2pKsi+AEFp9GCF8uXDJjdhZ5oLAiNM521YbRRYRN3sTJ0trJrmSQZ/w1Ng4B ukLHpJ++YqCKWMQSeqxPS1cd3FmFzrnuQZ2zgnbiXUjMBOf3EiHMKBTeRIfRNV6MPy8Dva8CPabX Bpi5YTB/kK4wFn7oGntO4ju0zarDapC7dkQRHvD0FNNW0uWDxf4ZC2hdlImcLC8GwD4Jqx+Ww5vQ iWb5a5qeHv8FbwX9avXhWV7W595r70shlOyX+cBLUevhdivgviVBYx6pp/PwrtpQZE41FymWtqTU eTITzgOySas9n4ArQT8EvyuSsZh5ZoONr2mvGkOzFqKRNxwJfLP3JGB2JDtyXl2G6LyE3wj+bkjp bFPmVN37bVtYGhSeB4rYxt4pHWAMexghGjZOhb9wideTxEmxMPUkUOTheSqUXw1411WoB1wxVM6Y r1/9/pw6VWXqKUKls6bf+uZw7U9Ko2OUpSFVOKTweTJuBbDHi3SYWXIPUJrSJdyLg98+QJWyyY6C BsU6vDcAWRqIXMuTxlc1Dn4rQnP4/lLSZ4TmDjBpf1rXBAOkVmFlr9RspUv94oqTwbZhCnpQsx/e 4MUd5Ot6CgxzbhYvp9bA7mL0HFMsq22B1V0u2Onn5VyUXdY5cmY3DRBJxQPx7yLC7X+eZMsBVQ+T aoMXwhJx3dHjfWRgPW94w/wQuufuExuG4R2T+ShvvNxUye8SVGMPZR8z94j+Dg3LyUMMtKOdhTjM ETq8q1gT+As23MYg0Qh+YOh6lt0kuieB3VPok5s4xBHxEC7W9665X+mRU9W3qyNTbaSt18iZDeE+ Y8479RRrRzSqcJ5nDgy/wXHej126J1eo7ecwew827Xh2VtEBqcqtAfrt2Kps79NUZaaBUDJYQruG Qh6T2u8iAgDZ2+2PBdpCybxeDQH4uWBmYuZfcSGuHmei/WJAQjKERXXxv+LYvrji4eKGsTw+Suel 0R1R0VXI7ckRq/ZBf0Kqk0+xybdpNXnM8emHK2Hxl/mZ+7W9/0wVhT4uBTgu2xVLj983x0X6vIhK FV4wa02Xei1qBoBY//pWaVBaxvKSPjWVCp8g7okVIe6+NeEIaN4oUBq+3byQvC/cdzPDCc49y11s wHQNPeoyEv1qHjhmH/ikDVuqH9VNg0HMA4g6lsI8Vjr/SFthTo/uHR+U5Ex8egTO+BFOgiknLJJe RxKcVxRiZh+DnGrTxgwzfYiz2T7I2dZaFYfR0qE2YZl+McZBQ7CBwjZV0QQyvu6RI7wC4qxrl53c DZl5xTr7/uLEgrm+a5DFAybjtbdGICi9V7tiTbRj8FXZ7eIHPzaKU7EFrPm1BQvwljKum2ziKmvp KZV4s4YGJ+YJ4j6tt+egQ0Q+gqA43/X7wYoS17Nw9zvN3/29u3q6fB6URMTwfpI89Ju5ZB4pAJIL 4OF7j9ZglPK85CpSMNZa/C7naaqQXPEpBmCkUQ7j5sdm8jYpoBgGr56wYEgJTb3t8ISfb/8ClDM3 OBVWQZoCrmYWZRTDTTQV5Q3oPVIQ2lomOiOtBj/tHmFeqAVaqVyYTWAPMh4wUXIMf77Gxiyzwe5l lbfHOKa3MYrd9pScNjRrE6rCddnwJLtcuMdJGT/K1s+rdBRWrlSNYWB0OPjqRBHQHkJQ7Z43Z3a2 ug7trN9rkGAz1o3F4cjkQBjxFqynXGClk5W8x1LtPyfcPmvODgwjDN6NWQbospmYR5Tk+9BpmRZt 9eLGft5A5YMAPU/O+VLRfPlVbP3qh/UI3TCpFpimtuRLFTCz4JztU8ZhIPncgrV0gK935ZKTtGnW BaMzGRyUSEKb0Zk78tlj5/srTcV5W2JedcjsdTEt0VmEaXIdJq7zuVZK4DHLddLUE9RFoZLKUVtj PPDWg9LQO5/j0o/Flr2+x/dFzulAoPTxfaxI7MCUmWunbSmNcsKrkqU2y0GpSwR9oqIRCH9ZUNmR Yx19latY90ZAJbIzGHcYO4zlSHsw5h8p1z9tAwRKdGl4iQgYxgJrbhUbvWxGWpvPmNLuNr7xXEIK oDdgIXUJ3F/lcnCdoUn5R1aLfWWH+IG9bmNCyU4s8NFEXMf/DaJIrQF6X1cz2uY50Gu65RK37mGJ q9t17ga2OiSnxtC1w/GmnPZ8+KjOVusjUElhRM4zgCOlnC9TSzQPyGrDFi21s5+to9mTB72+meBT YxfkNIV8M3PPmX7JaoXOT1xetjQOUFrFOU6ilBIQLq+XxjFdKvReovv0w0Pvcm7ms8xBGceKni3C 38O9cbEwE4U5FOdS6c2ZNHRhnUWeDyBraD5sm2qKEegmr9l8BzNS77gOK9upF0e5IWgNn2U42+10 2H3Vl2umQdtMTq2omNElOe+qAWcy2HwnclRlaN+9UfFk0ianhL4kXCpOLSx5VzNddArXqaEXtwDf eDFS96XliQwseFOEt/T2C+6kk0k4Dd8JRiruo64uVsVu81mWVGC+/W+dsCAJ6Wtap+NDV2tIDFjp ePx6sQLMnN00Do7sHJVvrWr3LdfY/Cz85lPJRJIkLUuIeQsUy0hFDlz8evNBkB1cg5U87HkIdg0S nK7904RqhwNIHGf3vaSpMxplcRbgiBkxHAmrHJfET1LVkoQMtIT3x2HcvE/7UYIOTv7HqbS/fAAk pbwAC272qlLmd4NPDdnxiok9c0+r++9AHaFr8Xt2BOXteGzOowE9KsGOM93RCGrdEGuarlEiMUde l/fMPzGCiOf3gQfO6P13REGpti9BPWTxjm1FyzTooueE+WTwuYsFAhadt6+3wuzOd1P2SF6usQIC e6C4k4hKED8VPyDgQ6F38jBiZU6vtUJQeE+OoAGEaYqYL2rds3b5F9C2AkKSUAxrRN0mCAflGHe0 KLTV02j3HfzlnhA1x4uvJuWo+yEIWwbh0/3Paxosv/OwXzExR3zF9GVE6bS9VUES67V/VyyAsQ0o +NaL9FIhqiWGRrMEM/ZY1kTPkhKKUy6yJygtCJAUIqOtAaJi7C9uuyw7kLPEQ8oelL75GV18BTVq b7NIx3B394DduoIuD+0ricroH9ZaPIfu07FleYodHHHhdrAl1z50ozeAj1FHvM7tRlh4MAXt54zY PBm5h3lZvnZ4NLBl5HLFZnNViflav4/4O+cpSd/41fJWJsFOwpXLA3x6tMkuOHsf9VRV+4alRJyC 54ERBeK+DuskKZP01Z5HJbnoh//TV7yj6y0+XwQ1byR/CDAWe+yrUUGs8jzrblu0h8ufY7/82rti p32XiCu8rAA3/5UC78i9xbrkDjcuzedCdQc8An7QA/ahIy8U2+WbhVq4LNcfpXzpHLQw1eQe5Xkc zF1NCnNT5wsawKqj2FW1hQYDLIAwF0kzMA6Txb73HiM8AxgOlfnyliy5bNXf/pRs0tmQ/84gJ5tN +XZKTV41n1vKOmEvtxmpL2HPFwIsozX3AznukZBdSr7BgVdlOvcJCUXvRANX91AMUKWJY8VvAUWI LBkjygf6MsJrWRD6qqmRUAhDwUv0udi6JfCKV1BozKJYtC0h3SyFoyYgdjtbPCpyQT91/ULlRTIA 8j5eA+Z768VYa+f08dUwZCblpvUbMhtmuBfLMmsdL0yFo/W4QjV50PeJgWZU7B67yghOAm1RE3lc lPZgZebUuzRFZxNoKgLgVDEQAPqVt564c+AlR0SXDpPOD0H8hr4ThFjKeEJ3Nv77slRJ7uHZDg1O nYCkmAPBKcAo6MHFsbGm8PnmJKPORkgGulEF9IApU5UgB9r5smUJFTWta6Y7Vbu9RlOoOk6tG6lJ xSHxMgPHwqcvGGN7IJvo5sqHjr0ohdI/qXf69fBdz+e7QqDTntNk+oK+2PvqexNNOw/mrkxO/qhl KDruTzmxO5CAuvq/xESrxxbPdaXOyzmTxHaaUADGU+8IrZ4o3NW9UUvfWReX3FdDI4YKcw8SAa6k Uf45VPHnJ4MG74xA8sEAjw4KTB7sRIwta9+C5STfWIqmZQ4mfltIzAK2H22E+3GadM/OuNw27gn4 YBT7Vlw/RpnOeL28JD42giu1dc1atOqw9XK4+XBeUWpoW7CXGF2sYwhgc8zsMtw4pdSOgEiNyMnl +dUdqVqefW1MkMKgwk4CQBnaSJSMITwsXIC2nD8FOzfFFkF0hXwFCZrfQaWQeoTI3UbE44myhYxB rTxBWSCZy89yRzmolBMGyrBxV2X6IqfhXQsPUg/w/5+yYgmCyizQYU2WabGXq1cdXO3tdlIt+J9O rxtuL/eaNPWTRcDSFNekLYXMHAua1E2VtBqO/2afq56GelSNoKHqnu2jBi41vn9weSNeKFOs2QDD 5mD3PzEuXmVFqy7P7ooPFV5X4oqnMs/0pCpmiWH/ySxTxkLKzNv/fF8RiOHeW0s+lXzG1884HXer 65bmvPMIO0QegDwJamA0u1VtwoDRT6F5X9wdcfr5oWeqm8ceNmY58JNu7Bqcu558i/poHsS54d+e 6Dn+n5pD6kM1GdZlp5YadjZUU2N4dcmGmERuQkhWNnMPxgKQe4I806zOcCGmXuOTtjBcQLQyFccC 81htxrlD8+TXIYv/3KLPTgO/g69qJIcpvZOV4R5ib6X9Y7AbQVqNPuWKcYFImHZUa/QsJIC0Q2tw cxFZUG9PBI+MXb8uC37EJzmU6+gU8tLRZpc2h4UDfO3Oj44wf8hV9nWkfDu5HUd6jCunOAuTct0S BuNObbRewq7zxrZXR2blx/OOB7KIYfCNxPEWLgh99LlVTJr10ZBL0exfksZc23Z4MqhlTCCuLXGd Yf4lqEuEdAEmUHeRf7EyVuypytdGGsbu3F7O0Mme/W9zMpR5EDo9ULlA6tLoRYkJg2NQ7Jh9aVlB kRD4Yo0DWA6Lbpddq4sZRPloZbZp8ZXS86QVjto4I9jMOqVsi24Pmx9pA5yM/J5z7b5Gtn657BcJ L0BdShDJa7DJSGdPo1f/imrxbRPTT9LjQVDI3NV802VgkeNtOnDxHpqDVqIlWx1nqbMpONXRhcOh 1m1s69ftQYoserPWOP3DwK0+ua2qyS8pfSEWTAhgX/xFDD+zzfH7fnn7DGb63+l5pSghyILDTmzw 1sg8s1nxvdJiU0DhaBsPlrCqHG/feXA7UgC7OIuf `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block pabZO1I/O5UlEfYaQEPwd4l9eUai0bqYoMxFZDUmBPXyS95K3GW98Ld97MzJKAXXnSlf1PewGW2v 0RIeWd32HQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block MnYS98CLv6GUlLtXXj0MDq/aXJWBamrEeFXZFkhzX7OjMU68I3JzEc2/1UN3CHInfTII6cQBis+f MSPPkhHYfjWA/UnlZNCfIbUjCA7v4zzzEDOXLdUwHhey61M2PDbtjo4F0M+PSYsHQUE61FCJYZr6 +aBOwyo0CpKkCUVEbxg= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block qncW/Cwz6DQ02ZtEcvyp5WdAA4sItotGPpP0REUtLyqefQhCtJmFILcg4T0iyRUg7VuYEwIANO5+ QvHNNc39qIJv9lOesalgHBZQgvNRJnIdYWaRfS0GyacwI/2JQRwAkuAQstvDCp4RTc3l8lwP6/ls 9Kgq/wnF0FIDD2zIsqBFYPVau5gOg+E2Yv8daLhsLbgUNkGI+w4/OZjRbQGSUjwZLuzAjcC7dEzW IiD8iCe2E3P5aTpTA2tXeuvseQy8KOwVCxJQuur+f/bmnE2QrPi5PPQMRcOyc4ok7k5U/64SCKlJ oITfL/xIL/xwZa26tMPcLgkkx7p0G3RLvL/tVw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Dnf6vaqe/V3pNaiPDsCpL4mEkUhuRTF8jsptuAsYR5QlsF0hNdnCfK2+aKM5H69faCvd5mpbM0GP Pqz+qhNmOYPHdckgaTUGR5o/7QyV8YKLvzwfyDMqTu2isTv6FP6Q6welH2CNBnmC1/h5T7i+fy/Q rlaoXYJxfrB3B6n9clU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block IMf8iBP4Q72XIQn7cHjsTbT2wNsnwrpqWy35OTpGthg9IgmIl2PQf4/c9imtaZPdkPVpIBywT+vW p0seCgJeCim8uHSlCA4Yuvzi7NiJqnEZtjEX9xSzaDj4EflUudOJTsvuYMqv/3kxvUgkIK0AS+U7 CWRV3RwJIjyzXaV3SkeD5i2xf0d/bezTocOrvt7wO8hz1n7ziicW5bgdFMZpO18+84bLDi0MzKYQ Ad5OLz8QJgoCqRTe+B2lLXuByvKd2+XBYArz50J0pDfy4RubYe7FYpZdW50ze6dgBWVP0HOw0tLX Pt7eQrmsKxnIhjnIQBRBht+Bb5QLkHSbaJnGbg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8352) `protect data_block 3Txl86gxySTjspY1bX6mjaEtHzHyJ6PEwV30HseHtq4UpP2jRFV0RDcUeckeAq3gEZ5Qu030LNT9 bbOM++HUbE1I8N7rcDRyyG1/zAJa57yK2mi3blq8tQKZ32YObDq6jHS9U2gM3rYjhBhVr2aKK7qQ c8X7nouwkJNW94GkZ7OhWlUV608zX7vk9Ytc1anxwA7VNM5uWs0oTqvG08wzxEO/Wp7eKojoSXwO 3Xhea7t/apLpI0orOHVPwHMzsjaxq56UlxouX+wqfNeT7gOjE86Icz9Kv6qJveIr35SBEOvmKTEu XXSNT6K9tm6q88SyQn3Fynw4R45InLxk96cdtyVOQFxzvDOOUUpt48giFXLbSh2J5eWLkh7wKkBY NwQFlaCkJdKCsT643SDourT31zKF+Ez8++L0sOmdPaVStcet+0/LOBpXifaCvmNLeKxnE44uDown kBMOgjRQlDv9IFqs6Wo034TMX/2IlRfFbca54S7HNhd4DqEOj/dLkdbi3hQqvKlUQLxGd/aByX1b hgEkVsmNNotqvCZpPQ9UsFsRcys6l++qYUPYLNf+xf+zP1lV2cgnRS4RT1iwGyboNFn3K77Tpsb7 9OpYXIW92RPDYHYOVluUlhGfDVUg0MYat/q2HEJQJrXI453QNfUDhUynqjNMtUc0dMa31quPvRVZ hHf+2/bR4Q7cjHspoRtCLGojgvnSf247q4ctuo7nbrdhYUuAa4Bjj5ju4myg9nxVU5ukJQzguBJL 3sQ6JJNrie8SnHcaAMftHxFvfSWwly88oe57Wp/UEarxKXac3A5nc41pt8Mv9Xgpu9v9VOJTxxUh 0lyGcjzLUm2XesldVnJ/PnO7FAjtpxgucH+XMQf+g2wsb59I8+ybUbOrYNQOqWYSwYCOn++p4OTm z6+e0PpDeW3xZTDe3cwHEBvM7k9KPgY+hpev11RMc+0TNT+raUGL3kNBmFiuUKDLoo9/RyEjagUr 0XsfKNMC2ZuGcJCLV4GR9MBQAHlIYRgt8pMqwpUU0tmvh50d1NgrWHgYQL1vhVQUjl2fL8jrCp0B +68ca7YQ1jwOO06mDdlvVYQjZYG/4HCGLQDVssbaag2EjF7zECcmS20NvYP6RjiCxeFLTts4nWNX QI9Rm6NxhHHcmzOEqLUcCSEhySlQwHac/hU0wa46OGSKe0mrmjph7yshq6QOx92ErIUyvhcBeJZo CYY1tCy8lK7N1pab+wcy1j3BbgC4h+YmgjRF6QzhsIcO0Gm9AWLAMvRIrWOPp/V66F7b53uZGfZg hBu915yc5M5CCeRHSBRqwlOTQ8b+GpsV2+8NdhIVSdXpGCVYKQExROd9Zd2r2RFpyx4WC8C9uISU ICBHRlp14RuEKS1tE3agqqBEQL8G83cM487JmgJrWO8alKV+Q5R/Y8cvNDq7FRMhEiPSO1OEMab0 xFA+efs/Tcf+HHo0OesKsFCjVlqwwpgbNSNTgtlDcfxD/icoQHkm8ZiY89TmjfvR0b0cnzwpHcDj RMaiCRYxWD1x6Iy8HAcn9ETbZjNvz/AykS/6e5fMYkeHvaSs0iTZgt6FMmApO8MaDCaUZJEzLv0U z5mb4bxB2IUUrSSt79+9IGbvbS7SphLSQpuyhmnr4JnQmDNj7qbKUz1unir19crnVKkDO6zxigd/ lR8zPfNWeXOCuLklXc3IcDDhBD+ysKGtw2idvIUOTMC0Wa4g2d1zmnUwx/0x0HNEwmXy5XyZpQpe MqREqJVr/i8Oed0hI1JR2OE/D9xvGQmy67OWwqOQtB58ZoJP8JmdoWCJKsQhk9569I+XRRmtvLCC d7m3tMoqYsWVMFY16sa1q0QO8R7PijrjPovnzqr48ZYLKmswIDxTakNM2zHM4zbqta7faU1m1++I nKYMlqUtnps/uGB8XKS5fnRFmB2KPBoCh3xddVUq2bbcUnb3pSgykrT+6T0Cy+V9trIv2IJ0c7l3 NaV+KvRYH0dgbBFOT5DsqIj0jiaAiwSrTgUsdI/LQ3cKu9M2/ExRpY3onDoKZc2dAD3GGb69SXAr w7p9Q18HYjcJHWpaItgzAqnpDNOsS8ZuVMmHOKqCAjG/8Krvw+TQI/+VL6o0RdDz23z6HmqTItKc 1lRdvoub1qnfL3uVJ4X9TsXy8cpZ6h0FbILRMnFsk5Vaf93/svpVrMIzYjt2Hpf62IKO5lipMuhh KPKesXaVK+Mwu/rkP45ABgmsuu4nNKVY2VnFSymuk2zHpmeeLL0v5PGSAq+qfBEAeH3EcIU0DUjF f/DZY0t+qDbjr8kuA9krOgPacrVu8+C08lCQKNB8H4zDq3aJkXYG2WmPI7/NCl6RpCTTp2ftZmHz uAg74PMVp7v+9dLBbb78ogz44Ue4t7HGbnLbVvygeth05fSmOhFEQLID3JaITPTsljDVOebzOEuB r/7Z54pRWs4eOGVJ6BTWU+2iR68Mp3+I0SipaTCSLWjdOaQxegyCEXlLXS2pP9fovqy6ohwKp4r8 SbQ+fQEXJR2Nf9iy+UBtxjz6TMTym7rgS/O9I89iDLJGFuP3z0VNGVQklauC3+Yp4gcMd0xnupu3 w27OKqWBGciRpZM6Fgrsy0mBGWFfxkKu86nJyiwgxtCocvKy5jpk7yiTaHgWOA7BrgEBHk5Nz5FL NHVIuZN7j1eFV4JAQzvPN9dsohwgB+k6T+bz8fxTf+e8RFUnDL43dOjicvnkIvfEQzwM0+JkeNvQ ta5xGpqaH1JD0qyIkJvzScWkIVGrzWzMMvxN+DtabQBIeE2j5DTmfMky0f63cNgmFWP6/SP8aELt kt38bI3RslECUinVEQnYbU2DhgBl2Bp2E7uq/YG8V1sM+J132SjhghxqJ6T1iaGzRtJrzV8uZJUI QV78YPB8Sw2WfIKPXHMOo8Wcuiq7hWP2gnMzlEhTruTfy43iOBynsEQiXlpz5MtA7mEni8hCK/ju wEgP4JhZ2tuIpn3vt//Hes8u0qpLAT4bL03JKYgTMtYLwgU/cKQ7mMqCQ6JazkTKGN1vdrb1P6FI jm7sXfEx1FtTOtiyoXtAyMhUgg+nYckjfJRB+Upgs+B8ajgnnZ4zZWZg9nXh4NOTgJqwSZoz3mYj 0YEoTAZoU5V/kM8lWYqbfC7ss3tgwGjQhmamh1yG2IBAFfbbm+1eaGzWKhnMkIe/ThTInM2B1954 qhG2i2RrFeFBjYacocDQaSbMgsDSx3bO0wRodxUv6ITBJWBtUXQ84psaazwKrD/48/Wk9SiEOiD1 bHUKJFcMGiEDXRQo6c2MPJQ6+AASb+mkg4r+ZMnCpAgNGWBSRtJ3JaJz3fpIua/0GcoKl2yUtmou QnC/LB3DfIirdC5fp4Ar2PTLUq41yjWqn49wmeFrni0Fyh6cBfPplgLIt5GduGYDHqCMzXsq7jMB J4K0ObKnDEOvNDZE0aYW1ePxQNtm2WgNAE5G1Bb4zoziBHf8WCtWuwOZD72tS7ZLwU0SRI/H1rgO NqpZtEe8PbhFF3Mu945oZP/D65wwWYbxbCefnwh7a9hurvKB+YX4xWg7Bd+gnzSBzDNtoULsaGaC Vl5fRhumkx+BwqRdeIvZbEBGKEAuT9fwLuBWbqk9HBZDRGqcse0evcpEJwwj3bvVq9LzXkuUYR1z Rj+rkr1VIOBvD406n/kA7zybInjl+abePxrvEczIc+9nNyt4olcCdlOPbnfijOTwpQ8D26mPfsYD i9O3VvkG9+NJIYW+QgS2AKJXFvHQptmkEqXIaW5nI1pxbps2GKYt7UeBwd3OGuNFPUwtXN28qSnG EkOYzBRsWNCHT6Er1YEX4gIcUpwGuzYkItG+yZY6/dH49QZnIuVWqiFNU6F/5rfoOf81SF+rcgn4 OJPqnzNuGtn0dwUAWA17Bc6BzZ407CNs/QiW5tcgtLCQ0OQSvgN4gNMgvig9LHofpmNnlTQAvYYe BVMg4B3jAZj23PTEw7AVpjHMYUWAHgiPZG4vsf+KQIkXE2LwvfDjNzHLr2iLhAHJXd0IiqeTGiaz IlFj8ULdg+8d8cqV9NCVt2TdAsPgvBFn5tejC2z6o6lQx7u5LDB6LxPxb9b6Zin6lexVCtUzMvMC 0M9fb0Y1ZfMukFBjX5eV4TAtVULwlFjyiwbQ86oEjKcSLpynhYc0F7ITr9iGQjCT1gMB6gkU+r1A lCJapEUql8wzY3VFG5X7mMFuNMnvwzIKZ+jAtrIgNE4JdpAIYTcFEkxq8akjQVVUDedUUJb8ltag RUw79Gm+0c5hgnSPw8xrqk1M73/tMFsPDOCESMOCY62RTQvEJ3xns96vKjn1c12j9qkYq5Rv/4fC s3wFId2akJGz81EuJW8OGSOkFdLYmhVLgXPJXM5DAWhKKcOBO1RTfb+2KF5sP16/tXkQ9StRq4VX c+UD/PMrTDZM4G6HgrYZ/9dGhLTHxganTLx62+QqSuwA99tMdCd0GbQneOfqNHb8C123G3zS7fMj swXKIyhroWPHZdxxm2Osyv3wAxCg8T8XirESRway9GoO+UFL477kJLZ+HmiJsXQTEelxX7WK8ze/ 22UYNqX/fzMT2M824ohaQFAtjXa+mwiOm6ydU3dCehJ+W3m+D6l4bVwPwbv4xGA2wBb/aiIGuqVN o8NK9XsDyh2RFFihGZrvJyoxBbzQH3hvISJlrfKmknMk9vQ/hBNtdWOkLTZ9WZR/pgD+eVzF6ot2 rbuarAjEDrlldyE5l8wZ1JOz3wU4S+cD6jx00DiL3FA62+fMbxmTmAZgHudnMBVHWMHx65pookQ1 PH/eetNoWd3uMDXzMzNF/eHW3LXRwmpckxQgn5C6HlfMlIBwL3tTRgY7M5iZhuvORqToXY/7rdTK laP4Yffx9Go9q3T31S09Rn3SD1Bq9R7bd/7LqrdYezN7jyNSinl1SRoCRVbjD+5zIG0psVectW66 C6xBHdEHgSjehXEaSak2uWDskIo3YkZUpvAF7KGnm9bUP+zer9dfwk0EQAzxap6tIZ/q8ZFO+aEa cU/zpQnghtgefYQTdEbF5hc1GtdPi9V03glTxYGdLJZd9h+ITNvKfwEJZ+T4ERkL8RVu0tL7Q5Tn C+GGjYe5vSj0n3o4M6Q9OEYowOKC68LP39oVGI09gHYGwHQLNKoEe9Xxsu6k5+HvEp7xqQRgTkMr KYQ1YwAyYyjezGrGnATKvcRCl8Qv6ob0wgmr3n1DSenWBBkptfiMEueDrvBzCvLooImQiLTrIZpv BzATxNSFTzXmAfuP28U17N3EXCWA3AkWGS5IcY9blseoPjgVF8mAIukuDd5IWMehpWny50JTfJXq PXVLsOk6aN9TmZCbQdfKJLKUq2wf6IfhH/6LZ4kzWKjp7cjIVVzBBU5YFoJTc9Xcn2cWcxdMxUDo +atD56hnbO8Yv1qD5j1IyZQR26x1VUYCT8surMUyOeMYDf0QOmFXW8vFXM4iFJch0TQJVc+wNTQ5 r/JXQBwjLloIKh+zjBGML90YyHEi8lBIegcHQjcfdVAiSguffRzvi1GyY0tJ9+uuSPvR8MsmySw+ qJax9eOrGGMrkaMutrm4coh+90PGwrVBw7C9CJ20slujK4fzED1n2GI7HIk5C/nwustjJ3aY9FId 5RgVZsF66MOXe8JWXJYePleTKcIMkc81dgw5GpAxgrcfxQEuq4MYXQq/HU09N/Ba+knDJmloMsrq h2ruTb/P7ZZBeNDGQR3kNYVJCkMIe8EqhFfeDarNLviUZEmbnuNyWO17WJH2175txLa6XYHVPuXl xZyalFZzMdEmW0ehRQZxGlteMC/ISZK8lV4jiKzTJzCv1LEZjYhjHYakr21eVgBYZimn2FxeGfUU OJyqiweGOShLgragFNrgH1BcUeT7n/gaMJ7AvFXZfj/UjGyXnadgQE3W27OfFR+yKgDXIV+Ll7Sy JqhpUFVILNRiN9EOsszyG1lDmWIqFIswPyYAcdL0vWfGRAGdlSZkfIxioF85gpNWrZcWLABc0YLo EpwhTas0yCgDNkyb2pKsi+AEFp9GCF8uXDJjdhZ5oLAiNM521YbRRYRN3sTJ0trJrmSQZ/w1Ng4B ukLHpJ++YqCKWMQSeqxPS1cd3FmFzrnuQZ2zgnbiXUjMBOf3EiHMKBTeRIfRNV6MPy8Dva8CPabX Bpi5YTB/kK4wFn7oGntO4ju0zarDapC7dkQRHvD0FNNW0uWDxf4ZC2hdlImcLC8GwD4Jqx+Ww5vQ iWb5a5qeHv8FbwX9avXhWV7W595r70shlOyX+cBLUevhdivgviVBYx6pp/PwrtpQZE41FymWtqTU eTITzgOySas9n4ArQT8EvyuSsZh5ZoONr2mvGkOzFqKRNxwJfLP3JGB2JDtyXl2G6LyE3wj+bkjp bFPmVN37bVtYGhSeB4rYxt4pHWAMexghGjZOhb9wideTxEmxMPUkUOTheSqUXw1411WoB1wxVM6Y r1/9/pw6VWXqKUKls6bf+uZw7U9Ko2OUpSFVOKTweTJuBbDHi3SYWXIPUJrSJdyLg98+QJWyyY6C BsU6vDcAWRqIXMuTxlc1Dn4rQnP4/lLSZ4TmDjBpf1rXBAOkVmFlr9RspUv94oqTwbZhCnpQsx/e 4MUd5Ot6CgxzbhYvp9bA7mL0HFMsq22B1V0u2Onn5VyUXdY5cmY3DRBJxQPx7yLC7X+eZMsBVQ+T aoMXwhJx3dHjfWRgPW94w/wQuufuExuG4R2T+ShvvNxUye8SVGMPZR8z94j+Dg3LyUMMtKOdhTjM ETq8q1gT+As23MYg0Qh+YOh6lt0kuieB3VPok5s4xBHxEC7W9665X+mRU9W3qyNTbaSt18iZDeE+ Y8479RRrRzSqcJ5nDgy/wXHej126J1eo7ecwew827Xh2VtEBqcqtAfrt2Kps79NUZaaBUDJYQruG Qh6T2u8iAgDZ2+2PBdpCybxeDQH4uWBmYuZfcSGuHmei/WJAQjKERXXxv+LYvrji4eKGsTw+Suel 0R1R0VXI7ckRq/ZBf0Kqk0+xybdpNXnM8emHK2Hxl/mZ+7W9/0wVhT4uBTgu2xVLj983x0X6vIhK FV4wa02Xei1qBoBY//pWaVBaxvKSPjWVCp8g7okVIe6+NeEIaN4oUBq+3byQvC/cdzPDCc49y11s wHQNPeoyEv1qHjhmH/ikDVuqH9VNg0HMA4g6lsI8Vjr/SFthTo/uHR+U5Ex8egTO+BFOgiknLJJe RxKcVxRiZh+DnGrTxgwzfYiz2T7I2dZaFYfR0qE2YZl+McZBQ7CBwjZV0QQyvu6RI7wC4qxrl53c DZl5xTr7/uLEgrm+a5DFAybjtbdGICi9V7tiTbRj8FXZ7eIHPzaKU7EFrPm1BQvwljKum2ziKmvp KZV4s4YGJ+YJ4j6tt+egQ0Q+gqA43/X7wYoS17Nw9zvN3/29u3q6fB6URMTwfpI89Ju5ZB4pAJIL 4OF7j9ZglPK85CpSMNZa/C7naaqQXPEpBmCkUQ7j5sdm8jYpoBgGr56wYEgJTb3t8ISfb/8ClDM3 OBVWQZoCrmYWZRTDTTQV5Q3oPVIQ2lomOiOtBj/tHmFeqAVaqVyYTWAPMh4wUXIMf77Gxiyzwe5l lbfHOKa3MYrd9pScNjRrE6rCddnwJLtcuMdJGT/K1s+rdBRWrlSNYWB0OPjqRBHQHkJQ7Z43Z3a2 ug7trN9rkGAz1o3F4cjkQBjxFqynXGClk5W8x1LtPyfcPmvODgwjDN6NWQbospmYR5Tk+9BpmRZt 9eLGft5A5YMAPU/O+VLRfPlVbP3qh/UI3TCpFpimtuRLFTCz4JztU8ZhIPncgrV0gK935ZKTtGnW BaMzGRyUSEKb0Zk78tlj5/srTcV5W2JedcjsdTEt0VmEaXIdJq7zuVZK4DHLddLUE9RFoZLKUVtj PPDWg9LQO5/j0o/Flr2+x/dFzulAoPTxfaxI7MCUmWunbSmNcsKrkqU2y0GpSwR9oqIRCH9ZUNmR Yx19latY90ZAJbIzGHcYO4zlSHsw5h8p1z9tAwRKdGl4iQgYxgJrbhUbvWxGWpvPmNLuNr7xXEIK oDdgIXUJ3F/lcnCdoUn5R1aLfWWH+IG9bmNCyU4s8NFEXMf/DaJIrQF6X1cz2uY50Gu65RK37mGJ q9t17ga2OiSnxtC1w/GmnPZ8+KjOVusjUElhRM4zgCOlnC9TSzQPyGrDFi21s5+to9mTB72+meBT YxfkNIV8M3PPmX7JaoXOT1xetjQOUFrFOU6ilBIQLq+XxjFdKvReovv0w0Pvcm7ms8xBGceKni3C 38O9cbEwE4U5FOdS6c2ZNHRhnUWeDyBraD5sm2qKEegmr9l8BzNS77gOK9upF0e5IWgNn2U42+10 2H3Vl2umQdtMTq2omNElOe+qAWcy2HwnclRlaN+9UfFk0ianhL4kXCpOLSx5VzNddArXqaEXtwDf eDFS96XliQwseFOEt/T2C+6kk0k4Dd8JRiruo64uVsVu81mWVGC+/W+dsCAJ6Wtap+NDV2tIDFjp ePx6sQLMnN00Do7sHJVvrWr3LdfY/Cz85lPJRJIkLUuIeQsUy0hFDlz8evNBkB1cg5U87HkIdg0S nK7904RqhwNIHGf3vaSpMxplcRbgiBkxHAmrHJfET1LVkoQMtIT3x2HcvE/7UYIOTv7HqbS/fAAk pbwAC272qlLmd4NPDdnxiok9c0+r++9AHaFr8Xt2BOXteGzOowE9KsGOM93RCGrdEGuarlEiMUde l/fMPzGCiOf3gQfO6P13REGpti9BPWTxjm1FyzTooueE+WTwuYsFAhadt6+3wuzOd1P2SF6usQIC e6C4k4hKED8VPyDgQ6F38jBiZU6vtUJQeE+OoAGEaYqYL2rds3b5F9C2AkKSUAxrRN0mCAflGHe0 KLTV02j3HfzlnhA1x4uvJuWo+yEIWwbh0/3Paxosv/OwXzExR3zF9GVE6bS9VUES67V/VyyAsQ0o +NaL9FIhqiWGRrMEM/ZY1kTPkhKKUy6yJygtCJAUIqOtAaJi7C9uuyw7kLPEQ8oelL75GV18BTVq b7NIx3B394DduoIuD+0ricroH9ZaPIfu07FleYodHHHhdrAl1z50ozeAj1FHvM7tRlh4MAXt54zY PBm5h3lZvnZ4NLBl5HLFZnNViflav4/4O+cpSd/41fJWJsFOwpXLA3x6tMkuOHsf9VRV+4alRJyC 54ERBeK+DuskKZP01Z5HJbnoh//TV7yj6y0+XwQ1byR/CDAWe+yrUUGs8jzrblu0h8ufY7/82rti p32XiCu8rAA3/5UC78i9xbrkDjcuzedCdQc8An7QA/ahIy8U2+WbhVq4LNcfpXzpHLQw1eQe5Xkc zF1NCnNT5wsawKqj2FW1hQYDLIAwF0kzMA6Txb73HiM8AxgOlfnyliy5bNXf/pRs0tmQ/84gJ5tN +XZKTV41n1vKOmEvtxmpL2HPFwIsozX3AznukZBdSr7BgVdlOvcJCUXvRANX91AMUKWJY8VvAUWI LBkjygf6MsJrWRD6qqmRUAhDwUv0udi6JfCKV1BozKJYtC0h3SyFoyYgdjtbPCpyQT91/ULlRTIA 8j5eA+Z768VYa+f08dUwZCblpvUbMhtmuBfLMmsdL0yFo/W4QjV50PeJgWZU7B67yghOAm1RE3lc lPZgZebUuzRFZxNoKgLgVDEQAPqVt564c+AlR0SXDpPOD0H8hr4ThFjKeEJ3Nv77slRJ7uHZDg1O nYCkmAPBKcAo6MHFsbGm8PnmJKPORkgGulEF9IApU5UgB9r5smUJFTWta6Y7Vbu9RlOoOk6tG6lJ xSHxMgPHwqcvGGN7IJvo5sqHjr0ohdI/qXf69fBdz+e7QqDTntNk+oK+2PvqexNNOw/mrkxO/qhl KDruTzmxO5CAuvq/xESrxxbPdaXOyzmTxHaaUADGU+8IrZ4o3NW9UUvfWReX3FdDI4YKcw8SAa6k Uf45VPHnJ4MG74xA8sEAjw4KTB7sRIwta9+C5STfWIqmZQ4mfltIzAK2H22E+3GadM/OuNw27gn4 YBT7Vlw/RpnOeL28JD42giu1dc1atOqw9XK4+XBeUWpoW7CXGF2sYwhgc8zsMtw4pdSOgEiNyMnl +dUdqVqefW1MkMKgwk4CQBnaSJSMITwsXIC2nD8FOzfFFkF0hXwFCZrfQaWQeoTI3UbE44myhYxB rTxBWSCZy89yRzmolBMGyrBxV2X6IqfhXQsPUg/w/5+yYgmCyizQYU2WabGXq1cdXO3tdlIt+J9O rxtuL/eaNPWTRcDSFNekLYXMHAua1E2VtBqO/2afq56GelSNoKHqnu2jBi41vn9weSNeKFOs2QDD 5mD3PzEuXmVFqy7P7ooPFV5X4oqnMs/0pCpmiWH/ySxTxkLKzNv/fF8RiOHeW0s+lXzG1884HXer 65bmvPMIO0QegDwJamA0u1VtwoDRT6F5X9wdcfr5oWeqm8ceNmY58JNu7Bqcu558i/poHsS54d+e 6Dn+n5pD6kM1GdZlp5YadjZUU2N4dcmGmERuQkhWNnMPxgKQe4I806zOcCGmXuOTtjBcQLQyFccC 81htxrlD8+TXIYv/3KLPTgO/g69qJIcpvZOV4R5ib6X9Y7AbQVqNPuWKcYFImHZUa/QsJIC0Q2tw cxFZUG9PBI+MXb8uC37EJzmU6+gU8tLRZpc2h4UDfO3Oj44wf8hV9nWkfDu5HUd6jCunOAuTct0S BuNObbRewq7zxrZXR2blx/OOB7KIYfCNxPEWLgh99LlVTJr10ZBL0exfksZc23Z4MqhlTCCuLXGd Yf4lqEuEdAEmUHeRf7EyVuypytdGGsbu3F7O0Mme/W9zMpR5EDo9ULlA6tLoRYkJg2NQ7Jh9aVlB kRD4Yo0DWA6Lbpddq4sZRPloZbZp8ZXS86QVjto4I9jMOqVsi24Pmx9pA5yM/J5z7b5Gtn657BcJ L0BdShDJa7DJSGdPo1f/imrxbRPTT9LjQVDI3NV802VgkeNtOnDxHpqDVqIlWx1nqbMpONXRhcOh 1m1s69ftQYoserPWOP3DwK0+ua2qyS8pfSEWTAhgX/xFDD+zzfH7fnn7DGb63+l5pSghyILDTmzw 1sg8s1nxvdJiU0DhaBsPlrCqHG/feXA7UgC7OIuf `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block pabZO1I/O5UlEfYaQEPwd4l9eUai0bqYoMxFZDUmBPXyS95K3GW98Ld97MzJKAXXnSlf1PewGW2v 0RIeWd32HQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block MnYS98CLv6GUlLtXXj0MDq/aXJWBamrEeFXZFkhzX7OjMU68I3JzEc2/1UN3CHInfTII6cQBis+f MSPPkhHYfjWA/UnlZNCfIbUjCA7v4zzzEDOXLdUwHhey61M2PDbtjo4F0M+PSYsHQUE61FCJYZr6 +aBOwyo0CpKkCUVEbxg= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block qncW/Cwz6DQ02ZtEcvyp5WdAA4sItotGPpP0REUtLyqefQhCtJmFILcg4T0iyRUg7VuYEwIANO5+ QvHNNc39qIJv9lOesalgHBZQgvNRJnIdYWaRfS0GyacwI/2JQRwAkuAQstvDCp4RTc3l8lwP6/ls 9Kgq/wnF0FIDD2zIsqBFYPVau5gOg+E2Yv8daLhsLbgUNkGI+w4/OZjRbQGSUjwZLuzAjcC7dEzW IiD8iCe2E3P5aTpTA2tXeuvseQy8KOwVCxJQuur+f/bmnE2QrPi5PPQMRcOyc4ok7k5U/64SCKlJ oITfL/xIL/xwZa26tMPcLgkkx7p0G3RLvL/tVw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Dnf6vaqe/V3pNaiPDsCpL4mEkUhuRTF8jsptuAsYR5QlsF0hNdnCfK2+aKM5H69faCvd5mpbM0GP Pqz+qhNmOYPHdckgaTUGR5o/7QyV8YKLvzwfyDMqTu2isTv6FP6Q6welH2CNBnmC1/h5T7i+fy/Q rlaoXYJxfrB3B6n9clU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block IMf8iBP4Q72XIQn7cHjsTbT2wNsnwrpqWy35OTpGthg9IgmIl2PQf4/c9imtaZPdkPVpIBywT+vW p0seCgJeCim8uHSlCA4Yuvzi7NiJqnEZtjEX9xSzaDj4EflUudOJTsvuYMqv/3kxvUgkIK0AS+U7 CWRV3RwJIjyzXaV3SkeD5i2xf0d/bezTocOrvt7wO8hz1n7ziicW5bgdFMZpO18+84bLDi0MzKYQ Ad5OLz8QJgoCqRTe+B2lLXuByvKd2+XBYArz50J0pDfy4RubYe7FYpZdW50ze6dgBWVP0HOw0tLX Pt7eQrmsKxnIhjnIQBRBht+Bb5QLkHSbaJnGbg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8352) `protect data_block 3Txl86gxySTjspY1bX6mjaEtHzHyJ6PEwV30HseHtq4UpP2jRFV0RDcUeckeAq3gEZ5Qu030LNT9 bbOM++HUbE1I8N7rcDRyyG1/zAJa57yK2mi3blq8tQKZ32YObDq6jHS9U2gM3rYjhBhVr2aKK7qQ c8X7nouwkJNW94GkZ7OhWlUV608zX7vk9Ytc1anxwA7VNM5uWs0oTqvG08wzxEO/Wp7eKojoSXwO 3Xhea7t/apLpI0orOHVPwHMzsjaxq56UlxouX+wqfNeT7gOjE86Icz9Kv6qJveIr35SBEOvmKTEu XXSNT6K9tm6q88SyQn3Fynw4R45InLxk96cdtyVOQFxzvDOOUUpt48giFXLbSh2J5eWLkh7wKkBY NwQFlaCkJdKCsT643SDourT31zKF+Ez8++L0sOmdPaVStcet+0/LOBpXifaCvmNLeKxnE44uDown kBMOgjRQlDv9IFqs6Wo034TMX/2IlRfFbca54S7HNhd4DqEOj/dLkdbi3hQqvKlUQLxGd/aByX1b hgEkVsmNNotqvCZpPQ9UsFsRcys6l++qYUPYLNf+xf+zP1lV2cgnRS4RT1iwGyboNFn3K77Tpsb7 9OpYXIW92RPDYHYOVluUlhGfDVUg0MYat/q2HEJQJrXI453QNfUDhUynqjNMtUc0dMa31quPvRVZ hHf+2/bR4Q7cjHspoRtCLGojgvnSf247q4ctuo7nbrdhYUuAa4Bjj5ju4myg9nxVU5ukJQzguBJL 3sQ6JJNrie8SnHcaAMftHxFvfSWwly88oe57Wp/UEarxKXac3A5nc41pt8Mv9Xgpu9v9VOJTxxUh 0lyGcjzLUm2XesldVnJ/PnO7FAjtpxgucH+XMQf+g2wsb59I8+ybUbOrYNQOqWYSwYCOn++p4OTm z6+e0PpDeW3xZTDe3cwHEBvM7k9KPgY+hpev11RMc+0TNT+raUGL3kNBmFiuUKDLoo9/RyEjagUr 0XsfKNMC2ZuGcJCLV4GR9MBQAHlIYRgt8pMqwpUU0tmvh50d1NgrWHgYQL1vhVQUjl2fL8jrCp0B +68ca7YQ1jwOO06mDdlvVYQjZYG/4HCGLQDVssbaag2EjF7zECcmS20NvYP6RjiCxeFLTts4nWNX QI9Rm6NxhHHcmzOEqLUcCSEhySlQwHac/hU0wa46OGSKe0mrmjph7yshq6QOx92ErIUyvhcBeJZo CYY1tCy8lK7N1pab+wcy1j3BbgC4h+YmgjRF6QzhsIcO0Gm9AWLAMvRIrWOPp/V66F7b53uZGfZg hBu915yc5M5CCeRHSBRqwlOTQ8b+GpsV2+8NdhIVSdXpGCVYKQExROd9Zd2r2RFpyx4WC8C9uISU ICBHRlp14RuEKS1tE3agqqBEQL8G83cM487JmgJrWO8alKV+Q5R/Y8cvNDq7FRMhEiPSO1OEMab0 xFA+efs/Tcf+HHo0OesKsFCjVlqwwpgbNSNTgtlDcfxD/icoQHkm8ZiY89TmjfvR0b0cnzwpHcDj RMaiCRYxWD1x6Iy8HAcn9ETbZjNvz/AykS/6e5fMYkeHvaSs0iTZgt6FMmApO8MaDCaUZJEzLv0U z5mb4bxB2IUUrSSt79+9IGbvbS7SphLSQpuyhmnr4JnQmDNj7qbKUz1unir19crnVKkDO6zxigd/ lR8zPfNWeXOCuLklXc3IcDDhBD+ysKGtw2idvIUOTMC0Wa4g2d1zmnUwx/0x0HNEwmXy5XyZpQpe MqREqJVr/i8Oed0hI1JR2OE/D9xvGQmy67OWwqOQtB58ZoJP8JmdoWCJKsQhk9569I+XRRmtvLCC d7m3tMoqYsWVMFY16sa1q0QO8R7PijrjPovnzqr48ZYLKmswIDxTakNM2zHM4zbqta7faU1m1++I nKYMlqUtnps/uGB8XKS5fnRFmB2KPBoCh3xddVUq2bbcUnb3pSgykrT+6T0Cy+V9trIv2IJ0c7l3 NaV+KvRYH0dgbBFOT5DsqIj0jiaAiwSrTgUsdI/LQ3cKu9M2/ExRpY3onDoKZc2dAD3GGb69SXAr w7p9Q18HYjcJHWpaItgzAqnpDNOsS8ZuVMmHOKqCAjG/8Krvw+TQI/+VL6o0RdDz23z6HmqTItKc 1lRdvoub1qnfL3uVJ4X9TsXy8cpZ6h0FbILRMnFsk5Vaf93/svpVrMIzYjt2Hpf62IKO5lipMuhh KPKesXaVK+Mwu/rkP45ABgmsuu4nNKVY2VnFSymuk2zHpmeeLL0v5PGSAq+qfBEAeH3EcIU0DUjF f/DZY0t+qDbjr8kuA9krOgPacrVu8+C08lCQKNB8H4zDq3aJkXYG2WmPI7/NCl6RpCTTp2ftZmHz uAg74PMVp7v+9dLBbb78ogz44Ue4t7HGbnLbVvygeth05fSmOhFEQLID3JaITPTsljDVOebzOEuB r/7Z54pRWs4eOGVJ6BTWU+2iR68Mp3+I0SipaTCSLWjdOaQxegyCEXlLXS2pP9fovqy6ohwKp4r8 SbQ+fQEXJR2Nf9iy+UBtxjz6TMTym7rgS/O9I89iDLJGFuP3z0VNGVQklauC3+Yp4gcMd0xnupu3 w27OKqWBGciRpZM6Fgrsy0mBGWFfxkKu86nJyiwgxtCocvKy5jpk7yiTaHgWOA7BrgEBHk5Nz5FL NHVIuZN7j1eFV4JAQzvPN9dsohwgB+k6T+bz8fxTf+e8RFUnDL43dOjicvnkIvfEQzwM0+JkeNvQ ta5xGpqaH1JD0qyIkJvzScWkIVGrzWzMMvxN+DtabQBIeE2j5DTmfMky0f63cNgmFWP6/SP8aELt kt38bI3RslECUinVEQnYbU2DhgBl2Bp2E7uq/YG8V1sM+J132SjhghxqJ6T1iaGzRtJrzV8uZJUI QV78YPB8Sw2WfIKPXHMOo8Wcuiq7hWP2gnMzlEhTruTfy43iOBynsEQiXlpz5MtA7mEni8hCK/ju wEgP4JhZ2tuIpn3vt//Hes8u0qpLAT4bL03JKYgTMtYLwgU/cKQ7mMqCQ6JazkTKGN1vdrb1P6FI jm7sXfEx1FtTOtiyoXtAyMhUgg+nYckjfJRB+Upgs+B8ajgnnZ4zZWZg9nXh4NOTgJqwSZoz3mYj 0YEoTAZoU5V/kM8lWYqbfC7ss3tgwGjQhmamh1yG2IBAFfbbm+1eaGzWKhnMkIe/ThTInM2B1954 qhG2i2RrFeFBjYacocDQaSbMgsDSx3bO0wRodxUv6ITBJWBtUXQ84psaazwKrD/48/Wk9SiEOiD1 bHUKJFcMGiEDXRQo6c2MPJQ6+AASb+mkg4r+ZMnCpAgNGWBSRtJ3JaJz3fpIua/0GcoKl2yUtmou QnC/LB3DfIirdC5fp4Ar2PTLUq41yjWqn49wmeFrni0Fyh6cBfPplgLIt5GduGYDHqCMzXsq7jMB J4K0ObKnDEOvNDZE0aYW1ePxQNtm2WgNAE5G1Bb4zoziBHf8WCtWuwOZD72tS7ZLwU0SRI/H1rgO NqpZtEe8PbhFF3Mu945oZP/D65wwWYbxbCefnwh7a9hurvKB+YX4xWg7Bd+gnzSBzDNtoULsaGaC Vl5fRhumkx+BwqRdeIvZbEBGKEAuT9fwLuBWbqk9HBZDRGqcse0evcpEJwwj3bvVq9LzXkuUYR1z Rj+rkr1VIOBvD406n/kA7zybInjl+abePxrvEczIc+9nNyt4olcCdlOPbnfijOTwpQ8D26mPfsYD i9O3VvkG9+NJIYW+QgS2AKJXFvHQptmkEqXIaW5nI1pxbps2GKYt7UeBwd3OGuNFPUwtXN28qSnG EkOYzBRsWNCHT6Er1YEX4gIcUpwGuzYkItG+yZY6/dH49QZnIuVWqiFNU6F/5rfoOf81SF+rcgn4 OJPqnzNuGtn0dwUAWA17Bc6BzZ407CNs/QiW5tcgtLCQ0OQSvgN4gNMgvig9LHofpmNnlTQAvYYe BVMg4B3jAZj23PTEw7AVpjHMYUWAHgiPZG4vsf+KQIkXE2LwvfDjNzHLr2iLhAHJXd0IiqeTGiaz IlFj8ULdg+8d8cqV9NCVt2TdAsPgvBFn5tejC2z6o6lQx7u5LDB6LxPxb9b6Zin6lexVCtUzMvMC 0M9fb0Y1ZfMukFBjX5eV4TAtVULwlFjyiwbQ86oEjKcSLpynhYc0F7ITr9iGQjCT1gMB6gkU+r1A lCJapEUql8wzY3VFG5X7mMFuNMnvwzIKZ+jAtrIgNE4JdpAIYTcFEkxq8akjQVVUDedUUJb8ltag RUw79Gm+0c5hgnSPw8xrqk1M73/tMFsPDOCESMOCY62RTQvEJ3xns96vKjn1c12j9qkYq5Rv/4fC s3wFId2akJGz81EuJW8OGSOkFdLYmhVLgXPJXM5DAWhKKcOBO1RTfb+2KF5sP16/tXkQ9StRq4VX c+UD/PMrTDZM4G6HgrYZ/9dGhLTHxganTLx62+QqSuwA99tMdCd0GbQneOfqNHb8C123G3zS7fMj swXKIyhroWPHZdxxm2Osyv3wAxCg8T8XirESRway9GoO+UFL477kJLZ+HmiJsXQTEelxX7WK8ze/ 22UYNqX/fzMT2M824ohaQFAtjXa+mwiOm6ydU3dCehJ+W3m+D6l4bVwPwbv4xGA2wBb/aiIGuqVN o8NK9XsDyh2RFFihGZrvJyoxBbzQH3hvISJlrfKmknMk9vQ/hBNtdWOkLTZ9WZR/pgD+eVzF6ot2 rbuarAjEDrlldyE5l8wZ1JOz3wU4S+cD6jx00DiL3FA62+fMbxmTmAZgHudnMBVHWMHx65pookQ1 PH/eetNoWd3uMDXzMzNF/eHW3LXRwmpckxQgn5C6HlfMlIBwL3tTRgY7M5iZhuvORqToXY/7rdTK laP4Yffx9Go9q3T31S09Rn3SD1Bq9R7bd/7LqrdYezN7jyNSinl1SRoCRVbjD+5zIG0psVectW66 C6xBHdEHgSjehXEaSak2uWDskIo3YkZUpvAF7KGnm9bUP+zer9dfwk0EQAzxap6tIZ/q8ZFO+aEa cU/zpQnghtgefYQTdEbF5hc1GtdPi9V03glTxYGdLJZd9h+ITNvKfwEJZ+T4ERkL8RVu0tL7Q5Tn C+GGjYe5vSj0n3o4M6Q9OEYowOKC68LP39oVGI09gHYGwHQLNKoEe9Xxsu6k5+HvEp7xqQRgTkMr KYQ1YwAyYyjezGrGnATKvcRCl8Qv6ob0wgmr3n1DSenWBBkptfiMEueDrvBzCvLooImQiLTrIZpv BzATxNSFTzXmAfuP28U17N3EXCWA3AkWGS5IcY9blseoPjgVF8mAIukuDd5IWMehpWny50JTfJXq PXVLsOk6aN9TmZCbQdfKJLKUq2wf6IfhH/6LZ4kzWKjp7cjIVVzBBU5YFoJTc9Xcn2cWcxdMxUDo +atD56hnbO8Yv1qD5j1IyZQR26x1VUYCT8surMUyOeMYDf0QOmFXW8vFXM4iFJch0TQJVc+wNTQ5 r/JXQBwjLloIKh+zjBGML90YyHEi8lBIegcHQjcfdVAiSguffRzvi1GyY0tJ9+uuSPvR8MsmySw+ qJax9eOrGGMrkaMutrm4coh+90PGwrVBw7C9CJ20slujK4fzED1n2GI7HIk5C/nwustjJ3aY9FId 5RgVZsF66MOXe8JWXJYePleTKcIMkc81dgw5GpAxgrcfxQEuq4MYXQq/HU09N/Ba+knDJmloMsrq h2ruTb/P7ZZBeNDGQR3kNYVJCkMIe8EqhFfeDarNLviUZEmbnuNyWO17WJH2175txLa6XYHVPuXl xZyalFZzMdEmW0ehRQZxGlteMC/ISZK8lV4jiKzTJzCv1LEZjYhjHYakr21eVgBYZimn2FxeGfUU OJyqiweGOShLgragFNrgH1BcUeT7n/gaMJ7AvFXZfj/UjGyXnadgQE3W27OfFR+yKgDXIV+Ll7Sy JqhpUFVILNRiN9EOsszyG1lDmWIqFIswPyYAcdL0vWfGRAGdlSZkfIxioF85gpNWrZcWLABc0YLo EpwhTas0yCgDNkyb2pKsi+AEFp9GCF8uXDJjdhZ5oLAiNM521YbRRYRN3sTJ0trJrmSQZ/w1Ng4B ukLHpJ++YqCKWMQSeqxPS1cd3FmFzrnuQZ2zgnbiXUjMBOf3EiHMKBTeRIfRNV6MPy8Dva8CPabX Bpi5YTB/kK4wFn7oGntO4ju0zarDapC7dkQRHvD0FNNW0uWDxf4ZC2hdlImcLC8GwD4Jqx+Ww5vQ iWb5a5qeHv8FbwX9avXhWV7W595r70shlOyX+cBLUevhdivgviVBYx6pp/PwrtpQZE41FymWtqTU eTITzgOySas9n4ArQT8EvyuSsZh5ZoONr2mvGkOzFqKRNxwJfLP3JGB2JDtyXl2G6LyE3wj+bkjp bFPmVN37bVtYGhSeB4rYxt4pHWAMexghGjZOhb9wideTxEmxMPUkUOTheSqUXw1411WoB1wxVM6Y r1/9/pw6VWXqKUKls6bf+uZw7U9Ko2OUpSFVOKTweTJuBbDHi3SYWXIPUJrSJdyLg98+QJWyyY6C BsU6vDcAWRqIXMuTxlc1Dn4rQnP4/lLSZ4TmDjBpf1rXBAOkVmFlr9RspUv94oqTwbZhCnpQsx/e 4MUd5Ot6CgxzbhYvp9bA7mL0HFMsq22B1V0u2Onn5VyUXdY5cmY3DRBJxQPx7yLC7X+eZMsBVQ+T aoMXwhJx3dHjfWRgPW94w/wQuufuExuG4R2T+ShvvNxUye8SVGMPZR8z94j+Dg3LyUMMtKOdhTjM ETq8q1gT+As23MYg0Qh+YOh6lt0kuieB3VPok5s4xBHxEC7W9665X+mRU9W3qyNTbaSt18iZDeE+ Y8479RRrRzSqcJ5nDgy/wXHej126J1eo7ecwew827Xh2VtEBqcqtAfrt2Kps79NUZaaBUDJYQruG Qh6T2u8iAgDZ2+2PBdpCybxeDQH4uWBmYuZfcSGuHmei/WJAQjKERXXxv+LYvrji4eKGsTw+Suel 0R1R0VXI7ckRq/ZBf0Kqk0+xybdpNXnM8emHK2Hxl/mZ+7W9/0wVhT4uBTgu2xVLj983x0X6vIhK FV4wa02Xei1qBoBY//pWaVBaxvKSPjWVCp8g7okVIe6+NeEIaN4oUBq+3byQvC/cdzPDCc49y11s wHQNPeoyEv1qHjhmH/ikDVuqH9VNg0HMA4g6lsI8Vjr/SFthTo/uHR+U5Ex8egTO+BFOgiknLJJe RxKcVxRiZh+DnGrTxgwzfYiz2T7I2dZaFYfR0qE2YZl+McZBQ7CBwjZV0QQyvu6RI7wC4qxrl53c DZl5xTr7/uLEgrm+a5DFAybjtbdGICi9V7tiTbRj8FXZ7eIHPzaKU7EFrPm1BQvwljKum2ziKmvp KZV4s4YGJ+YJ4j6tt+egQ0Q+gqA43/X7wYoS17Nw9zvN3/29u3q6fB6URMTwfpI89Ju5ZB4pAJIL 4OF7j9ZglPK85CpSMNZa/C7naaqQXPEpBmCkUQ7j5sdm8jYpoBgGr56wYEgJTb3t8ISfb/8ClDM3 OBVWQZoCrmYWZRTDTTQV5Q3oPVIQ2lomOiOtBj/tHmFeqAVaqVyYTWAPMh4wUXIMf77Gxiyzwe5l lbfHOKa3MYrd9pScNjRrE6rCddnwJLtcuMdJGT/K1s+rdBRWrlSNYWB0OPjqRBHQHkJQ7Z43Z3a2 ug7trN9rkGAz1o3F4cjkQBjxFqynXGClk5W8x1LtPyfcPmvODgwjDN6NWQbospmYR5Tk+9BpmRZt 9eLGft5A5YMAPU/O+VLRfPlVbP3qh/UI3TCpFpimtuRLFTCz4JztU8ZhIPncgrV0gK935ZKTtGnW BaMzGRyUSEKb0Zk78tlj5/srTcV5W2JedcjsdTEt0VmEaXIdJq7zuVZK4DHLddLUE9RFoZLKUVtj PPDWg9LQO5/j0o/Flr2+x/dFzulAoPTxfaxI7MCUmWunbSmNcsKrkqU2y0GpSwR9oqIRCH9ZUNmR Yx19latY90ZAJbIzGHcYO4zlSHsw5h8p1z9tAwRKdGl4iQgYxgJrbhUbvWxGWpvPmNLuNr7xXEIK oDdgIXUJ3F/lcnCdoUn5R1aLfWWH+IG9bmNCyU4s8NFEXMf/DaJIrQF6X1cz2uY50Gu65RK37mGJ q9t17ga2OiSnxtC1w/GmnPZ8+KjOVusjUElhRM4zgCOlnC9TSzQPyGrDFi21s5+to9mTB72+meBT YxfkNIV8M3PPmX7JaoXOT1xetjQOUFrFOU6ilBIQLq+XxjFdKvReovv0w0Pvcm7ms8xBGceKni3C 38O9cbEwE4U5FOdS6c2ZNHRhnUWeDyBraD5sm2qKEegmr9l8BzNS77gOK9upF0e5IWgNn2U42+10 2H3Vl2umQdtMTq2omNElOe+qAWcy2HwnclRlaN+9UfFk0ianhL4kXCpOLSx5VzNddArXqaEXtwDf eDFS96XliQwseFOEt/T2C+6kk0k4Dd8JRiruo64uVsVu81mWVGC+/W+dsCAJ6Wtap+NDV2tIDFjp ePx6sQLMnN00Do7sHJVvrWr3LdfY/Cz85lPJRJIkLUuIeQsUy0hFDlz8evNBkB1cg5U87HkIdg0S nK7904RqhwNIHGf3vaSpMxplcRbgiBkxHAmrHJfET1LVkoQMtIT3x2HcvE/7UYIOTv7HqbS/fAAk pbwAC272qlLmd4NPDdnxiok9c0+r++9AHaFr8Xt2BOXteGzOowE9KsGOM93RCGrdEGuarlEiMUde l/fMPzGCiOf3gQfO6P13REGpti9BPWTxjm1FyzTooueE+WTwuYsFAhadt6+3wuzOd1P2SF6usQIC e6C4k4hKED8VPyDgQ6F38jBiZU6vtUJQeE+OoAGEaYqYL2rds3b5F9C2AkKSUAxrRN0mCAflGHe0 KLTV02j3HfzlnhA1x4uvJuWo+yEIWwbh0/3Paxosv/OwXzExR3zF9GVE6bS9VUES67V/VyyAsQ0o +NaL9FIhqiWGRrMEM/ZY1kTPkhKKUy6yJygtCJAUIqOtAaJi7C9uuyw7kLPEQ8oelL75GV18BTVq b7NIx3B394DduoIuD+0ricroH9ZaPIfu07FleYodHHHhdrAl1z50ozeAj1FHvM7tRlh4MAXt54zY PBm5h3lZvnZ4NLBl5HLFZnNViflav4/4O+cpSd/41fJWJsFOwpXLA3x6tMkuOHsf9VRV+4alRJyC 54ERBeK+DuskKZP01Z5HJbnoh//TV7yj6y0+XwQ1byR/CDAWe+yrUUGs8jzrblu0h8ufY7/82rti p32XiCu8rAA3/5UC78i9xbrkDjcuzedCdQc8An7QA/ahIy8U2+WbhVq4LNcfpXzpHLQw1eQe5Xkc zF1NCnNT5wsawKqj2FW1hQYDLIAwF0kzMA6Txb73HiM8AxgOlfnyliy5bNXf/pRs0tmQ/84gJ5tN +XZKTV41n1vKOmEvtxmpL2HPFwIsozX3AznukZBdSr7BgVdlOvcJCUXvRANX91AMUKWJY8VvAUWI LBkjygf6MsJrWRD6qqmRUAhDwUv0udi6JfCKV1BozKJYtC0h3SyFoyYgdjtbPCpyQT91/ULlRTIA 8j5eA+Z768VYa+f08dUwZCblpvUbMhtmuBfLMmsdL0yFo/W4QjV50PeJgWZU7B67yghOAm1RE3lc lPZgZebUuzRFZxNoKgLgVDEQAPqVt564c+AlR0SXDpPOD0H8hr4ThFjKeEJ3Nv77slRJ7uHZDg1O nYCkmAPBKcAo6MHFsbGm8PnmJKPORkgGulEF9IApU5UgB9r5smUJFTWta6Y7Vbu9RlOoOk6tG6lJ xSHxMgPHwqcvGGN7IJvo5sqHjr0ohdI/qXf69fBdz+e7QqDTntNk+oK+2PvqexNNOw/mrkxO/qhl KDruTzmxO5CAuvq/xESrxxbPdaXOyzmTxHaaUADGU+8IrZ4o3NW9UUvfWReX3FdDI4YKcw8SAa6k Uf45VPHnJ4MG74xA8sEAjw4KTB7sRIwta9+C5STfWIqmZQ4mfltIzAK2H22E+3GadM/OuNw27gn4 YBT7Vlw/RpnOeL28JD42giu1dc1atOqw9XK4+XBeUWpoW7CXGF2sYwhgc8zsMtw4pdSOgEiNyMnl +dUdqVqefW1MkMKgwk4CQBnaSJSMITwsXIC2nD8FOzfFFkF0hXwFCZrfQaWQeoTI3UbE44myhYxB rTxBWSCZy89yRzmolBMGyrBxV2X6IqfhXQsPUg/w/5+yYgmCyizQYU2WabGXq1cdXO3tdlIt+J9O rxtuL/eaNPWTRcDSFNekLYXMHAua1E2VtBqO/2afq56GelSNoKHqnu2jBi41vn9weSNeKFOs2QDD 5mD3PzEuXmVFqy7P7ooPFV5X4oqnMs/0pCpmiWH/ySxTxkLKzNv/fF8RiOHeW0s+lXzG1884HXer 65bmvPMIO0QegDwJamA0u1VtwoDRT6F5X9wdcfr5oWeqm8ceNmY58JNu7Bqcu558i/poHsS54d+e 6Dn+n5pD6kM1GdZlp5YadjZUU2N4dcmGmERuQkhWNnMPxgKQe4I806zOcCGmXuOTtjBcQLQyFccC 81htxrlD8+TXIYv/3KLPTgO/g69qJIcpvZOV4R5ib6X9Y7AbQVqNPuWKcYFImHZUa/QsJIC0Q2tw cxFZUG9PBI+MXb8uC37EJzmU6+gU8tLRZpc2h4UDfO3Oj44wf8hV9nWkfDu5HUd6jCunOAuTct0S BuNObbRewq7zxrZXR2blx/OOB7KIYfCNxPEWLgh99LlVTJr10ZBL0exfksZc23Z4MqhlTCCuLXGd Yf4lqEuEdAEmUHeRf7EyVuypytdGGsbu3F7O0Mme/W9zMpR5EDo9ULlA6tLoRYkJg2NQ7Jh9aVlB kRD4Yo0DWA6Lbpddq4sZRPloZbZp8ZXS86QVjto4I9jMOqVsi24Pmx9pA5yM/J5z7b5Gtn657BcJ L0BdShDJa7DJSGdPo1f/imrxbRPTT9LjQVDI3NV802VgkeNtOnDxHpqDVqIlWx1nqbMpONXRhcOh 1m1s69ftQYoserPWOP3DwK0+ua2qyS8pfSEWTAhgX/xFDD+zzfH7fnn7DGb63+l5pSghyILDTmzw 1sg8s1nxvdJiU0DhaBsPlrCqHG/feXA7UgC7OIuf `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block pabZO1I/O5UlEfYaQEPwd4l9eUai0bqYoMxFZDUmBPXyS95K3GW98Ld97MzJKAXXnSlf1PewGW2v 0RIeWd32HQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block MnYS98CLv6GUlLtXXj0MDq/aXJWBamrEeFXZFkhzX7OjMU68I3JzEc2/1UN3CHInfTII6cQBis+f MSPPkhHYfjWA/UnlZNCfIbUjCA7v4zzzEDOXLdUwHhey61M2PDbtjo4F0M+PSYsHQUE61FCJYZr6 +aBOwyo0CpKkCUVEbxg= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block qncW/Cwz6DQ02ZtEcvyp5WdAA4sItotGPpP0REUtLyqefQhCtJmFILcg4T0iyRUg7VuYEwIANO5+ QvHNNc39qIJv9lOesalgHBZQgvNRJnIdYWaRfS0GyacwI/2JQRwAkuAQstvDCp4RTc3l8lwP6/ls 9Kgq/wnF0FIDD2zIsqBFYPVau5gOg+E2Yv8daLhsLbgUNkGI+w4/OZjRbQGSUjwZLuzAjcC7dEzW IiD8iCe2E3P5aTpTA2tXeuvseQy8KOwVCxJQuur+f/bmnE2QrPi5PPQMRcOyc4ok7k5U/64SCKlJ oITfL/xIL/xwZa26tMPcLgkkx7p0G3RLvL/tVw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Dnf6vaqe/V3pNaiPDsCpL4mEkUhuRTF8jsptuAsYR5QlsF0hNdnCfK2+aKM5H69faCvd5mpbM0GP Pqz+qhNmOYPHdckgaTUGR5o/7QyV8YKLvzwfyDMqTu2isTv6FP6Q6welH2CNBnmC1/h5T7i+fy/Q rlaoXYJxfrB3B6n9clU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block IMf8iBP4Q72XIQn7cHjsTbT2wNsnwrpqWy35OTpGthg9IgmIl2PQf4/c9imtaZPdkPVpIBywT+vW p0seCgJeCim8uHSlCA4Yuvzi7NiJqnEZtjEX9xSzaDj4EflUudOJTsvuYMqv/3kxvUgkIK0AS+U7 CWRV3RwJIjyzXaV3SkeD5i2xf0d/bezTocOrvt7wO8hz1n7ziicW5bgdFMZpO18+84bLDi0MzKYQ Ad5OLz8QJgoCqRTe+B2lLXuByvKd2+XBYArz50J0pDfy4RubYe7FYpZdW50ze6dgBWVP0HOw0tLX Pt7eQrmsKxnIhjnIQBRBht+Bb5QLkHSbaJnGbg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8352) `protect data_block 3Txl86gxySTjspY1bX6mjaEtHzHyJ6PEwV30HseHtq4UpP2jRFV0RDcUeckeAq3gEZ5Qu030LNT9 bbOM++HUbE1I8N7rcDRyyG1/zAJa57yK2mi3blq8tQKZ32YObDq6jHS9U2gM3rYjhBhVr2aKK7qQ c8X7nouwkJNW94GkZ7OhWlUV608zX7vk9Ytc1anxwA7VNM5uWs0oTqvG08wzxEO/Wp7eKojoSXwO 3Xhea7t/apLpI0orOHVPwHMzsjaxq56UlxouX+wqfNeT7gOjE86Icz9Kv6qJveIr35SBEOvmKTEu XXSNT6K9tm6q88SyQn3Fynw4R45InLxk96cdtyVOQFxzvDOOUUpt48giFXLbSh2J5eWLkh7wKkBY NwQFlaCkJdKCsT643SDourT31zKF+Ez8++L0sOmdPaVStcet+0/LOBpXifaCvmNLeKxnE44uDown kBMOgjRQlDv9IFqs6Wo034TMX/2IlRfFbca54S7HNhd4DqEOj/dLkdbi3hQqvKlUQLxGd/aByX1b hgEkVsmNNotqvCZpPQ9UsFsRcys6l++qYUPYLNf+xf+zP1lV2cgnRS4RT1iwGyboNFn3K77Tpsb7 9OpYXIW92RPDYHYOVluUlhGfDVUg0MYat/q2HEJQJrXI453QNfUDhUynqjNMtUc0dMa31quPvRVZ hHf+2/bR4Q7cjHspoRtCLGojgvnSf247q4ctuo7nbrdhYUuAa4Bjj5ju4myg9nxVU5ukJQzguBJL 3sQ6JJNrie8SnHcaAMftHxFvfSWwly88oe57Wp/UEarxKXac3A5nc41pt8Mv9Xgpu9v9VOJTxxUh 0lyGcjzLUm2XesldVnJ/PnO7FAjtpxgucH+XMQf+g2wsb59I8+ybUbOrYNQOqWYSwYCOn++p4OTm z6+e0PpDeW3xZTDe3cwHEBvM7k9KPgY+hpev11RMc+0TNT+raUGL3kNBmFiuUKDLoo9/RyEjagUr 0XsfKNMC2ZuGcJCLV4GR9MBQAHlIYRgt8pMqwpUU0tmvh50d1NgrWHgYQL1vhVQUjl2fL8jrCp0B +68ca7YQ1jwOO06mDdlvVYQjZYG/4HCGLQDVssbaag2EjF7zECcmS20NvYP6RjiCxeFLTts4nWNX QI9Rm6NxhHHcmzOEqLUcCSEhySlQwHac/hU0wa46OGSKe0mrmjph7yshq6QOx92ErIUyvhcBeJZo CYY1tCy8lK7N1pab+wcy1j3BbgC4h+YmgjRF6QzhsIcO0Gm9AWLAMvRIrWOPp/V66F7b53uZGfZg hBu915yc5M5CCeRHSBRqwlOTQ8b+GpsV2+8NdhIVSdXpGCVYKQExROd9Zd2r2RFpyx4WC8C9uISU ICBHRlp14RuEKS1tE3agqqBEQL8G83cM487JmgJrWO8alKV+Q5R/Y8cvNDq7FRMhEiPSO1OEMab0 xFA+efs/Tcf+HHo0OesKsFCjVlqwwpgbNSNTgtlDcfxD/icoQHkm8ZiY89TmjfvR0b0cnzwpHcDj RMaiCRYxWD1x6Iy8HAcn9ETbZjNvz/AykS/6e5fMYkeHvaSs0iTZgt6FMmApO8MaDCaUZJEzLv0U z5mb4bxB2IUUrSSt79+9IGbvbS7SphLSQpuyhmnr4JnQmDNj7qbKUz1unir19crnVKkDO6zxigd/ lR8zPfNWeXOCuLklXc3IcDDhBD+ysKGtw2idvIUOTMC0Wa4g2d1zmnUwx/0x0HNEwmXy5XyZpQpe MqREqJVr/i8Oed0hI1JR2OE/D9xvGQmy67OWwqOQtB58ZoJP8JmdoWCJKsQhk9569I+XRRmtvLCC d7m3tMoqYsWVMFY16sa1q0QO8R7PijrjPovnzqr48ZYLKmswIDxTakNM2zHM4zbqta7faU1m1++I nKYMlqUtnps/uGB8XKS5fnRFmB2KPBoCh3xddVUq2bbcUnb3pSgykrT+6T0Cy+V9trIv2IJ0c7l3 NaV+KvRYH0dgbBFOT5DsqIj0jiaAiwSrTgUsdI/LQ3cKu9M2/ExRpY3onDoKZc2dAD3GGb69SXAr w7p9Q18HYjcJHWpaItgzAqnpDNOsS8ZuVMmHOKqCAjG/8Krvw+TQI/+VL6o0RdDz23z6HmqTItKc 1lRdvoub1qnfL3uVJ4X9TsXy8cpZ6h0FbILRMnFsk5Vaf93/svpVrMIzYjt2Hpf62IKO5lipMuhh KPKesXaVK+Mwu/rkP45ABgmsuu4nNKVY2VnFSymuk2zHpmeeLL0v5PGSAq+qfBEAeH3EcIU0DUjF f/DZY0t+qDbjr8kuA9krOgPacrVu8+C08lCQKNB8H4zDq3aJkXYG2WmPI7/NCl6RpCTTp2ftZmHz uAg74PMVp7v+9dLBbb78ogz44Ue4t7HGbnLbVvygeth05fSmOhFEQLID3JaITPTsljDVOebzOEuB r/7Z54pRWs4eOGVJ6BTWU+2iR68Mp3+I0SipaTCSLWjdOaQxegyCEXlLXS2pP9fovqy6ohwKp4r8 SbQ+fQEXJR2Nf9iy+UBtxjz6TMTym7rgS/O9I89iDLJGFuP3z0VNGVQklauC3+Yp4gcMd0xnupu3 w27OKqWBGciRpZM6Fgrsy0mBGWFfxkKu86nJyiwgxtCocvKy5jpk7yiTaHgWOA7BrgEBHk5Nz5FL NHVIuZN7j1eFV4JAQzvPN9dsohwgB+k6T+bz8fxTf+e8RFUnDL43dOjicvnkIvfEQzwM0+JkeNvQ ta5xGpqaH1JD0qyIkJvzScWkIVGrzWzMMvxN+DtabQBIeE2j5DTmfMky0f63cNgmFWP6/SP8aELt kt38bI3RslECUinVEQnYbU2DhgBl2Bp2E7uq/YG8V1sM+J132SjhghxqJ6T1iaGzRtJrzV8uZJUI QV78YPB8Sw2WfIKPXHMOo8Wcuiq7hWP2gnMzlEhTruTfy43iOBynsEQiXlpz5MtA7mEni8hCK/ju wEgP4JhZ2tuIpn3vt//Hes8u0qpLAT4bL03JKYgTMtYLwgU/cKQ7mMqCQ6JazkTKGN1vdrb1P6FI jm7sXfEx1FtTOtiyoXtAyMhUgg+nYckjfJRB+Upgs+B8ajgnnZ4zZWZg9nXh4NOTgJqwSZoz3mYj 0YEoTAZoU5V/kM8lWYqbfC7ss3tgwGjQhmamh1yG2IBAFfbbm+1eaGzWKhnMkIe/ThTInM2B1954 qhG2i2RrFeFBjYacocDQaSbMgsDSx3bO0wRodxUv6ITBJWBtUXQ84psaazwKrD/48/Wk9SiEOiD1 bHUKJFcMGiEDXRQo6c2MPJQ6+AASb+mkg4r+ZMnCpAgNGWBSRtJ3JaJz3fpIua/0GcoKl2yUtmou QnC/LB3DfIirdC5fp4Ar2PTLUq41yjWqn49wmeFrni0Fyh6cBfPplgLIt5GduGYDHqCMzXsq7jMB J4K0ObKnDEOvNDZE0aYW1ePxQNtm2WgNAE5G1Bb4zoziBHf8WCtWuwOZD72tS7ZLwU0SRI/H1rgO NqpZtEe8PbhFF3Mu945oZP/D65wwWYbxbCefnwh7a9hurvKB+YX4xWg7Bd+gnzSBzDNtoULsaGaC Vl5fRhumkx+BwqRdeIvZbEBGKEAuT9fwLuBWbqk9HBZDRGqcse0evcpEJwwj3bvVq9LzXkuUYR1z Rj+rkr1VIOBvD406n/kA7zybInjl+abePxrvEczIc+9nNyt4olcCdlOPbnfijOTwpQ8D26mPfsYD i9O3VvkG9+NJIYW+QgS2AKJXFvHQptmkEqXIaW5nI1pxbps2GKYt7UeBwd3OGuNFPUwtXN28qSnG EkOYzBRsWNCHT6Er1YEX4gIcUpwGuzYkItG+yZY6/dH49QZnIuVWqiFNU6F/5rfoOf81SF+rcgn4 OJPqnzNuGtn0dwUAWA17Bc6BzZ407CNs/QiW5tcgtLCQ0OQSvgN4gNMgvig9LHofpmNnlTQAvYYe BVMg4B3jAZj23PTEw7AVpjHMYUWAHgiPZG4vsf+KQIkXE2LwvfDjNzHLr2iLhAHJXd0IiqeTGiaz IlFj8ULdg+8d8cqV9NCVt2TdAsPgvBFn5tejC2z6o6lQx7u5LDB6LxPxb9b6Zin6lexVCtUzMvMC 0M9fb0Y1ZfMukFBjX5eV4TAtVULwlFjyiwbQ86oEjKcSLpynhYc0F7ITr9iGQjCT1gMB6gkU+r1A lCJapEUql8wzY3VFG5X7mMFuNMnvwzIKZ+jAtrIgNE4JdpAIYTcFEkxq8akjQVVUDedUUJb8ltag RUw79Gm+0c5hgnSPw8xrqk1M73/tMFsPDOCESMOCY62RTQvEJ3xns96vKjn1c12j9qkYq5Rv/4fC s3wFId2akJGz81EuJW8OGSOkFdLYmhVLgXPJXM5DAWhKKcOBO1RTfb+2KF5sP16/tXkQ9StRq4VX c+UD/PMrTDZM4G6HgrYZ/9dGhLTHxganTLx62+QqSuwA99tMdCd0GbQneOfqNHb8C123G3zS7fMj swXKIyhroWPHZdxxm2Osyv3wAxCg8T8XirESRway9GoO+UFL477kJLZ+HmiJsXQTEelxX7WK8ze/ 22UYNqX/fzMT2M824ohaQFAtjXa+mwiOm6ydU3dCehJ+W3m+D6l4bVwPwbv4xGA2wBb/aiIGuqVN o8NK9XsDyh2RFFihGZrvJyoxBbzQH3hvISJlrfKmknMk9vQ/hBNtdWOkLTZ9WZR/pgD+eVzF6ot2 rbuarAjEDrlldyE5l8wZ1JOz3wU4S+cD6jx00DiL3FA62+fMbxmTmAZgHudnMBVHWMHx65pookQ1 PH/eetNoWd3uMDXzMzNF/eHW3LXRwmpckxQgn5C6HlfMlIBwL3tTRgY7M5iZhuvORqToXY/7rdTK laP4Yffx9Go9q3T31S09Rn3SD1Bq9R7bd/7LqrdYezN7jyNSinl1SRoCRVbjD+5zIG0psVectW66 C6xBHdEHgSjehXEaSak2uWDskIo3YkZUpvAF7KGnm9bUP+zer9dfwk0EQAzxap6tIZ/q8ZFO+aEa cU/zpQnghtgefYQTdEbF5hc1GtdPi9V03glTxYGdLJZd9h+ITNvKfwEJZ+T4ERkL8RVu0tL7Q5Tn C+GGjYe5vSj0n3o4M6Q9OEYowOKC68LP39oVGI09gHYGwHQLNKoEe9Xxsu6k5+HvEp7xqQRgTkMr KYQ1YwAyYyjezGrGnATKvcRCl8Qv6ob0wgmr3n1DSenWBBkptfiMEueDrvBzCvLooImQiLTrIZpv BzATxNSFTzXmAfuP28U17N3EXCWA3AkWGS5IcY9blseoPjgVF8mAIukuDd5IWMehpWny50JTfJXq PXVLsOk6aN9TmZCbQdfKJLKUq2wf6IfhH/6LZ4kzWKjp7cjIVVzBBU5YFoJTc9Xcn2cWcxdMxUDo +atD56hnbO8Yv1qD5j1IyZQR26x1VUYCT8surMUyOeMYDf0QOmFXW8vFXM4iFJch0TQJVc+wNTQ5 r/JXQBwjLloIKh+zjBGML90YyHEi8lBIegcHQjcfdVAiSguffRzvi1GyY0tJ9+uuSPvR8MsmySw+ qJax9eOrGGMrkaMutrm4coh+90PGwrVBw7C9CJ20slujK4fzED1n2GI7HIk5C/nwustjJ3aY9FId 5RgVZsF66MOXe8JWXJYePleTKcIMkc81dgw5GpAxgrcfxQEuq4MYXQq/HU09N/Ba+knDJmloMsrq h2ruTb/P7ZZBeNDGQR3kNYVJCkMIe8EqhFfeDarNLviUZEmbnuNyWO17WJH2175txLa6XYHVPuXl xZyalFZzMdEmW0ehRQZxGlteMC/ISZK8lV4jiKzTJzCv1LEZjYhjHYakr21eVgBYZimn2FxeGfUU OJyqiweGOShLgragFNrgH1BcUeT7n/gaMJ7AvFXZfj/UjGyXnadgQE3W27OfFR+yKgDXIV+Ll7Sy JqhpUFVILNRiN9EOsszyG1lDmWIqFIswPyYAcdL0vWfGRAGdlSZkfIxioF85gpNWrZcWLABc0YLo EpwhTas0yCgDNkyb2pKsi+AEFp9GCF8uXDJjdhZ5oLAiNM521YbRRYRN3sTJ0trJrmSQZ/w1Ng4B ukLHpJ++YqCKWMQSeqxPS1cd3FmFzrnuQZ2zgnbiXUjMBOf3EiHMKBTeRIfRNV6MPy8Dva8CPabX Bpi5YTB/kK4wFn7oGntO4ju0zarDapC7dkQRHvD0FNNW0uWDxf4ZC2hdlImcLC8GwD4Jqx+Ww5vQ iWb5a5qeHv8FbwX9avXhWV7W595r70shlOyX+cBLUevhdivgviVBYx6pp/PwrtpQZE41FymWtqTU eTITzgOySas9n4ArQT8EvyuSsZh5ZoONr2mvGkOzFqKRNxwJfLP3JGB2JDtyXl2G6LyE3wj+bkjp bFPmVN37bVtYGhSeB4rYxt4pHWAMexghGjZOhb9wideTxEmxMPUkUOTheSqUXw1411WoB1wxVM6Y r1/9/pw6VWXqKUKls6bf+uZw7U9Ko2OUpSFVOKTweTJuBbDHi3SYWXIPUJrSJdyLg98+QJWyyY6C BsU6vDcAWRqIXMuTxlc1Dn4rQnP4/lLSZ4TmDjBpf1rXBAOkVmFlr9RspUv94oqTwbZhCnpQsx/e 4MUd5Ot6CgxzbhYvp9bA7mL0HFMsq22B1V0u2Onn5VyUXdY5cmY3DRBJxQPx7yLC7X+eZMsBVQ+T aoMXwhJx3dHjfWRgPW94w/wQuufuExuG4R2T+ShvvNxUye8SVGMPZR8z94j+Dg3LyUMMtKOdhTjM ETq8q1gT+As23MYg0Qh+YOh6lt0kuieB3VPok5s4xBHxEC7W9665X+mRU9W3qyNTbaSt18iZDeE+ Y8479RRrRzSqcJ5nDgy/wXHej126J1eo7ecwew827Xh2VtEBqcqtAfrt2Kps79NUZaaBUDJYQruG Qh6T2u8iAgDZ2+2PBdpCybxeDQH4uWBmYuZfcSGuHmei/WJAQjKERXXxv+LYvrji4eKGsTw+Suel 0R1R0VXI7ckRq/ZBf0Kqk0+xybdpNXnM8emHK2Hxl/mZ+7W9/0wVhT4uBTgu2xVLj983x0X6vIhK FV4wa02Xei1qBoBY//pWaVBaxvKSPjWVCp8g7okVIe6+NeEIaN4oUBq+3byQvC/cdzPDCc49y11s wHQNPeoyEv1qHjhmH/ikDVuqH9VNg0HMA4g6lsI8Vjr/SFthTo/uHR+U5Ex8egTO+BFOgiknLJJe RxKcVxRiZh+DnGrTxgwzfYiz2T7I2dZaFYfR0qE2YZl+McZBQ7CBwjZV0QQyvu6RI7wC4qxrl53c DZl5xTr7/uLEgrm+a5DFAybjtbdGICi9V7tiTbRj8FXZ7eIHPzaKU7EFrPm1BQvwljKum2ziKmvp KZV4s4YGJ+YJ4j6tt+egQ0Q+gqA43/X7wYoS17Nw9zvN3/29u3q6fB6URMTwfpI89Ju5ZB4pAJIL 4OF7j9ZglPK85CpSMNZa/C7naaqQXPEpBmCkUQ7j5sdm8jYpoBgGr56wYEgJTb3t8ISfb/8ClDM3 OBVWQZoCrmYWZRTDTTQV5Q3oPVIQ2lomOiOtBj/tHmFeqAVaqVyYTWAPMh4wUXIMf77Gxiyzwe5l lbfHOKa3MYrd9pScNjRrE6rCddnwJLtcuMdJGT/K1s+rdBRWrlSNYWB0OPjqRBHQHkJQ7Z43Z3a2 ug7trN9rkGAz1o3F4cjkQBjxFqynXGClk5W8x1LtPyfcPmvODgwjDN6NWQbospmYR5Tk+9BpmRZt 9eLGft5A5YMAPU/O+VLRfPlVbP3qh/UI3TCpFpimtuRLFTCz4JztU8ZhIPncgrV0gK935ZKTtGnW BaMzGRyUSEKb0Zk78tlj5/srTcV5W2JedcjsdTEt0VmEaXIdJq7zuVZK4DHLddLUE9RFoZLKUVtj PPDWg9LQO5/j0o/Flr2+x/dFzulAoPTxfaxI7MCUmWunbSmNcsKrkqU2y0GpSwR9oqIRCH9ZUNmR Yx19latY90ZAJbIzGHcYO4zlSHsw5h8p1z9tAwRKdGl4iQgYxgJrbhUbvWxGWpvPmNLuNr7xXEIK oDdgIXUJ3F/lcnCdoUn5R1aLfWWH+IG9bmNCyU4s8NFEXMf/DaJIrQF6X1cz2uY50Gu65RK37mGJ q9t17ga2OiSnxtC1w/GmnPZ8+KjOVusjUElhRM4zgCOlnC9TSzQPyGrDFi21s5+to9mTB72+meBT YxfkNIV8M3PPmX7JaoXOT1xetjQOUFrFOU6ilBIQLq+XxjFdKvReovv0w0Pvcm7ms8xBGceKni3C 38O9cbEwE4U5FOdS6c2ZNHRhnUWeDyBraD5sm2qKEegmr9l8BzNS77gOK9upF0e5IWgNn2U42+10 2H3Vl2umQdtMTq2omNElOe+qAWcy2HwnclRlaN+9UfFk0ianhL4kXCpOLSx5VzNddArXqaEXtwDf eDFS96XliQwseFOEt/T2C+6kk0k4Dd8JRiruo64uVsVu81mWVGC+/W+dsCAJ6Wtap+NDV2tIDFjp ePx6sQLMnN00Do7sHJVvrWr3LdfY/Cz85lPJRJIkLUuIeQsUy0hFDlz8evNBkB1cg5U87HkIdg0S nK7904RqhwNIHGf3vaSpMxplcRbgiBkxHAmrHJfET1LVkoQMtIT3x2HcvE/7UYIOTv7HqbS/fAAk pbwAC272qlLmd4NPDdnxiok9c0+r++9AHaFr8Xt2BOXteGzOowE9KsGOM93RCGrdEGuarlEiMUde l/fMPzGCiOf3gQfO6P13REGpti9BPWTxjm1FyzTooueE+WTwuYsFAhadt6+3wuzOd1P2SF6usQIC e6C4k4hKED8VPyDgQ6F38jBiZU6vtUJQeE+OoAGEaYqYL2rds3b5F9C2AkKSUAxrRN0mCAflGHe0 KLTV02j3HfzlnhA1x4uvJuWo+yEIWwbh0/3Paxosv/OwXzExR3zF9GVE6bS9VUES67V/VyyAsQ0o +NaL9FIhqiWGRrMEM/ZY1kTPkhKKUy6yJygtCJAUIqOtAaJi7C9uuyw7kLPEQ8oelL75GV18BTVq b7NIx3B394DduoIuD+0ricroH9ZaPIfu07FleYodHHHhdrAl1z50ozeAj1FHvM7tRlh4MAXt54zY PBm5h3lZvnZ4NLBl5HLFZnNViflav4/4O+cpSd/41fJWJsFOwpXLA3x6tMkuOHsf9VRV+4alRJyC 54ERBeK+DuskKZP01Z5HJbnoh//TV7yj6y0+XwQ1byR/CDAWe+yrUUGs8jzrblu0h8ufY7/82rti p32XiCu8rAA3/5UC78i9xbrkDjcuzedCdQc8An7QA/ahIy8U2+WbhVq4LNcfpXzpHLQw1eQe5Xkc zF1NCnNT5wsawKqj2FW1hQYDLIAwF0kzMA6Txb73HiM8AxgOlfnyliy5bNXf/pRs0tmQ/84gJ5tN +XZKTV41n1vKOmEvtxmpL2HPFwIsozX3AznukZBdSr7BgVdlOvcJCUXvRANX91AMUKWJY8VvAUWI LBkjygf6MsJrWRD6qqmRUAhDwUv0udi6JfCKV1BozKJYtC0h3SyFoyYgdjtbPCpyQT91/ULlRTIA 8j5eA+Z768VYa+f08dUwZCblpvUbMhtmuBfLMmsdL0yFo/W4QjV50PeJgWZU7B67yghOAm1RE3lc lPZgZebUuzRFZxNoKgLgVDEQAPqVt564c+AlR0SXDpPOD0H8hr4ThFjKeEJ3Nv77slRJ7uHZDg1O nYCkmAPBKcAo6MHFsbGm8PnmJKPORkgGulEF9IApU5UgB9r5smUJFTWta6Y7Vbu9RlOoOk6tG6lJ xSHxMgPHwqcvGGN7IJvo5sqHjr0ohdI/qXf69fBdz+e7QqDTntNk+oK+2PvqexNNOw/mrkxO/qhl KDruTzmxO5CAuvq/xESrxxbPdaXOyzmTxHaaUADGU+8IrZ4o3NW9UUvfWReX3FdDI4YKcw8SAa6k Uf45VPHnJ4MG74xA8sEAjw4KTB7sRIwta9+C5STfWIqmZQ4mfltIzAK2H22E+3GadM/OuNw27gn4 YBT7Vlw/RpnOeL28JD42giu1dc1atOqw9XK4+XBeUWpoW7CXGF2sYwhgc8zsMtw4pdSOgEiNyMnl +dUdqVqefW1MkMKgwk4CQBnaSJSMITwsXIC2nD8FOzfFFkF0hXwFCZrfQaWQeoTI3UbE44myhYxB rTxBWSCZy89yRzmolBMGyrBxV2X6IqfhXQsPUg/w/5+yYgmCyizQYU2WabGXq1cdXO3tdlIt+J9O rxtuL/eaNPWTRcDSFNekLYXMHAua1E2VtBqO/2afq56GelSNoKHqnu2jBi41vn9weSNeKFOs2QDD 5mD3PzEuXmVFqy7P7ooPFV5X4oqnMs/0pCpmiWH/ySxTxkLKzNv/fF8RiOHeW0s+lXzG1884HXer 65bmvPMIO0QegDwJamA0u1VtwoDRT6F5X9wdcfr5oWeqm8ceNmY58JNu7Bqcu558i/poHsS54d+e 6Dn+n5pD6kM1GdZlp5YadjZUU2N4dcmGmERuQkhWNnMPxgKQe4I806zOcCGmXuOTtjBcQLQyFccC 81htxrlD8+TXIYv/3KLPTgO/g69qJIcpvZOV4R5ib6X9Y7AbQVqNPuWKcYFImHZUa/QsJIC0Q2tw cxFZUG9PBI+MXb8uC37EJzmU6+gU8tLRZpc2h4UDfO3Oj44wf8hV9nWkfDu5HUd6jCunOAuTct0S BuNObbRewq7zxrZXR2blx/OOB7KIYfCNxPEWLgh99LlVTJr10ZBL0exfksZc23Z4MqhlTCCuLXGd Yf4lqEuEdAEmUHeRf7EyVuypytdGGsbu3F7O0Mme/W9zMpR5EDo9ULlA6tLoRYkJg2NQ7Jh9aVlB kRD4Yo0DWA6Lbpddq4sZRPloZbZp8ZXS86QVjto4I9jMOqVsi24Pmx9pA5yM/J5z7b5Gtn657BcJ L0BdShDJa7DJSGdPo1f/imrxbRPTT9LjQVDI3NV802VgkeNtOnDxHpqDVqIlWx1nqbMpONXRhcOh 1m1s69ftQYoserPWOP3DwK0+ua2qyS8pfSEWTAhgX/xFDD+zzfH7fnn7DGb63+l5pSghyILDTmzw 1sg8s1nxvdJiU0DhaBsPlrCqHG/feXA7UgC7OIuf `protect end_protected
library IEEE; use IEEE.std_logic_1164.all; library Examples; use Examples.examples.all; entity Top is port ( clk_i : in std_logic; led_o : out std_logic ); end entity Top; architecture Structural of Top is constant FREQ : positive := 50e6; begin dut: Blinking generic map (FREQ => FREQ, SECS => 1) port map (clk_i => clk_i, led_o => led_o); end architecture Structural;
library IEEE; use IEEE.std_logic_1164.all; library Examples; use Examples.examples.all; entity Top is port ( clk_i : in std_logic; led_o : out std_logic ); end entity Top; architecture Structural of Top is constant FREQ : positive := 50e6; begin dut: Blinking generic map (FREQ => FREQ, SECS => 1) port map (clk_i => clk_i, led_o => led_o); end architecture Structural;
library IEEE; use IEEE.std_logic_1164.all; library Examples; use Examples.examples.all; entity Top is port ( clk_i : in std_logic; led_o : out std_logic ); end entity Top; architecture Structural of Top is constant FREQ : positive := 50e6; begin dut: Blinking generic map (FREQ => FREQ, SECS => 1) port map (clk_i => clk_i, led_o => led_o); end architecture Structural;
library IEEE; use IEEE.std_logic_1164.all; library Examples; use Examples.examples.all; entity Top is port ( clk_i : in std_logic; led_o : out std_logic ); end entity Top; architecture Structural of Top is constant FREQ : positive := 50e6; begin dut: Blinking generic map (FREQ => FREQ, SECS => 1) port map (clk_i => clk_i, led_o => led_o); end architecture Structural;
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use IEEE.NUMERIC_STD.ALL; use work.VHDL_lib.all; entity dmod is generic( width:integer := 16 ); port( clk: in std_logic; I: in std_logic_vector(width-1 downto 0); Q: in std_logic_vector(width-1 downto 0); output: out std_logic_vector(width-1 downto 0) ); end dmod; architecture Behavioral of dmod is signal I_shift_reg: std_logic_vector(width*3-1 downto 0) := (others=>'0'); alias I_first : std_logic_vector(width-1 downto 0) is I_shift_reg(width*1-1 downto width*0); alias I_mid : std_logic_vector(width-1 downto 0) is I_shift_reg(width*2-1 downto width*1); alias I_last : std_logic_vector(width-1 downto 0) is I_shift_reg(width*3-1 downto width*2); signal dI : std_logic_vector(width-1 downto 0) := (others=>'0'); signal Q_shift_reg: std_logic_vector(width*3-1 downto 0) := (others=>'0'); alias Q_first : std_logic_vector(width-1 downto 0) is Q_shift_reg(width*1-1 downto width*0); alias Q_mid : std_logic_vector(width-1 downto 0) is Q_shift_reg(width*2-1 downto width*1); alias Q_last : std_logic_vector(width-1 downto 0) is Q_shift_reg(width*3-1 downto width*2); signal dQ : std_logic_vector(width-1 downto 0) := (others=>'0'); signal PQ_out, PI_out,scaled : std_logic_vector(31 downto 0) := (others=>'0'); -- alias Q_out : std_logic_vector(width-1 downto 0) is PQ_out(31 downto 31-width+1); --alias I_out : std_logic_vector(width-1 downto 0) is PI_out(31 downto 31-width+1); COMPONENT multi_QI PORT ( CLK : IN STD_LOGIC; A : IN STD_LOGIC_VECTOR(15 DOWNTO 0); B : IN STD_LOGIC_VECTOR(15 DOWNTO 0); P : OUT STD_LOGIC_VECTOR(31 DOWNTO 0) ); END COMPONENT; begin dQ_I: multi_QI PORT MAP ( CLK => clk, A => dQ, B => I_last, P => PI_out ); dI_Q: multi_QI PORT MAP ( CLK => clk, A => dI, B => Q_last, P => PQ_out ); process(clk) begin if(clk'event and clk = '1')then I_shift_reg <= I_mid & I_first & I; Q_shift_reg <= Q_mid & Q_first & Q; dI <= I_last - I_first; dQ <= Q_last - Q_first; scaled <= std_logic_vector(signed(PQ_out) - signed(PI_out)); output <= scaled(31) & scaled(30 downto 30-14); end if; end process; end Behavioral;
---------------------------------------------------------------------------------- -- Company: Digital Security Gorup - Faculty of Science - University of Radbound -- Engineer: Pedro Maat C. Massolino -- -- Create Date: 05/02/2015 -- Design Name: TB_RAM -- Module Name: TB_RAM -- Project Name: Example -- Target Devices: Any -- Tool versions: -- -- Description: -- -- A simple test bench for the simple RAM -- -- Dependencies: -- VHDL-93 -- -- Revision: -- Revision 1.0 -- Additional Comments: -- -- - Modified RAM to store 40*32 bits for the round keys (Jos Wetzels & Wouter Bokslag) -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity tb_ram is Generic ( PERIOD : time := 10 ns ); end tb_ram; architecture Behavioral of tb_ram is component ram Port ( data_in : in STD_LOGIC_VECTOR(31 downto 0); rw : in STD_LOGIC; clk : in STD_LOGIC; address : in STD_LOGIC_VECTOR(7 downto 0); data_out : out STD_LOGIC_VECTOR(31 downto 0) ); end component; signal test_data_in : STD_LOGIC_VECTOR(31 downto 0); signal test_rw : STD_LOGIC; signal test_address : STD_LOGIC_VECTOR(7 downto 0); signal test_data_out : STD_LOGIC_VECTOR(31 downto 0); signal clk : STD_LOGIC := '0'; signal clk_generator_finish : STD_LOGIC := '0'; signal test_bench_finish : STD_LOGIC := '0'; begin test : ram Port Map( data_in => test_data_in, rw => test_rw, clk => clk, address => test_address, data_out => test_data_out ); clock : process begin while ( clk_generator_finish /= '1') loop clk <= not clk; wait for PERIOD/2; end loop; wait; end process; process begin wait for PERIOD/2; test_data_in <= X"03020100"; test_rw <= '1'; test_address <= X"00"; wait for PERIOD; test_data_in <= X"0b0a0908"; test_rw <= '1'; test_address <= X"01"; wait for PERIOD; test_data_in <= X"13121110"; test_rw <= '1'; test_address <= X"02"; wait for PERIOD; test_data_in <= X"1b1a1918"; test_rw <= '1'; test_address <= X"03"; wait for PERIOD; test_rw <= '0'; test_address <= X"00"; wait for PERIOD; assert test_data_out = X"03020100" report "Error in RAM" severity FAILURE; test_address <= X"01"; wait for PERIOD; assert test_data_out = X"0b0a0908" report "Error in RAM" severity FAILURE; test_address <= X"02"; wait for PERIOD; assert test_data_out = X"13121110" report "Error in RAM" severity FAILURE; test_address <= X"03"; wait for PERIOD; assert test_data_out = X"1b1a1918" report "Error in RAM" severity FAILURE; test_bench_finish <= '1'; clk_generator_finish <= '1'; wait for PERIOD; wait; end process; end Behavioral;
---------------------------------------------------------------------------------- -- Company: Digital Security Gorup - Faculty of Science - University of Radbound -- Engineer: Pedro Maat C. Massolino -- -- Create Date: 05/02/2015 -- Design Name: TB_RAM -- Module Name: TB_RAM -- Project Name: Example -- Target Devices: Any -- Tool versions: -- -- Description: -- -- A simple test bench for the simple RAM -- -- Dependencies: -- VHDL-93 -- -- Revision: -- Revision 1.0 -- Additional Comments: -- -- - Modified RAM to store 40*32 bits for the round keys (Jos Wetzels & Wouter Bokslag) -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity tb_ram is Generic ( PERIOD : time := 10 ns ); end tb_ram; architecture Behavioral of tb_ram is component ram Port ( data_in : in STD_LOGIC_VECTOR(31 downto 0); rw : in STD_LOGIC; clk : in STD_LOGIC; address : in STD_LOGIC_VECTOR(7 downto 0); data_out : out STD_LOGIC_VECTOR(31 downto 0) ); end component; signal test_data_in : STD_LOGIC_VECTOR(31 downto 0); signal test_rw : STD_LOGIC; signal test_address : STD_LOGIC_VECTOR(7 downto 0); signal test_data_out : STD_LOGIC_VECTOR(31 downto 0); signal clk : STD_LOGIC := '0'; signal clk_generator_finish : STD_LOGIC := '0'; signal test_bench_finish : STD_LOGIC := '0'; begin test : ram Port Map( data_in => test_data_in, rw => test_rw, clk => clk, address => test_address, data_out => test_data_out ); clock : process begin while ( clk_generator_finish /= '1') loop clk <= not clk; wait for PERIOD/2; end loop; wait; end process; process begin wait for PERIOD/2; test_data_in <= X"03020100"; test_rw <= '1'; test_address <= X"00"; wait for PERIOD; test_data_in <= X"0b0a0908"; test_rw <= '1'; test_address <= X"01"; wait for PERIOD; test_data_in <= X"13121110"; test_rw <= '1'; test_address <= X"02"; wait for PERIOD; test_data_in <= X"1b1a1918"; test_rw <= '1'; test_address <= X"03"; wait for PERIOD; test_rw <= '0'; test_address <= X"00"; wait for PERIOD; assert test_data_out = X"03020100" report "Error in RAM" severity FAILURE; test_address <= X"01"; wait for PERIOD; assert test_data_out = X"0b0a0908" report "Error in RAM" severity FAILURE; test_address <= X"02"; wait for PERIOD; assert test_data_out = X"13121110" report "Error in RAM" severity FAILURE; test_address <= X"03"; wait for PERIOD; assert test_data_out = X"1b1a1918" report "Error in RAM" severity FAILURE; test_bench_finish <= '1'; clk_generator_finish <= '1'; wait for PERIOD; wait; end process; end Behavioral;
---------------------------------------------------------------------------------- -- Company: Digital Security Gorup - Faculty of Science - University of Radbound -- Engineer: Pedro Maat C. Massolino -- -- Create Date: 05/02/2015 -- Design Name: TB_RAM -- Module Name: TB_RAM -- Project Name: Example -- Target Devices: Any -- Tool versions: -- -- Description: -- -- A simple test bench for the simple RAM -- -- Dependencies: -- VHDL-93 -- -- Revision: -- Revision 1.0 -- Additional Comments: -- -- - Modified RAM to store 40*32 bits for the round keys (Jos Wetzels & Wouter Bokslag) -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity tb_ram is Generic ( PERIOD : time := 10 ns ); end tb_ram; architecture Behavioral of tb_ram is component ram Port ( data_in : in STD_LOGIC_VECTOR(31 downto 0); rw : in STD_LOGIC; clk : in STD_LOGIC; address : in STD_LOGIC_VECTOR(7 downto 0); data_out : out STD_LOGIC_VECTOR(31 downto 0) ); end component; signal test_data_in : STD_LOGIC_VECTOR(31 downto 0); signal test_rw : STD_LOGIC; signal test_address : STD_LOGIC_VECTOR(7 downto 0); signal test_data_out : STD_LOGIC_VECTOR(31 downto 0); signal clk : STD_LOGIC := '0'; signal clk_generator_finish : STD_LOGIC := '0'; signal test_bench_finish : STD_LOGIC := '0'; begin test : ram Port Map( data_in => test_data_in, rw => test_rw, clk => clk, address => test_address, data_out => test_data_out ); clock : process begin while ( clk_generator_finish /= '1') loop clk <= not clk; wait for PERIOD/2; end loop; wait; end process; process begin wait for PERIOD/2; test_data_in <= X"03020100"; test_rw <= '1'; test_address <= X"00"; wait for PERIOD; test_data_in <= X"0b0a0908"; test_rw <= '1'; test_address <= X"01"; wait for PERIOD; test_data_in <= X"13121110"; test_rw <= '1'; test_address <= X"02"; wait for PERIOD; test_data_in <= X"1b1a1918"; test_rw <= '1'; test_address <= X"03"; wait for PERIOD; test_rw <= '0'; test_address <= X"00"; wait for PERIOD; assert test_data_out = X"03020100" report "Error in RAM" severity FAILURE; test_address <= X"01"; wait for PERIOD; assert test_data_out = X"0b0a0908" report "Error in RAM" severity FAILURE; test_address <= X"02"; wait for PERIOD; assert test_data_out = X"13121110" report "Error in RAM" severity FAILURE; test_address <= X"03"; wait for PERIOD; assert test_data_out = X"1b1a1918" report "Error in RAM" severity FAILURE; test_bench_finish <= '1'; clk_generator_finish <= '1'; wait for PERIOD; wait; end process; end Behavioral;
---------------------------------------------------------------------------------- -- Company: Digital Security Gorup - Faculty of Science - University of Radbound -- Engineer: Pedro Maat C. Massolino -- -- Create Date: 05/02/2015 -- Design Name: TB_RAM -- Module Name: TB_RAM -- Project Name: Example -- Target Devices: Any -- Tool versions: -- -- Description: -- -- A simple test bench for the simple RAM -- -- Dependencies: -- VHDL-93 -- -- Revision: -- Revision 1.0 -- Additional Comments: -- -- - Modified RAM to store 40*32 bits for the round keys (Jos Wetzels & Wouter Bokslag) -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity tb_ram is Generic ( PERIOD : time := 10 ns ); end tb_ram; architecture Behavioral of tb_ram is component ram Port ( data_in : in STD_LOGIC_VECTOR(31 downto 0); rw : in STD_LOGIC; clk : in STD_LOGIC; address : in STD_LOGIC_VECTOR(7 downto 0); data_out : out STD_LOGIC_VECTOR(31 downto 0) ); end component; signal test_data_in : STD_LOGIC_VECTOR(31 downto 0); signal test_rw : STD_LOGIC; signal test_address : STD_LOGIC_VECTOR(7 downto 0); signal test_data_out : STD_LOGIC_VECTOR(31 downto 0); signal clk : STD_LOGIC := '0'; signal clk_generator_finish : STD_LOGIC := '0'; signal test_bench_finish : STD_LOGIC := '0'; begin test : ram Port Map( data_in => test_data_in, rw => test_rw, clk => clk, address => test_address, data_out => test_data_out ); clock : process begin while ( clk_generator_finish /= '1') loop clk <= not clk; wait for PERIOD/2; end loop; wait; end process; process begin wait for PERIOD/2; test_data_in <= X"03020100"; test_rw <= '1'; test_address <= X"00"; wait for PERIOD; test_data_in <= X"0b0a0908"; test_rw <= '1'; test_address <= X"01"; wait for PERIOD; test_data_in <= X"13121110"; test_rw <= '1'; test_address <= X"02"; wait for PERIOD; test_data_in <= X"1b1a1918"; test_rw <= '1'; test_address <= X"03"; wait for PERIOD; test_rw <= '0'; test_address <= X"00"; wait for PERIOD; assert test_data_out = X"03020100" report "Error in RAM" severity FAILURE; test_address <= X"01"; wait for PERIOD; assert test_data_out = X"0b0a0908" report "Error in RAM" severity FAILURE; test_address <= X"02"; wait for PERIOD; assert test_data_out = X"13121110" report "Error in RAM" severity FAILURE; test_address <= X"03"; wait for PERIOD; assert test_data_out = X"1b1a1918" report "Error in RAM" severity FAILURE; test_bench_finish <= '1'; clk_generator_finish <= '1'; wait for PERIOD; wait; end process; end Behavioral;
---------------------------------------------------------------------------------- -- Company: Digital Security Gorup - Faculty of Science - University of Radbound -- Engineer: Pedro Maat C. Massolino -- -- Create Date: 05/02/2015 -- Design Name: TB_RAM -- Module Name: TB_RAM -- Project Name: Example -- Target Devices: Any -- Tool versions: -- -- Description: -- -- A simple test bench for the simple RAM -- -- Dependencies: -- VHDL-93 -- -- Revision: -- Revision 1.0 -- Additional Comments: -- -- - Modified RAM to store 40*32 bits for the round keys (Jos Wetzels & Wouter Bokslag) -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity tb_ram is Generic ( PERIOD : time := 10 ns ); end tb_ram; architecture Behavioral of tb_ram is component ram Port ( data_in : in STD_LOGIC_VECTOR(31 downto 0); rw : in STD_LOGIC; clk : in STD_LOGIC; address : in STD_LOGIC_VECTOR(7 downto 0); data_out : out STD_LOGIC_VECTOR(31 downto 0) ); end component; signal test_data_in : STD_LOGIC_VECTOR(31 downto 0); signal test_rw : STD_LOGIC; signal test_address : STD_LOGIC_VECTOR(7 downto 0); signal test_data_out : STD_LOGIC_VECTOR(31 downto 0); signal clk : STD_LOGIC := '0'; signal clk_generator_finish : STD_LOGIC := '0'; signal test_bench_finish : STD_LOGIC := '0'; begin test : ram Port Map( data_in => test_data_in, rw => test_rw, clk => clk, address => test_address, data_out => test_data_out ); clock : process begin while ( clk_generator_finish /= '1') loop clk <= not clk; wait for PERIOD/2; end loop; wait; end process; process begin wait for PERIOD/2; test_data_in <= X"03020100"; test_rw <= '1'; test_address <= X"00"; wait for PERIOD; test_data_in <= X"0b0a0908"; test_rw <= '1'; test_address <= X"01"; wait for PERIOD; test_data_in <= X"13121110"; test_rw <= '1'; test_address <= X"02"; wait for PERIOD; test_data_in <= X"1b1a1918"; test_rw <= '1'; test_address <= X"03"; wait for PERIOD; test_rw <= '0'; test_address <= X"00"; wait for PERIOD; assert test_data_out = X"03020100" report "Error in RAM" severity FAILURE; test_address <= X"01"; wait for PERIOD; assert test_data_out = X"0b0a0908" report "Error in RAM" severity FAILURE; test_address <= X"02"; wait for PERIOD; assert test_data_out = X"13121110" report "Error in RAM" severity FAILURE; test_address <= X"03"; wait for PERIOD; assert test_data_out = X"1b1a1918" report "Error in RAM" severity FAILURE; test_bench_finish <= '1'; clk_generator_finish <= '1'; wait for PERIOD; wait; end process; end Behavioral;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2300.vhd,v 1.2 2001-10-26 16:29:47 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c07s02b06x00p35n01i02300ent IS END c07s02b06x00p35n01i02300ent; ARCHITECTURE c07s02b06x00p35n01i02300arch OF c07s02b06x00p35n01i02300ent IS BEGIN TESTING: PROCESS BEGIN -- Test the predefined type TIME in this respect. assert ((1 sec / 1000.0) < 1 sec); assert ((1 ms / 1000.0) < 1 ms); assert ((1 us / 1000.0) < 1 us); wait for 5 us; assert NOT( ((1 sec / 1000.0) < 1 sec) and ((1 ms / 1000.0) < 1 ms) and ((1 us / 1000.0) < 1 us) ) report "***PASSED TEST: c07s02b06x00p35n01i02300" severity NOTE; assert ( ((1 sec / 1000.0) < 1 sec) and ((1 ms / 1000.0) < 1 ms) and ((1 us / 1000.0) < 1 us) ) report "***FAILED TEST: c07s02b06x00p35n01i02300 - Division of an predefined physical type by a real type test failed." severity ERROR; wait; END PROCESS TESTING; END c07s02b06x00p35n01i02300arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2300.vhd,v 1.2 2001-10-26 16:29:47 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c07s02b06x00p35n01i02300ent IS END c07s02b06x00p35n01i02300ent; ARCHITECTURE c07s02b06x00p35n01i02300arch OF c07s02b06x00p35n01i02300ent IS BEGIN TESTING: PROCESS BEGIN -- Test the predefined type TIME in this respect. assert ((1 sec / 1000.0) < 1 sec); assert ((1 ms / 1000.0) < 1 ms); assert ((1 us / 1000.0) < 1 us); wait for 5 us; assert NOT( ((1 sec / 1000.0) < 1 sec) and ((1 ms / 1000.0) < 1 ms) and ((1 us / 1000.0) < 1 us) ) report "***PASSED TEST: c07s02b06x00p35n01i02300" severity NOTE; assert ( ((1 sec / 1000.0) < 1 sec) and ((1 ms / 1000.0) < 1 ms) and ((1 us / 1000.0) < 1 us) ) report "***FAILED TEST: c07s02b06x00p35n01i02300 - Division of an predefined physical type by a real type test failed." severity ERROR; wait; END PROCESS TESTING; END c07s02b06x00p35n01i02300arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2300.vhd,v 1.2 2001-10-26 16:29:47 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c07s02b06x00p35n01i02300ent IS END c07s02b06x00p35n01i02300ent; ARCHITECTURE c07s02b06x00p35n01i02300arch OF c07s02b06x00p35n01i02300ent IS BEGIN TESTING: PROCESS BEGIN -- Test the predefined type TIME in this respect. assert ((1 sec / 1000.0) < 1 sec); assert ((1 ms / 1000.0) < 1 ms); assert ((1 us / 1000.0) < 1 us); wait for 5 us; assert NOT( ((1 sec / 1000.0) < 1 sec) and ((1 ms / 1000.0) < 1 ms) and ((1 us / 1000.0) < 1 us) ) report "***PASSED TEST: c07s02b06x00p35n01i02300" severity NOTE; assert ( ((1 sec / 1000.0) < 1 sec) and ((1 ms / 1000.0) < 1 ms) and ((1 us / 1000.0) < 1 us) ) report "***FAILED TEST: c07s02b06x00p35n01i02300 - Division of an predefined physical type by a real type test failed." severity ERROR; wait; END PROCESS TESTING; END c07s02b06x00p35n01i02300arch;
entity bounds21 is end entity; architecture test of bounds21 is type boolean_v is array (integer range <>) of boolean; subtype boolean_4 is boolean_v (1 to 4); subtype boolean_7 is boolean_v (1 to 7); function return_array return boolean_4 is constant l_operand : boolean_4 := (true,false,true,false); begin return l_operand; end return_array; begin l : block generic ( info : boolean_7 ); generic map ( return_array & return_array ); begin assert not(info = (true,false,true,false,true,false,true,false)) report "***passed test: c07s02b04x00p20n01i02094" severity note; assert (info = (true,false,true,false,true,false,true,false)) report "***failed test: c07s02b04x00p20n01i02094 - function array concatenation did not succeed." severity error; end block; end architecture;
architecture RTL of FIFO is begin process begin LOOP_LABEL : loop end loop; -- Violations below LOOP_LABEL : loop end loop; end process; end;
------------------------------------------------------------------------------ -- matrixmultiplier - entity/architecture pair ------------------------------------------------------------------------------ -- Filename: matrixmultiplier -- Version: 2.00.a -- Description: matrix multiplier(VHDL). -- Date: Wed June 7 16:32:00 2013 -- VHDL Standard: VHDL'93 -- Author: Achim Loesch ------------------------------------------------------------------------------ -- Feel free to modify this file. ------------------------------------------------------------------------------ library IEEE; use IEEE.STD_LOGIC_1164.ALL; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; ------------------------------------------------------------------------------ -- Entity Section ------------------------------------------------------------------------------ entity matrixmultiplier is generic ( G_LINE_LEN_MATRIX : integer := 128; G_RAM_DATA_WIDTH : integer := 32; G_RAM_SIZE_MATRIX_A_C : integer := 128; G_RAM_ADDR_WIDTH_MATRIX_A_C : integer := 7; G_RAM_SIZE_MATRIX_B : integer := 16384; G_RAM_ADDR_WIDTH_MATRIX_B : integer := 14 ); port( clk : in std_logic; reset : in std_logic; start : in std_logic; done : out std_logic; o_RAM_A_Addr : out std_logic_vector(0 to G_RAM_ADDR_WIDTH_MATRIX_A_C - 1); i_RAM_A_Data : in std_logic_vector(0 to 31); o_RAM_B_Addr : out std_logic_vector(0 to G_RAM_ADDR_WIDTH_MATRIX_B - 1); i_RAM_B_Data : in std_logic_vector(0 to 31); o_RAM_C_Addr : out std_logic_vector(0 to G_RAM_ADDR_WIDTH_MATRIX_A_C - 1); o_RAM_C_Data : out std_logic_vector(0 to 31); o_RAM_C_WE : out std_logic ); --attribute USE_DSP48 : string; --attribute USE_DSP48 of matrixmultiplier : entity is "no"; end matrixmultiplier; ------------------------------------------------------------------------------ -- Architecture Section ------------------------------------------------------------------------------ architecture Behavioral of matrixmultiplier is type STATE_TYPE is ( STATE_IDLE, STATE_LOAD, STATE_LOAD_WAIT, STATE_SUM, STATE_DELAY_1, STATE_DELAY_2, STATE_STORE, STATE_STORE_WAIT, STATE_FINISH_CYCLE ); signal state : STATE_TYPE; signal temp : std_logic_vector(0 to G_RAM_DATA_WIDTH-1); signal prod,delay : std_logic_vector(0 to G_RAM_DATA_WIDTH-1); begin multiply : process(clk, reset, start) is variable j : integer := 0; variable k : integer := 0; begin if (reset = '1') then done <= '0'; o_RAM_A_Addr <= (others=>'0'); o_RAM_B_Addr <= (others=>'0'); o_RAM_C_Addr <= (others=>'0'); o_RAM_C_Data <= (others=>'0'); o_RAM_C_WE <= '0'; state <= STATE_IDLE; elsif (clk'event and clk = '1') then o_RAM_C_WE <= '0'; o_RAM_C_Data <= (others=>'0'); case state is when STATE_IDLE => done <= '0'; if (start = '1') then j := 0; k := 0; temp <= (others=>'0'); state <= STATE_LOAD; end if; when STATE_LOAD => o_RAM_A_Addr <= conv_std_logic_vector(integer(k), G_RAM_ADDR_WIDTH_MATRIX_A_C); o_RAM_B_Addr <= conv_std_logic_vector(integer(k*G_LINE_LEN_MATRIX+j), G_RAM_ADDR_WIDTH_MATRIX_B); k := k + 1; state <= STATE_LOAD_WAIT; when STATE_LOAD_WAIT => state <= STATE_DELAY_1; when STATE_DELAY_1 => state <= STATE_DELAY_2; when STATE_DELAY_2 => state <= STATE_SUM; when STATE_SUM => temp <= temp + prod; if (k = G_LINE_LEN_MATRIX) then k := 0; state <= STATE_STORE; else state <= STATE_LOAD; end if; when STATE_STORE => o_RAM_C_Addr <= conv_std_logic_vector(integer(j), G_RAM_ADDR_WIDTH_MATRIX_A_C); o_RAM_C_WE <= '1'; o_RAM_C_Data <= temp; state <= STATE_STORE_WAIT; when STATE_STORE_WAIT => o_RAM_C_WE <= '0'; state <= STATE_FINISH_CYCLE; when STATE_FINISH_CYCLE => j := j + 1; if (j = G_LINE_LEN_MATRIX) then j := 0; done <= '1'; state <= STATE_IDLE; else temp <= (others => '0'); state <= STATE_LOAD; end if; end case; end if; end process; process (clk) begin if clk'event and clk = '1' then delay <= conv_std_logic_vector(signed(i_RAM_A_Data)*signed(i_RAM_B_Data),G_RAM_DATA_WIDTH); prod <= delay; end if; end process; end Behavioral;
------------------------------------------------------------------------------ -- matrixmultiplier - entity/architecture pair ------------------------------------------------------------------------------ -- Filename: matrixmultiplier -- Version: 2.00.a -- Description: matrix multiplier(VHDL). -- Date: Wed June 7 16:32:00 2013 -- VHDL Standard: VHDL'93 -- Author: Achim Loesch ------------------------------------------------------------------------------ -- Feel free to modify this file. ------------------------------------------------------------------------------ library IEEE; use IEEE.STD_LOGIC_1164.ALL; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; ------------------------------------------------------------------------------ -- Entity Section ------------------------------------------------------------------------------ entity matrixmultiplier is generic ( G_LINE_LEN_MATRIX : integer := 128; G_RAM_DATA_WIDTH : integer := 32; G_RAM_SIZE_MATRIX_A_C : integer := 128; G_RAM_ADDR_WIDTH_MATRIX_A_C : integer := 7; G_RAM_SIZE_MATRIX_B : integer := 16384; G_RAM_ADDR_WIDTH_MATRIX_B : integer := 14 ); port( clk : in std_logic; reset : in std_logic; start : in std_logic; done : out std_logic; o_RAM_A_Addr : out std_logic_vector(0 to G_RAM_ADDR_WIDTH_MATRIX_A_C - 1); i_RAM_A_Data : in std_logic_vector(0 to 31); o_RAM_B_Addr : out std_logic_vector(0 to G_RAM_ADDR_WIDTH_MATRIX_B - 1); i_RAM_B_Data : in std_logic_vector(0 to 31); o_RAM_C_Addr : out std_logic_vector(0 to G_RAM_ADDR_WIDTH_MATRIX_A_C - 1); o_RAM_C_Data : out std_logic_vector(0 to 31); o_RAM_C_WE : out std_logic ); --attribute USE_DSP48 : string; --attribute USE_DSP48 of matrixmultiplier : entity is "no"; end matrixmultiplier; ------------------------------------------------------------------------------ -- Architecture Section ------------------------------------------------------------------------------ architecture Behavioral of matrixmultiplier is type STATE_TYPE is ( STATE_IDLE, STATE_LOAD, STATE_LOAD_WAIT, STATE_SUM, STATE_DELAY_1, STATE_DELAY_2, STATE_STORE, STATE_STORE_WAIT, STATE_FINISH_CYCLE ); signal state : STATE_TYPE; signal temp : std_logic_vector(0 to G_RAM_DATA_WIDTH-1); signal prod,delay : std_logic_vector(0 to G_RAM_DATA_WIDTH-1); begin multiply : process(clk, reset, start) is variable j : integer := 0; variable k : integer := 0; begin if (reset = '1') then done <= '0'; o_RAM_A_Addr <= (others=>'0'); o_RAM_B_Addr <= (others=>'0'); o_RAM_C_Addr <= (others=>'0'); o_RAM_C_Data <= (others=>'0'); o_RAM_C_WE <= '0'; state <= STATE_IDLE; elsif (clk'event and clk = '1') then o_RAM_C_WE <= '0'; o_RAM_C_Data <= (others=>'0'); case state is when STATE_IDLE => done <= '0'; if (start = '1') then j := 0; k := 0; temp <= (others=>'0'); state <= STATE_LOAD; end if; when STATE_LOAD => o_RAM_A_Addr <= conv_std_logic_vector(integer(k), G_RAM_ADDR_WIDTH_MATRIX_A_C); o_RAM_B_Addr <= conv_std_logic_vector(integer(k*G_LINE_LEN_MATRIX+j), G_RAM_ADDR_WIDTH_MATRIX_B); k := k + 1; state <= STATE_LOAD_WAIT; when STATE_LOAD_WAIT => state <= STATE_DELAY_1; when STATE_DELAY_1 => state <= STATE_DELAY_2; when STATE_DELAY_2 => state <= STATE_SUM; when STATE_SUM => temp <= temp + prod; if (k = G_LINE_LEN_MATRIX) then k := 0; state <= STATE_STORE; else state <= STATE_LOAD; end if; when STATE_STORE => o_RAM_C_Addr <= conv_std_logic_vector(integer(j), G_RAM_ADDR_WIDTH_MATRIX_A_C); o_RAM_C_WE <= '1'; o_RAM_C_Data <= temp; state <= STATE_STORE_WAIT; when STATE_STORE_WAIT => o_RAM_C_WE <= '0'; state <= STATE_FINISH_CYCLE; when STATE_FINISH_CYCLE => j := j + 1; if (j = G_LINE_LEN_MATRIX) then j := 0; done <= '1'; state <= STATE_IDLE; else temp <= (others => '0'); state <= STATE_LOAD; end if; end case; end if; end process; process (clk) begin if clk'event and clk = '1' then delay <= conv_std_logic_vector(signed(i_RAM_A_Data)*signed(i_RAM_B_Data),G_RAM_DATA_WIDTH); prod <= delay; end if; end process; end Behavioral;
library IEEE; use ieee.std_logic_1164.all; entity memory_data_register is port( input : in std_logic_vector(31 downto 0); clk, rst, pre, ce : in std_logic; output : out std_logic_vector(31 downto 0) ); end memory_data_register; architecture behav of memory_data_register is begin Mem : entity work.thirty_two_bit_register(behav) port map(input, clk, rst, pre, ce, output); end behav;
------------------------------------------------------------------------------- --soft_reset.vhd v1.01a ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2006-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: soft_reset.vhd -- Version: v1_00_a -- Description: This VHDL design file is the Soft Reset Service -- ------------------------------------------------------------------------------- -- Structure: -- -- soft_reset.vhd -- -- ------------------------------------------------------------------------------- -- Author: Gary Burch -- -- History: -- GAB Aug 2, 2006 v1.00a (initial release) -- -- -- DET 1/17/2008 v3_30_a -- ~~~~~~ -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- -- Library definitions library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library unisim; use unisim.vcomponents.all; ------------------------------------------------------------------------------- entity system_xadc_wiz_0_0_soft_reset is generic ( C_SIPIF_DWIDTH : integer := 32; -- Width of the write data bus C_RESET_WIDTH : integer := 4 -- Width of triggered reset in Bus Clocks ); port ( -- Inputs From the IPIF Bus Bus2IP_Reset : in std_logic; Bus2IP_Clk : in std_logic; Bus2IP_WrCE : in std_logic; Bus2IP_Data : in std_logic_vector(0 to C_SIPIF_DWIDTH-1); Bus2IP_BE : in std_logic_vector(0 to (C_SIPIF_DWIDTH/8)-1); -- Final Device Reset Output Reset2IP_Reset : out std_logic; -- Status Reply Outputs to the Bus Reset2Bus_WrAck : out std_logic; Reset2Bus_Error : out std_logic; Reset2Bus_ToutSup : out std_logic ); end system_xadc_wiz_0_0_soft_reset ; ------------------------------------------------------------------------------- architecture implementation of system_xadc_wiz_0_0_soft_reset is ------------------------------------------------------------------------------- -- Function Declarations ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Type Declarations ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Constant Declarations ------------------------------------------------------------------------------- -- Module Software Reset screen value for write data -- This requires a Hex 'A' to be written to ativate the S/W reset port constant RESET_MATCH : std_logic_vector(0 to 3) := "1010"; -- Required BE index to be active during Reset activation constant BE_MATCH : integer := 3; ------------------------------------------------------------------------------- -- Signal Declarations ------------------------------------------------------------------------------- signal sm_reset : std_logic; signal error_reply : std_logic; signal reset_wrack : std_logic; signal reset_error : std_logic; signal reset_trig : std_logic; signal wrack : std_logic; signal wrack_ff_chain : std_logic; signal flop_q_chain : std_logic_vector(0 to C_RESET_WIDTH); --signal bus2ip_wrce_d1 : std_logic; signal data_is_non_reset_match : std_logic; signal sw_rst_cond : std_logic; signal sw_rst_cond_d1 : std_logic; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- begin -- Misc assignments Reset2Bus_WrAck <= reset_wrack; Reset2Bus_Error <= reset_error; Reset2Bus_ToutSup <= sm_reset; -- Suppress a data phase timeout when -- a commanded reset is active. reset_wrack <= (reset_error or wrack);-- and Bus2IP_WrCE; reset_error <= data_is_non_reset_match and Bus2IP_WrCE; Reset2IP_Reset <= Bus2IP_Reset or sm_reset; --------------------------------------------------------------------------------- ---- Register WRCE for use in creating a strobe pulse --------------------------------------------------------------------------------- --REG_WRCE : process(Bus2IP_Clk) -- begin -- if(Bus2IP_Clk'EVENT and Bus2IP_Clk = '1')then -- if(Bus2IP_Reset = '1')then -- bus2ip_wrce_d1 <= '0'; -- else -- bus2ip_wrce_d1 <= Bus2IP_WrCE; -- end if; -- end if; -- end process REG_WRCE; -- ------------------------------------------------------------------------------- -- Start the S/W reset state machine as a result of an IPIF Bus write to -- the Reset port and the data on the DBus inputs matching the Reset -- match value. If the value on the data bus input does not match the -- designated reset key, an error acknowledge is generated. ------------------------------------------------------------------------------- --DETECT_SW_RESET : process (Bus2IP_Clk) -- begin -- if(Bus2IP_Clk'EVENT and Bus2IP_Clk = '1') then -- if (Bus2IP_Reset = '1') then -- error_reply <= '0'; -- reset_trig <= '0'; -- elsif (Bus2IP_WrCE = '1' -- and Bus2IP_BE(BE_MATCH) = '1' -- and Bus2IP_Data(28 to 31) = RESET_MATCH) then -- error_reply <= '0'; -- reset_trig <= Bus2IP_WrCE and not bus2ip_wrce_d1; -- elsif (Bus2IP_WrCE = '1') then -- error_reply <= '1'; -- reset_trig <= '0'; -- else -- error_reply <= '0'; -- reset_trig <= '0'; -- end if; -- end if; -- end process DETECT_SW_RESET; data_is_non_reset_match <= '0' when (Bus2IP_Data(C_SIPIF_DWIDTH-4 to C_SIPIF_DWIDTH-1) = RESET_MATCH and Bus2IP_BE(BE_MATCH) = '1') else '1'; -------------------------------------------------------------------------------- -- SW Reset -------------------------------------------------------------------------------- ---------------------------------------------------------------------------- sw_rst_cond <= Bus2IP_WrCE and not data_is_non_reset_match; -- RST_PULSE_PROC : process (Bus2IP_Clk) Begin if (Bus2IP_Clk'EVENT and Bus2IP_Clk = '1') Then if (Bus2IP_Reset = '1') Then sw_rst_cond_d1 <= '0'; reset_trig <= '0'; else sw_rst_cond_d1 <= sw_rst_cond; reset_trig <= sw_rst_cond and not sw_rst_cond_d1; end if; end if; End process; ------------------------------------------------------------------------------- -- RESET_FLOPS: -- This FORGEN implements the register chain used to create -- the parameterizable reset pulse width. ------------------------------------------------------------------------------- RESET_FLOPS : for index in 0 to C_RESET_WIDTH-1 generate flop_q_chain(0) <= '0'; RST_FLOPS : FDRSE port map( Q => flop_q_chain(index+1), -- : out std_logic; C => Bus2IP_Clk, -- : in std_logic; CE => '1', -- : in std_logic; D => flop_q_chain(index), -- : in std_logic; R => Bus2IP_Reset, -- : in std_logic; S => reset_trig -- : in std_logic ); end generate RESET_FLOPS; -- Use the last flop output for the commanded reset pulse sm_reset <= flop_q_chain(C_RESET_WIDTH); wrack_ff_chain <= flop_q_chain(C_RESET_WIDTH) and not(flop_q_chain(C_RESET_WIDTH-1)); -- Register the Write Acknowledge for the Reset write -- This is generated at the end of the reset pulse. This -- keeps the Slave busy until the commanded reset completes. FF_WRACK : FDRSE port map( Q => wrack, -- : out std_logic; C => Bus2IP_Clk, -- : in std_logic; CE => '1', -- : in std_logic; D => wrack_ff_chain, -- : in std_logic; R => Bus2IP_Reset, -- : in std_logic; S => '0' -- : in std_logic ); end implementation;
-- A6500 - 6502 CPU and variants -- Copyright 2006, 2010 Retromaster -- -- This file is part of A2601. -- -- A2601 is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, either version 3 of the License, -- or any later version. -- -- A2601 is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with A2601. If not, see <http://www.gnu.org/licenses/>. library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity bcd_fixup is port(a: in unsigned(4 downto 0); o: out unsigned(4 downto 0); add: in std_logic); end bcd_fixup; architecture arch of bcd_fixup is signal add_o: unsigned(4 downto 0); signal sub_o: unsigned(4 downto 0); begin with a select add_o <= "00000" when "00000", "00001" when "00001", "00010" when "00010", "00011" when "00011", "00100" when "00100", "00101" when "00101", "00110" when "00110", "00111" when "00111", "01000" when "01000", "01001" when "01001", "10000" when "01010", "10001" when "01011", "10010" when "01100", "10011" when "01101", "10100" when "01110", "10101" when "01111", "10110" when "10000", "10111" when "10001", "11000" when "10010", "11001" when "10011", "XXXXX" when others; with a select sub_o <= "00000" when "00110", "00001" when "00111", "00010" when "01000", "00011" when "01001", "00100" when "01010", "00101" when "01011", "00110" when "01100", "00111" when "01101", "01000" when "01110", "01001" when "01111", "10000" when "10000", "10001" when "10001", "10010" when "10010", "10011" when "10011", "10100" when "10100", "10101" when "10101", "10110" when "10110", "10111" when "10111", "11000" when "11000", "11001" when "11001", "XXXXX" when others; o <= add_o when add = '1' else sub_o; end arch; library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.types.all; entity ALU is Port(a: in std_logic_vector (7 downto 0); b: in std_logic_vector (7 downto 0); o: out std_logic_vector (7 downto 0); c_in: in std_logic; c_out: out std_logic; z: out std_logic; n: out std_logic; v: out std_logic; fn: in alu_fn; bcd: in std_logic); end ALU; architecture arch of ALU is component bcd_fixup is port(a: in unsigned(4 downto 0); o: out unsigned(4 downto 0); add: in std_logic); end component bcd_fixup; signal full_sum: std_logic_vector(8 downto 0); signal sum: std_logic_vector(7 downto 0); signal res: std_logic_vector(7 downto 0); signal res_nz: std_logic_vector(7 downto 0); signal adder_b: std_logic_vector(7 downto 0); signal adder_c_in: std_logic; signal adder_c_out: std_logic; signal adder_bcd: std_logic; signal sum_lo: unsigned(4 downto 0); signal sum_hi: unsigned(4 downto 0); signal fixed_sum_lo: unsigned(4 downto 0); signal fixed_sum_hi: unsigned(4 downto 0); signal sum_bcd_lo: unsigned(4 downto 0); signal sum_bcd_hi: unsigned(4 downto 0); signal fixup_add: std_logic; begin with fn select fixup_add <= '1' when "0011", '0' when "0111", '-' when others; bcd_fixup_lo: bcd_fixup port map(sum_lo, sum_bcd_lo, fixup_add); bcd_fixup_hi: bcd_fixup port map(sum_hi, sum_bcd_hi, fixup_add); -- The adder is divided into two to get the half-carry required for BCD fixup. sum_lo <= unsigned("0" & a(3 downto 0)) + unsigned("0" & adder_b(3 downto 0)) + (unsigned'("0000") & adder_c_in); fixed_sum_lo <= sum_lo when adder_bcd = '0' else sum_bcd_lo; sum_hi <= unsigned("0" & a(7 downto 4)) + unsigned("0" & adder_b(7 downto 4)) + (unsigned'("0000") & fixed_sum_lo(4)); fixed_sum_hi <= sum_hi when adder_bcd = '0' else sum_bcd_hi; full_sum <= std_logic_vector(fixed_sum_hi & fixed_sum_lo(3 downto 0)); sum <= full_sum(7 downto 0); adder_c_out <= full_sum(8); -- The following is due to not having access to carrys from the adder v <= (a(7) xnor adder_b(7)) and (a(7) xor full_sum(7)); o <= res; res_nz <= full_sum(7 downto 0) when (adder_bcd = '1') and (bcd = '1') else res; n <= res_nz(7); z <= '1' when (res_nz = "00000000") else '0'; with fn select res <= (a or b) when "0000", -- OR (a and b) when "0001", -- AND (a xor b) when "0010", -- XOR (sum) when "0011", -- ADC (a) when "0100", -- ST(A) (b) when "0101", -- LD(A) -- b is connected to memory (sum) when "0110", -- CMP (sum) when "0111", -- SBC (a(6 downto 0) & '0') when "1000", -- ASL (a(6 downto 0) & c_in) when "1001", -- ROL ('0' & a(7 downto 1)) when "1010", -- LSR (c_in & a(7 downto 1)) when "1011", -- ROR (a) when "1100", -- ST(X) (sum) when "1101", -- ADD (sum) when "1110", -- DEC (sum) when "1111", -- INC "--------" when others; with fn select c_out <= (adder_c_out) when "0011", -- ADC (adder_c_out) when "0110", -- CMP -- CHECK (adder_c_out) when "0111", -- SBC -- CHECK (a(7)) when "1000", -- ASL (a(7)) when "1001", -- ROL (a(0)) when "1010", -- LSR (a(0)) when "1011", -- ROR (adder_c_out) when "1101", -- ADD '-' when others; with fn select adder_bcd <= (bcd) when "0011", -- ADC '0' when "0110", -- CMP (bcd) when "0111", -- SBC '0' when "1101", -- ADD '0' when "1110", -- DEC '0' when "1111", -- INC '-' when others; with fn select adder_c_in <= (c_in) when "0011", -- ADC '1' when "0110", -- CMP (c_in) when "0111", -- SBC '0' when "1101", -- ADD '0' when "1110", -- DEC '1' when "1111", -- INC '-' when others; with fn select adder_b <= (b) when "0011", -- ADC (not b) when "0110", -- CMP (not b) when "0111", -- SBC (b) when "1101", -- ADD "11111111" when "1110", -- DEC "00000000" when "1111", -- INC "--------" when others; end arch;
entity tb_dpram2w is end tb_dpram2w; library ieee; use ieee.std_logic_1164.all; architecture behav of tb_dpram2w is signal waddr : natural range 0 to 3; signal wnib : natural range 0 to 1; signal wdat : std_logic_vector (3 downto 0); signal raddr : natural range 0 to 3; signal rdat : std_logic_vector(7 downto 0); signal clk : std_logic; begin dut: entity work.dpram2w port map (waddr => waddr, wnib => wnib, wdat => wdat, raddr => raddr, rdat => rdat, clk => clk); process procedure pulse is begin clk <= '0'; wait for 1 ns; clk <= '1'; wait for 1 ns; end pulse; begin waddr <= 0; wnib <= 0; wdat <= x"0"; raddr <= 1; pulse; waddr <= 0; wnib <= 1; wdat <= x"f"; raddr <= 1; pulse; waddr <= 1; wnib <= 1; wdat <= x"e"; raddr <= 0; pulse; assert rdat = x"f0" severity failure; waddr <= 1; wnib <= 0; wdat <= x"1"; raddr <= 0; pulse; assert rdat = x"f0" severity failure; waddr <= 3; wnib <= 0; wdat <= x"3"; raddr <= 1; pulse; assert rdat = x"e1" severity failure; waddr <= 3; wnib <= 1; wdat <= x"c"; raddr <= 1; pulse; assert rdat = x"e1" severity failure; waddr <= 2; wnib <= 1; wdat <= x"d"; raddr <= 3; pulse; assert rdat = x"c3" severity failure; waddr <= 2; wnib <= 0; wdat <= x"2"; raddr <= 3; pulse; assert rdat = x"c3" severity failure; waddr <= 1; wnib <= 0; wdat <= x"1"; raddr <= 2; pulse; assert rdat = x"d2" severity failure; wait; end process; end behav;
library ieee; use ieee.std_logic_1164.all; package pkg_6502_defs is subtype t_amux is integer range 0 to 3; constant c_amux_vector : t_amux := 0; constant c_amux_addr : t_amux := 1; constant c_amux_stack : t_amux := 2; constant c_amux_pc : t_amux := 3; type t_pc_oper is (keep, increment, copy, from_alu); type t_adl_oper is (keep, increment, add_idx, load_bus, copy_dreg); type t_adh_oper is (keep, increment, clear, load_bus); type t_sp_oper is (keep, increment, decrement); type t_dout_mux is (reg_d, reg_accu, reg_axy, reg_flags, reg_pcl, reg_pch, shift_res); end;
library ieee; use ieee.std_logic_1164.all; package pkg_6502_defs is subtype t_amux is integer range 0 to 3; constant c_amux_vector : t_amux := 0; constant c_amux_addr : t_amux := 1; constant c_amux_stack : t_amux := 2; constant c_amux_pc : t_amux := 3; type t_pc_oper is (keep, increment, copy, from_alu); type t_adl_oper is (keep, increment, add_idx, load_bus, copy_dreg); type t_adh_oper is (keep, increment, clear, load_bus); type t_sp_oper is (keep, increment, decrement); type t_dout_mux is (reg_d, reg_accu, reg_axy, reg_flags, reg_pcl, reg_pch, shift_res); end;
library ieee; use ieee.std_logic_1164.all; package pkg_6502_defs is subtype t_amux is integer range 0 to 3; constant c_amux_vector : t_amux := 0; constant c_amux_addr : t_amux := 1; constant c_amux_stack : t_amux := 2; constant c_amux_pc : t_amux := 3; type t_pc_oper is (keep, increment, copy, from_alu); type t_adl_oper is (keep, increment, add_idx, load_bus, copy_dreg); type t_adh_oper is (keep, increment, clear, load_bus); type t_sp_oper is (keep, increment, decrement); type t_dout_mux is (reg_d, reg_accu, reg_axy, reg_flags, reg_pcl, reg_pch, shift_res); end;
library ieee; use ieee.std_logic_1164.all; package pkg_6502_defs is subtype t_amux is integer range 0 to 3; constant c_amux_vector : t_amux := 0; constant c_amux_addr : t_amux := 1; constant c_amux_stack : t_amux := 2; constant c_amux_pc : t_amux := 3; type t_pc_oper is (keep, increment, copy, from_alu); type t_adl_oper is (keep, increment, add_idx, load_bus, copy_dreg); type t_adh_oper is (keep, increment, clear, load_bus); type t_sp_oper is (keep, increment, decrement); type t_dout_mux is (reg_d, reg_accu, reg_axy, reg_flags, reg_pcl, reg_pch, shift_res); end;
library ieee; use ieee.std_logic_1164.all; package pkg_6502_defs is subtype t_amux is integer range 0 to 3; constant c_amux_vector : t_amux := 0; constant c_amux_addr : t_amux := 1; constant c_amux_stack : t_amux := 2; constant c_amux_pc : t_amux := 3; type t_pc_oper is (keep, increment, copy, from_alu); type t_adl_oper is (keep, increment, add_idx, load_bus, copy_dreg); type t_adh_oper is (keep, increment, clear, load_bus); type t_sp_oper is (keep, increment, decrement); type t_dout_mux is (reg_d, reg_accu, reg_axy, reg_flags, reg_pcl, reg_pch, shift_res); end;
library ieee; use ieee.std_logic_1164.all; package pkg_6502_defs is subtype t_amux is integer range 0 to 3; constant c_amux_vector : t_amux := 0; constant c_amux_addr : t_amux := 1; constant c_amux_stack : t_amux := 2; constant c_amux_pc : t_amux := 3; type t_pc_oper is (keep, increment, copy, from_alu); type t_adl_oper is (keep, increment, add_idx, load_bus, copy_dreg); type t_adh_oper is (keep, increment, clear, load_bus); type t_sp_oper is (keep, increment, decrement); type t_dout_mux is (reg_d, reg_accu, reg_axy, reg_flags, reg_pcl, reg_pch, shift_res); end;
library verilog; use verilog.vl_types.all; entity BFM_AHBL is generic( VECTFILE : string := "test.vec"; MAX_INSTRUCTIONS: integer := 16384; MAX_STACK : integer := 1024; MAX_MEMTEST : integer := 65536; TPD : integer := 1; DEBUGLEVEL : integer := -1; ARGVALUE0 : integer := 0; ARGVALUE1 : integer := 0; ARGVALUE2 : integer := 0; ARGVALUE3 : integer := 0; ARGVALUE4 : integer := 0; ARGVALUE5 : integer := 0; ARGVALUE6 : integer := 0; ARGVALUE7 : integer := 0; ARGVALUE8 : integer := 0; ARGVALUE9 : integer := 0; ARGVALUE10 : integer := 0; ARGVALUE11 : integer := 0; ARGVALUE12 : integer := 0; ARGVALUE13 : integer := 0; ARGVALUE14 : integer := 0; ARGVALUE15 : integer := 0; ARGVALUE16 : integer := 0; ARGVALUE17 : integer := 0; ARGVALUE18 : integer := 0; ARGVALUE19 : integer := 0; ARGVALUE20 : integer := 0; ARGVALUE21 : integer := 0; ARGVALUE22 : integer := 0; ARGVALUE23 : integer := 0; ARGVALUE24 : integer := 0; ARGVALUE25 : integer := 0; ARGVALUE26 : integer := 0; ARGVALUE27 : integer := 0; ARGVALUE28 : integer := 0; ARGVALUE29 : integer := 0; ARGVALUE30 : integer := 0; ARGVALUE31 : integer := 0; ARGVALUE32 : integer := 0; ARGVALUE33 : integer := 0; ARGVALUE34 : integer := 0; ARGVALUE35 : integer := 0; ARGVALUE36 : integer := 0; ARGVALUE37 : integer := 0; ARGVALUE38 : integer := 0; ARGVALUE39 : integer := 0; ARGVALUE40 : integer := 0; ARGVALUE41 : integer := 0; ARGVALUE42 : integer := 0; ARGVALUE43 : integer := 0; ARGVALUE44 : integer := 0; ARGVALUE45 : integer := 0; ARGVALUE46 : integer := 0; ARGVALUE47 : integer := 0; ARGVALUE48 : integer := 0; ARGVALUE49 : integer := 0; ARGVALUE50 : integer := 0; ARGVALUE51 : integer := 0; ARGVALUE52 : integer := 0; ARGVALUE53 : integer := 0; ARGVALUE54 : integer := 0; ARGVALUE55 : integer := 0; ARGVALUE56 : integer := 0; ARGVALUE57 : integer := 0; ARGVALUE58 : integer := 0; ARGVALUE59 : integer := 0; ARGVALUE60 : integer := 0; ARGVALUE61 : integer := 0; ARGVALUE62 : integer := 0; ARGVALUE63 : integer := 0; ARGVALUE64 : integer := 0; ARGVALUE65 : integer := 0; ARGVALUE66 : integer := 0; ARGVALUE67 : integer := 0; ARGVALUE68 : integer := 0; ARGVALUE69 : integer := 0; ARGVALUE70 : integer := 0; ARGVALUE71 : integer := 0; ARGVALUE72 : integer := 0; ARGVALUE73 : integer := 0; ARGVALUE74 : integer := 0; ARGVALUE75 : integer := 0; ARGVALUE76 : integer := 0; ARGVALUE77 : integer := 0; ARGVALUE78 : integer := 0; ARGVALUE79 : integer := 0; ARGVALUE80 : integer := 0; ARGVALUE81 : integer := 0; ARGVALUE82 : integer := 0; ARGVALUE83 : integer := 0; ARGVALUE84 : integer := 0; ARGVALUE85 : integer := 0; ARGVALUE86 : integer := 0; ARGVALUE87 : integer := 0; ARGVALUE88 : integer := 0; ARGVALUE89 : integer := 0; ARGVALUE90 : integer := 0; ARGVALUE91 : integer := 0; ARGVALUE92 : integer := 0; ARGVALUE93 : integer := 0; ARGVALUE94 : integer := 0; ARGVALUE95 : integer := 0; ARGVALUE96 : integer := 0; ARGVALUE97 : integer := 0; ARGVALUE98 : integer := 0; ARGVALUE99 : integer := 0 ); port( SYSCLK : in vl_logic; SYSRSTN : in vl_logic; HADDR : out vl_logic_vector(31 downto 0); HCLK : out vl_logic; HRESETN : out vl_logic; HBURST : out vl_logic_vector(2 downto 0); HMASTLOCK : out vl_logic; HPROT : out vl_logic_vector(3 downto 0); HSIZE : out vl_logic_vector(2 downto 0); HTRANS : out vl_logic_vector(1 downto 0); HWRITE : out vl_logic; HWDATA : out vl_logic_vector(31 downto 0); HRDATA : in vl_logic_vector(31 downto 0); HREADY : in vl_logic; HRESP : in vl_logic; HSEL : out vl_logic_vector(15 downto 0); INTERRUPT : in vl_logic_vector(255 downto 0); GP_OUT : out vl_logic_vector(31 downto 0); GP_IN : in vl_logic_vector(31 downto 0); EXT_WR : out vl_logic; EXT_RD : out vl_logic; EXT_ADDR : out vl_logic_vector(31 downto 0); EXT_DATA : inout vl_logic_vector(31 downto 0); EXT_WAIT : in vl_logic; FINISHED : out vl_logic; FAILED : out vl_logic ); attribute mti_svvh_generic_type : integer; attribute mti_svvh_generic_type of VECTFILE : constant is 1; attribute mti_svvh_generic_type of MAX_INSTRUCTIONS : constant is 1; attribute mti_svvh_generic_type of MAX_STACK : constant is 1; attribute mti_svvh_generic_type of MAX_MEMTEST : constant is 1; attribute mti_svvh_generic_type of TPD : constant is 1; attribute mti_svvh_generic_type of DEBUGLEVEL : constant is 1; attribute mti_svvh_generic_type of ARGVALUE0 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE1 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE2 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE3 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE4 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE5 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE6 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE7 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE8 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE9 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE10 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE11 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE12 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE13 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE14 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE15 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE16 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE17 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE18 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE19 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE20 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE21 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE22 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE23 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE24 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE25 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE26 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE27 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE28 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE29 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE30 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE31 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE32 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE33 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE34 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE35 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE36 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE37 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE38 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE39 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE40 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE41 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE42 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE43 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE44 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE45 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE46 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE47 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE48 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE49 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE50 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE51 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE52 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE53 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE54 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE55 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE56 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE57 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE58 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE59 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE60 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE61 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE62 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE63 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE64 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE65 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE66 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE67 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE68 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE69 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE70 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE71 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE72 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE73 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE74 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE75 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE76 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE77 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE78 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE79 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE80 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE81 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE82 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE83 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE84 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE85 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE86 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE87 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE88 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE89 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE90 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE91 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE92 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE93 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE94 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE95 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE96 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE97 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE98 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE99 : constant is 1; end BFM_AHBL;
library verilog; use verilog.vl_types.all; entity BFM_AHBL is generic( VECTFILE : string := "test.vec"; MAX_INSTRUCTIONS: integer := 16384; MAX_STACK : integer := 1024; MAX_MEMTEST : integer := 65536; TPD : integer := 1; DEBUGLEVEL : integer := -1; ARGVALUE0 : integer := 0; ARGVALUE1 : integer := 0; ARGVALUE2 : integer := 0; ARGVALUE3 : integer := 0; ARGVALUE4 : integer := 0; ARGVALUE5 : integer := 0; ARGVALUE6 : integer := 0; ARGVALUE7 : integer := 0; ARGVALUE8 : integer := 0; ARGVALUE9 : integer := 0; ARGVALUE10 : integer := 0; ARGVALUE11 : integer := 0; ARGVALUE12 : integer := 0; ARGVALUE13 : integer := 0; ARGVALUE14 : integer := 0; ARGVALUE15 : integer := 0; ARGVALUE16 : integer := 0; ARGVALUE17 : integer := 0; ARGVALUE18 : integer := 0; ARGVALUE19 : integer := 0; ARGVALUE20 : integer := 0; ARGVALUE21 : integer := 0; ARGVALUE22 : integer := 0; ARGVALUE23 : integer := 0; ARGVALUE24 : integer := 0; ARGVALUE25 : integer := 0; ARGVALUE26 : integer := 0; ARGVALUE27 : integer := 0; ARGVALUE28 : integer := 0; ARGVALUE29 : integer := 0; ARGVALUE30 : integer := 0; ARGVALUE31 : integer := 0; ARGVALUE32 : integer := 0; ARGVALUE33 : integer := 0; ARGVALUE34 : integer := 0; ARGVALUE35 : integer := 0; ARGVALUE36 : integer := 0; ARGVALUE37 : integer := 0; ARGVALUE38 : integer := 0; ARGVALUE39 : integer := 0; ARGVALUE40 : integer := 0; ARGVALUE41 : integer := 0; ARGVALUE42 : integer := 0; ARGVALUE43 : integer := 0; ARGVALUE44 : integer := 0; ARGVALUE45 : integer := 0; ARGVALUE46 : integer := 0; ARGVALUE47 : integer := 0; ARGVALUE48 : integer := 0; ARGVALUE49 : integer := 0; ARGVALUE50 : integer := 0; ARGVALUE51 : integer := 0; ARGVALUE52 : integer := 0; ARGVALUE53 : integer := 0; ARGVALUE54 : integer := 0; ARGVALUE55 : integer := 0; ARGVALUE56 : integer := 0; ARGVALUE57 : integer := 0; ARGVALUE58 : integer := 0; ARGVALUE59 : integer := 0; ARGVALUE60 : integer := 0; ARGVALUE61 : integer := 0; ARGVALUE62 : integer := 0; ARGVALUE63 : integer := 0; ARGVALUE64 : integer := 0; ARGVALUE65 : integer := 0; ARGVALUE66 : integer := 0; ARGVALUE67 : integer := 0; ARGVALUE68 : integer := 0; ARGVALUE69 : integer := 0; ARGVALUE70 : integer := 0; ARGVALUE71 : integer := 0; ARGVALUE72 : integer := 0; ARGVALUE73 : integer := 0; ARGVALUE74 : integer := 0; ARGVALUE75 : integer := 0; ARGVALUE76 : integer := 0; ARGVALUE77 : integer := 0; ARGVALUE78 : integer := 0; ARGVALUE79 : integer := 0; ARGVALUE80 : integer := 0; ARGVALUE81 : integer := 0; ARGVALUE82 : integer := 0; ARGVALUE83 : integer := 0; ARGVALUE84 : integer := 0; ARGVALUE85 : integer := 0; ARGVALUE86 : integer := 0; ARGVALUE87 : integer := 0; ARGVALUE88 : integer := 0; ARGVALUE89 : integer := 0; ARGVALUE90 : integer := 0; ARGVALUE91 : integer := 0; ARGVALUE92 : integer := 0; ARGVALUE93 : integer := 0; ARGVALUE94 : integer := 0; ARGVALUE95 : integer := 0; ARGVALUE96 : integer := 0; ARGVALUE97 : integer := 0; ARGVALUE98 : integer := 0; ARGVALUE99 : integer := 0 ); port( SYSCLK : in vl_logic; SYSRSTN : in vl_logic; HADDR : out vl_logic_vector(31 downto 0); HCLK : out vl_logic; HRESETN : out vl_logic; HBURST : out vl_logic_vector(2 downto 0); HMASTLOCK : out vl_logic; HPROT : out vl_logic_vector(3 downto 0); HSIZE : out vl_logic_vector(2 downto 0); HTRANS : out vl_logic_vector(1 downto 0); HWRITE : out vl_logic; HWDATA : out vl_logic_vector(31 downto 0); HRDATA : in vl_logic_vector(31 downto 0); HREADY : in vl_logic; HRESP : in vl_logic; HSEL : out vl_logic_vector(15 downto 0); INTERRUPT : in vl_logic_vector(255 downto 0); GP_OUT : out vl_logic_vector(31 downto 0); GP_IN : in vl_logic_vector(31 downto 0); EXT_WR : out vl_logic; EXT_RD : out vl_logic; EXT_ADDR : out vl_logic_vector(31 downto 0); EXT_DATA : inout vl_logic_vector(31 downto 0); EXT_WAIT : in vl_logic; FINISHED : out vl_logic; FAILED : out vl_logic ); attribute mti_svvh_generic_type : integer; attribute mti_svvh_generic_type of VECTFILE : constant is 1; attribute mti_svvh_generic_type of MAX_INSTRUCTIONS : constant is 1; attribute mti_svvh_generic_type of MAX_STACK : constant is 1; attribute mti_svvh_generic_type of MAX_MEMTEST : constant is 1; attribute mti_svvh_generic_type of TPD : constant is 1; attribute mti_svvh_generic_type of DEBUGLEVEL : constant is 1; attribute mti_svvh_generic_type of ARGVALUE0 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE1 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE2 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE3 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE4 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE5 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE6 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE7 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE8 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE9 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE10 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE11 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE12 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE13 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE14 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE15 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE16 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE17 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE18 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE19 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE20 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE21 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE22 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE23 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE24 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE25 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE26 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE27 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE28 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE29 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE30 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE31 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE32 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE33 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE34 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE35 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE36 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE37 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE38 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE39 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE40 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE41 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE42 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE43 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE44 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE45 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE46 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE47 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE48 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE49 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE50 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE51 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE52 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE53 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE54 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE55 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE56 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE57 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE58 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE59 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE60 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE61 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE62 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE63 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE64 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE65 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE66 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE67 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE68 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE69 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE70 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE71 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE72 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE73 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE74 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE75 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE76 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE77 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE78 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE79 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE80 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE81 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE82 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE83 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE84 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE85 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE86 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE87 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE88 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE89 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE90 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE91 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE92 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE93 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE94 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE95 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE96 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE97 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE98 : constant is 1; attribute mti_svvh_generic_type of ARGVALUE99 : constant is 1; end BFM_AHBL;
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2014.4 -- Copyright (C) 2014 Xilinx Inc. All rights reserved. -- -- ============================================================== library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_unsigned.all; entity FIFO_image_filter_p_src_rows_V_2_loc_channel1_shiftReg is generic ( DATA_WIDTH : integer := 12; ADDR_WIDTH : integer := 2; DEPTH : integer := 3); port ( clk : in std_logic; data : in std_logic_vector(DATA_WIDTH-1 downto 0); ce : in std_logic; a : in std_logic_vector(ADDR_WIDTH-1 downto 0); q : out std_logic_vector(DATA_WIDTH-1 downto 0)); end FIFO_image_filter_p_src_rows_V_2_loc_channel1_shiftReg; architecture rtl of FIFO_image_filter_p_src_rows_V_2_loc_channel1_shiftReg is --constant DEPTH_WIDTH: integer := 16; type SRL_ARRAY is array (0 to DEPTH-1) of std_logic_vector(DATA_WIDTH-1 downto 0); signal SRL_SIG : SRL_ARRAY; begin p_shift: process (clk) begin if (clk'event and clk = '1') then if (ce = '1') then SRL_SIG <= data & SRL_SIG(0 to DEPTH-2); end if; end if; end process; q <= SRL_SIG(conv_integer(a)); end rtl; library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity FIFO_image_filter_p_src_rows_V_2_loc_channel1 is generic ( MEM_STYLE : string := "shiftreg"; DATA_WIDTH : integer := 12; ADDR_WIDTH : integer := 2; DEPTH : integer := 3); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; if_empty_n : OUT STD_LOGIC; if_read_ce : IN STD_LOGIC; if_read : IN STD_LOGIC; if_dout : OUT STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); if_full_n : OUT STD_LOGIC; if_write_ce : IN STD_LOGIC; if_write : IN STD_LOGIC; if_din : IN STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0)); end entity; architecture rtl of FIFO_image_filter_p_src_rows_V_2_loc_channel1 is component FIFO_image_filter_p_src_rows_V_2_loc_channel1_shiftReg is generic ( DATA_WIDTH : integer := 12; ADDR_WIDTH : integer := 2; DEPTH : integer := 3); port ( clk : in std_logic; data : in std_logic_vector(DATA_WIDTH-1 downto 0); ce : in std_logic; a : in std_logic_vector(ADDR_WIDTH-1 downto 0); q : out std_logic_vector(DATA_WIDTH-1 downto 0)); end component; signal shiftReg_addr : STD_LOGIC_VECTOR(ADDR_WIDTH - 1 downto 0); signal shiftReg_data, shiftReg_q : STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); signal shiftReg_ce : STD_LOGIC; signal mOutPtr : STD_LOGIC_VECTOR(ADDR_WIDTH downto 0) := (others => '1'); signal internal_empty_n : STD_LOGIC := '0'; signal internal_full_n : STD_LOGIC := '1'; begin if_empty_n <= internal_empty_n; if_full_n <= internal_full_n; shiftReg_data <= if_din; if_dout <= shiftReg_q; process (clk) begin if clk'event and clk = '1' then if reset = '1' then mOutPtr <= (others => '1'); internal_empty_n <= '0'; internal_full_n <= '1'; else if ((if_read and if_read_ce) = '1' and internal_empty_n = '1') and ((if_write and if_write_ce) = '0' or internal_full_n = '0') then mOutPtr <= mOutPtr -1; if (mOutPtr = 0) then internal_empty_n <= '0'; end if; internal_full_n <= '1'; elsif ((if_read and if_read_ce) = '0' or internal_empty_n = '0') and ((if_write and if_write_ce) = '1' and internal_full_n = '1') then mOutPtr <= mOutPtr +1; internal_empty_n <= '1'; if (mOutPtr = DEPTH -2) then internal_full_n <= '0'; end if; end if; end if; end if; end process; shiftReg_addr <= (others => '0') when mOutPtr(ADDR_WIDTH) = '1' else mOutPtr(ADDR_WIDTH-1 downto 0); shiftReg_ce <= (if_write and if_write_ce) and internal_full_n; U_FIFO_image_filter_p_src_rows_V_2_loc_channel1_shiftReg : FIFO_image_filter_p_src_rows_V_2_loc_channel1_shiftReg generic map ( DATA_WIDTH => DATA_WIDTH, ADDR_WIDTH => ADDR_WIDTH, DEPTH => DEPTH) port map ( clk => clk, data => shiftReg_data, ce => shiftReg_ce, a => shiftReg_addr, q => shiftReg_q); end rtl;
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2014.4 -- Copyright (C) 2014 Xilinx Inc. All rights reserved. -- -- ============================================================== library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_unsigned.all; entity FIFO_image_filter_p_src_rows_V_2_loc_channel1_shiftReg is generic ( DATA_WIDTH : integer := 12; ADDR_WIDTH : integer := 2; DEPTH : integer := 3); port ( clk : in std_logic; data : in std_logic_vector(DATA_WIDTH-1 downto 0); ce : in std_logic; a : in std_logic_vector(ADDR_WIDTH-1 downto 0); q : out std_logic_vector(DATA_WIDTH-1 downto 0)); end FIFO_image_filter_p_src_rows_V_2_loc_channel1_shiftReg; architecture rtl of FIFO_image_filter_p_src_rows_V_2_loc_channel1_shiftReg is --constant DEPTH_WIDTH: integer := 16; type SRL_ARRAY is array (0 to DEPTH-1) of std_logic_vector(DATA_WIDTH-1 downto 0); signal SRL_SIG : SRL_ARRAY; begin p_shift: process (clk) begin if (clk'event and clk = '1') then if (ce = '1') then SRL_SIG <= data & SRL_SIG(0 to DEPTH-2); end if; end if; end process; q <= SRL_SIG(conv_integer(a)); end rtl; library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity FIFO_image_filter_p_src_rows_V_2_loc_channel1 is generic ( MEM_STYLE : string := "shiftreg"; DATA_WIDTH : integer := 12; ADDR_WIDTH : integer := 2; DEPTH : integer := 3); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; if_empty_n : OUT STD_LOGIC; if_read_ce : IN STD_LOGIC; if_read : IN STD_LOGIC; if_dout : OUT STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); if_full_n : OUT STD_LOGIC; if_write_ce : IN STD_LOGIC; if_write : IN STD_LOGIC; if_din : IN STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0)); end entity; architecture rtl of FIFO_image_filter_p_src_rows_V_2_loc_channel1 is component FIFO_image_filter_p_src_rows_V_2_loc_channel1_shiftReg is generic ( DATA_WIDTH : integer := 12; ADDR_WIDTH : integer := 2; DEPTH : integer := 3); port ( clk : in std_logic; data : in std_logic_vector(DATA_WIDTH-1 downto 0); ce : in std_logic; a : in std_logic_vector(ADDR_WIDTH-1 downto 0); q : out std_logic_vector(DATA_WIDTH-1 downto 0)); end component; signal shiftReg_addr : STD_LOGIC_VECTOR(ADDR_WIDTH - 1 downto 0); signal shiftReg_data, shiftReg_q : STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); signal shiftReg_ce : STD_LOGIC; signal mOutPtr : STD_LOGIC_VECTOR(ADDR_WIDTH downto 0) := (others => '1'); signal internal_empty_n : STD_LOGIC := '0'; signal internal_full_n : STD_LOGIC := '1'; begin if_empty_n <= internal_empty_n; if_full_n <= internal_full_n; shiftReg_data <= if_din; if_dout <= shiftReg_q; process (clk) begin if clk'event and clk = '1' then if reset = '1' then mOutPtr <= (others => '1'); internal_empty_n <= '0'; internal_full_n <= '1'; else if ((if_read and if_read_ce) = '1' and internal_empty_n = '1') and ((if_write and if_write_ce) = '0' or internal_full_n = '0') then mOutPtr <= mOutPtr -1; if (mOutPtr = 0) then internal_empty_n <= '0'; end if; internal_full_n <= '1'; elsif ((if_read and if_read_ce) = '0' or internal_empty_n = '0') and ((if_write and if_write_ce) = '1' and internal_full_n = '1') then mOutPtr <= mOutPtr +1; internal_empty_n <= '1'; if (mOutPtr = DEPTH -2) then internal_full_n <= '0'; end if; end if; end if; end if; end process; shiftReg_addr <= (others => '0') when mOutPtr(ADDR_WIDTH) = '1' else mOutPtr(ADDR_WIDTH-1 downto 0); shiftReg_ce <= (if_write and if_write_ce) and internal_full_n; U_FIFO_image_filter_p_src_rows_V_2_loc_channel1_shiftReg : FIFO_image_filter_p_src_rows_V_2_loc_channel1_shiftReg generic map ( DATA_WIDTH => DATA_WIDTH, ADDR_WIDTH => ADDR_WIDTH, DEPTH => DEPTH) port map ( clk => clk, data => shiftReg_data, ce => shiftReg_ce, a => shiftReg_addr, q => shiftReg_q); end rtl;
---------------------------------------------------------- -- ECE403 Lab3 Sorter Toplevel - 4 4-bit unsigned sorter -- Jiawei Wu -- Satyen Akolkar -- Top level: sorter_top.vhd -- Maps the multiplier core to the input and output pads ---------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY sorter_top IS Port ( padA, padB, padC, padD : IN STD_LOGIC_VECTOR(3 downto 0); padClk : IN STD_LOGIC; padS1reg, padS2reg, padS3reg, padS4reg : OUT STD_LOGIC_VECTOR(3 downto 0) ); END sorter_top; ARCHITECTURE Structure of sorter_top IS component sorter IS Port ( Clk : IN STD_LOGIC; A : IN STD_LOGIC_VECTOR(3 downto 0); B : IN STD_LOGIC_VECTOR(3 downto 0); C : IN STD_LOGIC_VECTOR(3 downto 0); D : IN STD_LOGIC_VECTOR(3 downto 0); S1reg : OUT STD_LOGIC_VECTOR(3 downto 0); S2reg : OUT STD_LOGIC_VECTOR(3 downto 0); S3reg : OUT STD_LOGIC_VECTOR(3 downto 0); S4reg : OUT STD_LOGIC_VECTOR(3 downto 0) ); END component; component PDO12CDG port(A : in std_logic; PAD : out std_logic); end component; component PDUDGZ port(Y : out std_logic; PAD : in std_logic); end component; signal A, B, C, D : STD_LOGIC_VECTOR(3 downto 0); signal S1reg, S2reg, S3reg, S4reg : STD_LOGIC_VECTOR(3 downto 0); signal clk : STD_LOGIC; begin -- Input A pad mapping padAGen: for i in 3 downto 0 generate begin inpA: PDUDGZ port map (Y => A(i), PAD => padA(i)); end generate; -- Input B pad mapping padBGen: for i in 3 downto 0 generate begin inpB: PDUDGZ port map (Y => B(i), PAD => padB(i)); end generate; -- Input C pad mapping padCGen: for i in 3 downto 0 generate begin inpC: PDUDGZ port map (Y => C(i), PAD => padC(i)); end generate; -- Input D pad mapping padDGen: for i in 3 downto 0 generate begin inpD: PDUDGZ port map (Y => D(i), PAD => padD(i)); end generate; -- Output result pad mapping padS1Gen: for i in 3 downto 0 generate begin opResS1: PDO12CDG port map (PAD => padS1reg(i), A => S1reg(i)); end generate; padS2Gen: for i in 3 downto 0 generate begin opResS2: PDO12CDG port map (PAD => padS2reg(i), A => S2reg(i)); end generate; padS3Gen: for i in 3 downto 0 generate begin opResS3: PDO12CDG port map (PAD => padS3reg(i), A => S3reg(i)); end generate; padS4Gen: for i in 3 downto 0 generate begin opResS4: PDO12CDG port map (PAD => padS4reg(i), A => S4reg(i)); end generate; -- Misc pad mapping padClkG: PDUDGZ port map (Y => Clk, PAD => padClk); -- Sorter mapping coreG: sorter port map (Clk => Clk, A => A, B => B, C => C, D => D, S1reg => S1reg, S2reg => S2reg, S3reg => S3reg, S4reg => S4reg); END Structure;
-- file: clk_182.vhd -- -- (c) Copyright 2008 - 2013 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- ------------------------------------------------------------------------------ -- User entered comments ------------------------------------------------------------------------------ -- None -- ------------------------------------------------------------------------------ -- Output Output Phase Duty Cycle Pk-to-Pk Phase -- Clock Freq (MHz) (degrees) (%) Jitter (ps) Error (ps) ------------------------------------------------------------------------------ -- CLK_OUT1___182.500______0.000______50.0______121.506____104.359 -- ------------------------------------------------------------------------------ -- Input Clock Freq (MHz) Input Jitter (UI) ------------------------------------------------------------------------------ -- __primary_________100.000____________0.010 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; use ieee.numeric_std.all; library unisim; use unisim.vcomponents.all; entity clk_182 is port (-- Clock in ports clk_200MHz : in std_logic; -- Clock out ports clk_182MHz : out std_logic; -- Status and control signals locked : out std_logic ); end clk_182; architecture xilinx of clk_182 is attribute CORE_GENERATION_INFO : string; attribute CORE_GENERATION_INFO of xilinx : architecture is "clk_182,clk_wiz_v5_1,{component_name=clk_182,use_phase_alignment=true,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,enable_axi=0,feedback_source=FDBK_AUTO,PRIMITIVE=MMCM,num_out_clk=1,clkin1_period=10.0,clkin2_period=10.0,use_power_down=false,use_reset=false,use_locked=true,use_inclk_stopped=false,feedback_type=SINGLE,CLOCK_MGR_TYPE=NA,manual_override=false}"; component clk_182_clk_wiz port (-- Clock in ports clk_200MHz : in std_logic; -- Clock out ports clk_182MHz : out std_logic; -- Status and control signals locked : out std_logic ); end component; begin U0: clk_182_clk_wiz port map ( -- Clock in ports clk_200MHz => clk_200MHz, -- Clock out ports clk_182MHz => clk_182MHz, -- Status and control signals locked => locked ); end xilinx;
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Tb4//Di0thu0ndDXiD1EZAjXW+dggqQr+K8r8OwpLz1aEq/Hxj5XRaJ4B7/wjMenOrnsD6t0vTGh Xt0XEDjeXQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block kSrZDeaxuREa0DUih4yuKYn/X+6u3DE832T/UJPAow1DD3fGNFCB50zKGtF6U7hKlrGB/bBwCgtc GvylREBJOPsksiw4pl+9/dvADRNjL6zQt0EP/muhb5oTnpIbSDpfRpfMhZ5Ge6xePADUScjvmEhl pT4XTK5MX6VlyzSfJt0= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block bLEbMQyL7n47zrfuVbph6qdR2tKuBpBgSgEPQ+Kp2dqpgnxtkQUCKaiHzMskTIB5imN4X/MjXkpe q29YzcR0ekMLpleEsPIYPySxBzFfEN1W2kA1f76e9udkb7Kmr1sjtdQosnbH1f2YaYk/ph7tGIR+ wBy9QcERkzOn0cdMvyZgpoi18Y9+tL0zXxZNF0EzlOI893T/s0RgidZvWi27fb+lXjHlQJE7gKqw 8a8QT1XoKSmJYjgtJvypwwriv9on0jKsPoFMFmGxwkfeDmNYOLCy2doiMD7TAU9l2hcxwz3TB2+6 9NpcwIHxFzSn1oLL+Rq4IJeobgNG9ugWAXE6ng== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block C2S7TSEWWzRHhtmVyEnFWL8o0V3lK/cOS2zsyqxdnFhC6apja+BV51fJiU5lp5k8D/0JCm8Szikf GnVwp3BGPHq4Qam3l+WYMCsfWmI/JKjHT/LN/PXuooDfuX7bReyhNUqmndX8Zwxymva12LWaz0dM Z6y8kjyhicNcjhQMFbk= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block LfVE57PY89RfOE2/uEzSNZQkSi5GtpOe2B+5uwZTuAVrEqGR8u8CelUdIJCFXBlUFifWyRF3Y8de x5CWIDvOkUOJj2F6WqNTC7lhymhQ1nsCkBY1pGjyRHk2abSO8la2EX1USiLozr/2ubNU1tDAqyig lqp9ZSCqeFZRzipRBKUqqbIkOXo7abosXih/T/a6AdGlgF51Uby8hoZ3lhqTBImtCZQCeJAnc+3A UQmymYtB9dHSITo9c3yWXTYuqIS6wjHFD6y4MUHnMg6B8vuzPP8VTCYOlxmlQu94Ai3MSDHbiKcH LAOF6XB8VW0hUmK1fSMx0OTwVCDIWzEmeFbnBA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 221248) `protect data_block le0JNHFXKCFWx4ExbGDzw9RYMg5QRTAfPwMXAT9zSZXIfZ7W4XUFq+X5q3J6KViIYNGr9Xp0yVlL jnKkVRF2m843rhlnoeQRApekTr2zi+PiD+LzIYPDMxo4R+kvBMdg/Hnundk/h2drbL4l7g8u9lPy KJ3Wd89SGxgwkVA6HaxBBs1eQOujlATMbQ0qt4BeVmsYDWg5IY0CuneGrgPFXqgVfSCn4E2P0pdW tdyOWpaFrvyV3mtMhP8YLlZq6mA4uSKL6L+Rip7aX22iP2WNmEXbML89u6ERcg90bp6NutYRSmdM SiXlvuSodFrARRZd34Zjm5rUYK+svz08XNfNoljuKXCrDbwZbZylrAhNkpL0PnQKnIcnSALLMpRq HftgOc3zVwdvMUfTpwP3a75L00qYsC98gCnOfBj+px8uQpPyuuVklNVHqTB9Nfqo1bPS8yq9qLQB 91ia5XqSEU80LjJOy8QWVppq/Ip9O4wHGcPA7OzSC3t5bU1lrc+mZRhn94Le4UdeuQ1YTku6R/aG DtAG77vKXQg7OqUBwIJZTiz/x7Cppvk4ZnEL2yj8YZ5LhmFBFkXlZh0LB0Os9m32WG0j02Q4suy/ LOqW/QTQ0+IKfujem9GgbCWroP3m1BeTDEX8un8AlN7CHODlrGHzSNMqhPC7Eu05P2br9bLEAI92 Lu3xPyHZbmdUFQArEvAigC5hI8LVtoQPN82C8qkJR7fTrULWGkFTfxcnz6ATFgdacu6eJNmPxfPe jKiDhEGiB41x0UzYU6FGA4HGnT1tNDPiB9c87FrEmrhtESLofQQttZkwnL0n4LWNc5WT0xN5Z73U +7dDGVhJ/1D367IaoKzwwT4HFVl03UZheSCTp7yTlUC5QJPrB9uWdR2QuL+xZHpkF+YdOsdH4J9t MZM0eYMwqpEQPzdF35NVbCwal7F8TvjUkY6JRYIpCVKCvuqP9UQUuA6uLCrUbziMQttVibY+4WbS /eZwnMACHhr+wuhaz2MOybAFfd/D0gCdgOcY4kAuI09PSa9lLuRhotw2Z0bfaG7JoINJ4L+m5S1E twFHIkcclEuA8xIgodfjg5IkNkLehWNv9GTz+QgeQ+S/z/DAE4grA999iQfWU1WHCQuC9A80IhF/ elu7U0QSm6NMIqEqlP2vFgUaU90fhzNJM9gg0oGqXv/CvQ57ShNPvGZSR7idjiJnXeLVp91y+P1b xujjy0rnabMoX6+afYnzpuQ/j1utlBV1tLPkkR8SDX2RhecTKKF5Oa6ZlVTz6nSnb4sefaMWUQG2 kbkNjbe1YSDFjK2RptZEXyPiEjf/ISxC4nDP3UxBTBNL6rpb4MYan1QNbL8UmRs3QNYUqNo7K9kG 3/VG24MCJ8wWGj+fGbXjfbPWdHpyiLhNyuE5PREb1hEg4D+SeXb+1UWjd/V09BVUdjaB/2+/CZQr XW07NJUsb4DRjqpTr7XFAZIJHjEj2Sz7siJnZ3+ilI3D63GaBJZwTuW+5xKnxWWagcIloC850Sm/ xXRUpdKjoDAcNfBRsG2jxHmDm+MBuWAXfFYu4T31uRGT4OtVlPBALIk43X+xE/1KESz8Qjp97HGf 3lCXaGjHxXaHUB1CkxuAA5IyfruowGlhIuFF47+MlRtDOEJabCFtoK7TXkAyAWH5qB3Df4ogvEjO 5fBUNcCA6Q6meIWQqSoelRUu+PXyeX3PxJEj3lnFGe7A6H0J/PP2sK9RLhtNgw8twyFMABMfOZL7 LCSUusli/4iRcgHQ+4qRmfCw+p7HCI3zhOvaRD8enRRfoqZOZNwcm7aIlI0cq82hB3TxKUfaBwmV A0BByGsuyzh79BCijwJuXapwK5xLxLku4EX54MdFtmsvag8Kk70JmjLq1kMeTGp1U3NKpgBKx1lD F6BA23elwiPIsVaaYsk/TTIS7Zfy74v2J/wI8crQRrRgxKqWKYGKNL1AXU04KT9wdWHSQM6hMu+S lHFLtCWAukjYYIufb3X9WLkfRblNiWSMm5W2Kb7nnHqtTDFTi8XYbgU2pnArHuex4pH7DpGv8kr3 4uDfVeINHdk5uisqFJv5dP1rFHLbWKdOUzntLGGJK0mFbL6HZGoOR/QZvLU8srXuX+FbLUAfp17Y sspWLtJ2McptHy6RpDfqwZDCUE0iLPLBv0uhq1vupOXdyhVvytKeYHHXITDxg+jxHXcXZEUN/ysv VlWA4n6iPUbA1fmhfZtCfxfC73F7hCmMxjPPYxEfz8xoiktUAvJ7ShexEs9tXv6C349SG7oT91xF RB942dXfTWOdVKTr9k1uXTdx8PU38NAuanpBlGIOrhm4EY26XRE6Pp6KZWsUOpmmgOR1u8bpSOpu MUyKLCkMSHG1+G+qVeShHNcYs2mpFI1j6iZIEE1S/GSXrJny4fYEgsx3oKCDKhmou/y2iokbHjrp lU0fz2SL7YiLV7c/GV0HXCSVeLl8aeS0HMtHp564P4i1PAqkcgAIjarJh6OvWXq4QhNY3GTlztn1 CYx1ZbcrM+tzxQSJJsFDCnmG8OaI7Y2o9UOf162p/p5zk1jzUW99s/f/z76zvJVD8DiCoImnl4HZ Y7lO1gsblHVNgdNAtZ3F5sBVo40VlYza9pVN0vN0siYxVNFwuNkf9DlvIKgQz1cKcKw42KPYwQqo 6YHZ7HEGnwHxMVuRurTmtKmTExhzEyRpiaGhH0kuczvPn7lqzxUPdhIQWTtRThsnsE9+RtynT/v1 b8ZZJgEA3r2FT+mBjFCyZmhwvaKcJSMlfB9COZyOVAc/QEZylHfGrf5LlQr3cprQ73koCZdWVtB+ GVg3wsE+H7PCgGVOjhMRsFZKsKhGBTxoCyJdukt3QRDxVE4p1NpK5P+izOp5pADRSiGuPwK+Sko6 HW7D/yuM5pmzsGCHW8PuPnf6ZPr2yStUZJm6VeAr0lPYClAskx27/BWXz0Za4yWNotY8d9qAQLGd vq7eGbhiTLqieRzdOt2q7j6Xd73PIwixwj5Vucy3iGMc/Grz2VrAZ19BZG/U4/duAXUr0x1QH3qp 5EFUbDC07UU1wPJQ/lCYM7kwZ1kOjQgswsUXCH/8jYqKeFp971cx0IpIMmD5VB5IyOKS7x0I4oC4 oUAB0HX13orxFZ3GKhjelsfdd6TeLjeodef+TRC6r0HLtXJQoaneYN8qldar12LhcFvmb+BHW6Ax T2tRSmqmYOvrlytNctOPwYbKbvLaZms49wEPs+9qscj0ybNJjdMKAV4lOTCAFwYGwa8KYzdcB767 uHB+CwSbpglyaL7Lqw34JH/w3DTP1KWM0nrxMUWB2Zdmb2qzU5dL2EjL/sHAheNwQ5EgGFMGcoDI gyCUShQcSdfKedH/NZXVuFvKmy5VO4hxMiZ1gowb7KX3UaJLVBBxZyRZmUlDDUc7fRtCOSPtykiC 1klh5i12jf+pDboh8Bm3iZgqdga6PSU+T9o5IFon4zMZd6fwJy49k0YpJ887YU5yAGOlcxdA4sk3 PdQ3SKbU9MY0zH1f8wv4URGBYyrAAV4xVnK4VIbzMylOytzHKWi0BqpX6zIOPKQ1T+REJrjnj9rv WuxlZ++IlCm8a9fnyKPL4dkaM1rYF9Zxmg0xj9vVlh/0IaP0mrRaYV4H0/WI0aOMOoMl+JUWRpfN /4kGAV9znc5QW9pevc79sl6psk+lc2DQe17bF+VVY/KoNTMcaOy4kD9OxGSQOBVPsXm3nG/E/DtF qHDSk/yZHxwClJ7TXWxKotXnsJw/9zt8XykT82Vm78lqFTMyF24NohPaoYE4EKRAZheBBHe8o9AQ DJ+wUuw1QARL/ZOZBVJ+jT79NB/RBZrmEXyKughiIkltYa/FCFmPTHV/ZWAr/W+fM5Ew3GnrA8I7 oeARyuRoWgxiNz2mUC5RRFbMlVhTzIExmc1ScS8yU6njaMtAlrBM0EY/WeUyu3oDTYEhtiNox9Uq scYivXfqKI/mHNeMuJoLA7EMVfDAHaoHeAL2MIHOcMdKYjOFA8jizEbJMxGPXdjNTq24fYGMWweA sx0DvhYt1fZHVUFtgrFZqEhLr9ao0p+e2EFSgVGR5tm/KzYXlSW4uWDzynEn8uezidSYY2WO73PA AIaiZ+is0riAI0TnNJ0UEgf22yoOvh7sCJsEMrkI250nGxxySuVmkVGdwMXFMuF03MY3Iv+L9hBM vGBd4TPBtGLodEAsQLjljO/Ln+T2tps4Y12cMFUienDY8JXIG33DEz2jTIIBx+ZXTkT03pVGrj7w c8AexyebpQaoZ7lQm5A8UjUckO3VQKkn+iNyMSO2E+N/Agh8QxL2IcM/ADRYlQ7fQa02LxuAppt+ cRW86xDh74h7GPkzWrOJFryxQJU5vMVN9aAcPeYdr8ySxDwT9y3jC/2GdCH2/K44Q7q/no0IcU4e cal9FF1gqfWijsr0O7vCQEQK+pmipP9XNbK9aVUaUGFpdxlhTNE2zyumc8yOTPEigF55sG/r4gYk nwYpqE9QOpC2n35vfa+8jmZsl8+LRV1ClMaRg68XHLPeJSY3TRsl9H42n4MvNcjIgztjyG0mgcq3 BxgkHZO+OBMCNYki6b/HUH9ZhIpIsvGLnUzrBGrRkZaQJ20Yht69H5iw7I5XtHe2DOSz3P+g+qEx EpDkw1sFRyWg5GDse+rGqcyp9334f6S7m9DUdttZFDgik4yoiK65Q6h+QIWxHsxV5OarhfaZDp0a sEd5XP/Xl3eueqKIsgK4zNfUAOrA/GPLABZKlvbSf3GNXKbmFjW8V+HFQAs/X24Mg8y8FqgxPn73 kuPGw/TSMwyi4GZ9bkJWvTn+9GuPya+mjk5oW1QOevgfAWAkna6y+yD8DrEIr/d/7N/gahNPR6zt Xwcq8wy6dp9wUqdbgm/eBsXdcuOPddLPop0XAxomP/MiqkJBbKDvUk+SoSGz+ltSsQ6alxJVYbEq iGJo/aCUaAewDzxyLSFnwUS48/1UMGE/GBlvaqLyh8QWrtRVSrpVfCdRbwMlG7gPoCX37y9ZLeHZ vjPoigV5/04lzBHU3gR96cMXZiIuNKFUl05GqDrOWwzlX7HTlLu34V0T3AeoS8yaln+XLCiHw3QL FL1a8sx3P9p3TREqXGkkUWC1GAJcCOXDs7+FG7ZtvNSiAZUouTwbGdKutAm3gWERcNBW5UKCGUEP V+tIcRLrYwv1hLFhZi2MgM1Fzp5PYRzOflPuspcwveWTtRAdcGA9J6fOXhzrMyk98kIptVnuVT0r FqWkkiDAlxx6dv3UdqVzru6a6dJIX0mF+nKM6vfBKaAvDthXuZhwR05J7fSZmAgZ7FShpYZdPpto IYRzOXDmK4+RVbJWW3OP5RYt/L22IJZl4Tb99FySzZApCsG2eFa/+19zY4B+/7z6ZHaHBzFbvoei 22oKoROvfAi3DKZbsBmru2W1/QV7IDk7X/pLCrej/ndIp7ecMAOtYux/ivqhv/HHtQxs6q5sc8/E dotStqCiuGcJ3MtmTV5g2run2Ew5jqJma6yGtQ8jatqjnvcVV5Ww07vKFKVMRvoP1c5WuRvte03z d9pZqPcy38HiN/sNGGCvIYFxZfqlnUob+l79A7pbZdV+iFiENDTzIbopYwtH81elrdXheOut8Umk bpWJpmpdss9Tn4/e3Ouaa4MHoDlCZeqC0YDVwSC46BK13ofuJlRsay36gOsonN2L8JbReWp1G9Vb nbVNWfNGzfI5Ph39v4BqHWTHmkOqfZyeWLXvi4N4W7zFc/AtzjghEE2WpI2qCai3OkWCX53IV6rU Of/7+7+m+DZ8ZgY8YHOSRh09FiuiZyqdyNqk613EslZEGq9I6+Vc9+foqPJy4WQMrB4t9DIJIXL1 YTyJufPDQeZ6v7sdro+gj754YwqxLwUBp+iGT9vyBKwVM5Y2AXJb8qGaQUUrtc5UBPBeOE+ujWqD i/S1XiDSnbcI0z9AjGSNfzwZ7fXJ9kZ3zeZWOA3GUBJ6VnSJMw+40agvksY8R3aM0x2VYm9t4adY soq8l6CnYOp30AtFx37IhQX4jdd2ymsm+hdDh62F5LLcx07dT+94/38BHiyF3uSz/0Ju6jNXBqpy IYrjastoruBjCarRUq75LguowvPDilITxFe6lSbRDeSmdUqX7HeAVQWkLcGQCdesdINTYohhL8sT LuWsXAUylaOwGcj5C6oZNkkaVWC9u3Pdgs5UgcoqCXY4uZF36Xcj70yAMcEjZx9f5prrok6JxffQ E0GHekv3VYrs8n4DltpVN7Hf0sB9ddu2adjhX8i3uvyiVcudtpZnLRvFcTEy8fcBNgng7+1mgPew 1KAosXeUTd5H9t+qtXBQ3Cpj6v0eLXV/jBJ60NpPFGLuCTWks3CVROQCaVoyDhMmr60JigPNuo7j i8cOrijMf9+te6MzWJbFHzRUM6e7e4smDRmMOPowr7w5suKvabRCDtBHjWRxr+JY0IH3kQkbLV/h aBPgu1Usw3gTGYyTZZ61ITzNiFgRDFDDyfg6Xq9jH1Zgd50i8h7AvA2XdHk5K7CaQ62OkkrnyxHL 6m9RiPYoRvzmK54erT3xd5aRnoHwZ24cH95ecHUk7oQ2E8M7YkpaS6syR1iQo0GE8VWHqnnCUyi9 CsfgckJa+ASmnW678MPRSzdL7Tukjdimk1ugIr7oYt31I0+Y4K0xaQ+sX5gKTUxzFrbTjoShqT6x QjWXr4Bx1/yc7GKhsnc7NtTbGYm/WlJVINiTOSTgoWgnsKOpiMjA+F27WiQ0IJJ111tDYmS86/Sh GGU/8Y4WOvKeHd84bBM5ZAkd8ozXnvWpfY9aqxeTqAv+IPaMtgLTKYfQA/o4sBnvZjxqWSLN2BOS k9wOkptFzNfnGDKRP4dgedSHvjkHiJku0JOsUeJ+Mlc+zCRUTBtvWG9BI7ma9HRdJpfosvAN+7eX r2SDw11B3/5n2GBhjW2yPlKCk0azGFBlqhH8DLiKr6h5+emVKBo48324PdteDlUcx6HjwZXQJ/1y KM7B8dyzr0hP67fRBYtwpOqJ/2WBD3LSTvWudtfoeM/hFs1hHY6e+h8hotY/mdPa8G8eBVF4yFFz txjP384XT+3RAH4iprboGXhNGGpEOl0ZqF/LMmGG7YgCmXZzmGHobGZEiDUj8S1lBKW9T70aTRRd hqmjucvbmPNjDM7pcPA4SccZKpJC/iYvDo1lkOvehZHtVVHhyAXFnOYDdGpCNBZkszI/hdI9LDQD WM4tc+gD5U5Am5WqykzQ1HIkpt+KYMA0jXuPN/VZ3ZdDrHYWw2k0kT++L+bhWsJom64pZ8weIlhU o+g8HRUeIlAx3Zmt4Lgnduko4vseTOM6iXi9HdE0KEq9r1cq7/4QxtaqyldwEwns2+/hBtOhJ84Q 1SzQu7PllGKvn1TvofuEe5KGt2bGeB97lvu1/hAmC7vFAd1ofmj3w+isPxGDDMKCnw0eE8ZRMZJb 10DO1KMjU3DE+iNSCmS0s3Z2QxcZKBgsmNja1J6Hhx3nJHiq4ypn5KDzQYO9AW1rWYTp8JkhYO1M H/AH3pdAdXbQub6hgqAZpStjaysyH3jLI95Pib9bPr+G9nSuQbslCqaeZHNECeVx4b8Sb6C9dCYw 4RlTEk8UmipB49l9iJS4UEJBZRbS/R2+nYTSu8o/KlP2qQ6nhx+dYb0r++b2F3QXY72h2f+/+YsI d4+3yjj1oR9SMwq6mt/fhVzLk58MCLRu7/2c0AlzNE8ImsiLYGio6OBCDkK/cmFbWZo2l1Qj++OU 8OtbxLrmWdtjfoY86H6n5oulQOhE8MxaOuODJrz19pxAVGEE6e4nZiBwwAqmGVfUlxItOay1AcaG xZ43Owdq4B65cpkdX+oVhW2UnBr2Cgqa3hDnkE0L2sn5JJtzGki/1RPpgu3ZM1VIjl5A2Uo9ctqQ eThWMC0juNiO6RH2NwNIRIoJRFe6/t5E4mqR16YGWte3stzCtc0z175sWkazFQAW5bJl3TQDHeR8 PHOAoNpG7R+nlzD+pN80DkHIA7PaHBK2T8g5Kbyp5OA/0unzlNRjv2iBV7cI94NSqz+Nrqn+9psN FdvTifyPOy/RDAhmaJnn0qghEgBWryz5rN9/1aGqCJV2uklSNVaed+a/PkdhOkN+uuuyOIiM/KkI aLBew1O12ksssRDYTS07m4KwvqFeXakTA4DJzIKHFaZeKwUemvCoxRMCJ2exXvE2NO/GsfMGbar8 BXa3KNJuXX9AREEOgOHvKPJiE6tutQGzNe3ltVWPTByglHAbTrqWwgn+WCIbjEccUxnRPyZD0ZIq 8eWY3d2BxYP6S6GAP9NvejnUhq3SizeTlHNTXOuP59ZYPT4N/Mos5w+C9mjT4cTftjEnweOqRhvV Gd3vb6DA8PES/br2TyhlXFfo+eBrrPRdTX5XKFwzqUbSrz+z98qo/92PwbnzwS7fYIEy3R1D0rrZ Tw8AjPm9+3M5lHGQMwglNST68rwWepTwQH+OoYjrqVhEl5DaACVtCQgNNRL6Zw/PPzW7scLQloBR mn2gMxDH/15Ukm6d7fdVkJSD0Q7kYwnBc62b4Pq3agcBJrjCVNTRN7+qDeY8l7GP5J+og7MrQjzc n6a6Cc43irL6WKSBffZWk4z4gDWZnTQlrwS8IbMbUNfl8JLUxVLm8fKBDdv/KqUyagyo7L21y8jQ p0fUN/9NFfiHdHFdwSK+lwWFems19bqLYExSfUnVUy7MExYHzOrf54+OvLcR0D4mEqK4m+3LvZLt Kd22ZgT6L28+3dvNWOQaf9OjFeiw8DmEZafUA3JdJCNmcUcir2XOgMaqWxSbkCRCoK8GtPhUkSgj GOtSWuTWuEmw/IDUqyozCSgrOKHmj71bjhbaFtnPFAtSjDkpzDgXJ0J60kofAQDrOiRv8Qo5rCQ7 Od9OCCUTVyKsxKVg3ZGAESyUwYvh/0nbaJgGwiL7NaFmaP0h0WdmxJajEhYr1srtrbicbdRETMxk /e0iT5LKsqaJkJLcJjwtVRyIQsAu8mRcr28Q6ek11o6Fd0H474E/2OSFB7+2tqFb/JrXjnumdTEI y41vzn7rK7tBX7K/AAnvEqki/kiJE6w9KsqLjamAcHyt97QfPn+TmjZjZ+PaCw5w8LtgXQ8/vLFr bPV6hdkzoVKv9Vd7rj7wajxrYoc1Y25WGvaxBqYcWf4EWo42y1YRih1xQS61v2BPcNMZYK+mmspo 3vf/lL9uAzvSg1czwMFWvp2XVDuYuP75Z+qtO0hhPjIqfuOlSHPFW6c/T7gwjLzbR88b1QEvhg+n SZMlOtAKiAnAC0xaRSG9T8qHMDHoZ5Ba0LJYtgQE4dwnloqzh1AZdje52tXgh9dkRvf5JRr0x44J QBayBq4iL9z3vsCoo3vHP+LDrGzLtncOeTXHZ3/EeHZYA9dHlZiz+d4VRbHQjm7WF4XD+IxK5uba kXRmt/oJ641gmL4hYTtXhc8iVTl4nuRj+9weAZ+9+wo+9qzS19RBSf+L2VIuXvHe+WBXAewEmq6Z DiZmi+6X9IjGTKzJBXO4URDvTjc4kzqzFpU0d5YUrtVb0V8yRoGxVWK4N8PU2VSgp8wElNUlbiyq wEXCm9Sroyil4GuFk4j7RvgqotPDBqED4Koo3h6TkPKwk0LBfu9LPXuZyI1RkEx4QS0eBVsNfmBe E4FuiJXcRtazejxn9Nq7FhYdk3dIK2mU+bh/N/iW/lMQ8c/NL/CnUR1EukMf3bMPwYvqeGUfiiDW uzzA0jlmRpdCF3DnS6hvRfqzrYfe4UBKIktb+6Z3Rm6P7KPgbAyF9JqvzrNBAbuam/nQlooNd/JS 8t8BNWd1sFuen/OwDFLJoemJAeHoNvYyYYctqhVoQM1fSEk810RjtDtlYr+KCE0L6uywz6Lpw6gJ gYdGnEBAoXAkT7Aqfd1U5t/KeCP3lyYkhNRNA9zr+EEKnjT70/veHqSk6+6Ku3EYn1yRxA28yZcf xTAjuAgT1tR+LLQ5nqvUvSTS++upTL0qvxGK3TvQLi66OA1HokReoV7gFj3Hsa+SkLo66vNaYnoV 9NqzGwSKs5JjdCMRHuayjwc9zn4eWH2EjKKdI4oAI9g2gGfkNT2RtrwG5OMisL8IKVASw7/iwGC+ zrTWUK0HwW2z56M2sv9IEMo/jWuWGKoejFfZL6MWt3tmAbSF9I3Ey7EkTPsK34ChDuhJwgKu8OPo AJ/77TDnmFpDgR6CG7fOUHri2E1IQtPYjKNTqDAyeB8oE4LhsEck3Fswv9jOYCo34Pe/GZtcMzDI cCu+T8cuVdfO/KXR9OSdg+gIjNG/Zyr6Ep58j2RrGGY5sXGOSDQD+vct2aF3tC6kdUcic6kZ8TZ+ 63tEYwWX1RWWYIdIQY4gEuOcyu3fGzGDHZSV6j7VkWNcmXUqbLmC8an/LVUxVs/wDmUVKXYNt5fj 4fcfe0PIJfxDAHUdY2oNWa4J3V//stHEVZ5P/oQs1Nnfp8kRN5KaDfJi6RyXgV4YE69GoCj7c1w1 5HZlljfA+IUl6YCE/UhEWWHDpyscYqFK1+EzSI9uZLIMI2GY13TXRjex4JOXt8kxsCgD35WJUEFH 6y+qCDZ4wJ/OnhbMUC/vkCbcKYAlw5yNCCrJe4jwbQROLEhasCNuHjBIzh9PWMa3yAO9bgznbTdf SQvqSJjXpVB94E1MmYs7A+p502lleY78nCzrvV6fhOJhKf7+w95vvgOzvwIyxMRnH2AOEv1GKhql 5Xck+Y9Ma8gj/1ESMcogNCe5Fgj0WbN1vX6rr48aEw8NA85dJ+RVbVtzm5CBmXg4J4Tg7lwLaJ/M elJW53sAbiM1p6mfMbgKQA+apXbfav2a4XomUepTopOejXpP7yLbZltVgxBWOt2Br9LM9korOr3R BrD7sreyfnn+1dy+52iY0mO5qA55xLDe/TQBQVVfugpdds5KHiaJCAyO275bZc/KUlZlvhF7Z3/t ywIk+PkcuZ/GB0oXX2M9EjVZVvjHiWFsxZo6bhwvOyacCPd1KAqBzrraI0D1+o9JsY25iwr7Dshn xbjGB5Hz9Vos86lzbAvrR3f52qYDdbKpX7Xb/2BgaJZWqVL0wvUOtHbF/1mrkNxKNmtyFvn8KNO0 aHMoE8N6ipkEfTvRoQ+4ljCe0pAVpeHONAkmV44dfev8FWpOTUaTBKBSOjJ/B6X7A8y+uxb4gSTr dVKQBZMxxPp6ziUPdn9lAs4dv12i6dbhbSmdTQDlnTZQjoqUQjWQtwPyF6cA7WG5FwsaCEslBFjq su0Zb0W4lArxHKNWYjw+T6Pe5opJCKBNvHnw9tVW05+nia/Ee0DVf1n3VGq69jBhMzKJX2OieVRv j1IgXpGChyN/OYiZYgJHx6q5GoK1D/9q43Ezo4t+1YO2MSpaJaaeBeNgYagFyh1lKShJ1x7zfEuj PeZmagjfPNc5GGZfDZNgB6617ZydUrmpBQ14BbNsPjqFmqOa5WuxWiPnYp03ZUxlak5D0R+fpj54 vtAaMSEX8dfmCiXErjVI8SAcEbucdI9GjVmM2RN3jtLJxGnAUI4KsXwgIJo0inkVyxyXKU+fZXez eln1Qn4r0CmaUHs8BQopF/AlBDzYu//RHu71BSHKQ8leckYkAl5Lqk65C/WCyeu90j9sgXLqdCQa SxB/d0OSwJaJNpTF/FYOsA9DkFtONFLAoE16Lq15SyyqToBvwn6qbaIxvHqHTw6gKGWxOJzz9Ka1 jAkZDJKecPu7RtVa4yxMXRtb+1moyD+o6XOe+KHUhwcAklQ4o2btH3LjoDVTZ2NJ55fkFci6F9Vq D0YuQcU+GHNDYUMUoNBVlrKnhRnNZcPAbCpHAclFohTsO/gMjPN7rx9jT3loE3OPpQS/faPB8a3H GeFBpPy5G6fC0ofyP+fUlLVvXUVyv++djzF7NXTWjME31mWRFOWr3eFQ48btGybt5rLME4+i8Lkq Yh9dnAh9mwQvDKhoYBJzH6JSi78V2Mz1FxdLhB0ybdDlaRP/D0r00fEDanZCF6swrqRrqhgoerk1 2VOyNlCBkx3wo5kpSc3Cv6qaJ0cYpwmktfonTLXSMwsmI4ALLpOPjKJ/2BpIk4T7rpyLEGcNtlX/ UBtKwBu7mj1kJxLkRGZUMuA+P4b5JmoJ+3VQ+mZoXt8FQbKGAHjN+PTjzI8J/UWU7lSYK4rKxQJ7 QxypXOzn4rRJCrw0Km5ANvqK8D1lPTRGXFDqelaqfZYxID1nUr0fF1yefSXh3CJ0FVxOGAHB84mK kjKUc+K6zJs2EgvXQnBG4D4N1QtRCT/YMdbDzG/wQCxFf8kgAvGUI+FpvIuMqR1HiBW8faDQ+9Cb juKW1gyZNK9kjnvzsj3ig8AzNSs5Jdn8nmuhTqJ+lOe/a3ILNriNU87idCMUbCr9RC7PKdyJd11v Z3rPOPFVvSYfqai0xY/IwrRSWpCc4+rnJr1Tpui1SN1cux/0Nafi5DkGYwNoQOAmyTgwfmNCuWlV /VT1vNIyQYynw1+BCLebd7g6188zucj6GuiSV2ELSjELwKtlgmGt/G3Hm5vbTO3dsu+m6ot6Vxhf luxwtmyIGgDEuv5i5rZV8kcegXvZXKpuPYMCI4TZqUpVljqnEk1fcqCa5jCBukKcQHCLnCvLhk9y HiX8KEwjCTkdhPQ7tbxpVDqKr5iVbnfjUdUSTsvkn9TCCUeeBuLhi2osD4BuVO/Pktx8ZH1AEXOK oKovGNaAwTl6h59wPUYvR4rkYPWYfxXWCtt0O55GYRJEJtPbqkWPqxYGAVbpDC2VNQRkuSpuKpX2 ZOkbhflWkdsGU7S2t1bVvU+qfCxvuw4inOwMuUbo+VjJEPIyAp+S5J4sFp9KcaYeniV6DgmYo9Cz juF1ytJpzBrsgmGyQ4wI/3kumtsYSYfAo1Tris4CSUA6afte5MmoFkBftTdXF2COuW8PqgsZMDVA obi4MTTMB92jQv1W0fPqLxv00owvI27ombykLrkvBdohU+RzqveenBEImZ5VH8399EMlP9UaLbWP jqRbajlselmWXWiL5WtURyqJ/QetGpzwXLaqvt4ndwyHiaY+VMNDstXH7jo8NaUAntmPjUfozw46 7bHtO+oEAqLY00nAnOxLUVqhdxCE6xKaoSCMCTHoc3/gcyBPnDklNoRgowAvDOVZ4US0A74qgCTX NOliRClPqYP/g7Ol71TRObhzNdhamIU/wmZdtGCUffkTwSIr0mXmCzHA7yNUJn0NvtFA1AnU753W J6VciA7tGyoa2pCU35prNrIC4X8+Us3tovbkIYu3jL/5IRt+VzzmAmmDueMactMjiPIKRD9hne52 ESvJyTVyHMnlgmgvTZut+dGe6jIMEaQT96BQKUAsPqv19v5UR8tua6FMrcsAfOICyl5oFYv7Femu B50uWEKjWhnDwt+m7Wy6AIJ+9x/yCtAxRYgY5cAUEb0YQzIe5tljZdlHru0GI3QeTXWfVfUQFuG9 ZsKe54uwxgOnJBBWxz+qPQXTccX7l0K8dah6bXQYv7kp1mYhg8gPMsYHK01SSIB9eQj/vVWhWgwm ktC6mTYeQ3OIp8Ze+aqUTqk1uXTCQO+EfvkidDBza3OaOlYqaN1U4MvnJBp06hMIAd/QNWfJov+L G+d0xsu8OEKIDzclnNvxrYVCf2wm1lJMHfTMzCYBz8C5ZaP2Wed/3jDp8GBHgsyWNIeCrHIzXRHI WmKcIZnekIP3twMbTGU0uhKWeHZAFQH96xID0wzLCcZLfGXoPxw3Z5OqSdPuTD8SxmC0McmyAz7i TvieQXRic44GX7wgaP/bsWaXaoZ9E9MGTZ4FTi9X3xXMJy9XyBblGSTZWfkUDIlfntkqulfD2ruz 8XF0E6Bd+HNYecyc+kfncs1eCWXXmUyFjRPZr7czlu9vZwHIMJ1UmrZlf7GqQl0Eeg/+344KFRzp HEFOdxUBAFnAwnUH6tkfGgxhcN6beit6hztSgRy6MPNx8LHt14itOE98LeX0/rTFLQJUfwzTYZS8 O+XRiPSHhplN40JV81HVG4/mKTlTozBivLwyDFq2Mn2XRCBXC0j75DcJGo1nked24C+IlFlKckT7 tCR0mXrJXB+MS9274Ox36HUrsR06eail23dx2SP/KmUakzy4k1QuAyPJRIn2CcDLg6R2lgPFfnzc zuXun8gQeptV4BIN8RzTcSF0hSr+fwnFsEol3fpLCuv2YCQUictAHNlBSRzo+S4B5bIEeALTgpr5 HMr/xmR0ZW7oHxcT3p0UcptH33+z71GjZs12Pf89EKpzyfBhp77yQjOr/QPmS1whFTIgBrWcBk9a O2iB62ZwVemuwvslyDJUmHs/3uELpwrXN2IemNKi+p2VHlUqfNSlux900e3rXHk6RoM29S5v1URE mhcU9wNbAB1XsCbscOcUikttaLPqwS8Jprfdun4eMuLkiyKxuz40YBYG1LElY9FI5VBkCrCTpnhL M2VRMYNusg9QQ1mwzJnlODh/3sAD0iJ2A9W805pYWX1GNnkM6sLfrzS2hCaB6Cy+ZKG45IPV5jUe rX9svHXBuHtyifcXkMj5yclFvK9kgjtIaJLXOKA14sPtoRszRzgMTlGJDWAKskeDTMVJWzz0QVq6 MNzJ2R1WfjvOEae+mTHWK94YSJn2uUQOK9H3QazWYy7nmss8zo3A1hRAyvUU3JJWuHok9x0vFl9M JUEQL2jIy2ptv+6DybrA77vxkyoYUlWvEl7BIKbdDq1TBYjYyukLyjDZK4HFChsn+XmaOWwG3RgL WA6dNemlZReFKGNABnskQkdaTV0pctDTboASGs5nhSJkuhI6B+iBDQ/KNDybrp+hhgGhLPExhaIF R+PbTI6A8uUVuz6KF1RCpw52+T9/GfBqojc2DTy0aLrquwz15gbsvKyNPw+My+ytBLE1/WvZushn bxRl72l0rFF1YAgzmQX4dScjticUIEUi5vGOnKHuA1shdW6ir8qCD/QedcrUWmtEUhszz2hVqhzk 2CLDou0ObFaJA8qB7rIE/0CfediOZkm5h9PV5khhVdxvCUfyRtRbs/0aBMdwHHXzqQ6NobsFSWqP N4V9sPymrIvYzLyHFU9rU2fc1gG/KKmlTvHxhhv0tRffyZGmJfAQki0+NTTV/1dQCPMUeaxmphh2 q9FOsLsM8vYligi/v6Ekl5AQLzBfhLkjzcfzkdky3/kcV8ogB33Lco5iobLUFxSTPq9PH0n/mNHl Uh3Ix0cwFfOCjTJMIQLG1mtCJnpe7ydOvM9hdgbk2p3XYUZDgrCjXdD6emWxak8m5UsCZYZEnnw7 Mpx17Guq85y9U/PGsC6b0G4KpxBuft9ECeGB/SRzqrMJFc6OwOF6H++kNNO1e72v4NtJIJ4YH5zG yGZKLD4HhXl5e/lUb3fJp8Zc3zIreIEQ5cFBxBsnavYgfSvU8ODCJt0rFH639NIRGMcaAPIMfwRU NdwRWF+RwYpzDmwt32f6a90dip10C/8px7Oll2svDR7IKdrlOlAvTPQhVPsBwRRtgMfZlJVSJ+Jg cR/D6oKn0PefCAgGVeLsX2X0exGifOleA7s7sZhZ9PtBckAP4DlTavvGsFVNzQLS6BtFaQOdxYsQ iVIA9Zsco7FIWUgR0e7Th8X4pfavXtOxjh/SdN7PAACpXCw0aTYh+lK4615FrvHHWLGVbqRbYjp/ n+mOQ2uEI4yaBG3OnVSu8pDjHXYJV7sFgfblNmyv6KmrNLDVQNmg94dYRFR+UIwrBxRINz4P7P9u 7AHpCODAlrytK0b1M4aeSUXytvTs7w7HvOM0fM1591J1qh/RvJiN3MVqdc7BU0Den9tNsG3XLEZ4 3UV1cK9LyYD6aMafJRboC0HkK21/MOhC7zvhumBErOownPQZzLR41W/hMp0IQeruvWkQFlETdLCD Y4F8w5OKM9ldzGzkfmxh2urnY6/EQ++s+g+C9YuyKksDRcP7eeAKL1kwPj5QyNQ57r4/OkGJ6Ucx dWsOAtsFMV0PM2LcizPudtxyQnWdlvct3gWdMwdJRzjFHld8p/fD4079Ji8lFWP3pBZA8xQZTjEu 7OjBa4WO/kzaUOKY+JvOYa2kpJspAsCM29M0gsILxtP43Ar1YPNQFVqChLi8uNR+mUDzfr3CH+k3 vuC4RkDY6gH9pmDuJgUx5OjYeadC9SP0rfiVibdcvzN46chEymDMugQZeJEuTcPh2zwtx6pvj3+9 9YepBS24K4jO7IPUMQnTlFBWkhqfRjbY8JGx4kW3BVV6ZJpngPwXOd4PczPHqJFVeXZl8VlKQuKH PtJyfI7+ZlLQY9zTXFcZ4tNHI7xp5LkB8UXAv1fF/BAeDN7jyxS5PFQl1It7J6UTm4+OUt1Un9E5 1IPToG+69HnBfl2WMQbrPt4rgSFGLRNSVSbYo8kv+394/78TGd09I4fuKqd/sKd0pwTdLLNGvVB/ D7H9JeH1uF3KJAWzAwUpzNN3XAZbjKaom52zW+uuvl6hvyqjUUhd/Gj69hBa84FbWrBLN68zUUvc 8EK30crOc+W0uj78ck3J4Q/wl2bbd01NnlbP8MbIjq8Kb6urVWixsvHeIvvXYf6rGQtLRHanXFKU hiuJTSA7Mj9mrPrnG95K/YhOQJ6IINmE6/PzeEKbNnaMYaR0fboTZrrQQGjuZQ5fQ+pawj7m0uOm KZxnPt1Ed0XIwCw8eqkQyE9Wh0N48kD3E8GCO4DK6jjziQo9OYx7TIRQwzjNAZdbPAfLDH0tI7Yu HPTh8D1i+VFS0NQDpS5ji+9M3O7oQJwDIKsOkq3DkCyV9n8IQed3tFAM7Kb647HyatsoAEF27PdG w4JJYPnsvEPZQXVDBnq3mHzxpIAnya8KiuZfAI9NmgnZhOqsgLeYeY5SVU1GVjjnQnXd/sOifi+y 8JM9Z9xDFY5ytsjUlOcCrHUfxl5QxKg/MUtY/whIYS1vR0hjfpjiSWexgqhelkzI7UQm52eFBGLH jV80xRe8BXH0QIys+4DeZ9SjG0F/cGnXuxqQ5jLGnGFsxHXhc84ROhiiVG8iogaPKwQbNO5W7q0S dnohfnubYaUAZpYeokMAojiS7OYL/5lA0/tYSVZdicGfQLsDXtXJGr01IKY2/yG4LrubZlEII+NY h5cNbc0lg04xd+Gf2p+ZTk9seOy+cUwkO9b3TeltR0b9uyftbs38YRU4SvgyZFLejxSCl8U9+6xo CtwLdPdjn9A/MgA4M3s4VI1xK+xHKArUsTTH/aG94MwWg+dsdFLdREwruVTKitNWzVKVQSt/fBot zleopER/TPNCRNYQZJ10iagxAeBR6V4KICUTt9W3mhc5/cRC+bE3rb6DIh+OjdidbDylCiW6a888 0UBW30VlrzUTPkzemqLqYmucp/n6/eeTQuisse/yMHk+Et0uiw6GimMz88b9eW/sLsDc+WCyA9Sm yZdCvIWgXsLsDzDAahgkGmpTUEEWgKkftmQEdNyeyBsc8XxvllibJHKPSsz5USYHsmVHLlh9PSXR oC3eo2lu6x1FWPtLJwwJV3MNVqcl7bIH3i37Z8D4BYviSwizbq3nIEgjZM+VXO1a8WNt9iaYETCS GeYEyQLo5At8fe+mF7xSlMlagtL67bK1S+uu7fL9Ub2laHp0YK/djf109ia4GCAJIU/YuA9fgHaF NUePhR1fJJVcW1/ysLl2oE92nar4GWcaV9yfXpGYQLo8PH2+3RJLSx0ffe8UJVRO0VGeRa92pdRO ARJ72xS/ebz84R6pLxficOCeEWwyHVHScYWfudZUcxv9NTRVeweNGR7t5GM3KlG7+u9GI3jPsGwk oAYj78tYbq7WAOgQoNBGk1I30oL4xyyD2szR3f0dLSm5A5I7UMGonS45ZL0xwZhxEon4MKxBg9vm rZLmKQS57QXPV/FY8ezurTz9msSWZfmAF5NqV3JqBrYvMKZTd+XH4d0ciZgNHoiiCVie4IrQj/L9 hK/Xzu9y5QyyydRaQNfny5wKM2T6q64Q6+iWGOJr5YBg23TvQRMCDsJbipiudKu64iXdP313DNRk rtmmJZdsEBWQdy5wkd4djjYH48OCNaSuLXtH8MTgTK4RiWEpIWYt8d21Krn/asCmf6fAFGTxvzOk EDcv8b0Nmv7ujaIvA01ShAK6rzBB4sHAyR+tJ8DgpOs1wLmcIazwL1CEM6yy1SQFKr5YtG5k10GR gepdMqFlMTuwdRfdyZZihkBlDTw3jIgpyeaalXCpmo0MKHrEUHE6p+8XuOyqj0tzj2Dmt7F+ia9Z +qCjsvdaylMFM8bFIOHBoVZzaWavqthrwNyURtbahd1enSMISxml5+CMCJRIo0+IcUqeeAHJMYol 3n+iBX5od99rnGQQiotF1vu42SiQoJsYiOMdBdMfuvk9IyGjSqi/j3RhB+sntFqKYZrylnZ/Ybah 41VdLHw3iRC/JCTGSkAszGr/rKg6bfDJ29nYRtP4wrB3Bl6Ot99ZxfWevCVF0FBn4TWQqYrOyCFa 0DU+MOIQwBx1OzpempYmQTspA4jg4ZdiG07qv7poMKABhqc2xncpUlZQXSm1KyCR/tsnBVX1lYbV pjWW2hu+kPHJGcbzzCCtv4mtuFM6tBa2yfg0/kh7cJgjeG1lxX5bMONnHUxsh9rHDsXyMkPesMW1 +yP8yv5JJ4Mae+BKIf6uP1LjveZ74mji4rmtv+bf6dtLkc6+pox5zFB4KfUA9/q544tQwUYYZx5J sSwDyFfrq2lSMdrfr5Qdvldk8ICGLBWBlv62H+z2OpMU7C/HZiRnBrGnm4qFnXXow+vO59S+lJq6 gCYgOHNSVDWXT71/Co0dxwBVAU/McNs+drtMJJKMwT9st+/Xled4gutbRvbpaRFFMFsGhQNcd/ES prAkaDzEASt9Q39ppatsJjOr0grEypDipL1eFVHo7nW8nyeCVaYA8iZE+uO6J4nhiaj6yz8tTfVi 3a5ZNMluK6K61DvBTiqiagJ7kohOFDowYmuVM0QlppmfvQCcd1w1zO/dfUFS+XJfGF5upYRDnqw5 sHkb4riJZ/w2g2lfri/IJwM2ctrM1yvWgQA6CkBRNGYmeXnK/qiuBAUsTKRhOiRaZnAQdufigmwu Ivceg/9u3Dm/gh9n6XUh0hHltQ3cIfBeIPv0L795MTxOjC6Kwn0/5hnoZSpqNtMTO5b33TXdXBjt qNpmLHJCQb25LKiUcCZ22aF5yfISeG5rsFQ/xL8cdn6roqJRLzgBgS7P4bSbmp3tqyWfKfXvMeCn jXy1K6S7RAOuP98M5FYYjyfW49d10wFJ9zfmfNHlZYfsKwEL7CGzk0guXIfkM5+Nabu271kICI+G bpG/1MGbE/8fAkinMMuRMCUx8x2bYoMyoQs47UH3NsWEp8tmdfPQ/kBcH5CfsPWWP/QgWy3j4qTJ ThbCxf42hc8I49oKOkX0dN2qsOMHZJZJMAKoWEiBNerVSN5QGLhai7OsBX7HvpB2h+MbR0dl5OBG Cagz7PiUYXrrIyjaMaRXgiLDjex/OUhu2lqLhT7Ss4S7BnMPCZemkvbPq8zbgcUTLvalyJW5bA5b quwLsgjWIFGCYgwvOg/nNJcVUI7Akj4LCkHt9TnuiyHwQDOEMiU8VJazQvnumuxmpvyV6qi1Td+D bFX7J3PKMtzXbvPht7THtdxrGqyw8dEMivTZw1IIJfnJKEPVi2/24s8jUqtaDXMOHWdBqMBC7EMW J+XRIejDd63h+deGYUyiTwtMuvVIJyEm9BuTZtMFOAyxhSlxBuq9cJiERAKj5vpYzVvuUjNHkGGp iZPAj8ozMW6rUtQfIYPJCRk4G4Tep9gxIrDja5SvnEPK5Gi6kWUvfl45YjICYAnIuW494DVEleRa c2fhA/oOA1AOTGbUeCkxN6HL6J4xnvF8ttskakdhNJrWB5cUBw45s9EHG1e0A7vtKuph/usodtY5 KsZ9b9htpEHFetXnqLKq0mJNoTEaog2csUa9MbTIGyKVjpl2w+ku3i/GNo872Rj3KG3jUTfL7TeS cZcG+cursbTXr970qqLKzLt9L5LeHLwgKMsgOVACaFWuscpbRWq4T/X5HnCaETw+q665g+TkPPS8 rKgW6N7PkqVhVCkc+jJCQCWDdbd8E3gjqQnmWETDQHhc6wF7LeJdkO0iEwg1QzCTedCeXu/FOG8h QxGH0MZkziXUpPLw/jK5sDYOUaaDviL1c+7hnGgtOcz4j8RZivZEzLzypwS2PuaWE79vTjlX/yFi EAYmEx7Acm5ypgxAW9xSJQSbOiIodBF4Dteb1HQoV+7/6D4fcV3cvuGFhbPN88D3fPedevv58Tqg OJza1RJ+9Sp3rV+aRChBdfZxdRuuLjYtvZ7bTMMIj3R8SnK5PcJuSUa4VrX05EEv76dU95DeHGw1 XcU/QMtzHGMXKlY9LDcoW7l6t0X1gPC7R7M7t/bhTtYiNg3/nHOJx15ybjBWbZJ5a6J8lpEJYOag qMOz4ruNGfV1ODoH38ihxt7q49lA5kVlZcZedrshOscCI2EoNCiZY4VZsP3z6pUnkBougCnSVG+P U60TO7BID13lzryDlEDCrXASdlL0xCtaBQzykhxVfyrVP7bRXo0hZl3o0OOm6eFCSDBf+JEKhaSA RfgLp1IGmJ5SIV3aAK45uZzgb0cB2da3KqJFJoeV1pjkw1f0nj5Cg5VtnMBG0CrOziMM7ML8KFK3 hd6pKUTyHOJteXNWhBvCVlEMJjyKTLBRJllJlUl8Hufr2dugPg/Q89NbOsyeqqRNnbu9XqJXZHC4 3cT0bvJCNmFoYL5O88ioNiiujxArSNEkqp9/9qAjRzMY7jO8ytCNQy6ChT1JC15ryR6d9XcZfOTg FYhIhGnn1l4WdNYP8UKSz70gYAO4X6TucxKhRDmNnN0DvNg4lTqAGp7XYiPoMV3IfoCdnhBR47uj NTkZ0yKEwewV4TcVIKiFTRd7BghAwO9bfPofi5YfCITjLDHVxHoDGU6yIRTeNvXS9N+OoTShjCsE T6ioAM0oDL9cOdnRuv8u60LuuJlVgbBK2/lHdjaazqnO3yW/P3yFQBF+5ZYQPKZWgeB6h9lRqd4w gsmv9HqzXeesW+F5M+JAQU4eUmv+eEhiRZDj5FG168I78Gns329M4Vj2qsFV3xXLAOtglnAuIM7l 9LFtldGUdgVPNTaoL9ovpAQ0+b31NeAoRbQKABEjHu8kygFcyPSKmyYMs07m1mhFxE1w9gyBKtlw A5BHUmCm1ua1ZAQNB/TMRgHNvqlKkKTmRl7+L+b68mvQlU1teGbrGIEOJV4BmNys8/+AMwxa9lPM h7+lDx5O8Ei+shGvxtwPEdySNc5S3cU6yxAXZz6oWvRsyDPCkkHGg1CjgfriaubdP44vv2ev9lS+ JbFWl1gNHx1rn6HFTDxhlnQWk3xAXksfU2jaqs1azyrBrpH5Wdw/Hs6J7Aisl72/X3pokrtunRam 1LQ1KydmuVVsbrKNROB+5v3EEMWdwYU8WMedAGxnUUQRppylHbDqFaKTr+KylQOj6VWiGAxEy6YV bqnu2LoFMFJl7//uuRIFuyfEkfJo/q/rTsnItbG+dUWbyZGiXz0uzEKmBahD2xbOIuYphg3GoNdO 1nNEkmlP8HMiBAejtJ0AEz68eSY6npo/T3rFc7i9mrmVfj6olv6g5yOm5KtLruW7XxiBZf7WyEO7 rwv7G6ClNMYyMQqUweAwhIedJHs4y47F8aU28T/bUfoP/ooS8ncMQ/kOLPQsKqLi/0iv0dYlR1SY hezVfxcNxm++eOjObArWlK8zCVYmMs/Iexuf6dVzhUAqBVxbuhEhioyShbDNWGzch97JemPTzdCa mtAhwTJP7Zaa0MdsjbYOm+DVfQxNVmtMWQJjcekFphweyhg/oO/a3hSnFgn+xtO1i8m7WlYlyfc8 1808n896iPKsTp3oNaxAcVw35gzco35harMaVhXx7z316dle/fpfx/wwO+8lMRRiNUjVI4W3TcbZ Uhevp3P9dDUHD2RW/WgJg50X1QIAvDME0j/My5eZB6XRVBFwXm/PTirPqtHr1LQEaKSL7CitI3la 50x5jJIvjjdieRXad/oFpbudsmt+DRpwHQfnaGm0peXsqkGFlMS2a/7LRJGlxogcmnZJU4cvcI7k AtbxdB2WmghIq+xKM5pGE9Q4fNNj6O/7QB+bucD2Joh4KXRJybWWwA31o37Zo6p42C4mqruAGU+L 9GPmYkW3Nn7QvYC9LpWtStZ5uhOihInjmpnDvk5OKKGVREsNcKMI+OFlcG3VN8C49WU95vggLthz sms8zWOWGt6DT46bHkmvAKHrEMmC2v2CUWfBPz106BH4Pq41v+aSF7SV6NtyxRAxB+h5SwfCUHRY 5sWG1g0Z8S0bOfk9JXiWK9NfWqVrdmdLHLh9edQAY5icNjUrxMa2AXyIyVak26QKz6ldHfdDtZme C6SAoGyfbwYwRlBjTcckhIP5hLY2ZJRyEpaTm4N1+jt5wsQ02kpHnT0KhG9qwL5Kvgt9jhM/BmGs b0Dh5OhtNCsx3RILG23RUUQXuGC6Ga69YGSvjr5qjOMuRBu/TMpRB6J4QQxyue+37yfqhU3xdNqG VJP2lnDHJ0KpnYdlDaXRwDCgB/paYexJh0c4ncuSa5WdEc12aZN5jCWGw8/McXL6jxXhfl2wFRhj wh5lVSEgekJJ8lJleZiclh5vG31A67QVTeQ7SLBkt5CsUkKABOTnDaUibG0nWj2xRvr19OW/xO3v ZurQLUTLz3IELABUZSaqOSd8L6Aqka5rKaXV5UQ6cS6z1azz9WLUuaYOmDGi8y02fxWeQHZkau4N yB1bRT5jQrsCg7nB03qcXV8ekzf46VANmMRaqhNVow+mOmwtocFS94EQ3hu6+oFxDm6En7h4Rolw /314rd9NIzkyW8AZTY94WWG5Zf7s0N5MEyJOwvC5+58NWJNTAE7W5X2VzywwrPoRoWu1IbJcoRFR 1n7xM31uIgRXQuTf1U96MoxurqDJBuAhUhy3OhNhh0IpvD90Us+5/R8UQ1wvScRLIgyK0IThfCpt rbzYD3tfeGWfmoZzg6FhuAOIrb9+AlwrmTnKGb1H6Ib6IgNfir3Uz5/tKts+f+2eL/GP0oI7r3Ma lF5XaK+Wvd/axvTd8s5n2l+hYSnLzNAMGClhR/8Ixx3+ckW8a7fVOAqUPuNynoly2QpuyfZUGVNy uS4tHkOy7gkZv1JlGvZR08fy3bcES1p2wSw/Zmqw8IuBGpF8KL2J2GfFFcTv/NXTXJp5yCyBD3Kn JLAP7rUBPEFau3wOgpoBl+FUILlJQQr6bCsRdaelK0B7Nm6Dw8qsM8wOtFxBda9C/sWIXFTDSzFM 0FwPGpA2T7XqxNe08/38HW3fND6RuVhErtFPxknND9Yz+Ua6BktEBj8nIZwWWZjAR6tNDuI0Ms89 np5p3k8FXDkz5aZv/N8jsAdevs/rdZG9zBbEVasSnkAbaAa5V1SBAsoC+pOj2+BI0Qsxcofg7CCw yYroig12Ofy6+ulSiufxjL0z7Wf6xwZkp3Om2Kpo2gVndfeGs0VBfvYNfeB8dV1ebs4ErlRazaoY HnKuh6ACj1o429iYip4Pk1JLoLa+L1Z6WJwDkoEsuTi7bEQgb6bHE+49/EiFZskpT5Yek2nvmWbV 7wrAR3FpO+rOh1iB+jnFftuxLCNq3rHXEshlQJ+7L0DNzMBhMFGJgTHEiCHIG/U4vqd9JTzP2Enp JH38vAdSnY1Rt5T40WhgXcZYbbz33WBf1GTZjpVuVN5epyPczapjBcVmfZkoRO8j6je79aqYnNiZ t/QKbszVthq578pMblTc1tOqRV5jWmzoM+Oa6fV9RhLECdkyOrDEW+6SAi1hhM0xYJYP+5V570QO BEXhmEmYbfvDq6cpYglBPRqpRB5GKxRzqTN4mV0kiEDdBgpTyQBlJ+pvUMxazfZnhvjS9dXvne7R IJOFEDi6jTdA/u81BtzB9xYTj7fW5jFHb3/3TCWytEdxmPDbaR5wj0AmwDnzV/2kkqAMl4qH1lkA gsU9/81wvLPruoDhqHp3ddAHD5GyE3KaLzctAoB0jOxm0UK8u5x0HlNeN6jAwG/ZcOkaZRCSnM0r L8Szq6rDQtLzPn06B/1V35ChiYYJGG3IDqR3qmYOSKyWp46vy2G7ha3xfT1FbsgPhG0jgd1l5lcL QNME8WOCr1/l8RxJbSJC7K0jZmyil05Zpz1MJ0QhTHc+mpvvS4TflAPkwIB9J7Oe+oOnoKGDMEsa NKpim+/PiCsis9AEuEBsUm/7A8AgXIwYh+2V/bIF3MLw/YAO8az9c1drpmz01pqJ3uJBWTwLlQ3i H+sc53Fbc8+UavLP8jzcwPwcLZs/L45Jjh8jqZoNQs6OIMbM6Ld1tLcyTSlZNXuqtZQVtw44WWTF KapceNVnyg67Q4uSgqAgQc92X1h74eLKf7OEAfYTUtfa0mMpyJvi6MqXgo0fjJeGkgwS976Q18II WGGJU6GV9Xtq6bBDkheeU72yxSFtwhIm7uZctMlndX9KkCICgkw9jMdvjyKYAn91/ZI4Kkl/d9Oo BOHCDpYl8YouqLjDyrsU4FoIHCKbKrhCrg2OfPOSHMTjSMzKakNebkL7Cm1Te1hkqmIFZaEpIjyb YjXLANuUo2TuuvbLUO3XSCIgXsZ0+hCNXL4nOunUY97bfbNC6StXsBLQCPOFMT/YLQf9LcMUTO8n cdBGJzXIGpjbjd4v1QecAlx1peADmSScYMpVvEqMIE+hBm3/dpjCcuElU0MpBBjJ1Q1obtrAdgnD j3UeKXZ8QquGtSGzLX+8Og6pzIBIdlCduTvQY5U7gBT/50V4CjUfLVjoCiMEML8z6cLfBQ8+u/EO 2h0LjCNtfKvUxUq6lxnmHaBROP9yvkHFJ7P9lt7phh+/zzVj08vq4n6GC3cPbzJpARvgP0kOK3f3 po+4lJ6qLpRTJcqFwANvE9/PMMjzpC5yqr2Hui9Taqph1o1bpIQSY8q/h7qwyQxVzPLz0k/mRMAh 3UTxMsayXUIIQVDabdOxu3XAiI9OnKw7XoYLPwfnDCJnvn5Ya3UBjpMcVx6YdwJ5JWbYNOnplyxL Whtb59A8B3Kt161vpFaMqeaqsmACvMWGu84J0j99sokZ5SRRJcZUoECsXq4HjIc7Vg9mA12+hoBq wIQwVe/DvbB7EATLm0XdnKUtIEa5ruIdm2ftPttuOU91ygLUTchxDtER8MB2lPg7PmpIF9Kd4ple 6XGV4VbaexgfVSixyDGshzH49Hlk8IgUbUaghmkKRFhIoxNeokC8GJ5us8ixhi5jrPMxV0VnpJlz Vw1FhBLPJBR/J3tVLIrhc/rTQ8Vy5xFyLLMJmq5wSeAWFMdtAUKlROQv0PQUPOZYjzHELQlO9bIK oRGE3TOMU7X64L8mnrMy6I8LNLianoNuSrxcUtjd4qB+RLk7HQTspItHe+dzWIHitv5mxnDBB/hX 7mORp4l0i5mtIogE40ydTOfvwLH7tl9u2yfpsuiqsjOBbnSXwdUShTbQIPxzVsOgGxVCmI+o8UMw 0oG3UuMCNJV9tX5QkofJzuC1K8nSrXJOARmC5jHUsy41AA2DB3oRAneOpWxfNxAAOKZ9hGMZ3XMi aPPfxjdAgtd4vnZj/vjbB7J0ToLwiKRJuMFpLJrKenxstfxFvxqpO855ARv61H0JptqHxWZJcNvi mXIsHhQwT7ueMd30DKsX9nnUk+qkRIEck+UXEFRxcAVb5f+rTO9dPFfbKObKaVaZjgQ1c5MS/IBY LRwjlgLVpBQNaaYR6hC5wnRfmuV18qHLi/a8/AK4STykEbxOKdchZlfmjmjtq3Mayx3N47zbYRHz NnJtQbkBr7I6WZ6h7EG2KlZmlbbvV3SNO0QItPB1FEjXvBiyqzdODouukMG7+7RXNjc0nH6LfNaz 2bfB23IqvRuXb6VWvz5y1GzTX3T2hEybwxXxbZ5Ml60IlI1n5enKib1GDOFj1z8BMSo9qQ6uC+Hv wz/mQ9v9VGOJMr77Pe4x3Cr+B+rQlW8ojSvz/PvDzUO+c1Y+t5sM+Wb8ID0rhEdrpF0b582x7ZvN aQ8K3LznppTCLdBCmtem9gGQAnQQSwUbYYTYoLD/Ni9bm2cmPYEMjhsOicJwE1mWc72SGNzSVf+D jMoKDKtClcbjJxbXRDbiLFinFKnFHxN8jFzmJCN/JYAG5BoZ42dvfHZDQ42/y/YnbIPtK/JGvIF/ ph8XRZiZJa8x05GLcTjGPtplr2eg3QrsrhN2AJqteK/oLGvvFm4N8LfXstsTxmfY7uDJyGJKqri2 +1GgPhiWl5urqxlgTQlFizl8A10VmGWM8xHmaF0v9l9htxzqEbytmK6y19O7ZBClR6W22pbWSOku 4E5boe6xR+xot0Cph++LzVub4WKtIMSzLQatCMxVmTRrlSrL/mJrfRQKVJzQIg3bzv3l4tPO/r+z ca/3R7pWNBSOBuVHH9/Ne658gL9EEiCz13XbYtKsXURroVr9gswDZU7EQl51y9cAsiPK4pLcnMq7 NsDHqyyZ9wsAxKa8xZEbLsG2klJyaAvUGOK0WIlSUvZWwKzFupy5DQsRlPCI+pr9tNcFYZlhkB1u LRu4CldBmvBuGpY/N9v1pKnziYcV5WOfXowGnXHwq8VBNukSIcaKBsna/t0+2/eEPO0Y0H8sbFYL qUTHs7AfgxwLCO0Lgo1uDHnBaR5ZoSYVbWkRg8HuTDqHbJORO18+41ZEzA8Xr2p2ImfDcw1Ll/po TamhIRMdP+nU7tQmfb0TAl4tomP3LPX4DePVUWM3ZoqXeZZPoK0iRYp74IMaYUvjDUjfdk7Sdp9Y YyWm5wu5/jbBjJCYi3vdo6+Oq0lTg+7MVLaWX7b7QyKCqHWfXNSq5t5h2WFtLfPuhBYDKBMKp9R8 VkNFbrUHb5fLZXh76lULNG8+iyUp7w5ocjGUMd3Qb5GneNezUZMW8aA679kA4MythYaebuMFdLA2 yj3kdqPvoR8x9h6asEYzhsLjSx6ntMCE9QZLa7WuFrpfaiQg5WBrALEKI/eZfsFE9ZzrRBlawTxM FxC34+A8ytOsJnjJiRxpb8yqxr6abmDT9uspf2cnnvoSPO8RqIr35sRjRqj5436DFYbB6s6JTkzw Vvb2h5BNJwVowTBdc6VJBMwf+jUBxqiYzwkoKIGxbLv8dhuHfulMeehq53/D4vJET4A/SrtEccNT PbizTCUykvw6EHvv1DUHcP4NRIDCFIT11zT1ito5NEW+MCeVdMfM7BAqXlv6HXRHTTN6j3JCh71M KxRqv4XrJkh2QmAv+9HPPuWyH3NuuEdvelwzT8waqQBnHvN7RMwFQYZ0jPDZOnA9TCxXaEk248/x BCeNgT+lbtcX96wDC7xHxzQYEu9uojms0p5RfXVtGlM0QUI6/69vhMXhdnw+2NzzusraQOnFia08 L876/uTToqrWi8VM+b/6jiXdeb29uOyArdyTAAKH67oDtaUyKSMoRazCda3z5K4svg7Hk/WfzfbB Ysas5p672oFGc3UGF6EoPVQv470m02H5lT7bXk/ZEVq4wObMh3hwv1TdP0BZgndFiry3BlIwG3ZG X7yYOE+PyEO/VuLqkRpn7yC4/Mg/prLdH9aG4AFiHNhpmGHZPz5sP9YIkE8nPO55L7WyjihJ+K4R fXxAkAL+CyqLX3TCwKkS4jlHZC3+AZNSGavw9trbCj77YWTKyBSkIfp4282Vnc7XWcxcYjI5AfVD FLCljnJ/3ESBEMzH49DYwtIqI/sCZ88mtLssWlpYuT1uOU+TF5hp7wOlArG6Vj1FTOz9mQVKXlWb W259Om/BU/vMQx8GRSPLR5kGGUhSad75dtumoeDAMu6+IbkqqltPohsgGmB+Vqd45szsKsHGzoWg cixkp59bURusyGUUg6sxUei/aO7HPZ6Yq87ELRO9Jzo3XFIDXkdAiijna7HTyoQyMlGCa9FV3Sdk ivhzZPWLtCt09WjJGQjUvhO9FK/UVSrESUUeKRWdYmyanUJpcP7CRDKtzFxwd0OqInl2s3v/hny+ qR8l6hrGEY8oNZTF1xFpxBeCAA7HnrWeMLsTEwF8vZAGLfBkd0FFGE/6o76z75JSMO6x7pSugz51 O97v0/zjrTgj3Y/ztztJOlVEIw5lbD9bzSO5GKK51TEG2k0hD/AWX9kB1kqPlNvRfLBIWsfw2key JUUOvvaq/61NAldFYC52xIa9ydB70fMxKga16Tl5a+LM/J8M7Yavd/aVMRWjn1JdVnZFZV/qacnW 7eaXt3NpyBR67++xmCRKUSA8empfICfaebzDtA+NEr3+JI4UwrqTIIIfR/FWgZABKr0yePcJbnC8 s06JZzJQKsdCvl9GBdAdNofmo2O45CmxOR8IgL5EkT74qTyomiSPSJHcysUeORLInXjcquUfDlWC M0CgkfKM0VDUCITrJJd1+ohzGA8egVQSUQxcJdDrgjeHd/F/VMLwbb/BXDTm88X9DvhntINvG7kI aXi7XMX9JThYxlaMEiEEMCyUbTRvFghueZFWVYAuQNqN3ZxoBjes8xdJtnJaVxChPILkszMDks+c fM3IP0ft6OeX10BwfSpMfncOmnomEIIPAlvbM0CSVZe+tqi0Dm9SF78zhfMKnKfs4kQiTCKjxKPb 9qilov7HaNVAJtUn38c6Y14fZarbTKw+RROp19LHypi3EKF6U2/MpmbhoPDQiS/P6RC2KLf1ibU1 qX9vFhIJwnhK1cCDf4Bi7b5wPdDEE9AumFLY++YYIDOVuwBta+EYp7dU3+MGnXYl8MJgYKv9qJ3Z BLBjZsE4MEjqMyK/RBUThVrvJbBwH7sDIfy9eHSmlMimexjg441V76QaBtYAr8WfYjuZlMQAtI32 9GeG4WRXdZrX8TkPJtiD+j+K6gtdLR7GOfTUeRBehz6kBXAwRdyt95yz1I+aVbE1xkSx7foTjTtS aaTaXZ1EIbr9Uq3j2DCbZiSF9ZIKv3AcLJsrHYl5oC1iJTUtnI118aWjZ3V6Atku3s+pt4FOzCti 54Bk21FftABbTTWMonoGxMPcoDXS8b/jjjZ3rw6ijpCKp1vk/wnlBja8Z7Z2Cc1QXXGwmZ39obYb txvUfgDKFxaHrFS+Y0bDQbc33A211yKAPdRBOHVuo7KbP9pC0VMGBHyeEmFmOu+L5hGCE+nG7uN2 8nyQxq77LafJvcAFmQ+PPCfdxWmhBHbj/B+dJKoboq3xV5zwFUVHppX/HfpmI2MRtzX5+BVdRwKx wMEnblL+a6aIY3goWt+WekWiAdcoz8uv4NRjamDHF52/EDqUcv2WVOJY31nHmCVkh7VlIkZYKOtV /289C8go3dQBFSUv7kwKC5nKXxSCXuTYs8b2q9/HYzW9hYeSFcPv7HF7DR8lcQhweo1aXp3ESxFv e5aWTieF2rv1JlOIHv6J6kOkO/hRPWGSaU2VFaUc4Jd5UU4nhxRjxaL363o7aDN5g6AO3ZBAmlAY /kQjzl/2Olvd+npdSLkbW9KJOlqFcLy1En+jM+Cme3pumVTuAvzGRnFBPmwpkWqqzIEgzqma9w1I 762tDTt+nPTHFCxWjM3TY79/lSCX/NubJaxbX51VYdz1ZN5oxi/75BZteWfApSNExeqpDBPWGpS/ VX3e1gW3Pr30j4mnoBuMSmqQlQ13L0WqTodixkHTY0Sd62ZtRw8nGI6uZvYvvS7gd66tvql7jJjU HH7STDPaY55NND1rHmwcShVlUCeG05jcRJ3cS8a3lT6dYMHXIUNecOGULYoAfWSooS6PSQ+AS9hj ePT/ZvJA3Hys6SWqWYchgnYs6+12Ec3nGdmr/8fTQTSv7KnG/CWRJ2lLIMv1jRA65LxWLak0Zeol EyqUbTz8tSHcVd7D0CsELGSE7EwVVDWIqz1arHnyUznudjYsQPrrtqRPTNqJoCWKmjlcAeElR/KD 7p15+PkAXGQU1IDj7QhyKMf/nvm6vWSKU+HkrujRAkAgjuwd92opSx/9KgTdtaap7GF2iFLRu3Ec 9pMr6ByY1K3oH37V/lNcSBxhSzOYqaylA80EnXO+vMIwZFTBuuf0lrGgD9nqIpn7lpXiAWDOVziy UcML8PyuFHkl7Cyu9aQ46V/AuBTYwwvb8/Hae4Gj6sEQ8O+COQWppakpbDXjl3TUWv8/nT8qB0id uV8wIEAXZJdS/Plj3K9RsrAM5lnB3+/Dajn1zmTHUBnbN6hOp10ofDHfyljH2caZNvXLvzLHRzHE fczvBsl/Pee/QvJQIEnEnKZ7bZmWGgwZoiF5rpMGHYPvnhVkz+nJ7tJq53Qmypfil6CgJ7DDBBOl 26gdpcwz0zfGVJpam1GSvE8vB9vBzdy2MF3XNDL0VVqXe8uk3RqXAa56iKNgkwJ/BfCKxriYOeiZ noPeQ+qyvsx29OJvkiuy/5S4y7pRKAScFUGxe3p3ioSH2s+IVQ3pwkPMR8S1Ipe9ShP2jGUhASPP ENslEBVtO22OgG9BwUgY1IRtPxcuvg1dn4f0bnjZdOSnNGqy8cFmfVoN7JiZLF/jYDAYUbGuJJHw AtMxNJsZfBkwPhmlG9ZhLwiGgg25YZbqvIlJk35gS6swmKGwD96UHYbqh4F2eqsFSnGeVGey7rPh Q4q88X6C2DcabrvqgLlodVL3xi+z7wYnbdclXwgXmYTmaScro496H9fCn+ODTTemjzOT5oj7AEyW FCG9awTvdhrbn0K02Ac+PwqcCp8co1eU/6Ltf8sMUhAOC/ilxGzSA/KW3HxV0mA0sCJJPw5f8Bos oj0+5YMutMlD/+vnGQBlujKZXQXCULzTZHbQJcC9W1zWOdY1Ei8OZTFH2Hix0000uMqoQCelV5kX qZMcHIWcFNlHoJgT2DeH5DpW6F8B0brjEZG8Q9YI39WSYV3zB6vnNLMU3dmPNCQgb6VF4OwZW5KT Kg4C13/6V2MkY6+CYzr/elPFL9y+EvH50ThGM4c6ngPMjtHjM7Bn2OrlNwWLwapvJDfOMcahg3D7 GZzXINWziYV5ycmLeZk4BZ6KI4gju9nWofO4YgMsyZgVQf6DyW/J4JKDa0YNSIoas9VxBeKlslUw mf39XoGOYFGOxyY6yBwrecK8PvhVXTv6oZ/IpcvMMsCIOPhj6BGYB3cY4gRPg2FFjFxCo6QsZFdL Ho3296S5xiVgp3DVffaGdduGOP46ZMvC6HP/KNkX7uoVMCSJficM5hybVWSPJ9iNOlb6uW1VX/WI XjTXudGE0s+ZuFeZzm8lWFqEDBqTxen+dCak7OXn4zBm/XW2X0tQe5o8ypRN4kArve/jWXHKYL+p Qmzg4pGMwp3wqsaZfEB28Qa7f0gRFoRNTy3FgUSIqiM4kXdzpoz+BLMnW6b7o+EjJFWO8nrgCv2R yyY/zuVFppDwfDUrHML4Mck43xshIBFlIX8ThGSsq2RgQJdV2lp5fYUk9DV+C6RysbnnrS6heKqY DxdhrAnf88oE3vRKsznq9SF3iXPGFHynjw6A7dXCySE/b3Y2/gp/G6rJHTcjrA02m6d7orNV68gd NBdZ7Dr2zsVPjKE/hec1IzyeOKDIn7JumzkmwHjCfVY3SmkoSeHJCtW8RqY+ieXTx4O9HlvOI75H XwXlNFAgbs22F9OShb18gj+Re+qBHU7IFREwsexZTijhIExQ8CVuaB2qxYiOs/AHJyAMwtS3lFcx pNPTyRu5hfAr3VrxFB9lQcOOQfJSyBq9myzZVcVWbby+QuqB4/RRYrHE9pSukg+cYuFX3L1mo+ks vkS51s/IPTO4zWfQaY5jFaMVPPoA+P7m1yq1YZJGFvhyJZngdLhKANF3vbA+m2l5BS1KavFWcSu4 HbdnCV58ZTG3rDqEIRSdEv9TUdBBQ1YZCnNtAE+C/mNNl0xaqttWTvwy8yN+Fi4xmhr7DwV0RlMk 0qUJxPX9xPcdMW/aWqalvnQdEdGmfaZwLc/zGFaa8PAHnwQZRnSznN4pCAgEQzWGMivGUMJgPYMk /zL1iZUqqYJjVhh2zDup/D3GkklbknpN/vemuP5oamJwsOEiVn2TR4WmWprOzvr7U/w+Btgrw+jR 7V/EZyvPqS26+56BMF2PqjRL/x1mDKb3ErItRKnMAjX+8P3SCn+roQPDtGSyIqO+M6XOLGx/9WWW VUVG9a1yLv+sml+VLdcn/96u4DLab4250lTw07dD8+Uc0LwqYVjzK2Zet28ttSfj+FyckYYMaPwG mmAMdP15c+snOsZ2ZYGItm8fgkExpVQZjgyGOU5a4xLSfjbRNzLn6lSl4Yyg5qPsWoOPwY7UwvCZ SMo1ioOulAEuVjYFp2RmmdiSgpoc6JNEh8Tz6xbXFP0xTCjpjIvP05u6DzFHxIGXdZDJYplsgcMA nFS8W6j5qqmwDAKeTtyArH4mSvin+P28vo7ZJe3qI+Z8B+mA8Hpa0lJbILixHoDeWmWYZE1zyuL9 qCZjopGhbDz9Xsfwc5P187SY5mj5cIzynwRxTJ1snCrGdG6gBWOc6a9+J322Tl7Gup88EPOTjg7L tS7b/HlUDygAkcTcG0m8N5t8NaRwJEz8Yq+K3r65KRkTJm8UsMp21hPOXxBqLcEQeqSzuAO4gc6G dcy9AsuKjZdlUZO4LhmTag9gyZco8Mio7mzWah8O96mbmSvP7+ijFhdjsyxE+K24tqgQk/TKhMSw DiGGLIVUz82VHEQ/E9HWrj17Pfbwrbh9CbYEjfuvDGx5FC7dqiByCxPzpxhTrAuUfXZh7tlaphZH 94xyRUnGti5wJVIaQ8n4HQ2OMhrZHTmNjECS8D6Me/3wIJJtjCErPN4WuzzisR7HDVknI/waENRc PJH9jRn3Te+jpYv/WWCilPAQTRSHxpRer+Kc6TwlsD4EGOkbtkauNSvYKU4cXxWd1qmnUVc9ZWlN cZcrUKLGxp41dYlfOl6oA+iyfuEKs+DtEcXVRtXtT3IaeTU1ioqL79fwTBdaHqCX59JUYG6QA/My QOzr+oVeX4Ged/xYmK58FYecdI6s88don0vL51pb5ejR1xZr9WEu9D5CQSfnKpVxyeLlVNuL4oh4 cCZBsENnx6E9YPy3ZLUS8QEGe+F4W/qr63aJJVePtYaBUvFe1BRNOe2QsNs5Q9CWNH/EQ/+qwWhT DjSaV0ukC+o9E1ClDT3hHpjaWGnXyDBWhnwgD+hbfjAf1ICtQ4K66l9asEwVPObGkzwBWNkP6AN5 fvB9dko+zcWrEM6u7A3CIXVV3v69KFVVYV5adBiVwga8TbG/6a77PrMYgaxVFadfLGZHI4E1LHV9 4Glm5mrUE2KLmE/eKnQzs3URN8qTjATy2G0CgQLvwegwcPl456CMg+F3biGDRcMQSuF2ykOoWuEO lg/hjjOBQFdDOPDQZysvUKDTUsFRbkx83h6U9Ns3tb4TU5FLSzrQK5NDQbKLg5j9ZIiADM6gufZ8 7uP7UC60sLuhNWRmcAZYHqS3BRCIt8hDVKudhPaADRG1Cw/P+aiujKhAzhyuanTkShO1XKy9axGz s9AsPjp6+cu5Bj2l+kc0BjNBJzlXN1u89vQxPPIhRcSk2tz9/hfpJc44VoKJA6F5zBIL7T4crIjq ke4j62z2nRA4mzJ7XIr5K5xjT9nyg4VB6lg7EKTKNfRlZtwI62edaobIL/AgpKbMShhHtW+iOL9f cHmIM+4tcX0Kd5tNGsfUDuIpbaHttwmrrtB9KQbz8ZQnFMi32nvGd0uD9HFZFb8wMPleq2Bt3mCL 0huGcZgvmsaSLqpJIJz9lsSOUCFJVS8sshrTUaY2shUi7do/yABzltvpdukBD+bdyoz2+qDgv/ye gz6l+CaB93kwn+BG71UpGm8WO4sqp7mtAM94NjyOvO4IVXEsOElr+GTw3wjRlmSqf4oiKUsewUpf RglLD+Fv4tipRYYMSPJrNgJJOy5q7BeO08CUxxXrWRaDcyfZb0AnJ4gJ2327luGwwuQlPAUP4OtI sHr7lesUs2kav1O3rq1MtBtGAEPqApT9BLHdYcTKaV32IbkJ4b/G8Hi9+yR6WF3BbhKrh3/kSAOo alxPyiIo7eNnsrm+7qfE1k47K4jmEC8OUCrjX86S27DKP0nj/7cFb9VlIOgre9fZ0cW0nY53PCOd Prdu8qY0faa9IuvTeyOqEBSCVr2HcgagdklKClwkjO0v+ziseWnLjNDV7PBAbirOwLfveQpjJGRg vVx/8vEUnBhbuRqQRPH/tLdQYr7Ba+VGqIukWMseJt8NMFnriN4AZE8cucpp9VCaZaXmtWQK+jmW 6KfT4UcB9LLspaAurneC51o5sXi2Js9Bfv1ryAogTQq47s9R8uiVCBOvvnbiY/RcIru3v8k1qy6C nTR2XjH10ocWa84cTj2Xly36F+fNhEDywFqh85wGebVvfznwyizikjJdofhdQd35yeR/OYzpF4z5 OcaoZLoCaGWKfWpVLMycaNo+BIIFqelyC6FYsMzqxWq6ubhrFZSMze2N+SU0AYS9KJgFuFg1LvRt RxuQFEKNDAMhASjuxowG/SCc89iMYXIqsFzFCYfEAlGJtaXZBeXghrKoLDFYJAJn3WfA6P17bVFr CnTr4M9PXapBRXFSIr8covRHCrM4pr26soGGlIUNhdZ9AJCjujyb1Kwdo4H3W0M7CSbdnAhakLFL GRoISJpFHVMc74GlfdjehdME7RR6Bofk+KiJvfkkFBJBr3qLwFcXc1KTaFIKIzWNS5RcXPGcmXV2 /LfCuA/63cXgm/VF9CdzxDBt4mtIOhKSHu6JCGeWsKc/hnmnd1d4vrqhMZO2lQFaSHjcaZJjvAuD sLW54qu+endglyiDl50dgEXdH4vW0dH8+/RmBvQHX+qmXHXJDJLCsJk5oQW992b1Ri+Sx8WuEV2c YVvb40ijvawaQa0t+i8FOtOf1nh3Qxog7YiKby4NRy0sOt5XVUu9D8Dl+0LgXr/H/roRS7OP5hSr 5pyeQmvGmjuHZ9aTO2Cxs6ii0oF8e1unVUVZmFl7UyO9MCuXegQVySaRGjrpCJMhfNVtYjmoa9bD g1dfMRIOgme04QOqhKzKdsEZghAuxLGDlQImUG4niI6VPjpAp7JqEHEK8PSQ0DZlQwqmSGUJMfL8 UmA440RZNLv7d1sm70xkM2wVnUxXNCzvhpQrUlveuRsmWOiBcNxdPG0ABzVU0naAuVvRg0i5SJlU m8CMoG9ns9i7MP9AiTbPXvd0sk6UmOGaJZHkZwlexUXBJRcNS64yrCBf845xlPbe5dd8CQWwpjfb o3Ca7NbkvFzRi/M+YBBuy213QQQdKPZShW81M6zcn/T9Dlv3Kgxyp1+74+yuEVB1U7sh1k4WMKZT 326uQPbMGqSr0kg9A+Y3RwWa7zHk55EPYkvZYZ3+1IFp9aXcaXgKN0lQoH3n3k7ywUpUJrv0kXyO o6Ib58kTzTGqtkLMGk8ygDRx9SaVPRKjKvjDQE7iwDgVh3hteqH9Q4TKX44+P6JD04e6toWRFQjE uEQ86M548cNreNFaMA2RDzGb7cDneu+vyumFKd6VDZoyYxJ7BFiR6rj/STYrHphQbt+rYgzvv/gN TR0SrdljMzsuJd1WiWWQzJ8shG3Hy3hRRPPT7EaVYGnaKU9i8J6hxsGPtePENOExlnVo9vuoMA21 t6+9JZxw5rnnbNAESi0V4xq17/wSzadU5rFBZZPbR7rjVubV3h3L6ZT+0RiTwPZbw19p+o6YRRlw Q6d0m+endLg93N96qhLCblLW0VANmeSo0JAMWKB098s7NiNaINTUZ330x/7X6QViFSAm8Iwji71j 8aZ2WWlcyKIZm4QioeGyVdB1luDe7PkrbI/L+WyUZU9OwvMiNWH/FfSFAcRQ05pihO1fyl/ssBuH u6fBeCsK4NUeuo1vzPfdvDh1WLP+qZUEPiQevLHEkW+G77wNtRLefv4XXceQjxHlSN9yzI/Qw5XU 4nnRjq9LVdjGw50JtGl3+rmVAkjIWJNSDWLKeeqjWGvt6txP/WdlD/mPJMKvzckr0uZdypOGpxtz X0ICLQGSbams3c6gheybD8pJW1WmEiMBnsPyhonHDFhD7JHI0NXCAEaTN3/zpMg1sW2PyhIc+J6k wrZNiiU9Ute+cv7stlzUgDbliUBogvPdq82CIAX8qo3JmcRjaNDP5BBdS9waEH5fo+XOBDiaDTAw CtTjo1VI487Fl3k6srqAx9TttngGqxfH0k9gIgDVhEEMrqtq+XK1Ik+YRvCSo1WPFc0Uh//LZy/m HmKBMTseFqkk4SGmmyeLDS9xCzXv9dfWr86WGkSaCrL2tjv9mUnkLhomfBmM7o2oVuz/MPiHZg/v ZIT/BaBJdVXNpLRB8tmt7VQjO71bBAZaXv7FcXzGIoBX1kYixMyfrb6324kZyyISX/3IhowLg63N vVBpJMHPNnOp140YSXrDnDZ5Lh6J7ITc5ao/PYtt6ipOCiVjhRjimyeFmilL0plT+EBADFuqXb8Y t2gCwr5TGLr6FB9avzSjO4W2Xj7EeKQ79wKt6VQYbMz0e7UfHso+T/sUIz7WRaRXO/GMt2ZMI5x+ +WH6p42mB/TKqM5iHOMTsc29ljJb/8sh9c9ECJbeJfcm9+lJHSZdH/P9C6dysLxdU47DvFUpWYfw zgw8+UdJjFkI4cK/Arxq1Iq3Lw+bRGILwCj+UOEfTelZcNolY9qkns9PlZ5CZ4GTxreTfbELVRnn nosnQAQnJJeIRPtpzhmINNm/ylfnE3GwzD5U5JTq6cORl7X5Unr+pefGmijQsdObqi4Vw7zK5wZR lL6ZudEDi+QVDESdFaEQdebsWWWDHkUutYDKcYfQoyaYPejXjJbtLIRQU/W4nILo9x0kGeC/P+G4 ReN9gofhulKw8K88MZHjnFxp4D9f7JZEeXY/50DgCaFo8ynXT43Oudgd2qVwf7xgpWc6G/c/vtDA /5A5RmL/GNBli1SWihlI061C9i6ufboTWgvLV87jH/ymmma/iAhbvYJwFT/uAZN9WnS7MGNXzpwX TGJfAxG3wGbQX4l2E4oiFRT4STNFnxNvmp6FDqXpjbhJ6s3LHTXXTNhlUp8g+oJG4slpfGJ5B+SW ZSBL/xTu5s6Q+Pr5I7xc+3dUzJsLs2YRGl8gI0+16Lmu/UCKZW7DZpbiWNwyJEY/s5vSdcYIPch+ g9j7Hjb+x7llMQfhJsmmII+gSCUqTaSL8AFjuifxXeWWXQ/Np6o0pp17znKRgEdIuLRaflg37eWf brzwgBT5jUVdtdGAMqfFSVt/Qez7jLaVZrAONij5veKKYznmYCGZkxcnYpnxTyK7mxAxv09oZ7pr fpD04IA/sZsYvtwBM89aK72SRv7M0pFfjirD1meEawgigUEsI0cgElbqYf88dzhzjtz6tllYxDW/ FxclQzOVJWea1rNIynSbYgRn/OumA/jV4exjxiooNKh5CXCuIGBkn+cbcKt6j5MwHt27jaAsx5K0 0C3IE+UV0tOw7zssV2Yws3wGvLxcttd7Ei3bnhW7GjwG2sdR/oNK6rbVD0Mve2Ymm5RpuKn1bAuF muY8PaCAh1i+bFhJklNFMVt0xcZNpX9YBb9baUOTgYGoYjMIVpFn+vKX5gasu0cKT3f5xjPp+S56 4x3PUQj9BfQx/zS8TrBQUXYL2WPm8XhIidEgcwT8jjNtQm9J9HqJsUljZkt7qcRkqdIIS1KHAJk7 izmhguDfQBRPZZjmCckflxrFH0rql6NGEG4BOqYZrpGTRGkUYQoyjRlb9MCVGjeznA9+GjIaKA0C i46LJvXszYM+M79attQ8BKXqUzjc9CSZCvNiyb49i0RyGLv5xrYjllxpQ09qX2omwsttnhIUG8G4 zA9o51KPe4vERA/1VDQXdWEOpwg6wu2Tq4pLho2Y8r7lK7yULR7SPu29y/yYIjs+kIMR0gO1oKI4 /IV89oqNg8n1XUcdmpOk7Tbind0QG1IaCc/ei3eaYwm5RmjJgtAmpPSOEaVBoa1ZJ/a4UBjd5t2c jC+bPLOPorJIXh2hnmhg0aEqs1Xzr0qiEShkp80gaeIp6uXnaBC0u8DgnSrnyom/Z2aS5XDLtWOA mQAU8WbndDiYM+1F8wsFmgU0MIFFlwquZ4Hm/tDqwgrZBKwRgqj8lgil0okKKaLUZqNiRpxFtkuI bbJVyBo3w6vwAlnfbz4GAPAGbZrLqG+3EeZyodYf8mNTHQWfuj8l7nvp0veRe/KxLlUSHEtbNy6Q z42MXeEpwZooUKbqv0qg48P2EvTwcUuXp1PTFkOH45ab4T91O5bWgfycXZCI9g5voPakcmKxst0o Vp5wJRdg4wH9D72FSur30kxNFuOsY3yASHTBaAfwgYnPRvRO+KnONd9WJngk9OhTrKRHeJ6PObNB PT93+epER/fVjO7GpE1M6pTlXZDhg7tucygf1OMTU3BA9J139JaJ7uXa5gHCwvZeHFTFqH2aIU+i RFS0WJLknPoCS0AXxdSgXy+nMizjmVLSvSIoGT21psHwftX0PRPUegwdMx+cgiyc9jw+ZAYP/1M5 EZ6aDNDVJ3reFU5W/N1oAS1wcPRBvxCBNRtCJv+FOVvZM2NP3k/a9gBE8iRgkosfauZHVXAlb+Rp t/RVVY8MzljyxJN6qqkiEawq1BdzM2R6EI9BjuAmBOJZlAkEQFvRx1CMDR8fq+fKPKTsbrgiKzKG iZYJRuTTGCygetqHk0d/MSh/xoyRhA5340f9IRNVZ6bjhWrhYF2my/mi1xiaayxFCsMgqB8BUYIj p4Dve7zpaAEksi1Qut0b9Ubf9A9SndpPq+pzF43SbkOzHXFeJfwnrlHysB+yGDPmc0RnFgPsYrBK Ft7po4MRQ99BYM+3p4z+XVS60IlmWYBhmMQraBTCK+G7merIvzVM64M+pq5yqCwPhfKwtnxGYGol gSUqlqPXNjS0nahPIFIpRVNJxrxU5HLXxtr6gOpI5pM7kgXJ5uZqa4TYDFBXoSL2rN7c6ELGjzRm koHo82ivORFqRl4024492ysV/Huv8zW5CWR5FjEOezAIVJ2lwa9HfeNXCiyV5QmKeVXAPyCmtrSu kwI6K+TbODtG4rSlIHuFG8XLMW1XCwL/5JYz9d54J3nGl7FDNahnnSN9kauEMksjPYhihwJKgLUM ofOdvD7dFPzoUCbXMuwMcUt/D0CfFIJ3KnpGtphYoypN205O8r4kGUryHxgbtf4MVg4AFL6liPqv zCmCngQVmqJWVCgv0xriCzI+VhynMepsMVHfhVqFfkg4rTXzGROO/QDJeGQ/eCfS5kn3jPa/M9TE 3wHXzZXqbTapplnSv2tzfpWT4XXaNOyWcFs/UlDXcoGQwoWkGKN6tH9aOUrV8Bl6yTBd+ZS60YFg mmwTo3peyEFn+16yAvTg0lqJWZSHeDwWk/f2/67NaYBRQbBK/G3tD5165RTBEDOD2WudH/A4DIff aiKQUGbdvOa6zyxUtbjfPjV/4iIFaautr49QQzl18TQFSRTVIbgPlpttTPrdsRijtkMdL69/IKeh VlBvejn4iJNIwvyRVWrTM0Xur2dm1t+DP9kzDppU5dnkxsKIp/UxGjWTjqDB1HOT2NH/jO0JL6fp 6ClZbY+RVzMxIW6KGB1uh1GhgJVUcFO+guI2kTvkmvEfILI/Cmz5hPhSZXxOYkcbC5LBaaR2l2TX Mt5MOoVrXZIzZb8Qz+DWKqbvyVO75EF7LC45E8uhBIKIWPbEl8VZ13A2wDjIl+ey3TmyCQSASNwa UXAaNZVHhVEYYsD1hdv4mGBo/480VxUtIxVxNVtqJLUcO+TVYADo2qui10tSzqVpYJlPE3FUd9fd ZMKJOv0L6Lv83hL0WHnqEWojML/skFJN81fYKk5jvwGbiEIjs+5iTEkIt8HFVGrpLrgK216SQKSf h/tCtBLaLSCAwvMam/O26kfqhi8h8nog5p2Gi4O3vMkroW/+6MKDXLLppEnWyXW6l7N3LXoRBfYM 4qWA0S9jzNBFEpOktZQB9hUsj6Yyc//s/HRZ4juMlmidNCSYMnrRJ+jDs2V7qLMdvJA/PuWdQWCn WhjXCIQw5PfUVf7E1PsqXMQ4YajW7gUfO9QsO3xbm14WO+NO5pqjOBHr4DDZI3sTL5B0bfIC/TcD FAJbi41SipAxj7Kh/Coyfs1qNauC7du89XQDJqTp57NelrJKfICdZKEWbtrQpJozDQnNCIOImS8F lP/+LDOtqi6XclKqPhUaPhiO7EpS129CnSCDNxW0bRIwR9csHjrY9+S2CQfyOBxrlLZO0ZhRZX6x jLAIl6bfWYHee2osrANnNZtnLTBmAFSkDPFCGvuU66HYN4McXfj9ihz+2cl4wRbn0DKuSEyRFId8 vDRSYciChj5K7rQTB8TeUHKG+JxMWvX9uuvkqwGVK+Xu/2YPXNCUEgC0woWHdXQjNFzlJjL5yl0y FckeZhJBR8eH41FuYKfIfVbZi+lSU/Kyt3KNxSuImt+SvBIX7nUUNxZhjPUQSNfo9C1CSZSkSLmI q53XInl1h6iMHBxabfyWRinCYHxCJrF+pr++iO+3k+WG79MaedkofePSZLOzA0Y3qlXqmU9NDVWn z+rPpv9f5o7LHTtxjIGb/IS/YknOubihLBhu1G3Us1IsQ/n5sDwB2aaM2Y77xivZ3SBDKa5HfKZ5 yi4d2eBDovL8iQ0xmegFHOZMiEaiqg1wzH5FQvGB8PLtCheyJXdv9q+XIHO3PR/Avukc5C7cl1LN 6QiQZSBpSNKw0XOfmS7QMyfqZe+KhpDM20tAw6yLATBfq/ZxMUEU0/XwtYWGDV/SUBeArgAjq2eI zZ/cUMlaguEHvEgY+v6cSeA0GsVw6tGYEkaTBOXVLqkOVQ0g6WgOYjGogUC7Uzari318XJ5sxVqK 5XFwcDdAQeXhlZD4mnb+qYFRe/mN9ReD2SgzyNvmkn1qG/GkasM1RAUQsBXVfBE43szNWy01PH3W 4ioQZxCKMq/6TM7nGV+S2BNU8aYzwq1YkgvD19IvIlKyfeXrECqKw/XIHvdBrr64hoU4kJr5Lw+G AbDuCa9PWiCidYTCvVRcLodMroksmcsOpnXpFz9kpaLvjNLV2aBAJGDtATwSwEYdohDqRuuFvyrI bDr9XG2WP3rH9qPDR1iviMJfZZTSOuzqvz2O5cOQpfQppbuMXI358Ch5kGcfwFiNEfu6HL8DItc9 32+pAibimKp8yDQl12QWdaSXctxzCF64t4PXX33qKx6fnwO61vDjtIBS8gDQLt5+hcwpRhx/NW6D +hE6/iZBLj8WuX5wk+12Pa4CuKHa7EAqzUGZvRnMwRBcTJKqHJNdNL2/3RGdr271T0WwS6hPk8t1 XRS3Z3GGZsqslU5vPDZLKjeQBGlVFKKU6NQbotKjD81Bj+Lx2BA3BpEwixg4WrcSkvUCBJdAtkYw w06xNbIjaUX+iAm/dLIRvQie4PuALAZd2aVUx+L+jSonGAI4rfAbyndvxRZ+ki23KOkgaSDVbyOw dKaVHs6Y2VHe9ArT5sxi/oS/EqE5M0L1gKUY51b0iJfDFvWCPdGncRJTG6b0kohYo934IjHyb/dE EkINHD7sfrh0ZsH/gLrGWIQy1VHTWlgHNotnvzixMDgF7PyzYGJeSVnKsdMSMb5TJufhwF/kMkMB fP2ATMJLJl8BcCLWqnBbU7KxxI0pLLdSHP9ZyDMivwHtOj6Af3tVlTK2un+TMQin/6t1dD7uwWbQ o5zVu6Ko6uwNqMkDpH66+A2YKFVFgFhX4BxdiX+ks6EAWTlFHazqEHOuYYQlnamwJkn3cYmT2UuU iyDjLZlwEHq9gPjgcofgaYMuBVtLUaQsr+1qnj2KEesqhYdqWxJAJcXKmSgdAxLkZhMAz+5qdAU+ cyt/VAAlRH3uLqFctcv+LyIZ9kJYykV1EAQ5PLWaQp/r2DVBpojFyFY4jra950P4tRtkpfI+MBWB iSqnhtIp4Hj2iAuoNW7//SF1Z4mzdeeynWT/EuLjIK9o4X+tgI3OQHdhb79dKGYbK+VrmX3gSkQM xN1iQQGTbwJ6risUVTZWXofPaWtYelU3H3SngrfuqvvBh+QYw64tQH18qh1UBkVmfkF7JRR7MGK6 qkZ701DVLBkMYJdHtzfXECoKFVDx5vtwdKKv2gERrc6Elmz64P+Sc9IIoUOV0XcGwD+AKCw6TAv7 cI9ZVUDgc6BDSz4sQ3XMDlyzFZxabObxqZ5nHHCDKYxl6F7Q6GEbjW9ZphkLgUh3kNrCTr5gTo7g s1tQCZyGMOQd+axSFmDaHueIZ/kqJm2dp0rRz6cuaMhRv+P86D559eQDY8VTTtD2ghPGv2vPB1Zi LwgnPB1wDmGr+XT+3bwYpk39bjjunPiBC2EJGaBGpRTMZLbQ8FjUCY8YAavtntbJjCkDQNqSRRQa 71xhI2lheLAU0SsDhRWHRBrSQo9VHtGvD1CGv8w/JCyfhcPpXoWTxeoaW6Q/1bAmbP4uTM2ysH3Q AaXhyFFfYSGFLFVMrJL03bgDvKSZGQnRq8eoTS8uvUMdRSivBf07udKt8PpNxrkuaIA1AvoYts9o 63a6Gdz2LomuLEPGdH1/N+0vzDIukLQu7UQUWlefCPE+v83TFQV10FYu2t8huj4ZOsEpnCVU9JH8 paX397LODWbXcX5E5K0nOatfzip/3zO25mycA1Vi4IxYwB68gcP6k8KBGtPpBOpimkCBXJr0L1hw AcM8Q6TqDKExqFJZdWabS3vsZsUfb9LYMcRnQMEWdi8EHOR+ZfrgvjyUh1x0Gi8wwm/L6MFNd9bC OGXg/zgzToQS9Lm9XflJnv9o4RiEQxvGdFdG3q0IrhUU9JZvxD+x26uJwPQ/qPhI6zJssr+tWdyn AHkjc/ZXbyjbYiJ7CZL4VRTIBO/O4uTVeDDsUrHgdUydymiis6S3uXnPK9h5YFdh3GjHjkYEDBIy HykgmGW/68EVEk4wUN/bRzjgfIt0YpY1m3hB6WJYkuk0RMppF19ahTG9PYStB4vjI5Tpja7kXOf8 ML5zUw9cef3abBVIpKFZ7iAkvpj95avoOzK3VKIqJgrSwkwJHCNxCiPPpTJ2vuyLkSx4iwdussUi k2sUyDRAtschB+njzdmxira5McXvqVG5WPiLG3+2qx432daKgHg/6f9yXpOn0wNL+YVt5lEKSW2Y Xp2KhWpS9qvx9WbUOAb3xVzY8VivGuPoB/GhIiRsSwq85lc2y8AXnNYDa7BzW7oJznyxJwxWo3FU 6R+wMJPPdW5b8/0OPVSBHrlsGmDfxbwBq45xMSR1tD6UdOO5pmgU+zx853SKuoewojChnCKo+1s2 2kfVNWAXxGS4l7JuatywzAGzVGFaRo+sRUdCDkXcyaJjXtkmSwqG7DShqnuQ9qCdi1dH0cGztlR2 ADTyV5ZnY5JN3rI+yE0d1lCWimHYhpRwMiR2ezByGwUyYWrL6AUXfbCzPS+v7qDjQFIopqgUbF2F nHtv5pU53lmmr1065OVyJTzRGKgbSlXmb+zc/aKI3B+cHfZXTEHBHa+z3jJGu0AzRsRKioMBmSls LhP5kM5ratGH4FALP0r1z8aFr7Wd40c032tyDq8LS+yywyF2VRrtC4a2RLNzGKyXR95LVdlGsSyW TmJz21Csl6/lNZ8cMF8uYI9xPcdRe5l7CQLrfEt4jPZtA0nw9QwqGTkYhbAbOKBuOwZB+WGgVVaq N1Jqp068VSY99JT+jIu2iJOSkrYwcWsITSpFQ5u+rvBr1rMihQ124Is5/d+NgEsaDmfrZNEBtv4k U2hwI9nTNK0z6yw3pZayKq35FHakupBMJqRX19jfhq4hXIegdIBnmnGLusws/Xhden4O7xdj75Jp Hndw6d9AxsGNfMjmuMANOeJwXhJ3RRVerhoIlPT0GVPgIF8m05pY9/VZ7l3LC4JScgthAQNwTAtk FFr0KiuNnc9vdMW8O86zHjwJQOh37axsg38wtjcJTkBGYQytfctx9x7717ME3fSk8ao76PFqJgcE yY1eVW5JcLYYCMzKhobyNd5gE/6It4NwbMYZ5bWBrQ+4BDMMIU8OFP9gbwkzzqjpK+MJxwzcjLm2 sVcGbq3tG1zGFJayjUHEv4zrUrdBEooJxKVPItqlu9W3VU1mB+UjVtoXjfwzbFQdo3aBSe4HljAK ThbHpdXOAmLtCmvbqemKMKrQ8Z3tideZU5PNVNbkshjHhx0s/dodjPDl/oLbxrKxDZtRm3E21rnR f29HBSGf3Pu8xMCJAyd50i+kAFGb9Aut3UAR1EcT6BSIvMt0GmK9KqS4GRhT68067D8RaOgNeq92 sJFMS/filTCUekx0deuhgrajo3qGZd6mC0QuXYVvtDPIdY1YiCXpCvHIaU/+tByslGNI33TrCJmb OyXR9YhA0LNPzoJZV3BmMGeUahJjaSC4aA3QKfpsRIXbaV41uuiTWkBz+TahC11WiQSkt6KXA/OW ABJ37yNqv2Nde3pnap+d74hAD44E50xTAvEg2V/vylg/TimU0aO9nsB/P0vonKtsl4RV0UkLcf2j SZuD/8axrRP2HhfHwJzrbdQZLKXkrvx2He4hcL04uu0gCTyQLxBDXx0TltS+tbAvRAXoDZWiJIaO U/XTuh8WxntlLCmMjuvqbRwupZhBrWDVfoKuZVKD+Zz3cPHuB3eUybPvbSO1D9NVwpp+Ewud6oZM 9mO++H8SeUEp3+PNqX/vI6JTm/cSjkXjbx6zOpzJtWbZ2BfQBBcDMbkaGXJ5nvZ4bmw2ORcWm8xm UmCWh62uS5WiL0qAay7cVVFC9iodzJ6CvD9pHRTfnlXv6MPFhrKBlASPDubHrdkQf2GuSAiPLnc7 ssj/GBcm+1Kd00v/e9iFgPVVnOfDlKZW2dBQ1zZau4+LhcZy3kc2k9AwVZuYZlmUXuiQfJy6EOfr vp+c+SeGOE6eGa1Loff9CiC/ArtDHfB+DACQVC/3QFIBBscNv4/Z3z/ftykouam5lAG7d1G7/CF8 AmHn5z7QfnjgN40iOkuVeesbqs+gmgbjRmmOizH+bS9VLoAPU/5qqRYHU1tOD3bVtgjFykdPxkOM 6nydYg+W3DSz2I8Fxtn4OrZtpGokMJ3s+SCQvRMMtxBDYregO24xJ+peSL1y0uxf+lBLOtNJjhLY thWO6jTZNa3p3XQOnfE5WMYq6eHeaSlUyCpbM3Vh0mZVugGwtxaQ5xr2QJTjxxtep0wS3eibZ3+n YK3Y0+5F4uAOzl20YUy46LBcPvn7vjCTY2/UbyTcEN8OcqRXGo8yBzuZJbW+mnyFB2BQWI1miNUA LjIUa1zm0iHAXLfoRP7TT3lcPpqy9nkErm6d0EnxjAjpIDxF5SHJj5sGd19rOMxQ+KfrjRY6tc+V l73xbld21ZdgPpcI/d9kd6bO8dowKke6r8SeYAYe0t4tCYMcgi3MVbmyVJi741QLRezkslvkMDDm ByHjHV48zbceUcr5R4CAxr7KbBOzlbCJFCgAitRBfPDlCyhh48wA/lF6mMFJlOyKKMnNZ4kh5oGr vapUDuvX2or7vWcgTOJeAv/q25a9WwLNNO3e4dfRfKxfQ0bK8ZedpeqV+mPwhZ6efPd8uE+7tIsm 4N55SH8ukj+X65J/X7QyR/fcxCW7XS4bmFPBCsjsV+1kLdit+ICQOs6B4iOMxm3JKQhzNK2KY0Ch G+CoICM6XuMhIhPYxoIfFUCBQDrPCobJtNCTjgKMoQa8hnesvYtnGHrOZQRNtW/CLe5GeTZBpE4l dr+o0L54H8KjRVz4Y8KCPn9AR8yFJx1wRRtmpdCqSdzn3XgSQSuiyg1qqs9lEYKjekVKDihA0hCO 92FOT94NjbLg1aoW12La4WYTYsZQn0cMWtFMCWCI0LxYEHc0TMwX4rtwepQhmtbnq2ctJ3QmAYAv VfJX3Vf5DZxShhJ/js77MXuboE3SCidH/XH3ki+Cr5GRA0JnjveZhGKQLx9lta5G7/JAdDc1A7e9 1QZ1sAZziTAHGuIjk/HxbXjgEFvxqAI+0MZf3PKNsAIDeys05HIV2uN2wW2VdpyiPYoEk7FrTHKm BDp3gLGipLOmAfqpzOm2MWSZxamTuDuelrn+TLglthexqXb/FYSPztl4FmtqguwizSKwA/z+bzCy ZzkCdmSInAu9BJ3sURFUrz7d6Ljf8D5n5lKD8TsLs82pdnYivwSYVQQQ4bEJwJatKN/Mvrz+Apmi CV9GyCg9ctbheOsyDuYc6qq21nSxiGS4iIHppXlcGzGFuS6H/5vAo/b85mEBfYqiirY/J4xY/GLf n3kkOAQw+2YguqqivrN6mKnxBTgiSZj8L7NERepje1BlZ7f4o/PE6tEPVou6fz8H+giuUH3fmx8S KBcrTvId4/N0O13VNntYQK2E19J8jo9b5tth+k+55muSLSCezAm6/wsMI7yWy1tKMDA9JamiHB9W 4JGZ8yy2SSvXlY7u9jrpEFa9YKDoaghFpxVGjrSl2fj/x0mr+1Mg1BDtKsj/FE6XJjinQU6LCAWc GxjxrMApH3oQLitNZdO6bMGra4Dntna3mQigAwRS0+HHq3IX7uCHn5aek/t3byd8B5uS0nrIcM8b cMCktbIz1jnFXMn8o3Jxqj50GqPVwVglGJJt5DnjQBSEvv2efSdlxzRMnnydcVJAKd9Emtz6EHfO TQZFiU/9X3aTas3Wgwd+thcRlitrr9rWhC50x1Rgv9KskQLQ+iNshBNwjSTM/hTO9ZTKkNHZK6zO 204JNa0WaDRP4Gg5fYNyXprgDEW2r01dObf6yd3BFCpirqo3RVqIUOCuf7H+LGlNbxwb+0hmAT6u mtpxDSqwmT3Wndiwn8wmMkq6OVEBVQEY4a7hV+x0OW2mh5l3TupDODsFQIjI+vv96bSm1ymXJ4kJ XS7ZouIq+xfB36H8Wu75gyq2uGlALggQQwtaBEXzuOd84cP1RHBW43sXGGcrvxUZxDl/2GXbuLUF FdnVUgSwnEaLOAZNcwbmAgzxiLJpufrYKvQ/7P0jpOgO7XSzzBSF+oNAr3+NqCo4HysxWVon1XbP 5Trtbi6lsc0kywYa4FSd2ii6j+VGOwEZ9AsAcf7eR7hL8cE6f3O9b0ejzrFbT1A5RtRBC98mM/D6 6RC4P0dr4XL+SjHY8g/aXfIAmfvQU8kaUfRHVDQWlA0pZWukuMYgVr6JK3XnZqJFibsekcngQi1G velyqP5rAkLpHvBq11jF5qCCNbN1pxjhzZIP+QcnHjk2vq/mywuZafwY7t6Y//g3VdeEmClnAk5W eXQB9oLexswfpcK52wJbUsyxkJ79JieQpcBqzwSfbxqGtGYS1pgGBjT6mxr7aC4fcC8eD6xTMYj4 q9p2XA5U04rCr99np8cC7yeETgL4n8X+1UGIeE1DaQiklpPqqK+dxExcHQ3jZHl/XjAKqAHcoUjT jCFMNGb3qKAw67iBYaa12/C9XQ2mc5uMvWNM2I5NbEwDg0lqp0kFjKUYKGbtprR2ROvB0cFw257k lHmi93ND2HMp3BzqfKXEWf4yO6xpon5ZtWf7drl3LcswktVwzNGisOIN6eeYssRE6lkyJSxQs7rl Gx885IHkxJUTah+MSIYmj7IJKbcY4eDK2vfIMKC/cneG6yULMCkZPZ5KnuEuZA3agN2HMK6HZEk2 lWFvURC8W8Qw/KcsHrtgPKpiLpAostCAu1wByJ1JS2Uw/MCDSK3KDdq3NwPtjU+1nieejbrSh7dB WBaLFzIJC2+b2F3K+0DgtCQ5ERPFieo8p45pPwarCU22IAO0stdEijv/faZK+3WJCVRdckMKfQiI NCOZQOQAilYGnhQR+Ro49Vsf/yWWcuvh2xWIOCLy61gS1eGysW5fe651DsWnZXaHJGpiqisxZCst BnruuzJkoD9yLk/vM+cbdv5xRzyV394OGGVa2rSL/vuJwFfEyxz14FXkBRUaOXn2rN0GyREOne2I 0GIBJtgZ6TIP3uDPSQJe3ugR9cBeJEAAKUk+sMfCiUb4aSk84lcF7ZNmEnv7Ppg+5UIyfm5sosaJ 02/2xuuR4IaNg+O/smVDWMtke3LVOMIZLzABVor3UBNW6LKWzFuluwBSNazsY4UUs2pRIkaDjapx bUhY8FmdvaTqTue53CMw2x4dNYXvf3br4GbD92K+COkX36atWDXfrMz2gb7uYKJqF6e/0jdLlNYr Hny0PNetI8tKMby4eZSZ11zccoAv3ixOVMCrkG68FeETmJ4P7Hh8CGOA4jCA7F0f+0XyJcRc3W3Y BaAKjAZKFizd7O2DYAW+Fn/+toJXijWyF5LQ0ieyu3A3bL2nQnXvo0ld6NDKNLF+hC1zMEjwoUQW lhRxCsLQwgtazJ3ykUrdxwfwzplGrsLwAJAK/fumuIRxv6jHNlUYu3Lq4CMRXZFZqgwYawbmms2y I7r7s76uvhCa+31UbWy5vbxRMw5qcvUytoguceB+HPxBLs0MhV87cPqSeBujfXKbACDWUV4i5LiD wBuQU116rR42TDR/MU1iRoXAJyTbrcH36hVJbSoM3sJ7l/YTSNqp8ih9poIcIH3OWLbJ8r+FW89N OGSCLc+jbez3Ri5bBBG3dnMcu0WVN+EmnUmqnzcVkHs2WL7HHpcEt4coeyzh5h3HDxku7o6ZNoPO 8Siw06mk91aVGYF4MNsltifPa04jLl/4kaYjMaIo4jJbHp/jKe3fzE5qY3yX/XXACicTYgslMcgg Khf0CR+bRG1gi2T41GzBTMjQHK38MAJhWhWTTvlXi9k9f6fkO7/wRUxqhWysTi2ihptsSDqbjCEb RhMXm7S4BRvGyJsoT+FHMvmsJydH3K10RQpx6pWZy3qzsnU5lFkRbvQweaD6LvCfnqpISMuACDid pExW/XLGVwKgFXLQTf4S+uXlvXnXPN6ZKnBFGUkbCpclSofcrfmyTP/0t9XBay2ocqKzMVnK2xDZ /+rbOWzPKsw9ho6tIdQgpunf7Zpvh9DP8Wh3ye94ifkmcUu4m10gYeCE6IKqQhe+LRryoP9Vm4m0 VNfPH2bcqE839DaJEayijnbhLK6jMZhQ36+bE6T63W3NSs2lgn7iAKeu7ILydCECN/cDjdsSgRnn THBvzkEfgv2tYyXI8L+hRC5QwhWzlE1CDLwjxLikJVM7ZiHqjJQNCKyGGoPsAE/s3LNYcrYwmudu RhawHfVnLBOU4NocROTMNnanxt8MbPp3T73Ozzf5l+S67qENtOoochdPQhnNQjhKkIm5jL3NFVTi Vg6GxPeWuX/bVQlBFNXnvdGKh+VvF+QKQ1andpkK8gC1MPh1HmGaY+jXbFg3Jnk7Iz4o4jHf/Hv3 zeGvHxYStPQ79SyxDMLNs6O4E5aCw1PN6kOZYmmGIuMa0bHHpE4Yp5ZGTsWqqFM/8KyFfH9Tnagy f9OUBhZnLpTYSOopXJTtl9TVvO/i4SBkB46SW5y8m/WRxXL7GkYLx6yPUSmkghdaUhXQ3x9B0oQc qlNIKutjfJ5oyOpx8wR4a6+igxcfL1nF7lnMsZWiP/VE8UJz19uiyT5epLBme/2Esz9q0b8tiS0x YoIgBwAXZ+mQSqlmGLERIfTL38sjW/nBgnhTvMwDMKRdzu3pKbIO0sf4MCraSpo7VZSSUQXmV3L7 gIvTdI+eO5Xrht5r3HfAT4DxTxDmD76gwG97Re891sEjW3EbcC3chZCgOtpLEv+tHcTOtN0FHt3e ej4n75GnmlqX2bo6QLoeqZhsWL5XuSrBn3RWx9uqDyuJLNy6FO8ZKkyjtg7qkz09VhKLGIvcqa2I VzLSSs9nT947ErNmeiKG6FXfEkGHKTOQ8/VPEfFiOlpPJPYbrcpBaCW+m5dG7+3WzlID7g4rf8lY +WdxmqQ0ReWt7dPhCWUKeuHcTqCY/c7lpVtoeToSdiGPLY+MIHMxUGxG9jALY4qdZEL8IoNheSwl o97HXemPM3kb5+vrydd16QpWKlkNJx38s/bM+tBUNt6fO6QThKPv5jJpEOtb30SJcqPJ4dhIDwhy V6J7KOKFZktxKZ6aXJn+tsXRou3FhU8N3CuVgbd8Ri/ESAOu409NszbgbdESSVHMGkElyukMBQGW HYXEFmK8o0DMWGQVfkfQIWxMzHH2k2mvZsVG+z2bAIgVMO44Q/25myj+xJpvClaQy63fWfFB+7/W mDA4NQFx6deHPHZmnudt3yq7BpuKVZPA5e32zA5SV2RXN/EG5GugykuMsztOlgsLBhlWaZ8DKXM7 b8gz2l29U4ZtIRnrPsWYBV7KMmXZB9ZgcWLY5H/dUG760yfqqiplfwOYCJR/pwJGPlwPDdVKHa6N lNqS8ougZBY0EUrK1IjUlKm/QMCmrk/asX1rIYfJ9qcxUBsC0XWTB6bDW8bs941Y6zJ31j3fuf80 gmj0Xz46ZFWSn0wQlY4jB/w6ZMb1ofm/hNhLfXtqI9t5uBiSXI8tGKkx8ZUYC53RnthltXiDFhsg YA08lp95NTSe6zahfEdzuuEqj1tU6EsCKySWY8VnY0P5AIMXS1+pScEXoTwXnr77141EPo0JI6ho emwiNrB6isS+Qprz6kskbLegmqYWzcEr+Mx8C5ifQI0fdoRmMGV+veVsFXJgswegTwI0iBewuBlV R7JiHZ6szAG763JLfq5YYlqMvPreb5XtLpupeBDpHFQj47UgeDcO40CSoun9Owi0E+L72uODtjxp pkkj/hgjDK9QaTjrVTLPGnR3FG5InrS49CYQFApEv8Z/dv1pQw0yOT8xHsb8Brkisjx2s9TdWR/2 F9VPP2aTkHZOC/frm5tQuTcEbOfp0gtYM4mYUL6zp/mrIUIqyOmGOUylgcQ98WaZ2kMHdGolykzt iPubp0jW2dcjfEQ4WKWV/lBVgUiq/dh06G8n25ApoJLHoJhZ+q9pzUAe07Nly64Jrve9/QnpLzrR HagZfyvV1moNXAJHUrQ6KBDWONH13P/Pu4BYPopr+k3QN7oymXc1BGEK5O4hyiFvmJnNgU9yQpDd pw/P5Xcz6j4MWgdYdWegjb4n/omfuOXOJL62iExhMzPTaDuo3FItFBAAsO/qWLMTKlwUsmCq2Try iDZSIZEdPu0/ndZp5U9dnp9TtqQsrRzW0wYdl1mrq8zjfZyRRCYwRyY6CBmKaUulE1RMl+SzvWO+ zqhi7euFj5SZdi11uUHY29Qtng0ea5z86/xT0cJJMwLgLAGDcw/rlobzxoefhD+c+4OnOmOFpvgm 58nPPM21Y6GJgGXnhjI01Yf0da2ZdVqEhTNmaDsnPttgQCkHLp6GFF1PIC9twb4tJIN7JLsE+SC+ 4/KflLXLnepH0lNk6dyBZq9hPW9wM81g/44veK6no7iknPIXvnqyw3+h4d1I645P9DBvo70ZA9yu uKeof9NHGr/p2gTzYUhnXhvIr9VWNolN1ybxjkkX5/5prr8WE7NlPHKPlB4A+RDSdFFswc/buOsw 9LGYbVCQy7JUFcLLD5N72/lEtKxrxd6XnPIP9Z08mFs0K4FegxzX++r8JgNuyEVYyAVWkXYnqQT+ qiwiomdurQ1nTnydFantl5aZskKBcDPZIZqgmlEXWiVa69oaqQeotRCtHNhH/GkUSzkJpsu9Kp6f P63Cu3VlEaznkeaaGiBsRywhYUy8VPM+QLbgAn/HC7Zs/5huvdJZkj6Da/Oi2rOjHND8vgkjMRnT NULdRI0rJs+aW5qNrruh4kwPYtxBXvXeYw4traGP6PyaRe1y5KH+btPCLzbFnoDmPjUASFtRufim tBwzEsO4XaBt8FN2jWoFMMYjG+CDPOsLmsM2tyEPHbmwskxTmZsCr9IEO5RECwgtoPonCa5dIl5L WRdIURiswy29nttFRcTv6/glzHGMW1pxEqUYX3jbw9LSGStX/BwyKvoIhZ2CyEsLr89uLvqfVaXQ e63Lfqmw1C2bp+6NRzz+Q8f2UF+cLnqmI6y5yKKiifyfWOWH6kMvq87UdvoMDDiVn0bKWxPXGAPf F0X0rYulvw2+Lzt3WNi0yU3FWK8kWJWrTeNM4f6PRkOBknwye/mGQqDb5pg3T9GcVq1pFeUDUMTm wEPWOBqSFF1BtgpzuleGxOldfNs7MUU1XOPBHrD4/ewq6DgkEQAK4FLUgelr3J+lWoRIGIk6SEzZ g+qjLXOMSO4GZl1VvQM44ER1BSyurBxEDlmdXxkXd6zwX27ft+IfW8P2opk5qLcBXGAB60DkO2RG LSpHJ70ZbcHHnRncYoPGzLKlm0asAzvh9RDW0QrFkqRZlJCxeY/YWkzZVSEpF2RVI8BLSqI4ZWU+ 9gE41eSFPgBMjet0oI73R6GABdFPo2Bgq0RvNWhB+aXngXKEz6UAZLKvRNDNYtvL6plyRnUioo2M fbAIHtLU4h8l6SR/MdYM/ftlfM38UoMSs9cUL2/OUUVZxqdrjO5JTdTBBQkPDEbIWhw22y2NdWi/ qGLi+XTs+pWu4c8iSztcaxFDDjEze2vKqbMWSR2OJubpv3Lzi95bRG7MY6d8Ga7XZZuWxMkMn94c 9xuphL0Y5CJ9KdUPp0EoSD/ezijpm5bVLcsJ4JJWUMAuuAKp2xUzSSzpsD43dh87yo093HPoe7DX 5yR9FTPl825lYNenr4QM8HspmhoA896w9Ctje6Xdl4XjgBp6zD9tifRSHc99mKhhk06mo1QeseWW /jGKQpuqLL4v9p57XsE7QohiNJ0SUIfS/HnY/Fc3YwCumWqPloqM6y3J9M3jlzOMyVhzcQX3jyjr dbK+pgF0jI/XlV/9MfIKlb1rfgDhwsJswqGa/Q8IbPvBJnNVP3khOWkmeqCy8lIzJebYp0dhnFCr guabVEFNx2K3snqW+ACo4SFiMrpg0JMpiXLzZeDhHNA40/UjKts7yhblXaVMqYOAQdRbba3Fxp4c 3Y6or/zV/wwwZSXYzONF9FMZMNgFgCo0nRI3B6OMFPGvCrLsxiRdzfBP8DhFO1wFw7A969TCgZyM ssZUj8RCqyAdN06L0w9SqVTPltD1rZmgzRB93BcLPRkQuYQt0tR1Xc2LfqHbiKMU20FiT7BIRPvq vOeYQbFTMiXwgao1ZM0amYlQBKElDCQTPp0kVcTIY9oY/W9Fuf/F6F99obdi/lPZgLA5+BMKBNTv KEOBJUb2mz4fphZau34GGtguNoXCAjFlZHViShxHM3mo9/sfQv7hTuxJjWAT2Ihq32xaNmRc+Vg+ yalPrUCICmk/tpk1mNtBHUGap27nHLvw3Zx/GRmgJTU7yXzT/CAXPhqz+b5zTkyQhxLkaUTCng++ n0Tv4fJJMmR0Fk2amhK03ZRfdkrZKNit4eYTpaNUrcZ2SGhSQtVM0GcU438GSFbe+vB9VARqoSU6 Cvhw6sgvMBw2N99bTlB2hN0hOFaqVRn+jkFQbfzKuyBYDQ32Sdkvl4OdDlMCN9nsx8pgQnVXYgN/ dbIyCPJtLdzPOd9LgyYyU0P8+12UYRQJut6hLUuIDR6mb1GZb89IZWvFu2AQGdIojOH3YjhzzOfo 2Eo2EConlOiRHeMALayOpthPqwUHLYPTdy1fWraKU2/CsohiKlx26jNWF0FZFyQaM2a8Z0v42lZc 2sCowQIZ0fDokFIoKRoj7qg14BDMw6GRossSdBuMJBg23uOyapYfk7GQTrrP4yNNUVIL/aOZwmqB /TtbfqwUW9NfEbucYxxykqHnJACDplp9c/27myvIVFny7DTONGRiMBB/6wJRECDbZ6MjNbIffrne fvHhcYLMtIwKXTtvU8FzGpX4F50cYa+J1mmzCruMvNa/wQh+cco8fBl0m7XM7mY353brbtNab8pE X9uzZ3gtmO3fDAeXukiCTlVxBPTNZGW0J6j+81y3dggeHt9F8NFjkRMF1z2oHTW0nc14wzTbO8Xz NU0bLm5YbAG2WH4i94rcsZEvzEOMVfrqe+Z9/I+Ez3jh6HCydg3gDe2fdcGmk9CavWTxxRI+9Xt7 +gjtFvbsYkkLKqdAuOn0Mkr+04TbMFQkbYN1SgYKP9DT+kL6sdhH8sLe4Zk9XWJW0J5fhwK6ZMwx 3AGA3y35fcH829nfv7ehSIsvTnLTsMlIb7AkIOM5VEMhONq+BI/FgIgE155D08lW+pxUWGDKi2Mh WzShuZRcTdRmHQY7cTEb3Ve3eDpAqK+n5xBTPS9Cym0gHpGF4d/ri6yj+T2+P6xahoWVvVDYhMCe K2p4WBWiqutjUaIdFBFRuFfFz3zM+hcP9k/KGPXOAU8EYwASW8CLRIK3PC5QvNC9GasO8gYtm34S tkX9LD3g6Q0XGXSL1yDX9H7bP/zJkosQHaTv2k1epaAt62fL4f+l88b7DcYOJefHVE3KOvMUvloE AwUwPmlxZwmTHlCnM+TCpMDohoU1sGFz/wVSnn99gsHId+5vJ+7csx/Ch0TUoNGi36fdN2y+kGnM dJ4gCYry1IJzS2F3sAonojk+pXYeqVZ77nhAWfkY0zlWGy6JugQR8DQMwSAj1j3xi5XSi8ITMX+f 8IymbkxMKzmbSKCVdDizzry6L05DFmDc3ZCqWR2+CjH969dtZZhWesfil12ue+k4RkclNupxy7Jj bfT/9fStBuSfM8OITL6bF2hbyJecP3Q5bsgjrRYLk+Y6N4DWsLaASH+WEW8/AG4XbXT2dyKCTRs5 2XFCSS5ZWNcqKcqY8c1RlzKJylP6wED6ZjccDydeH8TatlgeQea9SDh3zoqCxmeDg2wSIwBMM6Lb 5VPpc49vNpeouwI5gld7GEBvkOhJuc7XM9q5dKAJyeRQdRERSnIRx77LP2Z9On2pBxG7gOWynYAE XaOUmXTdDLxpioJUaSCBK6eQIjzwmo63qHhgkEVloo7hNDyUxtg0wC0ryc9JiSPrsNM3KjkLt6w1 RsgUSDfinE5QWi7xH3r6EZS+1b/A6x5hkwfRhBYDs13Tv3iBmLtK4h1pWPYgbaJod/mMrkoxDMlP +FgMX7ecuOrUHDxoopUUQ7HcSamfgh04+Zh19k9YgLZR8GPKdyi8Py3Kw9pWayAoFbQ1kOXeftyj LfoFrML2w0emXW6geLtVi0FY9S19p8WkF8jsSdyk7lpNAVJC6+fPwg6uw11ehUqnbPXVus/+snVe wHmxO/C3ccvt4o1w7u7c9hdw06YhJMNUtZOTcweA/9VJI1o6SnVXtiuZ3A+IouL2tpBOl/jr5wov JOtAQ/u5EFdwNqZBfGhZ0OWEIXJmxo4bX5LqjQRLTErvZ/e84mShnHbIR64Urx0z4IfQo61P8bfU Fip0F8YkATjZq3mj5wd3h1IEOcgv0/VgSoHaVX1+PLtuwMXhgO9r5ruOE/UwjsBW1IR8AIOXSHF+ cR+QLuLJAyXk0n3bfB+9KjaWRtqGKyx26QSuxcUCczSaxnkvn/6OcuUNx+bgb+S1Ac9MauLZ3JeZ FaBgDA6kAcK3T1RDSs9M3DVn3fliWtOeGh1u50TXqV1jR+aOw+c3SaToX1D+zesD3gi7yPhIIpAN qjwlb9TkXcT5yx7zRwpSp6WqwwystLdObDYMLvMhv+XRuBnhj8ptCLOB1qL5E8L1kCQ2goCx/nSw MipO2Nm2ZPvk08IqbMexIl+OhMTdKFKD0G4Mc5dk70zbJDFVu/zjvlCA9FFo7JJqZKJfTuq/skEY YR4rz8eGmiJv2pBQ+tjGjQl7yG5zaXASVJng3Tms6vsDhC+g7xVy7Q4ZOErIhr0vz4299yyfj2Ko n4IjaMlJW+q32T2eruy/RvCXUr2xqc5/WqZzr5IfWU3GqLVHhKVKh+dkZgqAoe+5RJnf0y9p6iRy SW3tD4dgwog+EkbV5/X76f4xpIPCSZEzqwpJ1lTVEYOKNeoDQHA26wJ9UPIkhpCaGjilYphdgKDP XY2//ghVGQoBPKiOp/tjWBeVpaqTIOb7QQLiiMWxCdBWZocysGIPfJ4uYE4GrXGCrDbkDLhG6Vy8 qOsQiqciSSlBDEYhV58PZI613bfVB/dwVUNt6V+xTsOQFdubgQ1uE/Flg/msgkexmX+/YY1UpxI2 cbkNDiCESruf9VfrTMJIukkX/99uCgB9yinzUvD2MnGVUiEfIhWCUfBVCq+kIlci8QBbA6NXJQfl 0/mPH2x1oLsVe21DasCsWLumX3CHHTN6a3v8zEYQssvbWS2XohZb5uSR0YvRLa9wrLzycWpkSNXq tGJpdIx5FzlaV3Q/E7OSDnQAuj1SS5T0YOTghd2B89+LZ+RpI3YfcX2gPHDNXJMqbgulQ/bhmNsr vSM7vr0ZAzm9AgobpKmte2/LkWgTDkQemQrouWkfuLlD5wHAsys1YoCOS/onOhqBz/IURrqwog18 nY3Bls/yWcdZU5Imym5V9+yO8SoJ9bbyG265mWr6P1QmeT4GXhcyF7I6tUWBXkaLM0EcIRS6J8Ko nEIGKKVCmqds9zYo0whjWObtb9ssrsahaarZ0iPql8jLpCWYnsCCqyz5zOnjNZ+qn+KRRWHOIL3q aHuwMzfGxAsafq+fcsQmi13hxTcn/yC1Ymh4HeLCLgAhwTyVJvkLmgh9P3nY0o1O9KPBFtufFW7J DbdwZlqXJTa2M9IrHMzdMLt/iiQlK03uEs8B3vwMI1PV5PTMmybiwlYI9RJE9HNft3nbe54NCxP3 it+BbSWkDxM/AEg+S2S9n7czm0aF/T9iAxXu28BEcBFHkQP2B2/Ly79MP2L+E+Rvi35RfkyOZd/9 /t5AOpv9hM4h5SeEHX3stzWQwKs7RSvQI7jkFPgeszEpsm94CyjkWz0sto2d7TYWAprU0d3PlYll JJF78JWZzFuMjzrlDqUE/LPhnM/6pPXZHggbbC4JZBLmPmOwseVdXOjPqTeYzq3jJ8d/GFPV4iUP xXDGN9rbOLEksTavvslWztlL/gBzAR3/r2LcBHSNdaTtJOBXwGRWEaHhU6Kx3EL5W0fEG+6ZuOBM hAXRqY5cBO+8yJQ0HXdogwzjM/2T9cPzoNVqBqH+CxmBiUb/ejfEve3CKZZLLkg7ysc/6xSDzxSA OsQ6NIaFGeD1uwE5lqZl6+O4XnRz4ALLgcEcvxWRD9OU0Imbvxkvi9SHUXAQbZQ97Fm1a3qY+cRp 8LLe92DFhKXs+0ONH785Za+YxsdAJxdOq9iWmXMuzQlBSSpoT6lk/Hv5WRZLaT6Ou7+1/vtPZa3V sWlerTjwZNwApxlZqvuGduFMG1DX7nxkHU3mRGWsvJpGNL+XNrwWzA6hXvepDOtgRJ/FVbQ9hIFo k13MVqfB0eOi7Qg5U6mkjUCPtVvMedZCqkW472YvHlwNKmWrvBAXRDK1oXetazbcY18Clo+jkDD4 UMqTXJDKktb1DgCTWPhPfMdmwVw6iOvNfGe2lx8g0ZoIp9nu3MmW4c201WJKFEal/Gsilb9gJgms 5hslIsXoerG81exUqOvdWgdiH1GHMcGDXyas3zbRj75+ClYNDcAFZP7b6jSc+Jtx+XFE6zcU+uMx iTo0DJ61iRvPq8EtNbXrjDnLz1aJK2LEvfG3uDyET+bn3KoiLDSIAXDgS9GTXy0uk9OxKj/8FpVT Jhos5QKF0Z3gqtDHA6tzXKazjiRr0kbCNbECGi9/KWhYeVe1r5QGMbIW8lwLxhI5b5sdrR0LIyN5 KjukcYfFUSmuYTezBleCA5A/iikS1M9TUvP5kf/NLiF/qVrcrGg2TWQnmh38we4GEx01MYHmFd1M x0H7jYzJPY91vk6u8aZFkmC/V5qWdScNUegE2p3kyb7X7icrNKZF3lAf9j0LKKFgH3JW+1LYnoiD AuRp6gYzIoXTV/fHVbuac+/YZev3u644lzCwG+wthqN2uLoygpm4NxbJEU10YSu66IMOmW9AwaT4 v9WzVF6pKSxxKzUOt0a7f+jtdux6YOjgFms/i3Rs2VFYfH4g58yI527ptJ4iDP6hykwi20zcLis4 SjibtaUagnPd+ZF7eCmnEMbRbTPes2Uz5imSTLvJ3k6qdizBMlZ4G/NMADGZ4TKekBWSZAhcTOik pgcjLi7yfXyPXZgOFMLVyt2z0qNbO2zLD+sd1bLXtBF/mNrSDeros1ZA+LGyzZ6aRV//u+jsq86T v/0icd4kJxo4V1BodRe5jBoixkmEvIX8GQS+ye+s7FoDF9VtCXAuAYvIKHLyj36z5nydiWBR3NiS JHRYEjEml1hnkrPVsir1JVS71WgnypfCkxU19lonh4CcWrWfVDzzBXq0CU5RssEdze7a9xML3rfq JG2cfYuo8ss3lSueTvG18QIakmEgG36bIZJmvkIRB9pgJffQplA7b8peyaBjGFzrHruzjkiZ1XDD 3xwsX2g7vc9cGRLuDrhV6chmg77peNey3o2d5fU++LmONXRmv062TJ8iA+EeBM7sxAChXaDTvIuY nepDBdpxvMl09vxFcSjls0H0XunP3Fscx5aaXz3QtolhfdR+GT5zoZgFcBxogJCCzAM4lHJCLJjF iKQq6QynsWlNNWXOCdFkSMzWZaqkOSoavV/xjqR4YYrUac3r5IwmLH89BqLx0W7Aerz/Rejwrzvo y97CnbAcvGG2UoXD7Ys4N2khtJULvp5KiF1bcDYqN4+cgklTiABEaY1T6WZdSJ7lm0A6HjamXH+1 /jNBeI7m3l9vbSpqjSM/WAUO3aXle2DgYUWr9EIeJTr0VNC/N6FK56zzx7v5VDXl9XRvB1dnHsSG /xJgzSaDhIvpVW3YUaiVCBy+wj/r9f1s12jLK8QtHE56hV3tryAHiBUcmIhHf3P5aWHL4pjeHKky 3cB55GhJhAlBCWN7VAa5J+2okmbUWV8zQQ5Sq0WdZSYMU20hSRhWNq1CLiv9ptYwaQ0HTTkXKTu1 5HlgtYZLPXg0N9NhmU+ZAglXivGoYNqJ5d9GA1uYLiHQ5dTloGOPXU7fhAAbRffK9lxRIxcBWPpR NA12IFndPvusZSCZuR1mqMqS83Dkv4BiFNSK9ISqxWpwUUtd/j0XaATkE14qKi9aYDCeANQEmtaR yUs5wlhc6ODR5NDqpMBSzhzdc02arYJofZmAre76OvNqFqOeNHU49TDVU35fs5SznZn1uigHROfz t0XG/dZ/YnsMb7QIYjJvJFft9ugpehzpAqF1SLUCTKmQT8z0qBotqh0F6HoBTc9T8X7hAlZovJ91 DMsvwCLWMPWv2gqWZqcmHIyDNPCuKG3E5QfljpBm8C68MSGCs9vWQr4RW2qUW2cNl3Oo0JYjerz1 rsEdzPfisRioYMySZHgHmMWrlL79whLuuSXBTDYjmXyb7kbKTCsTpdmUptXUqgc3yIGQ/FvbRLyc y0JiQpj95jGDpdQw/ohvIUE6Xf7g3di5GtndVCwEgyjK2OvCJLujca8dAHtwl2G6rtNqBFPcGo+M QutYHXEGbbFo+exv9c9MP4BQHKJ/cFYQi32yDe4SYPgyxg/aYvgozV9AYJ0JrxKOzFqnySlvdH9S NML3DFLxTVitA5FtAZsa56ajynBxZS0pTboMzAPPVQhVAISuUr0l2JmLKQwaMHwLnsOfmchdKJAE j5tXN6S9exOHa4eTyFriJFWNwxE6uYyIh4xblRr8Rs5uw4TOhgKPcrmo2pJy/PjIhoyR2M3uu4yg 5meGuogk+seK4IqbjW9z1NPlJ+/MTI1ScVx7cnTcVwpeisyzFK5ssiYbKMVLmz4tOZDIJItUnXIy RR2gP8Uw9ayY5KB1bgQiGIbNx79wvaXlmfnkpniyzf6n896lgVeZGkHwwPA0DiPySu50UO5NA78r hjJqV205wak2gf1sPW6sR1uOOJcu4jkKoOmcFjnIKfKkygRMeIYSzASdRETnxEY5XGFdHjcvfzmY AzFXNzkvOyLgkF1mSGbCU/IXedrRUNYEcPd8B8Z0sSCVfCkZUH7lBIaWotV7KqHTnwGAaiC4Zfpm MYBAOEV7MHv1d2pHXi4gJs1ylvCyO1yfwZtYJLs5Bp3/0CHdEUmAPRRBdK4rpk9CROdgkX9apf5r s2z2yxKIDHszTJR47BNqJEXemm1U+cWqL894DNBNuENAIH2xjNGVX7pmW5BEBiYkil5nMZfPTp2u sHEObxTLGAeieEAtmkPQRaZilK2569AOrAgG3Od4eQWTCGvWnaVxobMlshcUhDGPFVUc98nEOts0 jjSWofcXJjZzYVmI40lc08XCRGkYMhGzrx8+2rNqm3Smd3cXSAtARGpfS8H+ndZ+X8XmZvVtZGXS kcrZJBEyAzK0x9B+dM/naIsxVEknhySrJt3pf795kap8I80MY6RZDTKsjon34ujjpSmSlTpUnD+t MpmuS5Ypr/wBUpvXi3cc1nhfYhpUZA7/Z2K/C9zKTs1nNkzafZCo2cYS3TlCimgTlUGFmFQqcCLn 2wJSaVvhlpIakXpS54Lb+90m2lleoKA0wS92vno7iNL1iVq0R5Ycqu4pp5hZsQhBbzv3BhKchAGZ lG2UVHjFcyoMAn7zuetcaQcx3GXPRQ+ehDiGGmbXC+Hw0t8K8PayGMIm37P1eG5xiTXXy4qYBhV9 KQc0cOBq1TxVexsDiKOrM712mkIe9evfe5jfPfELPQL2cUz+bjyYu0+yvYp8xOnSLBrtrCiLbiqe OG0SIBspaqqnLm8y/qUi7ghHnNGr1P+ZSIbqk8VmzhcCRm3/dI9RAQI+KT2opFmCrgu1E45YjuWL MSP5kVCCYrvTy/0uZZ+fIhDU8v2oFmLnAg9I66a9uNeT5dm5PXwvGGvMpPQ5/ycK5/lSKuzpUXDr BXX0KjyMRImlRyHTBxoYV/s8t8tMKe6NydNaXb4FcB465dS3ToIQHoQCpPj3qq1l4S2HlQiUjMUV x+eE+Jy2XkXUgYFXEedh6QOdRH9XplBLjvSkqghJOrX2VgNQR8hHPsdRDh+GGESEmwec1lmuqSRG X8S+IgtNg4yHjoWn+UHdw4UwYqVHVW0g9zudM0v+9cS9tjghbWwqkAmuP02fJ4GgEgU5QVtTlewc kzBkqArr9uQq3C9SI3J/0qnr8PeBTHw4bfkwmk+/sE6zX9r61snKM6zO2yqap4RY5YXSCuGlIEfe bAeRHrfVtz7RgER60XGIhlWHlo1I9T5Uf5g5MftdpL5TPiDCArlmfZo5WaWsZd4QvuIG96JYLGAY URbD3MU8IOX/cJ359xpXEGDSRhquyFKjhZGKdStzhcj+cMuiPp16vNauAeHPmMpm+IFW6hrbTKS9 IjbRM0OfAEzJf0XygHTK/3gDHuKLXwKExLUHbrJoQV7JU7ajbfQL6wX38sQV8GfRas2DkmxYKW0K EYFaWRqDK91w1HNNBjMmuekImhqSh2BrU9G1H3E1sh3dV6JhIH8bVYgtop/7VFnY7mLPDKOvJFu5 GGXH3APuFBLtzR9HIqntU7e5Dl+q9mVULLn4SJ84kSMStvsUb0WPbvDbisu2WjsAVXZ2bC9QeX5H JJTpav5ogaecVFryO1/LSSUGx8QG7/I3LgXTY4HNmC3zlvuZbs/Qh95oDjx4wm/rRGATUhhhJbw2 MO277K+sdyWsRytpS5E5zZyixlBj9RwfxrZIha7/qkpvKYTDTMmYoS5eCb/5sPgZ5miHRH8C/1Di 8otUlodqdr1GykChbXscLbtOSFc56DpjoK7Zr9ew38gwAaGsjGvkJlc0Os4WF5N5OKY+HdefDQ2r FN9pdGMk7Uq+KJjg/zQnQK4FneMYeEeQs3K0sNtWgn9Vu9PalEvK4p1mOOYKaW8Hd9ME21R9OGgM Ve7WJvYsQlNpg5cAdZc6urTzdlM+u68dMkLvxwvCbJdZJV0jEd5TkuJDg2A1vNa2UQ7mQQNecIPi 9//+hME2OJZLp0AOfrK4/XS+QhtkgoE5r7SuICbhagFWE26jQVzMkS12SzBsXqF81Uy8+oBn71tH CwjmvkWAU5unyt1OuCW4AcgIMkw+igpWEUp7gvhLZ37LgY4usezyolucIHgRk9+8bVhQRclqXlWH Tmx4ybPYCHZ45MiAGWzaWN4FiFigYPXHoqSYbLlyt+/wzPxCkY2n2piWEDCThtgf293pQT+lqUbG KZq/z8KDqTyafzzIYwqkL5rFmRbDGJ8SSpyViilFsrsK1M8rgLkxAe71al2Oy+QCapLo43UvjaWo c03lS+Nx6QK0GYPkFfsarE+swukHwtfU4UDpv+omQ7pOh3lmdT5sPV7L6dk2r5rDp2A/GUnygm4a hsh8Bve7yqqBPvpQksPSUi6PLdXOPkxU5cksmne/BFg44WcFsHvv88US8xJi9K6XcmmeFAYm2JAy 8XRa8PcFsd2XNoDWASEbkgfmyD9A2DRS8iArzIItCCj8ZJiRT/TNPzrUT3NIq4oHev7r1XPfdjMg p9mDxqLRlmA2KWppqPRF+h843eVaq7Nk1t03e85NCauEo/pEurJV+OFYGTC1cIKStCg1wXmcDkbS GnKpmd5Jd4tcgjpHvVYfA93sPkCS3Rng9D7cG98zxnR/qcCxSS1NfBSUeRSQfVusl4U16dfhrEnK UvA+JCW0CSGOCMEZVeLqhjviI5VaIik3LN5SFXP2Bs6gNM+Rrw93dL5t7RsL0i2jTPn9QTewhK2W H+BreK+MdjySLDYfXiHPvpm7lz5eI31HgGnM/WooV2v1dIqjS1GD5F+Qjal4csDMS+bVt4Eg9k4H ne4qwx2k7geiuIHBcl5qsKGj+eW679EASk3PAbYLBiDolV1H9kf5unysTuWOIUUnJ2LiFbE2ci83 IsBI2GdZlQsJEYZveBI1EWBPoHUrIxO03bSnRPHLvS2MfjuP2swvVqDFbcFsBYno0ZfmbCNNGl+C 9wb7m0Pou+vSx8tt23kKxr3CGXiUXipnEUgxY5I35R4yaTR6xDtZnYhze0HyamGFeIeBnXRfd/OS iQ7GA78GzmcifrV78E6BaBat2eSpxsiOlgrLUNZgX7rtPy/EfpJZHoPOf1mJw8MzarqD0NexmIQf bOLGCjt6GzoOHT253+Z9mIiXafgFvoED7y0uTO3ZHr6ljlfDqJHW8BxBmADuIG1hmWm5xHDUrsDD xq6wNNttFPz82l+xjgIZV7G8wls7hJMFSVCr9g5QzblsLBlH4iGfB5nG0/knMfwlKh3fbmMr6RGf YfDigZLvBTDY26GY1nFJGVUKd31le3dyAmbuhGigi/G9s5Qy8kydtpBW7Sm+LWuxKsfhjzqq4Mvh wDNP5fOD/s5+d35TgWggzvtuclUm9djQlwnSjF/voQpORbTBHECmENFZBdGVMauHF9jpUoxVlroY HjpcfLwINWgy0ZcMtIZthk8cVQkgdqgG2BipDyNtzzTq8EAV0XtbOzzWF2xPMvHyinopjVM5syNp m0epTFPIu8l/LaZpwX9MngZx14hgrI67+lFKDE1qzyT7+rrVV1BvYdoJndHs0OIZIqp1iBPPFNXI yM/aBTRnaG6y1Xbri5OchtRCZfmXXgfWzxIS3iYbx7Vgb2paIYfeKGFkeNNz7UVJA+QnXDfOZhE1 o1JExamBa+ec8xMTfdTEo8+jbjNRvoQwKWwMqnpT0ZMYPhyxUNv1YSiJLzm1QTV8wZgqSKb0ByhZ 9UZ4cnCB+4fGJJXjE8EaRcURSrZ6z3TR7aAHANB3FW8Vdg7dEYxkQuiWf03Fpcjg9k15AJafq3Du 8g/aoHSRR0cchV2W99vaU/1ODvos3IzTzVdHtbSm8gAQqyh8YlbGslTRPxu35Ty/r8vdCmTxV+as nVT80qlYjRa+3ZfDUIvpN0PDLSxyS0HntdNdwtkysWfpD2q7yqgE857BB5aVZJeuDbGlZqhDWPYb ZryEDnlriZ2HBcRHzz+BPb2N0Esgd7oQktY9EuriSaMoYY6SssZSPppH8XDVehOgbx5W1vj2zg/V guS/Rxj+cLtdEkKFEY3GvHi8RLrzmp0vGnJ+qhYFi8FkIdWzZkLvz4mFzAowvxnJTj+Dziyx/4gv Y+OYY8/VVo9ZvGliOL1aI254dRXtMAYwOufHXA4jLMDBng3Pb4w7MX5q8skx7HuAJA6BDgXT4egb 1V4IHFlDovPODNwSy9U5rQ6LKRLwtBkCZGq6Y4fkGJUC676wwGJ3CDmEif0Y5xfVMiYPHzeWvmRg dBolCRBYMt3u5MAfX0Edw/AmN3VUCc1OEO0Grsp5MtqYGrRr4B9rmAa+dpmwzWKLAkizR/B/5HNJ 6jg9rBKJoUFmrQahhtg8mTspJYwk29X7WPRi0fLmINrZ6beIlEt7VDb7UooyDfC6Koy2viW4H19f +NMam2FlvgH8DYZSCVwei+nZaGcQK/oMZUmMauHbGc7Eya/2N58nb4McG1FunmUQP68Oj9jcJS8W 3YAga69cIM2ncyDDRO+R7ioYk8dDHaywXut6c7ooYRauuBNQ2K1gnJ7rjistsrCu7B1kpmJcC9Kw GSh9tbN1w130ovICHAJNv3usIlXyOgVo89gpkSWLSCm/9MIkk9BG4iI+1vmOBXEbbxGtcUNqDAOQ 7D3De+Dlsv15VpQJpPWGwKODNTz1fORz8Yi2PrwmKHuwBHkyDhkhoxOOOlVip/ckwqf03n3P2UAE /1y6sn3T+xxIY/nDhpv81aWPF5t2oTL/gV6/pRX1A5YZLIztV3OJE8csn+/8K5NdwuSzAXWKzQQl 8sRuIhCg2GXtWpVwmoH0j2svX4gf8IfUI8acrQH2dB+GCe+l08b/rm15muTMl2MYNHqkEId1XVq9 ff9pULf6uiLApg8VzR8KE3E5yl5RGV7Lsg9nT3mnRkrrzXJ013ppX6BwKTMecoY2KxfUl98o+23y kPCTDbhKjrQW6GPTITn3PMlDf6WGGDnXedORrRYYvSFvdd3GayJLru8CN1i6xhyQJMRZxFVv3UQQ lrHvG1cSYnkKc7MUwb6JpAbWpQD7e2W8SZxKWgNK/RcvwoRJ4BlcFCOevXIYzlA828kZPFoqGfN8 TSHhvSwg1EBS7OnLf9u+HD20APx3PmR8kG/Zc23B0SgzmhN1iPSdUJyAaITmPUUkxB+G4pw8mCUk 0lAeGflx3ANXJQcU+ZLc9DKdv3XFxmoLKMWcjMeSzf8z259dbhe/FfNWLX/qpQmCEcZOySpu4H73 cH3rtHMVH6LsODnrxx30U24YPZtB07A8fWobiUKun+HlxRaIrQi8Ly3ycgYiddeJ1QyCE19GRhGr jbJ94rT1KPi6y7MPJ1xIylhu1uSLjn7DqtrQolCR7YNfxmcDJW3hfQwdTXhiUDY7U68RzWdFULlJ M9335PtsFU1d8IuDHzAiJXP58x3BCxO4o4ptz/s77ALP4ii5gFH8bnVSd2CKfnTDDV90eo/gBryJ tm87hnex7nlVDE86Y1Y9xyCXDdShf8JAx9OaVlW+g2GgwDTfyF7ZyI+otO3Ea/l7mEggcAYQKQH5 5MaVJCy1koB/kXXgWy2/NDPzZ9ymB8yFKOjOMaIhg1ipzf1rX7g9H0AcuFfTkiGH9do4nSsiJZEi bR7/Z3Mvx7ZCtf94fEbNYPF1zEyNL1i9XkYVnalRNmnOu+nrDdupl4H35lPwnYnYHHEaqCgezEdX dwuksFdFxi92aYdiBmcXDaZFrz2Y0PF+RHuPcA54cohoR0qPhXv3CtfeG39SvyzXTZ5ZOxFy2RcL DteKdHB/XMgh4SxtUXNEYFTclkyrbOO+kOoHkI3wA7Wnfou9YRvTido1mRv9se2yGtWrVfxLryyG ZcQ30RqeWyEbKGedoOBwrd/aLzqNdKS/Gg9HB4kSNIXOSO/xdZZsSU/TDTPZR5Ru1jy4ma4tt2Ak mK+fpWrdJ68MOCpMr5Eb+Kgob1aeYgI9gjuLKGegjPACibKjfIMon1t7ACwUzV3CnePHIkUHA9T1 Z9byIQj1RO872gnNJ/Z0TrCdz0GHOxSUd0bv4QXB7CE5ozvAYay5Qa1r9nbWcclBvInN/j5d1Ccc Bp2nkJB8sy/fF5bTOP1aGuAQAtXrIpyHa40yMw9+DsJs2ZQXW8Wvx212WNxFCNeELAeRVw3SnjvJ FQhqr0MkOVk85UAFpGAQ9wlmOMzZVveS/Vi6q8baKis799jMDg7PmMay62LQexKFQAkFkh3Wgzqf Ndh4y7XVphwitvyBlqXqSJO9eneJwtVdiFcSDpMnghTOT3Lb/OxkxdNdZP1Kzr/5gtJeXV0NXacy OWLLHhuCPXm6YHUsxyDTjbjPqxgHexrn2hyl3CiYaLd6F9Ce5AXQhMu9avQ2GVt2JlQfpcwJZ7ZP xHYW0PaLGEvYphy8jNBJ+2NuDMV5szhe1fSvWkzrtrqX0EONLmqhMrMY1pokLsAORv9ArxlKetkd IqVWrHJ0s4VaZmFefslMDAybL9NDAr9H+nV/ojSLdracGAPdHCEU7igf/wZd8mROROiQ9BJ0naIz ktlf1dkAhN2WfwfdIaGD2n5QBhn14dBd5jozs3RVM+TGtUeINpB8JZCqIZ+1ftsn2sJg6/7TvaUH yY/Edbdd17+kjBM/ELY+jt+9NYe4O2jOHVrLmLjNsWrfp/ZmmaU8UfL/EpnZMS9AC4DDLSUM9/2S GAVMffcQiBVhg76fJbY8LiW72jHOnzdRdkZqH1/Ml0mt0ukhbmjHroF4rU4FsgYntpfpe2eNucE1 3qsym3yE3d6j1d828UDJ4YhL4PDRmPqF7EY2JZbEudCgx9ibdBEVu7Hsz6C5/K/9BZ+Bfo3GZoa/ 4yP9w3ukark+txggiqDfvjxZgnyXFLdDGYYy6N2AdZX3hBQR4Zg+FlnJ9qi1xXw86VbcNogrNQAF NxKj9FceO5AKSUyb3IhiU9Zhc5cHk01CsZyPZnyF3RZGM0Lvqmqjg3giXQZIX/MsK9A2attlLt86 w3iLSp66hAUIxiBLue8nQy10+0AoFn6iCpb3sQb3GbR1RAD78fjxiou0nRqA5qi/aatUzKDtRtMS DdBSWgz6QG6JBd6SXIHX37v+88dJ0G0iYdkPDa3KnSxpzkLFHYR6jaWw+BhjWFWdnLxNbxzB2MzT FASnD+937OvjP21LTNBK/LDRpn9KHqcAaTSHU7caXwj9+5f4t4Nr6s46hLTcp2uuRTFDYLjj0pYt Pih+5DXixIxE22V1yf1S0+o6H10LOr8SESL84UqDIQXiFWScmFmlH4x+g+DV6sk+rOQdhShJO16S RqB2hATmw0nCiofmUb9fINbaE5fGzTHxv6voV3cn7cAHBGJhdOwYV+B/RVg6EKGSfYeXTV+WTkLH +l981TgQlJ6pEQ24aZtmAMA68aBiHQsUV0Pxv/l5HvXUx9I3IuRn3/xPT8lRBzt6Dk2joUPI8I0d uQOlChU7yiGvpgQkQH9dPMJOyZKYBlJoredOvr9L5M6+u5pvksO2DU/NFKCn85sEDlvO1rQobERW aTKpwCsudbfRtmphc1E1hbyrPvcaPbqIV9p+FL6GsZbabWAVCwSSNNe1pSZowoC3V+P/CxKwPO0Z ugQP820O8qwtZ6YrU8Di7BTEgGQOaMNj7MZalXlshSkMykrREeX1VKnKQmXEK20XI1fSvSaKko8Q OOFLpDTDSl5vN33iIjfgdWfUAHdl850ktncIhkLa5xxMeb1o2pqYbMtVDFA4cNOpglkhkL2++u4l n521MoJCQzykkFKNUIpcvrKkGWl2N8zN1QWa73kBk4GtVk3+cRmMGLQTVh3wPyHmGDYR35g4Xw5l mruSFB8AJGXeXHZc+sSfP4Th8OdTu93Fk5nubTjdykArsVBp1fY/wPyBGSLjlI4ljyfvlMFOelHx 1n3d47GKspJCmiCfgdAp1SzkhVLU7uUhCZw66My47TvzS9cOYFSNdjXM/Ho2tYUMRuEK92FnFCEn GnFcrCG+RpFmsRzvAxrU2HyegJ/r3Lzj0ydq4mthe3Yym4yXmPPaAaB3QaEtVJRCghYSMRo3zBA6 3XYGsUVNtehwlpXpXZZ8NqZhgJgxXlHtODgG4QIgBBLJdq5zOc15VF0wkqzVMSLYfk81mobQqVez bO9WhgWU3n6VQPbi4m7sHuWFNi5/+mpe1QYdi7cNtpJaCqFfyQbMEJrXCmBdThu5LVU5fpw0MYO7 OzCgSnnR7MqaYlcFa/q0uB+yU/8lgvomW93dvk63GhMdDXvAY8smTLxKoRHI3yrXurW+E6jqsqsH cAorTx3pML8MKqsXFOgCHKLWFQ9E5BbibcfHtnmbtByY1l+8Mvk+poRZEDY8M7K0bIyR/CuydBXN HJj1uo8Skxr+x213bCjqY8OkaKkybjPbplVyF/TJy0fTmTQMHn8Lv1FtDKwl6x8phSfr4motGK/w +3NRs5YiMseKGJ/f6xi/EPERIW4E5Y7cyP5g1PWwP4kS2fgzJUiEVjJLX81DnBOQcdS67edkRSS4 X/saSjjApgkTNYesQRIcWtY3jF5kWDK7EjpiJnBFs0v+RD2/OSLQdzT9N89Qdbx73zbFTlEgHvnL pWpB7R1wz/EkE9Hf9+63aITerhpU6PmC/okHtuDFezwC8+BtwCeo18EoI11TtpKqbqJjDSx3riVd NBKjxh4CZ5lOL9r5FTzVxlxSAPkclEFCB4F8nNRPl+csOS/kc+K+4fUNpIUrvzREvD/Fqc/abApJ KK6PdKKv7XZ1Gp0oEquMg44EIeZ4bc4Og1epqc4SmBLB7fH08xnt8+k1V12jY0B/96Qd3sxytZos cTWI9FBFv6KVXhxk7jxGJdd+FNpYq+seHCrzWTnWoCxDeqyD6Kc21U1SYLotiaATaFUYEI6/fLpz FQxLYWQ9eNa/lNwsSqBZYxjD9ApnoIQKrgI1c8csbQHyWTsudkoXnctYMpdQYRkf2v/M6o8E7C2N wybz/Tzuz7jMkic08KFeaghqIsFsJzDxIrvwXe2e16zA+h42sUfmSo5A1wMH/Tq85hei4It1Eqdo 4yOPxmTmUVBE8JmBlf3RIbyBsWjzMQg7Sh6X5SzvASgZfQT36IcAHL0nL/6nrlOxnlNWwPvMPGNt E08RJNSPk6vZL2o3psbb0Kbggdc55nXj7R76LTfqjdbyKJyvno1QwUY4CkMHpIus8l2xHismE49D zTngQcwC0MHWIglqoj/qZCS82t7FC/uEQiwpCQuqGOjZFu03/Qg0B/Jrz6a+QLPA4KUGX2klxZiW GBXQSsgl67O3dOWj6/uft3tqCVJqHvNDHZmjHZkAZsB7NX8aa3OnZowoGo2G6Ih+HYqOjBMjQtSz YvxDkXouf2unlVa2LpsEitczjuIE79OlpKGr3HY4UjAy2LF48g9SWSl3xiC+b96mSVwwa2+x5OuW f1BJywBkoPg6Gyiv0ONlX4mvhEFt6K0lOvQPOUjVRL3TUpvUyLl5AS7h2qJox3avTh5GGVpOhvzy 2jliWwiADg0D8YU3LeItoI13rfw4a3Wiu/cTVLRRSYmA8zC+ll4SKrWy3O7EHcsTBtyo2X72yREP vtOVs+rMco2t6yvMlGxtGhPWsHwwt+UDHV0gV0A4dURp51DykzmvOXyocBTt81twb5qD4FInUTq/ JHIbfSX5hkrInaPwkfgt0yOzkTkUIvREK+bfwLbCpnIW6ghdei4EbeCAqnqrHiD33TUzpRqhWX9G RAx0LxgMslVl6mSV/wfU0LUSDH5VZUtsxo0nUohyWW8Ja7q6nNDfaMVE+6BNDndNEFUJGR8SjHuw OAwOUTSV29trGcy9IuI1aXQUt4Uu1LZRLRu7058rWTze7zrAe/ALDWWxIcdQZPjnmRLWI6J1Yh2s 2Qrdk01KueXuqCXLvwoWbHhqD3TDw3zgUbulXdIsaFiKCqPRo+2+pVR5tzSVtYc6WC6CXZq7JeDU oobBXsE/hIeY3XDAb3jzR3ZW0jhU8zPJm/QjJZjQsz2h3jvxrng6SWHePBuOaHK8ANIb8DGlBxbm Qokxb3JLyaZdATFE+DkWt9mwS2uOqU95SCZWk3kMwgZttEiL4iYxxP9AZFM9RXb/v6k8ClmKJsaz 0E3YG+0eoF6UfFu5xfmmxKQXFfaBtxRlwrq0d71xMILwtUv5Hg097y7J/v41gIypTgRwNiTGDAtP XKj9+bdHq4YaMliuZwzwQUaWcu0ZEr6o9O1U2uWV0+Q6Qt0YW3wjcFu8Hp8wRvwypJfJ+JvmZedq /j2bf9gc+EXUYuslz2MnO1gNQbDIdzhvjmAS5ZLs0PZXthDca1IU1y05gRIo+76oWkEDHuOHLUXC MvguqXNNe8jn+QJuhOkNihLB7mN6ysPVk/MB1+aQdIkkIKwx/bst7XuMBdYAmlEinaS90UOq49G/ 2fAMMeQz0oQNdPoxH4l1DKDqTFJy8AGQLaPyWDzIq3egr8SlLrrzfs6YP/h59MbkuvyvLO/46C6G lEF1qbFCCAEDDu+O9mgpS5zk4IvqYPgowwOnTSHiWwloMncQ6oDExYwmXkUENSNd6c/G3JMaVBWe pBQux91lPxoF1mVxIwMh8dru5x7bo5knGqcXC0Nju2G4LvO/Aq1Q4oCmjSUN+Y5b/A0HIbJFdVr0 13MOch8vClLiu7MP/4vCDnJ8X8KIdKSp9WTk93y1wwhFA+UHL62gDIgs8HZ2DEgZfXvmjJs4I36a B1LtFl9Hj5IbRGcK8uwabBtvt+2JmUnT6ePxrU96un8azrLwvRzabVOnTDCxdG8VtxIt5jBzEYzo 4ilY0aHtI5iktV0hme6TexVxNvE+vpeCwNt5apyVKxajGXPTvvmStyymTigeyTOaAFzuJFOocv6F eIO4HgygNf+XYiINHN7QmxhFGN2bRH6hyFRD0qwPj4zmiK13NBipJFXWTTgIuFNChMCooTn7xbov 5CX0IRErmRfgPpFMNWlvwiyvZ1cuumNftFHl2aNDJAijH2mYB+McUHjvfEXq+solwJSxqxb2cZWc UnBM8AWxZKJbb0hoyjSAA/6gqxB9IFSmNEGtp+u2sK4nf6ESqMcITHTmih46gRF3K9wkCFx3hCbN SPkpr2JpxTnngS1Zk8CJeBNzHpP83H3QrcriKQ0YWGxsLj0t/sjEAvNhO8IBuQjO+IeR/FaozcWz wFMM23Q2OH4h129aKJJ1IwI4ufoz6jkWE24YPISO/1lvm/1MM3jmvzHX40lHMyYM2CuO5OiHlKBb SL6AsdhGPVABihAtqgUj4W4Du7h61TBExivi5eOj1U2zzmkHSWGkrqN/zJTiUiTDK3CC9sGlkE07 8IzMGn25S0ZRVjByKPe5gZE84X3jmG2gPPEye0gdyGSwFZHqm2QHBwDQyETotF5Bm0+sKhBLbJsw 17TwSDfn1j/1L73AnvdJCtSkyjAa6u75OzUVYehBp5eSNkvtlxpc+1NnIImob2D0xNG8Sdcq3BUu aFVBjxGnsx8S4M2LeMXkso74DS/4aBa332+hHoG7Y2IP/r3RbDY3ymrFsvpz2hEe7zXAuq79EEKS KbD9ibO9mBxe9KTxoqOg/lyjdIlHgsV0GD3aojGUsWqDX5u8Fu7W9F50qLwU3Exzq0ilkBxEoXm6 m9hY42aLNtiM/onoq8bojKROidqb8lUvaWLmJ7UoE6MjJvSJIZalAh7aBpuX7X6DsoMgRaWeM4Op apPLDbZHPPHrK4MSSqZ370v7H7Xx4Z/OwaDwk11q3ZrlvvvtupwwBjR1XZddkQ/ww0sk9usDuNSt 0FBv1Jcvwwt4eGA6+P8H4w4EPNxObi1fLg3OZ1PLr1koLkhKgPsXe43OiKVb/B5jNH8sb9Eynm32 USGLm++LkDFjpaXe7qVvJc+pRXwpKIunHh2kWmSlBg7WTOdrPdmbMGeqGC9YYMYNIVA9r1BuFepW 7Ud3CgSsmUNwKdWfuIsxNoJ/nXV94fMzOyhzmDsjQXlIDXm371nCi4WtoqwLgfm4GUJxHicQga6Z 7KXehKon6uFaobhhwSlIH5urry2RIncho02JdPUJecRHDiJVfi9Rcr5lFNHJpEa/Ga983Qxhhokt BY936bpvL4ljLOVyX5K+hqaPQU0JIYmCcE6XxmhPC5+8qHmgSzZnwDoyeOypCPLcMhAUZ8dEUyZr LmVBO+rjiR/FwcYY2UaP1pv2GWa9Ww+ADE+1/X8VM34YxueLLavdaZZtCTXiq/UyqIZ84UoTr9by 46+Zpu6jSH/D4u6F/yeJ9jE2TR3+WYrRWe2bV1YLtuGadN9s8a6ON/ZGSQUIaqIlrt/N374z5rdA X4PcAXZUDd1T9WASH/0dEs4Mkuf6PgCu3hCbRabXSstylUZ3l4fBaXD38HayZZXYuJj/ZIHM8/UN v5jcpwmogarQn7U9tkfqhKxcSzV2ORDEjjg0VP/sxU0IQmJ/y4ZQzrCmd+JhlynrvARzxpFzDgw+ +nOe+9a2vQhUNG+i1GQrH7jFrgiJnaXz9Q1R6gATDrpG9vDlBoy1FNkUkIKWTaLDUPme5eVhJVa5 AsPTenI24pyJHLIt4v7I0Pg9lVnfziEIH1hlazVeqd6o81tDMCtIP4w4Ea34nMjdXhw6qf55QQCv ykQgvyJRevZUrGUZDdIfAcKrupyvfG9bPDwnQo8tXLJD1l8QuQIPrxwtyshN1mYbgcghqYmL/Inc pzHg6UFw9HUCY1nnQrLevQ0Ej+aIBbvtdA4uogpDtFDZplVt1VwXqsNk9WzEPn49XcQfiPcMFZbb CHPVI8gyNUsJVQKHtTt1em9YKP8OG+ZyT4i5yuysVigS/hFDt7k2xzli7eFiCCGNYqamf5qyc3Dk RskBxqbnpYCW7YwtgUvLn8M0DbsxT6mTgGnXqceGZ9tYNoCb5n3Rn2vnO1wBpRzDJlgCfM+UBlCT q0x9xBN1sJDkquu6bK/5nsxC+imI3a+4zP7rp2wapf/YmIxGm8j6YcrQmJ6P+WwJU2kyXYPVyx69 zr0ODrk2x6HZxohIHb7kwzBp6Do4Qe2igNGbe2tQuXUsxGm0sjjW+L4buc3dmxh4guhQSuHgq0TV jHl9CT+dqLuf283z3xPyWRKzC0DsZYTwMejPbtlw5MoxmZ+6RtDaEb7qXGO0qnMwgPHCQH2imw1h mQJxBGUy/M/q6mfP/38XDH9xU8ahRXskE+oWARZ5s0SX11s83Ju7DShKwIbQnoAw4TqIT8PX2Ysc WYgM4s5wu+tjjkfrVth0Nz8Dz24R5CoW82L8JSEpFya0BxgoB1KldMsEb9raYe/TrsHsn7ZmR+yZ FpHQTHUUgrAj5LohoKW/Wgb+V1cype6viuv6x9YOI236BKIFm/gZOF56+9JvVfIpNgrqZJU2Iey0 fRPWEdSqPZBlZzOcfJdEK0DiLhVM1QodE67xUhTgTgHhMNcAM9BVOSNK6HPSfL/tpMCL1k1kZKbB WrcLEV9dklm8PoCILlaK6K0AXW2PP+0i5X+DX7+eF7UR3W5/eiXAOTdWcDL7yEd5Yma3cTCbHIQo YaD8anThcl8fnRR0MADudMZkgG42IFTcLKLx1xJAB4ayQ1rqDFnoPEFg43uFh5hNw840r7qyASdH USRiq8lZszc9LUWHeVHdHvNqEPMhqYJOMcY/bC1F1fiSmKwg3QpDKJsDkRglcolA1NQcRF45GMwa hMt70IltMfjRevbnX5v51vNdwbk9X0Lveg/m521vAULM2yMf/cLn3lAHJx7qqfKXoTgSGyoLJwqI kc5/5pc0WHa5nHpMZJ4eQyD3iKXFCkRlH/t1J5d3060Oe9zpSsuJmLgE9jsawRbgemrex+TC1q1h aAl7ETSeGtnkiAbJ8JqGPCOCEDvGkOYfGPraSxUG0zRzKzmrJ35t3pDtRgr//FMMAM+76DR0Izu6 hbALzBui3OyTIqrx7GRMKPk5ZUZP2EkiV9/+x0TkjSlHzGkE4XvuNZ5zUAmXPHk3BwZuzx0oT3kc mb0ZVDe9xP58SqrrFb87Q04dkN7H8/s0XKlnzND6GmASmUh7H9KZ39zWsinJgQasvh8Xx5G3GnsG Qb3O2W9JB10hiSpG6+O4UAxJPB59Vp9bqtN49lC2Vmww5SsZjJ/QPumPv4uAVouqwIu5qCH8Jk22 jG3z5wokX0F1V13ls8RdyjWMdFYScHykCoxO22CP9qF1yjnoHJrnOn8LHSBvXqCZ2jmBHTipprmn Q1GGtxAnc9dRrf9XvCuOrNRi8grLNfGG9QSq+MRJUgwuzzBHvIPtI2pSftSfozMFlW/fpl0Xsnae ImQildVml8WqZnapdd3wAbQ719uWiq4MqhOm4MhMuTK3EzQkNu/mJFbgFU4ybv41GKtHwsN8p/K4 Xsjx1BdqiLDF38Q1s7Hn5g4tsxsWTgHG+Wl36UfCuBIKZjZMKEykrbBZ/CG7qsRF2CIpagXgSUI+ ybfuVDAKerkT6WxMfJdb6WiQ5Eb1HfOQBTMvg/smz4pMcqxTAeiNpPnisTig1RckU/3ZfKKPHm+E 2+7zAw4ELFCIVc2Nqx2nQkH4QQZySNJ/jorDhkn5RDDICEFzt4SbeweM1oqtSqViuL/zJuCe7VsJ 6HUYSpDRZRUI+LtK7qFABf4GXQ4FLmQOhq0QaK7b2ykqCsaHiTjppdK8rirOVSMnVoak4SXSXqGa xxFSFeROmb42iV9JwuWH7DbsduwUD3jKGLUovkM+5NucygiAbs9Ybwncm0LTfkkcXsR6XD1JukIN JJnFqCCLoAtrjFElkU281zu2TDmQuToF9u8lapiVHun2UL8C8X6sCk83NdHzF4UWmtEjh8UWtEQR aEAZXArDmrFu6jeDaS3cqCq8BOr4+9uqRcE/E5fcFl6CPw0/TxG759m6pCb3Y2RfFfsnWV9PvciU BoDNYpLEXO22Z1obkrOuhpX2qLzFHCmScVjb7tu70PP6yRdHi6NvrxEo2yDQsmONFW/5hL0MCS7o vRGGsk/o/d+PLee29r6hbdVG9s+/OJF0gB4e6u35lMdc2Yr1Z8AnJDL1w4d8mCZz41TWm1K0mm95 X3dod0TFCDx2FN9kwfV6XPcHdRkYpLYnWKTVi5yV/6tCnaGPIyNl47xFJB6FixmGYfQqyhVPa6V5 Owz5C1bIirKzrerR2/7Dn+9YXxO2URd55sNM2QckheCRytzO6912Kw7Ay+C4cCHp/fxc75/7W/kP wLSCSZb/uVHgyTr5JM5LTfjyUgApaSefc13jOdq2SPIOAx4pOxCKpx/5jBub8Au2Y0LP0rmx8rU0 nGWT8bFcRnQebrkTQiHRZJazZwfRh4MZcw7XyKB8JfWkFWnVzCDa92pIuiPf3l6ZeJU6gCxI0jxm 12R94jjkdNAv3ePwqeWS24A9pqmo6Laa74zZ7/svJrx0ehuRK0GR6tk3K4vApIg2yGpnsO9wl2R3 AxaqUEbLNiFO4PXzvSFmaJ4iu3rmmziNXp8Wl+hbCP/hE/wJnFu+3UTqUBdiEcSUeWKdz93NXRhq 7cpRm6ZFuzbmEpHEoL1YcSDPFZIP45S3ZkUEn1yT2pUtV/YlssWYhCBUe3XrNTXA/J0zdTsBDRuk OnkrZj6FcdSKs3Uqih+6Q8gwNzbmQb2IRKArYyr+EHCiIFvRXR9iGlKK0+5LzqkJSoBKugZueL4Q so6i7HwDU23XUReBuHiUCxAn4Y6kyVhwOTmcW1OHOokkN7J6RDU8YNUkuB0XgLv2mpJgkCVZeN8b Z11IW5XDk/tW3JqULt2//N07zDZ78llCvu+0jU9hfb0NYxBQBeupbABb1ytfotymiIBkNRMigsOh LcHbDhunYgH/VRzr6QA06dxlWiaPhU3WlOWbCNKajRmJrENCObfJZcPR9Pg6n2RrfABFq/Wytc2D pxAeDF0ENNAn72260gA+gSoSSDlY/v64WWxceitg1MYY1bPyLF0QQCZOJXsPSaPkAl7XTisYNgVt EmuSWYdPcYZWlHSDcrAksf1j9/CPeK3NUbjECyU4izYndwRmFM0WzaiNILmNZhj293GnHtPdo/t9 AA+W2/z8QrGwMdBAOeE3mjtlAPNupllxDRUJmgohdBebG6Y5PW/LktJZFkQyk/DRMfkZ9Wlu6OSf mvsC09SEd3AxRLwo9qhqKkgdKiBKhTy/qRscuPgY1sFWfVSfwh1d+HWb6RlPYHT/JlKOQeVR5q/P avR9E49BAoDfJIb+Pjvb6W5wsUffW1U1hHlvzR/glP73No0nMUssTujjPic364VPvN9khxg/3dD9 APLZ3nPW5cf4X/iuBosOzr0Uh/RdQwbmRUxbbo6f2OeeB92UYSl5I9Vi5y37hO2r+LazIJr316GA 5KEN8EIfsaoIhjmXqmwV1Cp2cRvG6xsOYZ9nFu075u3fW4mfPr6mk8w6T95huLhP0/zcJBTsUJ1Y M+YKDiPJNQ5RSxQp7faIjdSUVVn4tQMkF7+iYLIQBBya/qBwG80XYj8pAzK7h7GJRCZ5sjNYgCbT uZ81gplm4fqKWRF57LzL/QpdxFiom8QBvg1wDvrXe5NGn3gwE90hUilFHg+qwrclml7KSW30xB/h NrZ0GyHtBVZLAr+K6tuZHAmHbC3rAUG7pkXxrOA3LWEw0RU6uelthAx1W4FMauxPXDdETxJ1xmSH OEdvkyjIm5PhO6Lw2vIbyAVScEHWnx0ZrF60IIF9M22vuh5UlzLJQZM/KA5UhZ6MFixRGlQeWIUu rKBbWa6pnP7vS1GZwye1WQB1EzTOJMoBFmmTTj3t4sh0Ybq6lNXCQw+zauSnS12irt6UcTuGOURq R42zX/8jAOvXEDvBsThxGK/gJyPSE7WAW1u5Kg9+ZNceH3tsdeYNdvH5mof7jx1mcHkAE4jl4CBd L/mNBUArlDrvvdvxahjkHPGkBRpvd8Yi+2HX4iLRSFSNI1ZLrvCKzlqpeHVDPwXjFZ9VKgE2tToO LqZYyUg/tsKyTYzp4z2HAXOTLaKwP2zTTIYAu/Zd6otmWoDBnWVH0R8RzdjQJXRJWvypvPcQPiek BrqNlVObXFJjPawihEEVsYZ8/C/tcEfq1tS/YbRF0xks55Rim69oRO3lbda7nfAs7LJNjhFroKP+ a5ldsRBfc4fdH0fwJ2OWRxy/FzyOoQiHrdlORfeu9GgHuYVDqBk9yhGJysinaCpOfi9TM/9x3QZM Y7E+3YLlLunxPgQuokwP6CS1Pz3MnEVxKPKBnqwBwoFRkD6mLzmSb29df7QZ4+CW7MXUSL0S0WKV +3d2Sb6k7A0aOQiGGLB7FiwNMa/9gBoINYruoqWVP8H8nD4RokBTW2Wkr0JG3fpD6xUbFcJ4MTPc H9LJRc08KOB6WFfQvbV3O1tyGz9ZPa3WTcbewbc4N6eyw6Q4pxIUNDcMVLxKbD9kJw7cJqppMdT2 LljF7mRKb9iC8pdNXp6fXM+XK+OWB6/pJnu9dlfW8uKOjT4MaOnTDn1cQA7/r6/saHiVVdQHk+B5 c1ctJkc8pShQdQo56Zrq2O+s8Qost9Y6M0P7USac9QNgB6mFcDKtUDDFNiSqEL5avYKJ3CSNxvgX bu9k3QfCCdAPT0xZzJZnvxNSEbKyds4Z85Sgvk+zXnOLAXkLrmzMjEj2vtNALMCXkVFnMoTHvxoL AvF7PnD78PSqFRwLwibV7JWz7Q4zv4h9RyJY9E4XthAARlcrAOx1gSJwlkNRheWGiAmtSsMARDF8 PzgJ8LvAunxl46fKd4txprrDZkO7VqRoHC8MGK2V2BFC6MpV7SLjWzu1gX5z4m+hL5kfnEbwlMsh EtzCnBkvDvFck01xiW2Ma+jENaKwGqtk7xXTjHDC55WMut7zwsol+zNYvltZq54Awn0NnF+09vVL vTBhSLjWQYX2iYwQnUhmJ7fITRQDRF0uHev3EIIHRBDfm8HncmTateVnZbtzVdSm4Xf2naE0ucWJ 9T7ENwNjxpY+FlwLkreNJUyFrkcG2BEaUGDddUFwl5UE2huKGM8bU4pWC7s4zqG3PGPMrSL+VXtV Rl/btEFvUjtgPeK97zWcaGJxxtw0xVzfomZp1iG1O+j6SirmpvTK1tDwP3a/ki1ORCVm/cVm+DRW 6D2jWAmWvnCCivW8aCGIv0gyyVJZmXPmHpAMFLvsbOvylrWxAgOdQFFnCYQbmXA2YqmS+dwtqPnm 2nW2/2cr08KjET29UmT4lpsE9NWyBFdXaZhd1Xs07oJpC9qn4aBh4PXPhFS1K0kWzdHQE/gywXUS qtpBjNRaElq+RulXsH+nTDi+B5Za8Csm8ch5Xn0UBED0eey2OOQhgP3RhuhNJQO84HzK8C8c8NOd caDdGQiDA4ganeVQoiK26Z8ONOFdyK9fN5PNFmLwRkogbM4mogoWTG00okZsKQpJ6RMWIMsCcxTs uihcu5H9Z6TOlwh0MStv+/iaVTa4b7T8Kztp0GAhBLhR59ac5Wb1rckscQF05kaIqgofuOToLCan rX2j90QRySt6vYyBcVdcuSpEivtqU2jqBoqffX4Nhtr+WMtmfV9R86l4i2MZv7xZiABe9P+gb73w OAuxjcBomaGCkJFe3HyXheZsTzN3mAZd6Y96/Op0yG7LVEDDQZEpNE3k0p0rYomk2nPosspSa8vv 5RDHhqyFtGUx8F4dMpiSYxsDpfeT1lCMma5jlx9VtKW77oU0x/Vjtcf5zQKivhF223BbdApTn0le 9Na5ejUq6mqW24Bc9fXVym/undoY2lM+qIphATgrnpkgiMfQwpoi5V/AIzcTK9I07d6deoNZr6gJ cAp+dvbC4FY3jkbB2KyjUhsMzY+cgxQTaMcUAm3NTJ18YnvbR+7zmlPW8GcYVPhnK4WU8HNLTIaV THBK1DliS9GPZ9tpVXz8I5YM10PwT68iVCZdh4b+T9fhrvcZCtxJreB7Z0iv8N6gVkQu4Z/kqtoH HUzmaFHgVNrmeEJPtmlMVcsWuGBJUtHtXqj/yHWc6Ef0fvElSXpzPNiv/pBZtaFT3+ECqGZzIHPE hSQnU+exK5RMPtpaNBdL/TrRcQ5puWlgkB1TsQycwIuekw18tPHHd1H67KzZcEutimTaOuexgbEo Mmuv7GxIlunNaDIhLW5p5TfuyyMd5tQSWkAWuKmDN8vfcwHUOby1FndUSCKsfxSLG2zbdKS6T7ix yugLHnZqsrTIKa/CaLSa8iTT28F7Gri0t8k8vQ7tGjFfmnPERZAhJmtDZ6DAETucubgalMsKPnOV J0ioe89VyXaayHYSOCt1Ow92q3ClYk1JMQU6wS5V7WJvrMuYF7N9Q0fAjs6Conui/hcKBrGHazbQ uxT6WapM4bmbJkuA9QIKCOHfWZZyvLrt4PbzD2HeqiTsYg5nknn413/kZG3uVdOpf3cY19ZwFo4/ 2cjbQRFEsJuUaeAnayWRudhwB/k+w6h+1lMPpR7tZyVyXVNgQyX0MAJuNQwIvFWjO7jM1AxzKvX8 SbrOnU65CS5PCaEsCUYcYcXhC6UdknEFYQjRA7pUdb88nGSQzZMBUhlb6Eq/A+puCQAfBOKs0KJY LdeSxNV1W8OF/0JZrbRW/zDsNymly4CwZE+xLQy+mhB5mHuXUBY/ykXs9RXVnFVi1YqQrLkjigek D1EzN14iRezL6hQJfj44ivBEV3f04vRhjSRv5w8uCK+T1BcyjAGqung6uNKOUBishfDUfMsl7201 E5ntDDrcQtfj6hNK/fBHbBvHD5ove/gdJWZIqBBhCeNtjKM694cFyYwq0NKgyEZLsYDDQLzTnu9J FQKoFKadcmQ8XpflADMPx2inchUn52E7m2GiDzK9XiLER4OahjihPWcqokoscYyc06SOhXjJ0bPX 8FvjjXBO8k02pKWheZbICAhw9xjqk3ww8aYa9ZRvkfZSJSiteUkjQjs6dt+Y+GxX6J5qP3yF3Ted d3bwU4xAPG3L3ERiR5PtlqUGMDAJ5API94l6N8wptCCLl8MdDzkqzW+r2AG7d2VJmTIBOL9vU/e8 POwrgdP12eYJZvwy6M5FvtZBNBItzRJr4zPgB+VE/16/hgUIVngI1HSJxbQy5wy8/a7wmc+MeGsJ YY7nIorPfc4A7WmUQVDBVHOcQtT3UutQVwmVEiQM8Von5CGYoiY9OaFl3cDTQNfmLdSGBFgYED16 gzJPzjXW6A9Bb9L9144GDGYvd7Rla5uy2+83Nx2Uvo0jbc2eK96ro06G5c93TG3vYvXpUV7c7tnz FKlHVLil+DG4sKH9NZ6WhDVONL03DOotLHTLEQqAizJUNKm1UY5VZLhU/RAOtQ21UH6YkBVuay/D E+ixlre0tkOswFc/ScDKCBJOdC3kcTqDlnH42CruZkJxvzPtmUvPby/3jrP4ULGZCjembRV4Iyud IJMxvi9rBLbRPDERGC5DzdB15uCG8UrwcURh+6jE9wLbLFxeQuG2hXScdDvvi+naAN0enedVcMFx W05FWmDa8GYhzAZpx+U6B5Zir7dhI9loHhWb3nC0YXFnOkKuqgs5UCjxgrtuMv0QQO9PCZmaBxCu c5UWqd3T9u1NGxFxgsaDZEy7XBCaTGv28Ka5Q1pYc5TISQQz2lDfDMAhi4uTsLEuPJGJ+QfQZ53s wK3Z1fePKhTXyJg1sRITdZxWwyNz3xpB5HkJr/kIYgkrjhVYtOGU/ZG+k0KKwahDVdXWdRXxTr2K qUjXRJsAp5Sjg06fWpKlCD4iE1yjL6Z/xndVBHjxWy2oOJ2KCgLK0sVJMa+fn6Pw3+J/MOWy5l1N NIt46spj9JyRwwD8t8gY7861JcWIzxkeARVBJZENk8owAHHghJkbsDNwNPObu0f43FvU/Xc+61xk +oBUqC6vArUEHKoRFCBxj9P9AvxvpWx0IJni0zo9LXFIq7zi4QiMRL5fi8qH9IG9kmpDwJrRLyq1 Gw5+S5gM/N8cpj3yyjm3jHejcLUs6wsnXuHkLzrdWzVat+jfb3UwKk9yAdlhRVKn79ZzJ5moMOlo NtvhixTcHnux3eVBhoujNhUl7BvI4svxWIFHUlpnInfn9E2nwWv1bNYjTWKoK/vd1gZwNeOuZsLl lCfunNfsFNIkzL2ShzJZE4RkDSFkpQmiHjrdf/KFRfPQN7zuypFApY9H7BFSOoARve0Zly8vb3uc 6LhR2AWRr1yNv3//2mYFh9Ca9ZA4j1wQ21ZmpVK878IPzSJ2E5fSq0uEHZXHrNFYsvbvercFWaLn 3QK4XB0rUwoh0wAykawQfVLfFVnpbWDCbKHK/od8wqIYgIWawmBeNAPyE8K4KBTEHV/GaGOSyakW qLH5o3nCqBvbJMkBLozpdCtFCtTaMht/l8qEO7Qk6xcnWgturSDs177AkxEarfK9HpsmJVHKFOuc HMSQ3Z20IAoZh0bbK/bCFFzJWAc9NUcffVt4ItAo3rekbBf6PNDKeb9OgTUCUwlOGCeQubIK/2vt W4vxKby+3BOKu5OVS5Ffue91pRjxv4HEcZf/ZRardDkd4R9sdZ60R4ML8YfIZAw97TRj+8Di7Yls Kj0EC8DN3lpFrSLn5W2r6PeZqxYK5SRggWBVWja4cLatZi5LGaIdSxDZblXjeArEmiOuBTWh4Koe 2+o3UgMnLLza2Wtvak3JjtVJxjELI9Tl5bDWMScvgkZxGBjvRA1LDsfyDhv4OlyQuenhf8J2U7YD PG8LHvy709m0HB+J1g4Yy8XlfbBtmcdaiaYDbRi1B9KD1GyI4nf5sZpgUs/FaJbVrqJZnfE/nz9n zjXJUBjSou1AnxRK983iRD6VyNVoaUxSssnd66/E9IQ1Ii1TUTOF8hGqKoYwPsjzRNqpnfF5SLjF idSYxO7IE5vEVEKupwZY9qE9Tb5rzIDy/MxnNcudBLac9yFsHBzjOQt96tjcvmPFxm5QCsprrqha Zcoq65mnmBOmWSdQCvlFCsfWm06wxwyf3MDFPVcUf3ctFqOztLd2CA8ZrtDqg14VN72w98idaPQN MfJGk6LdbLLaxvKS5ZsGthxnB6xmusu6qCzdX/ZHmWK9Pn6uFkF5oxVOwQgKWOOHXhMAzMyRESle xUhBII+UkntAPz46Jr9TFvdGQVaNCfi0LJkMWIHw/OaNBV6fcmlG8Tt2DPOk4Zk0Xa0CrwCuXCZz OGDperpvUhl5szx5r6b4lJa8zUhxuN/Sp4Lxa7e1//8gVKQVrPx8fKsZLyNpNLQUpwSgejt7LBNe 2uSElPnqHCPLRS4J0QhyPZCySCGAyo2COYXKB3an5LHTrEWye77wdc3ODwOpMroZS2eonpRe8qPQ EsRwE7NGcEMbAfUYxWRxuhEvQTX9U5tVyxp3m1C/DzW3oTO2ZnLts/l4F5sdmtQiWha4mJzU4oaM /fFim36CfHiNnj6ctpXpxIx/fyhAUCdN53CAggVLH2duHZwnDO8rjE6X0PhvNurfCYu0yrVDasFk DnKtme6eRcnPpq7WAz2wzdDWm1sxL4KD/VZuOZAOcF7/CzoIW5yv4RO+KawErivoXD1MC6WPPV3W 0jSjRk1RoEMgnCmMuhUw+v8wDuYN9c5ZA2iqwm4SR78zoehz1wqgFKE89Zrj3/w9BAcddcNe93mt n/RhXYrkU5jeQUFz9IvIvTpuWqhmwk2lOKF+UUNZzTvgwcNZw4wv8IMiVFCgjsDulOjer+9vWdPU uuq1GJa5JDSQjzOBZCAxOZ+sV4caR9c4r162tmwD3GhoOovwgJnkVepF/L54ioPYy1MwDQkP33ur IWDRzOnNhD9JRdq5leRiT2qeb3m8G1RSBe+XCO7XLGvsfhVhAZ0D704w1WTbbz2X+9NTZnfi3liN Jlc/aP3GnwVf65cUBYlGQXhk8uzUxMykFBBIK4/FTvV7v22rgcHBueVj5u1gZO7ZegdWvyVQrJnk kML7Jjiu0nYLFRq5HeaZgEXl57pIKZNsg/OF3jq1J2NKRcno2DXkcpDf1+j6Z0gQEqo88mcMqa3t AcAstviQXtwO7nxkGRGDXx51k0aEK4s+HvGlTWplB7z1scUrWJYC2/04mhfsNsL6zcmkk4r8IrUY HGqNSoewoHyrZl8AQAIaMhBChBOzJgTKkYFwUTPx3sPwP5ROTNpDofWIAeNHoKyVblpMIbTKq1O7 WtTyEmQx/Rqvb3ZumkJqIviVCiGsEKEZ/3EJjwnsj4mTfFWMgTNrV4LRI5Yvw7Wf970kOg5udGgQ 1sClBXo1l8F//TmG1RWLdge34G0lDMluX7Q4ryeV+9FHp+lm756G+ie9ly00LnYx01dIqIZT354h f9BmMi4WaQAm/MUomsRzk1cKtEbh3xf+8IAInMR+thItBZBHFdcS4BpHAH30ttk+VrBANDlhKzBG AGfw2/Gb5JQKnQB7j8YvsVwzhm0jOvqEYQv7FKEN2U3gjIRmn4lBhuAyC5TCvVzMwAb72VjgEwso 2Vs7lmWkUJya1ddo9bHZNKZ6CCdmBqYbQmDeyNvS1uy2fT5yCx4c9S4FoAaulHbiRlaWjGdgrkCd 2kpjWJwrgYKEfUaL1qD2DxtB9BN9adYHiH59n4hka9G9onDg4yzYtIxPXTHpktE9HTDB9QMHJuoJ 0gIh6UUqVZcc7+F341Cw1bURKku4GNKCw4m2U2E0NG0UUWAoO0S3eaoUnyLSiTz9uqiONfmuRzuB BsouvrZsN1zQ4sce1uexhmkl5N6zJi5luunE3fwjgYWn3yZXO6uttcO8m0sLn2/JBuWdbtyydIwK 6XV5NLarYt+tmaeUgaxpyw97elPlWAAlK+s/rgatLZsDXsqFeyN5KG9rLRn82Rm3KqNsMx7Em+d+ c6p5MR1NAm0mXPFd7jWg3rGtvYHkIp+KSn4TBdsDpK9ayYosCH3uWCRIWKHyrSML1JX8+0lbspQ9 CYeZPBCEOOaXhKNB33GhEU88KEqrC+BctlrObNZQC8axEiFDZn6tHsecuc5DQb4ByeOMGtU1lrro K0WOjBnXifrNsbj42uQ2EVvHv+6jg4Lm0aaZVnEoHcvc+DUieDB3lYzTXFFCdojm42iN3G3eyniY coxeeh4h8gV0LJCCz+oKjI1HZHlgwNjIzuZKs1l/lDkQpFJWApcZ+Odb/zhBeoUC7Wu44WCwV6zS bxWu52My6cPLtc7ssbVDJpa7z3jwvSMnXJsvSqLPFK+s3pb8tQMRJ/qFeNfSKW7GWV1iCA2yPzeO S6qPr+dpOAEMXXJIeNJZ/m9j6Je/Mgp+xSXC4ZIoKmFyH4ODzXB+r32XA373BgS/EUHWHuGa+WqB zB2HrDp1AwJCPalypvyQkagfIhuFF98Tb/UTF+o5jqzb14LVk2rbSgQiCmtcoh75UjWFId/xHajq eZPIxqpJLBCkBhB2hY1wTkMVs/4n2aDnIs+y4bwZ8B2C2sLVE4w5hec3G1gVS+IFW5siygF7c30O hRs8Uw6h0Coj5EsIEH94TjhQSXWKLSgrlWAfGl5Vbr4+jBoMhHUNEV/4hKhYNHQzMfvxaDQMcItc yyDeHqqsOKuShw53zz1fZr+XGXNZhoRDC5TcZR6HPTWc5I58xkMGiAtEaaTCJnoq8UEHZUXTtYKc r5SgvFurj24LL3jnF4sR0XxNrXIxtIkJtnN/zc2GTmjYukRkSVCOCHNlGe0KXDyE+xsVtAnQnjoU ulU5oIrTEu/1vtWjTbqLZFbt5I6lApjKtF/tygPYuZq8cSwPaA2u2PkHhyu99PvrcbYnvY5j3MGD GI46YkFZbVJ+o/wK3cD8alHSLFrMNDBBDoLakdKj7qgzGhUCZhC4CK3mWmElCUGaX/llu5Vpfjht uHOfywT3CXvLAfPLF6lk5ZjYGcb66yNscqxStSeiW9QvsRtBJxTq2ugobqsZQpYFr3g2E9XQBsFj vBdMxs1i5x+ReMaJTwtFoepUzxn2WTCwtPhuaA33ocyAcz8wLdg6yZt7Mc4xmoSYVcjwAIG0ChQ+ ZcmTpTN2LJsjY3+ecEWK4GZpH3EhwFl+j8sIvWyhujEZFSwZoq3B9Mr5WLsIM2uVRdZpljBfE0p5 nCKhIvypc7a6sytbM9Qsu/DHFn0OEYptIjKsnpexY+9Cx0V3HZLAkAePc0grAjNwqSAF8oWKwEMH TEDlsBABF0l4iAcLdJhlhG4l5wgHeJ9rA/yPwS6KQr3q6b2xg1FGvHW+PaqUGto6jyQ62sMUMkyC xH0oG8NzcvH6EyDVSnkdVsDbN6sFPTV5LJnjhUTlt5Km1p+XJXezrZu7xUcTYM824GdeX8owtqMx FkiWrbOKPvlxQ90EfYzyV5xjmLOlUJfX7OaZZuom5xpw7Llg+M49Z8ObkGe5BQjJ3c5nXicqF8Ad +9zt7mX4njcB1AtKic1BirNaRt6oHcU8jXmNZpsHWVe3GzpuMe97Ub9G1rpeyJnH3vZtKDwIFOia I3TlkkugZuyEsLYUbgldZsrWCfCsZ3rp8jA80JBSNb7wTxHFeDNEZg5vuIMSCVU+E5MNpUchyxhH Oqc3zch4+xtEHkno58aBl+E2E4i7HbBYtzU2chdeVm9PkIzQChJTeA9/9mIJnayj0IWLMLwEeArf lpWd6rfYW09K9K8Y4w56oD4NGecPYLP7aHj9e7qZpahLYn0AinVgIMBM0fM6fIed2CZIqLsDBLNB xRwHGpKpp3zw8q0RiX+UvHxKpae9/2DdEcdKgJimqZFsCYeyLErCEQpn504Bkk8cxAuz839xQyCM BY7QU6yTgN248PATYVkMUZ+eYwDtIng3ZRgQ5l66aZNdjccUiv1D7NYiujlOehVmB7tmCrmILbPU RZICv3aIl9/FDLdPUtU7qx3Omz/1Eu1H79ufz5ej3iiAR7jmPcpCYEA7fKEHm7NZE8q6kPoX3Q7Q YTg6tOjGKQXF0exjbYyV3sBOCK0UJxW1ChStu/UQe002r+rJbNZmwr6qybe/141+cRDHdNdeUKdQ ggS0BxV8bGV3TrbrL+fhgJZkCvXphSq50UWrA+GELX7UZKWIleq60mrUoBsiADYeel7g5rCPiRzE VtLf83k9RUTOtsyxSbD3Wd5pzPWuMvmOG4Np/7Yr+fw88DSqxOL4wE1Z+XEm48W9ecxHxfRXmidN hlJtO+FtusZscGRE0vFYiY5ehf40mmQuWs31JbucOVQ63SkJO74YoHfmagRSnFrw2wwfe9B0K5wY MZI+AjmclUQWDwnBDYYZXsp794+Xp0op5mFyDbSVFSkNv1K8dZEM5WuUzU2pNiiuVGV7Vq223AX/ MzDr8za72yJAZqwzmIk8Z9EHk6QtZv4k2Eu5Ptkfk5EJKBzE4+sFVDTWP8abY8g3QahdvJI1/S8n rCCQpdtvJCvKHmAZFzkIFIuXSQNsQ5OJJHN2+of5dQazfswmrthWle3O9nou711WhrrOUtR4rWf5 6Emob698Hlsc8WsVRrZBq9LwDLdLbqYPG51KKUhbLrq4X1LASSq2CST30PnpR6Z62vnOBgWcJ4Z5 Ex7+GT2gRgOkGX1h4bHp8NAk+voUBLMvEItwHTHYsvNlF8cjbe4NLu+0U0Ms7er0JPB7zRMjMUWW AiWEitzVN9h2kBkRsO2CYu3D7N3qIv7nnWSGu1i1mX+DA+RnOcPjzQNZl15jccWK3t27Ehgf8bld CYVv3YZIzJ6Q+STji3xGFyeYtOV5SKCtpkI+tFngVnBWLjpEuo0UtqCOZIfLeaAqJC06PmabBp/x 7w+DxOZNitQuhrDjLs1f+Wq6CkhKzccEsWczlhY0cqNJazSpFFUj1kSTn9+GeLjJbozjPnQlD0xH 72tVMChooGhdbIbK1SSSHJvgzBnliUbdVD0Lkt4z9ntVcabAkhd1GjQO6EvAWSeTYlUoeoMmZo+F TphoubimayWasWRG5erZlNu4mDcSjASpJ4wDRO017tELb4EXobQ/SDMOzK9aHYzr5bYFEbeGUhNS OwTOxEI4JyBykc0YVjfol053PySsMw74bgPB1Mm7+6RFwyQJUWFf7r0EevMgoY1263+BKdi4jRnv oOtGOwqV6zWyNV4kl/fE65yUrPBDVYejGbRPtDd7zGbetIPXmsvY9QFxZroagBT39AFGDAC+/qt5 1nXVgJ9IT5TfecX1h0GCbSnqPuZjH+8zW13iTS6yKkIyiRgjCJMKQ8BgUi2cUleoUoH2CogB2Xjs +NxB7+UVVeW/CpCO8iWpqihU4JC5teH/pfH1kEXmQ5ZP8W38dL9+6Ei56ZRI3jneKqMJ1bu2AVHv d81lEgpOvbfbK72zcaFdZGBK2BLniXKadAXp414BCCryj/Bsd2GgAP0F1p5ruY80m1gnwby70Rm6 n9MYDftwm7GlBzfjFa977Sizgp8u0B1Rz5jD2y4Esobz3/Lh/gJumOBpFcesodmQPP6MmOqpI+5X tvz/J7oNE/sCSRymPffTblmycAQMMiShIigpiEn1Rni/1njqTKP3CRrS2b7moyRUf5SoKDyYwSwe CWReHY1ptKQSTv8EV41nzYitOuXpJ/NC9J0fkR6z3NSk8JaBISZ0yIT8ou2tQH08a1qtu+Rfr0qr lQ6Ynj2piRS1kKHJ4nbLVKievonA7ShSlQKrk1buVQoLeJOgpOft11KLBRTeiDx2ciYuE5Ozvacm QK76B77TYjQS1k4jDE5R5nHZ3pgBS0TpCYFf0MUiNWaipm45tFFxmA5at4TcLJa2PzSSrvAG70xy EqT1zy1aiSUDN/32r9bzbiqB0VU3WAujM23E9UyJ/8j9PN+vD5OE0F3oQTnRGJ6Uf1u3P94khVt3 6luixf5eOgB8EGYs2NWC9X5cOseCpt40aJ+JPmj+w9UWoAfEoxLsCLnc/QVnyfI5Tt2yQTPKghtI N1kISX5GRTN9qobb/hAwLvAG/miXlyGdgVVtCqSYypR/K3Bv7Dkder31RqvOd6Z+kMCZA5OkXJyy iMktRdXRks11OGfPa+dKsu8WjNS9789Ke0fFPkTJVRnCrVwsHoHnziU0Qr2BJC8hvFhjZSnPTlyK PNaTHbhya5kl73wDp88yJNkfXjI0oOA9AECGVACMOVVDB5tV5MtgzlnJlEw+2zmHh2Yjd4JZVtIn 069q59ZzEcMEjoiuTJ8hwxPfI9eUCBDi4qk5XB+c4ZMmtnhylyhfQvG2B4dCav1I1bEQY/dxxCge 98uS4r+aQxTrH6f1rpunJWkiBJANjS1JEda+m+e9DuM8lK4tu9tDavBJANDcBN4mQc7o3BQcOeNO 5ins2sevQK1IDrMiDQxQmuNsGeT0FWt1S/MSU5cRjgTt4t5RJ43FLtfoFVBo3d5uE0VgufESH3ps 0nUZbPVkZLb7g3YFo+wF5QQF8XMHc/S+6sZkdQx1dcdmC+mEsCvKNmPm1p2k5HxtImUdKZ0+O+Rl iLe140e1+JH0fw7IwV3ivle5MkLEczy6RreTq9c/mUDtJOOXa58DIT32fEaFVvjpj0IKkY6J8rdS 9itrzkP+4OHFmFDCr93RYCRHMSZ+JjyjpYU1+ryv/Qoz5TyXnmNg5bPnwkfCLy9O+01jpFDj++4t bS4J33I+Ipco+5+2CtMKSxH4gIqQ3Te+KkfNE0mmUFUR0WB8FaJbeEjbHHJjRqYVT05MdQjCj98b KAe0SLti0kqLbxGNgCaLX4tEln0VEMbcUaHUcWyAhIOZ5uzbf6ze+uCZKbA2C+PWS8PSEDhalDG3 9XHUvWP+KXi2AFjuhPzQLcCjQVxMjvjwnzmTHkjIcout7lcgN/sWtYrMY1xphZ1hFNoA4aOf+qaZ e3gBmyZGk15/T7rWn+fkxsJnfMe2e/QhVmBljEoqdnXEwrp35c5lFHol7JMg2UMvD7Qa/qWZtrF+ LqVd/FZE6q/lP4lyHFSpbl/P0/j9VLl+NKc2n9f7/AFH9Dk+5FRksmJA8taHQCRwsJ83wCYo9U4p Ll8LjHhWbW+HBbVbob6z2JEd/WvVle97kP4GoLpVFQs5YzV+WyIYmCAvYinvBbF5xY3hGgGur4M4 9pGyqj8fnWyADuR+igiKQC82xzMNMAue1jSpLhRP2IYq5shXQdZh3rl0HgsHQ613UMRKTUBk7MQM 2IvgIxgLj++lmwQFQphczBpK7oXXIs5LtsXqUUAD6lQzT/O7XSmMgHxR2VhYr4LYfcx9mv9JxWW0 womrm8Gt+o+x0RmqUBq1RtTCMTeLaJD7cJWcAsu0/tjO6VuZfQ4bV8MTOtehFk2WsMNu2MRUigCX Bmb65wFfkWSPW09xV3sgE8ExIiMDPxb19J2cY6DvYRwVe2rEl6jKnhmfJ4CzO1jouxm9yoKuSze4 wDAjHJzytVZA6wt/wfAIDOeh65o4tqNtBxMLPy0UTmiCDsG3dX9XBubr3NcXDtkjEVzimL2kgnKL yN/4cf3tZZYnO1KulmMNdivfBGazMGuzeUVoo29xRXHJfEBHbWTHSJ+32AZYAR/OAeTcAq2mIjoG OhyqFfRYLGrtko+MJNA5BftAxTVB9AM32WcYQZloBLbEujHc/1QMFJdtG5/GXynQLnyec3+hRI86 ludfcDxFMD/P2vFbW4sZwWaSlaaWYzPx4XUDAZx7zgg06j0FEfcYqovZvuBmX8+EfUfWoSxr0i2y 16pBg9QSHJ10cM7MpJ38Rlt25OBAhtOA0YmGl0eSXH3MSGBPd4Miz6aq5FQCgNYOixFAKpir/kOy dyjbHfuBOFVxeG8vXZndXuDtupV4jPnL09pbQjpptIWSE2IqC7OzJf77UdO82ZGApjqiFCzOd4R7 q8zP1onFNNhGGqJlstT/5GUJOfcm9axH33i9rJY7ULOe0mHvt52T98L+DIniVEbE5//Y5jTrXmki xAV4t44dMLd1uuiYLDk3AwwdFqwHeEkwAlMz8Ls9ztf7nt5aQXFD94Rzry0gySC324VesqT4N56Y 6Q9uH7TYnf1IC8+y9YFxuec5GjO7U1UwlULpKwMnrEiOzh0Ac7yThtdwb2jl4fuEEZ+Ii/jSonEA irFkNAz24ZmCb617DX0qhfKaP5Jj4oU65i94sicljdUB/mIhX8uVKWniWiXrXRHlayXwtBQCNt6v X4X0aou/9vWACP/m1/bPY/YB3geEPdzEQ9KaPheOHdDZbyrTtj2T4Dni8c2jGh/AJxpmH8paCFyK uqMqPeDElRZ7P3xD8tI2QzFZ3sap0MJ6ZgyTB0W9uA6g40e6wlYIqMvNU4pwGMaEIgUsAFa3FKP+ 5JpM0I0zTI33E9c3jNpUYtehPGr1ZLCWlz9sI9PVt6d0Pf74Vi60GaVzm4YRWtGsatP7K9FbXDAW yaawcGGi7xWaoExYUCiEMpt7KlqneoZNkzKP71P2Qxr4cjsmN325Dd3GgOYtI/oWT4qu6/8qP9V6 w+X8g+HLtflfhvaq3X4i7ctSf5igdLfKHCF9xlffuXl+5HrG2tFZ50Ic/76YTyPfdQTUcdSjkhfQ 4lgDL1A2V9ltK0paRNwjrOuxHcqX5qkDfnpPl4zU5mrG9oDoLAFTFPPTIngoqqvLO2JrS4Y1nWYI 1kfoV4FXuZDj5V6bDmtBNzjAuJaiELx+fY6BGflSQuq6aclESj/DIvd2RyiYuESiS/oAkSnmM2JO dBcqZ9IU2CGQT8tlum87W1mcMlrKIzarM0ARD5eqPhZvf6kZBrsywnqz+TFmkNaOLrSPXMUcb1zQ NazrXY8fai4CZL+lNlXl+/vCjo3w5yq69cQXyoPfv106yzPl45oaNjXe4yKa6dYLZrZBGO9zSFu9 quLSPRqgRN4Bnv0myXtAEDvYkAkJcS1g9rG2R/ttkrA0frfN8hrMtRVJ+ox+u4wcE2nLRC23tg/0 9TZjo0vg8gGEOcgxR6lam5BtlrJPeESJCHU6tU118pjOPDWcOtDf659RTfan7hkCzgjqB6miiXxq pY23kcZbWy193Q1+kIGiHZTfi703H6CigjiHQQ5Q5P4DHfx9VR+Esih/qB/2Rwwlc0mc5VEhIL4E LulBIz59lM+XCO/n3PeQQlIxlMixHPDQ1JdDwBYVN2qmAxS4heLmddjL0GPca106mYBz7C9DD+Cs s4RpiIv1co2ZXInK3mUNT1WDwo1eNIH9zCqpP6SaS1n4FUEcTcSuW8dShdv/GFFkSlUQ6FjLMXS9 b1u2YQe0gZ78PorbH12ZGBy0k/h3kqlGY7/LSzSG4qSGRnZHMB8Ci07vxVmS1f54ehyNGyByU8CE dakAfw5TeA5MD2XeuzQ6+OJC0BZboKz6SjvPK6aSlrp3ipavg2wzvbS9KzqBccV3cPl14nMSB1Zn qHynhrRUkfFbPCntmSyBhRiuQr9hpPIkssrWmprDkcp23+kFhTie1tHheYWvrlPFQ2Geuzv48Dnv o5Csybg3qKuhhA2cjthIWvsV99Z3Dj29FiuuTje2WIXKqpAsLuhtyEA9+odKAOuwXmjd+NJ2B0iQ AjN/MhQ+ZM3hPMfDjFDwJ6s+yeOZN9+MbEorMeQdFKc+hLdlYmlmPk6Mtc7eI5uvii3Kt3871jdE lU12z5nlELTMQuPQtcD/qqvVbV1/c2ph5kYW0HD7w/1s/YNYTrgfMP2gum2EneYmKnatBuNZj14M eytTfhlUwIQp+1hiSZz0eOlci/EzuPsiMDcl1Vn30BW9Es4iF9FkCZwOtR7fK1eOgvZkITeDWWPc k5cnagoD4NBD4lD0tyMTn8deLDIMjm3yzN+5QIBvm6gmKQ+UXIi8rXSO+fO3lEcYScBfF2BtLQNf YHeL7VVtnb/5Mc8nKvRndLp0Z7NCM/v4CVj6kec9PyFhMASKlVw3sZF3YXU+rO2j4Z0PyeMdjwcf zjtts8WUNngttI43VHBZ52HvOq0oACgh/zjt/i+1oYJr4liLolSNLl4gYHJ5zhHg6NhAk6cvYKXs sJl2ntk5iMjMiqkbX7hDr90+3ROctBZ96+5YE85VYkVJDs0aFRZzrBia9kSP+y8KtxQu2X1kEXaB s2EpiubOaAXuL+j9hG9rAp7wOufA+egXFS30FF1HzWl/0c0P6jUSQgM8XTK5P3HybrKbSELj1dnL c4FKvNOyZUw+Rukgn35XSZcwdzSUrAsMDz2HdbZfnh3copv2DcjKpZA43kOC3DC2asCoCLb50F8m b05FyAo/4oa+NjENLONMaCGpFSSOe4rkQqDIsLVByf3lX+RvtV9oY/uvuoyaqVaeUcRqkuf5jTPS CaCpv3w8hwz6gIDfGJKtiBZ8XhyQgStMYb25UMGpiVKvzyPkeAvXnugRIIcczYRWpym3dvKI8vTS bgbvjscimBDhiwlqX8/0eT9Qytv0EeecMYztn6RuDEWfb3TxwngpIisWlzRHHHy4HE8qja+H4Dng s0DwkbqkKwrHvTuRw5qJNTp2qk/pte+Gmv4mk5YHXh/szVJWdQ+MJZjCft1NLvS3IDhqrWZmbJyS IzW1e92OV3K1blyHew+aW7Svig3diuMkzVajvYBfcJAJPcW3VyRZlYMHrn6coL7cnkDQ0Onb1QrD ZcL3Injr9VwtLLzB31cTU8091d7a++Lrw6v+6OP/BLwqs4gMIX0k4HgaJLoCppHbXGpFn3vs6Nfd 4x5ehNyScHU5ce4N0+Ar4OSaYxnSBbKPp3xvPgZ1IjV5sbNMVAguJ6fxuHdpZkIasN9kGsR5iydc SSUO3lrlzOrzbFhAjyrZA+CxB5t5rRWGXhwl9UKN+QQ1afLAUwy/30paVJOzTkzhkCtaurt3ldIh I/0270cJZIakX/mvGwf6pNSkMrqmaRxm3ziH6wbc8ZOMtVO/8bSsXj7vsFX6u5xFIl2iNrnSbebV MDP8NDxggWOmVdw1RNjmf61hEBjx1j6CU9wGgp7kZa73OPNYZ+ES6cgcO5qcAyTsQFKSKPqOuFSh 0jLTPT/RHhZzLM6u6Hi45PXOcH8f4fVYTzbyfEgHVNMqnHrzmOux4L+5p6DLvEDC5nwHGsDLCaD6 aMV/TMcPSIUqRGPj0WjKwQEx7tn6XQnsE8/3UfH20wifaeSEK92pvOArFHdwowcHfwi/VgnycRJC /xi77CRoT3o61iZLoV1dLX/BEwebHGJaj3bRpwN/EjUKSo6wUA90fuVvd8nDsW0n2wGmCKo3qwmj q7kEAGiNECOVvsmljG4129B/rj3El8XebLZDNyWPJFrQvk/Zq0bJYHX0gE76kSdhpAkLnpxMPnNZ W06ZCmRULldW8JyX02Vd4Vv9nfWxXehe6kTTF4jdWSZpsk9APFlFwXWieE+mZ2ik7ObIGYIpO9MH thH4KREX004h9JjIAxrVqHmMk98RM+6+WzZGC2yBe3tpNr/GeJPDVds9C1mGX9wyv7bcwrRA/Fqh 4mcmJ4SVSgduYbq8OYutBWNVLUz4ACydiu+1oRzo1PoTrac6KbFyCpthOvkaoMT8Y7IutgL3Dnb+ 1n12YlmiMJdtaTkVczuI5/e4QMBSf+ofKSpXG77kwsSdVbKYkzt3nO2gBgSS5hNCWZFHOLrtH+v/ jdUPpi+BngKxrORMriKF8tQswo81EIgGoVelWuMdH8DlYO5yZ1OjaEqPWYFsUqPNplVjqZEuikcF 5V4ArQasKz8TnaFQCg9MBeZc4QB6+pfkO2NoJpuasEEKKGlDhIzCPxXJsU6hUgUBYMQb9EePn/lb pCLx7+hTqVR7/TbOTvEKO+D1Fjs2tg5k85Gv3hXzXEm/8li1Br5GutIJg/8CVcKn5PehVW1PY636 EndUtWPD0jSXpM76Y1UhCQDIq+BbpTCU2w/jy8/nEpM5V9yrAGqTwpXXv4C3nPAcrxtPTSa+FKGd IcOmSUFR1/2PrxtcmabwLBAK5IeeWLcSsK8/jx++lD9nQWvUn46QGBDLdaT+FCqdr96ixWouNFmk mS48kakstPWrUsK2SJyHw4erHVH6E7JrTupSqxyMiT1wfozawSd3fAoy4P4BR/U2hsVkcyHEt/Io +HW9bmRYuIr5clc6o1axsQO7j5YYQ8FhkuN0YvQAUqMJKTpPzq2+gCfpVV23Lxt0GMxn5qJ5ZgRt lsI2Rq2zqFttkz45ugvQTbKJIrN+r27ODlOmnoHIZ4xuIJdOZ6KiomL+GZwmldXhQhIYbu8I7Xw/ JHtYWfWX773uTpWCi2uQnE4VCxRIAtc3cv82G5osVjtQ41imJ4uxg/yEPkQLlJDcdcrft6NTJ6a9 +Y0tOd7I+oUN4LGqZXQsrMg9R78UwpejADCRnyXPQUD6ZL7MLePfvLb1iw+OBzz39qu8R1y4dGux UbHuCu3SztloSQ0D+NhrNqYErKKEMxfual7QWYwN+5rvMG92d6TYcLEjCNRHjqyzr7enhT8OXRde EEHrQB8UCR66NlrJ2kFlsbz3YOaD4Nkj/LMxjy/Hxzdspzf5KoxLh00pYpfZ7TWLs2e+q0sB4m3r QDsy/PkDl2LxfuXjZCqSEwzwj6AcEgcuTnTguKc2PFWAVsLjRqmBrj2VZw82+l2jlPfJXcgabF2/ zQG+hbFHhOcUg4ZLIkvVGS0ip8bIW+mFU2aOXY+n7ySURpJSLjXEONQ86/Lt11PHU7r8pg3U7Yk3 VSqYeRPHLssGhyPqVSD/pvKk89pwX0tjLQdGAR+lvmx/9s66GvKXor2sLhLS52OvC3cvr5UMDWD1 wErUjqlEPLoQp4xN3JG3ybvntc/HdQwA8vR9zj98vDtW7WMMNTRGZBzRzOp5ESzIXynorzp1lmOR hnU4lmxaNtSQBYfiBuldIWUCtKk54ISTIOQ3pSHp4y8JOe57H8Ccrpb+ncFIzHtRNlFcZB9ocY72 2iQ61Up8M8PCcWlalDyVUih6ulWmLgsi+U80qRnhky2DPt6JlcpJUHYxR8540SJ8esH/6VEpSeSU yWjnUmXwfzllQVoZYMX1CunveuIyO6shJAHrpKwFMpcmInDKoKejVzfXiMToYLe92xERF90BHAlc gqQgnbJwrps/8ELYJLS6H8aD+JQQUtQyWIjSBuJl9e/a+r24nFxQlzyAs511vZRXkmlvXdcgoLH8 gvBGa2n73JJ+sDxVORpqgN5qxBWYe5wSKnX+i2bAeyVKPh7ZvhWqJUCJh1wEUguC5U8d44BT0/L/ PX2w7PmRCD0Q/HZlokOKiDDNxFc1/ineNDbn68CC/OPezGoTKUQ6KzScHC0HH6Zb7JjBmGG1UZF+ Yc5am8xSd65AVB624qch2nazGBAG3dAK62dqYYmsJ6Fz8wzVj5Zh0T+l2LDZR8JZXUtks1x/AdcQ EBZOFxfDUKKH6uDFLOixJKFHjMjcuba9u788LMgOnoVw195zz7f9S1IzQYvU7c/TAkPS6qtvOuBP BBfZX0gU2J4JdMf4zXoF81+bL+eLOL3sAqoGUI1nDoB1MHqDbq9VjiyFQ2yeUYGglc/jkZTRK8Ra 4J/kOMQYq1NKB0F1oCdEQR6WE/7uUrYWvAL2S0KalGooWexdiVb5SbfXSCubTygmRPGm8ZuX7qco TxwqlwdI04s34ZZaDsGgBnMd7kvFNPlbjwFRRu7L41x9uIjyRQ3Lqw6vbGIQmiJv0CPrUmIJzOfz jPDCI3Ru2kHX1rFByOI2wW+XvyM0Fmta1r1EQLwGVCwnJBSo77h60iBB55ECdNz7THADZUpZaX33 HvsA1+KBq3fKkeHfM1boutqb3NT7qYnaYo1miZ7l32e4ON9MSL6CMM56CJ8OMqSW20YpqPLXIbRD nQvNUFWxrQ5XI3JwNYpojplykeTs/ZGL0W0QT7cbWSFqlXuiIVYtng1d4cpEbHjQIAZZpoHBlHXH FhYOJ6mr5hRbVtbKHL08r8uwFpF3MdskJNZy1sguJcSHoF1EzTS3jGz0i1KAp1BpcVB9+5N+VDdw c24fnbddZmz5mV1WM3PlohnKgXNJJ+JlP1QXfwWhlwRRlCcALj8l2QDiS2XsTqRufmdSAnDE88/W gqe6sSt4X3ElBVIpFMyMNN5WfqjSMQc5C3gKoz8dJbXgPjIMcWQRG9sqHjHviw6LZSlp9qDrbgV+ mit1X43YMVZabcjz2ea6Fk08/HU3s1LoFpSjfl55a9y43Frif3VnYRI9ue5TtFS1iK8I5r+CRVhI uTIzsOxSxTH1BXu0KIE55/y9HlUyFZv5JenYTkzbCpCJVX/1oAhGqU3yaGiLDbg9tQ1V7tnbdaNk C4OClissfHURuopaB45v1Smtxt/jeMw2a1wv1Cyq99Z0zcgM2JPfEM6T/g35RtadCVw0IDOL5Czk aRYhf/e2nBA8TFYP3qu49GK+nePQqNyNbcyyaPbik3/4WYI7aAnKjzfNI4E9TFMTDoP0LNqJz/kf R9cmrS+jObiIZ3J3HPD2oKS56FOi+n5oZt77LOu8X+qqBNi9vx9J0DR6pBjg1vc2A9rIiwbHuu+p hv6DYooyouyyCkZ0Y0ZUBdTLnMfFN1q+46WxZMMsZD8eICt6KoRLLlPEXmaPd1HSzwQ9q+zjFh5p x0H9tf2SpwhU4V7ectrMJGXl6jwq773Q9ZtXSeyw0bgNN9mdI25JcEYT/2rPo52G4/jbZ5JCZr7v xD9Vx0AhK7fPLrn7f5hG4zcID0+btFg5b3luUTPUjY/gvSUrUj+31qJN6L5Ouqy0dXvb+jTfmluI FYX+5djQbI7aAK9dkmpu4WnBVrRYJ+lXnhLjSnHnKwrfw3MXbaUnzlfBGZDcHlboYPPSCg7joUcA ScgX5Lh/5w0kfccmmMt0KNlC3y+nQxMlKE2uFJmRuquJgDRGGp7yhX4R3U+bzIP2VM/6AdVXuzvx fuRdajgtNPissr1emsK2SU+LGVrLGc+HPFPOlwWKAHI6/dZ/SAmSRWUa34f7x9R4xtcTPfiSICao 6d1s2KqletRH5DYgUMEriWwzfl/yRzjZppXXMw8gXGJdSrtFnh+N64LaIcI+5zK/B32TIiEPL1IA 0YJtga/Thw5h0KZggLFk5tzG8INLJnggxbUDzmHpXZd2FqdWYeIuKLxnFkX1cYOmXcvuD71VeCzo SSoHh6xQsvmfMFmemb1WAaHFf8DUJJXlWD3jINDRvZuWHGmXpISwxc1CxFg2/pOtpUW/xWsc+Q7+ yaBOSdojiH28TMNpi/SVWbYRa9iCwOZLtqEu3+pUuP1eczwkDwP17FsdA6cpA38skshuC1hmyrlL V064HNBM7abny1xnxXCDOo0j3gPw6FTJZtOGIkqBa383F/3rfhfaG+aVkc8OBBYJzNMr1m2GGQgr ZOo6gGMRdoXupxnLk9kq6ebPbRjh+Zw31Sk016/nUD+86VIL6dHJ6C5McvmOmRocvKmOw+RlmskP QhUJE9++ki2xm32aoG89uog1jyTANemZNuKFVfMiC7plWGQyNh4fmwjrq0tRaNin2/dOSRr0QnlC D8kFuMS9qjv1E3daKdlty9g5AIjadeMczQKCCH6BBVJ2wNaT1rEK0Fs8CySJsSxOkBCe2YeDJsKa CFgaBk9yP/NYIHrpf9+8KCB6t9R+TW7YRomEfusXHEUSUqMMHZMe0Z8sIGGfWcwSWrTJc2/v03C6 bA9wBY6NB375+178QdFFSacnLIxpU3zOTO5ZJWBmBSffvvADacRGyrJV9+CBLtLegHQqw7J4KYF2 ACf9pbCYlX36mb14RPI0UdBh13UX4mO7FrFpokxZ8cXiom2e1L6wDugbQuI17dCXaIz1SvkNUlTn nen0/22NiTmaNec0yxXF/sOkAVkxFsvhZQj/sMDmIb+IW32/XIx5eAlZpExNpb6P1g+YQIOtXEO6 01WtMcYl8feQGy/l5k8Xm6+W7piMY114/erNAEbv78rMTFFkjpoeNjNZPO81zBmLvgKnQTMvRtqh 5jZ7L5/HyTPayfyUZqEVnJh9WvADAk01croRNn2pKm9/MDJFtdRHuAGLJg29C8+A0XHc0/x1MlLX 24Rel0Iz5hA4kudjxrWJFSJFs2TTBP9YwjlM4/fUWHWLdBE/dPwFjnEpl2Emi7hTAeF+Mpig49jY LqS7GqvRmKvPYHujtpcEIgoQT16NxMXhxWETqSx2S9b4bk3WWiXzHNmrw4LY7VqadX1XEyYqSAi/ t56SJbcwPX3ZBNsbblvFqjg4O/CjIxxxj/0i0++f8HI2EFjiwp1jiHd6QOiHLnMxzhAKElH7kVJv v7UKO4hY2dDEobsV8+150nX9vCVq91+Xs+uBzGNv4vsrkRjJdt0e6FiOCOTasWqtOXgGVdT4BOSz eWtUcygVlWDlnwA5wCwksYUcQgvYJ9VSd+F51HpNYuptg4d2KxvhPJATT9b9xstzOhTcxaq3xRpy +2JTL1PwopAWQj3bkI4VXZat3iRzx0AlTdFB8tBZksWmpoQ/UMjuCoadVs38+a8uwB6/7okodS97 q5k7wLrPAD2/qlzqtHxYg2lay27Tg1XbAhWkCNN5jCvegxPuGsyaM6FaxQGW1lrmlxL+RuDRWqGl 9xz1rmVMfGj6jUAtRzXDEhSDjdBcgSGPGpJJPe6VWQf9hqqdotqHzA2bcEXXVCy0TsA+n5W094wV +adTGXUyJvc0pYFBOL4gR6TfghR5MDH7vK1OSQT0EWMqgQvTuEC5z0kSeQboUl3tFesRseMjG/DP k1Xk2FMyQtcjyk+t02OBGYs7Jl3yghfObWZfDU4t/FZoBx32XuYvESEnhApvSNv+DJhWp1jtQpDu LVWUJH9zAABjF826Kot3oerwiKoEyxDsnKSvFP7Bb3CoFXXwZerF37vSVAX4DtQ/Dyznu/L+NRTA ogqlm6exhtH4FVDScGONGIuGQ/TE4O4MxY5uv+o2X/r4I6kV5VF7ikR+V2B+r42qYpd94dAiJ2Sn C8pRGOojd7v5hvUz4aJEXqLZFhQin0TAGLkvB84FWa+S9G358CoYviMtWcwCggkgjMuAI8TEQohS tkL0mJFveDHJSO5wHJvkhi40SVWVcQHlsaldBTNbsUhSqSeNKNbPXZ97+KKfNpOWSY+xCsXGZfkz QTTtiR7Uzmpm8PpqxCS1CkjttcdUS39SRVwBV6e12p/Sj5yVitKoLlAeqI0DljBxjC2WQbdTijGc JhfI+5rRdZ2ObqFEu1ySbuAsY9ITHHW/6wIgkbPEUaJM1mGsObikDZNTg7GdSxV0LeLxXkwWg0fe wCKBlXkzhLEP5OcwhVDK69Zt2KFve8KEZtSBqaHq/jsJs+t/HcW3AmPy80ZxMHZxkdul+UjCdi6G O7K8Cw8CHTbNeXUOevTB+httgaCvllAa6NAE2bA/Weh8J01VikGZ0r6AXYFCeBtsGxZ28AMq/djt yw8sAd1ryjKsQN1BM/SfUj7kFtOVc2JeUpeD5lOslVTic+HWk9lL1G4kB1Ttnykm12YC1Ar9uvkV Wi9nsBV48bxcR5qtdMJs140pkZpY6b7oUSRmt/xt91xu+PaLJr6oNGIbpojK4KAz0RkQ3cf/etTw gNdn/j5Si4owFQR1BFm8aYpZ+eRUJqR91fyeCVh81SIm/D6Ge/zOYpTOxmrhtJ67PDfy7j+4MdXQ EihzMIZb0JNM1oLD7SZO7PTVoPcM2/X+00OPmxoDPH5d/Q4eXkD7XtAQQRE8eZfyxkmnPx/XE1Z7 23RYZzCMJfdy8GiUAi00yBShXz7NcQSyeOOD/jzE6U6NYpyvwIiSqGIbpk0T7048F5Xg1/PvkweP /uSnaaL64ZjqYe6LM1bCBrYEXeD7LrY3PRvn0dwaRaSINf46j4hbaI5av9bijrrO1fg6m2BFapui 8WQ8r7R3sEnghlIQVVEHRwJ9Q97IEiiQYGGMNSAkCAVUXx2QcXkL/DFUGN9PXuLbvtVE8+2vbAxw BfuONoCVFittX7jFDetbazwDUFa/UxNUzHLX0QbLlA3mOhVtcsaeSAVHxvrrhcvDOz7tBgMUtL2b OJyg4zA/iuEFYDH8kjBKJ2LOPMPsJA/7LNLMdy5NWdokLG2b6BrXp2ZPCvZ7pondjwtqe7GFWjct Pq0UK4qim+Pma8slUAtT3N8KwZa9D+Sz2BlyoAczjYrB42GiS8oF4yHK4p3FAa/6kVckipLJf80W 0NyLPeLDXAUs+pw8ltstTBA+Uwy38Nz1y1zO2mIzHhyVZvVekEfWj7aRdCXcLf30KM7lOXsnr8r7 RTR11Qq6ZZQcAMk3dgUAEWZSCi+5pWA2J7Mdqpf024bkAO8V2N3fSYwIrU1Yleb9jk0n2t9Ytsrr FTunhLT4xlR5zOeJjYVh9vXEL0GVkVvObUhsYRrPHDtjznySLCUEDrxzOKMuqgQK6skTDZ9zAZ/3 tQ7Mki8Xbxhy4VDXO6rSMR0I3MpvcsYcRjISmpzrVRIQAzDQxWwLFWtMLAE3GN09Q3FTPPuFYZko y5j9SpGDRHzmeMCCraALTXI6ifrABrhiOfZ/2whmo7Q31AGnrFDVELv7zh0bf459Z00Ds2FJ2vLW 1hpuvILhMqrbbHUpgtwojoUd6EamofWa4FTF4RQm9kvRL0EfV7Ysse75iuqMCB9N+O6A0gnERkgr AX9Qc0gUQ+QPdN6sZeI12hwIEDyaJdOzKMB65/DkZLd0QOM0d9Qgm3rMc4JTaOZ7k+9NW1xSNFcE WUjcwG57GMjWIOdgt1H+5RVO2HXdhbgESqGe7exv1MYDRyqRFkNWmHwplEIaFE3DTebs+SnCvR5z qmggtjB6K1GTzSFVodxAcwyXTXJVpgJ7P41uE0qi3TIDM0ikjyzovf4FlhQw4aB1sgrZu1jN2EH8 dIYLpWowHn7Km/KftFbQAz2yfGCOhXJtXLh75rqhdictzo5y+cjWfsomirpT36cyIWbPO9yZ1acl w9nYXGoSAABHlA4aSmOhXyFENi9kPXFtSAO/Sp/CvOchmBXpJCHEk5pvrGMSTPxM62bPLPkj8+lg tVWjyaqmncccVSi7pczbzSds3eBxyY8gmzcKwvJD0gs11CdGh6wewIyfUFI4+daqfomg8GIGY1e5 s+WoCyLkMVPu9WiCZQxcOm4+XHu1dDIvUry0zDPQskQeytBdfYHZX8RpnpBUn06STacxCIscQ1AZ dG02UMsMSs9niUZgIjO1l1GkmAHknRUHAEHndNIwch66IgKivWU+j1UKzJkpYGS7RleFJfqqFXp0 rd6c8nbQb9Hs+ikCb/H6QT3n7fNCZUV+DhGwVpwhvZBXJ/rZMqD3TlbSnletE1Fz69dcMAar/guJ 4Byyi+/WAcUEAlQAQuCJXh7emQTI9iYUyWtpeDbbsuZhG+smBAYAL5u4yhbtE4Lss1aoNWidx73a M5Q3TpAAaSWfgDOSDtAUdp7/61KL5k93yXYl7NtXLQpSCkYT+ByM59eKVCvCQvwyqy0mW9Lamxpv EgitBYtaIZ05Qu0pJZ9Nm0eh//rS0lE1fTNvAE+OoUmlo7tA80ngpCuLOeRi5GNESaGdzgTbLQqb /oNEfwS0W2EV+qCoFvVPOgYKoqS1cNONP4bfpdke7oSl09D0WFECpAQsKJJ9Romnn4aGnePyC0Zq 3N9dwD2cEvdmvaXPTPBoGGtb7HhoAHFjBJG2pctr7vaEHaYf7SD/HSJZBSz5i7IK8s1Lb4SBPFhh utrQ+DBm28DwVBp7wvbe3gk1EQkj47SIt1dQIS/GzoUfEvS14zW6uZWHlsU+qTK0PgeU6qX6X8k4 KSLE6E4SO7Rd3Gh9orERr6bgpGyLBRztYZvSusI5UXRiWQLebvzJn2y9XoS2d2JClFvoIG53Vzjo pbRCaaKw/iE9ZpiYB6U2B/wopD9rsT47QtXy24THnYDbC4TAZQi1wqyo9kW5myRZfT1cJriJYY3y g91qy08FmQR5o+r4/IWPcap96Or0pl6bHfua84F0c9c6rT0KBivczurJ/Irzcw8m0I7yE+wmi6pB vGBQXi2Zf23TaJU9kEJdnErGh9G3O2pFVowx0s6Z286PM1JrBDLGfVgUev/BVa5mAV4Q9Usj/nW2 2I7Ow1bsGTGaiYUzwYz8VCXta9/AWGBnIzuX/Fsp+Sc/H71ezzioFu/fb2jk6mWy0M5JtLzvGxu2 82ORrtQ1hWAN2TA4HW3WW4dl49pYmqpYb3296E794nxOVc72H8QHJM2OWgQSEhXX7SHURFdO+TtQ QJfOKsWw56rnJGY71E17HBrjLoeSplUPrvZlNZt7XJ/upsXq5lyTNukXFPatNMSiCVc9LdOqfT94 sbCR29j5qf3Td4X6SHgizlpc9+HZDDdvfLnUB2RDd0bF+0IuFcIEkxv/IiVCD0ABTigkC4kut90C BKCsg+BsFmFnS42ilH0qVzWOBI1koo+/16CTB+DsRmyzIjQde/5XjPGQmpDR5gMYJ20Zh4ZTMr57 wTv+5re6/HUx/yD6xM2BHbyGhB9cEXTwEW+hL43rlcFnvWaKut8ZAx99EQhG/eu58B7Rb+n1Yp0U JkXt+T7iaoNdLFEcIqeh4n4fEyVNmaZ9HA9W1wb45vQz4ccbVBth2PnvyXVXuJPWd0uzs7zkuwjN w0Ast+HAHTw0W3ePXeVimJkC++3d16L34XdlWU7Rok3EScU+kTjoX+6jynz1lwVnPgPNYIdUSaf3 WfHy4WVjEkP8x1ybsoRbWWyZiqAFb6mPTQ0nrrvPltDWZpPTcRSF1AptSJLlEaL9MN8vn3lp95uS bOOP/a0pPYc2BX9onbRAK6kpudcN1+QVB+dcXC+m9V+43I9FbGRscT4jvZba/S90mkvY69RG+rJ/ x5HMUMVuZjjQ0NtBjCv3sHpQ4aO7Y5hAeiaNhSVSxp1a1Uin3WgqFaBp/HxduXvDPoY7jSIBTYFa WmEXKDXmHJ/CjcVfVu5A/jx1MbQgHiaItvyF3NoimXeTPkLbxOJX4E81k0o+d+G3Ka4h9k+LVk37 vOkSxVYALOG4tGMeUtx41+G/WeMHVlguA45E+2HHVFtLMw6KKMmVIHWFosJVDNbma4g5+TGfRvBt rdHCq3wMOibjLZFzFP90p2RE7F/4Nak1PTv/mAHqIk1DlToGCqfoZQCM24XdXX66XDV2FK+nBebU gqvDte9zjHlGox5+6ygQt4jAKodkAGCXQHUT4PZdvnCjuSRwK4V0JFdncGpPp0JKxzd4JRYTiK9W poYcBjNNN/b5Iby8Fkgqv9w/9/mZuI5hC3vvT3YNWht9I7vzONFzVRh/aWb5gOW31fItulvGiuQU Ktma7RtQQoARROlkj4kM6p3mR8ZlhnZ1FPc9wKMg5WqKQuvp0gaZWbYukW/mI5qL12ES8juRa/hT X47vDuV3xwBu+pWyYaq9V8aP3YjIvxZngcEQ7ON9SmdlcijAcBxiLtAVnE3j3EbjnnQl8DW5JkdC MAMwPY0rVRnGp9y2V6ksSfNohBYjGB7nBOXFzP9qmvY3q3y1cKvTYpCBF/zMmH1rThuoC6NdsX9j i1dW5dmEC7TklaxKwk5FR4qGE4Fj/5/yy011h/yDn/L8DTMSQeldcpo5wHrWCi+6w2eCaDq7e5VX b+7Kp2l1aRLUCOZvPzNeIiB2D67lLt1UbjdVGxj/U0RGDsv1dF+YgHpplpebHQZHZ+AYAl4u+my5 hmdQMI6ucg9eHLuATvnnnAShL6TazzKD/6oWzxxkpGkVBGL/cTmbW9zAJQ9wJyGSXFrRkWiGOOUs eMbdDIfPpd8RK+/fNWAudhyKvyWbQJAOJigyzerExA+ynRWAqB63G3tjgU5SAkoOzEs5QkhGzvmI lfSIZKZO13IUgmafLVS5CSppmiTSiLL1k+c1kvsgbbwn0S/QiCQiTfp9aLG0BcC1a1kcAeADXMMl QBaZFgtenCsdtdNxB5MIuZbpA61pryWQJlm/U4rsFPMUoIA/e/ibyY8Jyb9jWT95oDxytqKI1f98 PiDul9fHCIEDZLWwk72dQafM6GJ+6KjJw0pygcZhcqgVvQ39S8zWusoTddOhjEY+JXlhaN303tpJ gbOw5n6MdCgcap1B0E4uM6mlnzziry0bT5j9rKUOmb8lbpDXrrOeYGKe5CP+2Vw/0fPsLVpN2dh9 FSjhyoPCjd/K33TqO5VmRo3oPmtSbAipZcgV4YvwWIe6LKiLwxLxGflYEmBiKQNJFddG0z4wSz6P PhL+zRn/msQWpso9w8BlkY6XPkvAqBKrhoajY8X4Zg5NlDfSjUMdEAvDUh/IHfy7EqqSOJKRu1Ud nyIEd2GbtWQ9AowOsKx1zy61ydlj+YY1IhUixJ4SDpsLBUrV5PqfkS1snLtRoaIbFbSd3MqN7xaZ TvcLR2r6nACO3tNp3DqwnJY/BpEUhUQx4LlsSs3HCiJcBG0JW7Hsp1lol+zXcY3KQsZx8MLg0EaF 5r92EGy796wvxZZT797GCgm9pZ3G5yyaubnOy5cw2ygrNUSzPTTwMBdcspzhOH18lAqoT0JI59tY W+gOnAURUEMBTA4hL93vV++ZtL7wl6zQUlqRjxwDiraJcFc4lXyYv5QcsYv3jE9oSfB+niiH54J+ 0F9bKWCAJM3ShaQfOwe2pr/a3zjwG73KwdupUEwoEUFf3it/pEGF56yLMkqtHze5NfLYZQoFXZDz bV1Xa4QYSTcyTAwaLHdeio9BJEBR/ojXm5jsa2IB7calgVtrWdkRN/9aeIi7vumXkI1xtyYjOwyz nRemq769RZEaB9aamVKkEGPPTYgw/9omoxcpEPDLo6lYu2SIUWE5Zcd0WVy6wpgp8OL5cjO7TxN2 8QwLyqPlcijjiVpjPsCHUi1Vw+TmWy5n+yS4bh0ayS/sydl10+Tj8Fa0qqa37ky/YIWGdDxHK/E8 tfWvcm1Trps6BLQG12eSiixfdVe7PZ51vHFHtr9U3Gh0Wwm160Kj1hs0jjGqoPiP1zpcz/R3dcMS rxpaZaza+Dic4Ey26g5olJT2+WX4YGcH1NR/4AtHaLFcbwizrWO/k8V0Dai9zOo1cC6Wg+nA/73z PI5yMglk7llA+hPpNI+nuBPmNgL24JJ8ipqGRXoA7XNrOphYFuIDB6dDqifTPh33CvwEdC98GKaA XGS/iW4BxbnjVQAAMXRTW3Za4ofTAEF5JdMBWJRCiKDyk3t/DBIMXVsoJTeY1NgltMDvOrXohu6H +LekwL4l8jwUPAEghTWPyrEkD9kdiC9vOh+neKzaRU1ymRXnjs4FsgHo2PpYux0OIbW1kEEwQg4X fNvt84eSkui+HHa/TWxI7c+p0xy0uqtX/+p3JnkcaoTGt4RuTtKUA/Aomtuoo1vFz+yWnTYFCzgQ 4/uWI/+jAIJkRagkT6bbRYBf5K7TruC9KPJa9LSNAB2CXEheSKeZqTNx4IpptqAHAj7fLPL5GNHF f+XxmFmpJDXCpLwoWbovQA4Uy3xh06PnXj9NObGc6xncJet05S4HRXq6rwrQ6DRjzx+TZGyQPDhO +ypM8KwBHbP+1goFdSde3lO/CM2ox8leT1Bl4gQEU9vxMTN6XtiDCIyUOmco8pKmA/SCsY8fVt5O JPRqTQ282yhuRtI3CYfHTv9ZsHdho25Ca/0gYhQ4c12dd3XGqSzVSivCJsLUGQXPVpYKIu25gZQd vfhUNepds3H3os6c+yq+klaxuKH98HsppgA36t9mpWqLavK/pj/Ix5bHikpIomP5swg58GISeHYD P4/pHQ4xSfF+FFtduy24S4T0IhxUEMywdA19FBniSXAk2U5vC6lmdUNd+CTVrxnBIqwOe8HwN7S+ ATfyb1LAz2ootaFXv+8VomUt/usk0u92gq9y+mK130JjCo2WkNZ7Q1Is7+X3C1kzBkRDx068m+xx 94WjZ3rTCegwcxnnmRnUgpmAAJ1bEz8GUzrLRo2ZOsoGWELdio89Xnt5ReRD5dvLtp14UV5a/zrZ zHbrT2QQ5qZ6p8Mrv+AdwXaNCch6ugTXBHq7ZyKM7lk9isstljlJW7f8fOrNyX1hulYJHKCkZjq7 8BR2W8RXWwVC7S2vQRDEA1t3Q56iKuCo23RAPhV8eby2XgpmGYxIhd5OjGhVKALOJXo/LvDJoV8r vEDp4f0UCEOm1+Xf5NQPmYDG9+eyaAIxdPesaqhiHv0xqdcTZzTkfXk8Gv1uR7BZhrBJHs9AqOcD zBxfUX7q4v1f/UxFm/ZxgJirSpfyxaPh64JjnsegWhBWIETE4XFCtraE8kYU/rRlVYGNCikAT3AA X+O9RDoxedw53VN8B4VzFSO/Ef3SMxeAKHpmkTS0owcGulQ1lZzjNW8+E/y+75Cfsldg6YtSu6F7 rC85tmEFAPlE++eO2PjIj1b2M1g4Lw5YoI2qsHku8N4m7AwTB7QDF3GCFcBigtwlG3LI/JeKS+r2 zhFhwbz8VfXng75Wh4CW9GMGa+yYE6JSVhHa9rMUuuiY51hx8yi2BaS4wavfTxG4TKWCAW8BN0RI 4aotAYcKPU3zXmhnfmZ5u4hfDwoQ3YdfM1LWsPggRR60R262yQyLP7L2p6doblHHQBBpOP6Ere+V ITaTRmy25GFU575JZMUxJjzh4FGBbxV2WMmk+idiJnGRrIDHOiZCVIbza6dWPgGO2IPTXPxpSMFD t3oL+xRp0tDlbcizQG9hc0471cdzm6BVT5yGsajQaURSrQT9sYzcI3JXKRg4HrTbVhpvXMCNdfij s3k6aGtkoDvLG5LGjBYKAQL6n2a3mrgzSX8aa3+NGW4dPdGahq/YbCOfT931CjU4tlkrmhlP3Vfd Dpv9Qa556lojaYqpsQFly7Jt5TOT9gKkQYouMBzYUIUsdBxCkHs+kdE/RVH9N9mgDE6pnkP76Ozk LoNd4ZXkuHVB3kRM7TGcTyV6ZtmWOQIVvV+55aA9L+lTa7N8niSeaavQCz8OL8YdQAMDgwk6UCr3 YCO/tgI3ju6rgBwkc97pT4beVGk2XaxvN+0zmkAbeCpRRTgSzfmkePJq2oXV3l3edmaZI7w6H8Nw paR7YUxRZMLOPnLdbuIS47h6iSLkJWvcsFPjGxl5dGtHbB8luvlIq+mv7e3hoPPlrfV0tsyVrP5B iXiOCmh0EwqDmaWTujpv2i4D5sRhzXzDh3+ZaNAUAAbQlm1b6OXL+7WVg71+p/EBel6L5/SYYeDX IEM5pvQnTM7I7lri1EA2JdGT/ZRZ5jlkD50E5i4v7CbzdOC2gWVw5LFRE3DgPg9l3VF0DIw7tVqf FXViqT4EImOVDWnc64C1GZa5T94QY33c6Si4j0xgzi31V40Y73Jg18cCD7IZbQFs6NPpWLD6juzZ yF2jMQevumUMin+1ZfY3e14xdrU6uHP6iZNHZJa6RGeX92OtYC0ERoKk34tvs6vPoegQkWV/OrZu lIc3Z45wWCtPvEL+r4E/wYdtcTsSr7hyGXN114fT0dXpbzb3IIbiPBT7MfF5BkVgwzlxEs/+CeLj xQ6Cnm/0aqicrhOUVdl5se81uQMVbLxl/rPh27o82FeD9InVjRf9rDJ341TyfHrmebzux9lSXppA WhYdwW0iBNJWvhqP5ABcW1+o3e1AOlftULmqPLlFZDrR668Bbv2BDRxeKscjZjFlB7Hn5MQdlGX5 55Z1M8S20wq4wzSXlOjfbIGPr7PaG8ApmTyfPhhemyeOmUsLWdtW3LpNkTLpPu/ceSbwge6Uk+uS cu57c96fBaoNmFGTAzQmMx5+jSs5mG/J4kPU5V9UNRkTs3SjYxTG6ce1+wQ6bPO6BxhvK2n6Z5Un KofSt97BJ6SlgZSpiOCPdYP6oPekJH60NG/xt1k88Lf++TaT1PYwXq5bSGi/mddSUQZMLkwzdsX2 FfiLCbld3jlX2805DQXFZWuCOOybEgI6x3DMg0pYjrtYn4bIoedrufsoBy7PsLnayMc2zmgqtz8C /goamD/U0wjAHumROeCs/snAqamQf+eqWJWYxUrswV1Jhag/Db5tWdbRW/BSq1R3lTJiloz2lG2M c7lRF1Kqr2JaaTcw99ix4TGZ3Eit/Xqg3Kb2qPCYLdRnBteBrwnUJkfgUUGdXc/OaWRlG066DLFL 6uXfBgDWBj4Wt4gKG5X/XNxQeEBD0UW6LdHTQJx1v4cgG/cAWSUEafBo1Iw+/mzlyxCvgUJaiU/f aeM4fjyIIFZ5w7/c4pNx5n2yoxntvZqXhpafHBYy21tAllbw7TSzw1aDJJqZhYa1fE0F52Nfs0YR VGkIJVRRrg8LQ9ZiiOQN1+/yjlDs5exkoMy1vooUX87tWN7aKjldKb1OWsjMHiUhKpqh4uX0Ezqf uW2gZNrJ+sVunA79Ja2aDP1wgCeFCzRTFHCJSoEMfcqfCcOs8W1fDb6F2snL+3NXKM461zVb/hZF RijHOMzmN0PUN9LQKt3Z4ADangR5ahdVYHh7uYrO0lhEQ4ApGEM+hozw89q4BLFU8ZOYZCG0soUO wZEBJ+qZOYx2llA1XjTWHIPr3e8zdkOWNXCUlHO0AGdG2qyBJ3hZpkjHWR364LPpuHa7sLgun0gM MiaQ09Xu/8P4Q3Quis9/wteTVnnFLlvCd0h1SdIsfrIV0E5A/i9K5p9my7uxn2rTqzg7f3tPMjG1 dLpCVG0rKBOQGuZEjgfhY14NARyS/1xt0gCHPyt8jy2aqKq2YbT8wWgdCflpc6k6J3O43BiT/pe5 BDLDL76IvVWwh2OCKrDI+jEjWevTsrc+6KnEQkqkyNfVefzzrqR1uRrG3a2QAIub8dCFUqZyV1G7 y+UfKMXViIGkiOZzj3ujAPSdBxTZLvqtbHrzbiyaS0M5wdxNOnu0JHABSN8Z9VQsTBJmrDJIyC9M LUn1NCkYLfTvjmFugece0ZCCtfrlcOf7ChmrO51HgJfRF8HFGqynoVn/9dOhW6s/QGyqv2Nj22pd U8pykKaj0fLJDi7ijsypOZnnU5xvhEyksR52VCD+tCWvjPmpHx/a4fYttF1tBR9TFCTiZWGJt8Dn K2BrwLXKLXsZXnQiIXzgTXKhBdXPExFAGEZGujG6WhFzWx1tgaeuVFLGOaD1Xq3gccKBId7l+3MT Xyu9i/MVQV9AGqi65bg3Zl14zN9co8NnTNTy6Iair8v0zg4Wk0UoOoJHNZD4oNFiuho+bglSn9Wh MXq4RFtcm0HMbUX1MEdyGkjUZW3UdLHpW489YfubCLRjc+H5Yq30QUHODVHivVN4utS4y4iw7t6G 1W9JdhuiRLqO9mIiCjzrM3+OjDTg7/RljzbK3A7UeE5tOH5zoSJm6gGoi4v4wDi4RO+oDE1FW5n5 /l5sK+Sl/5G4X6Vah07uyQe7haMwVu54Ya+QosYLtMSAGui+0Its7pOC/CLJBv0V0FmnR2ZVz8yE x+LyL81UYYxzut6CvP56+GAUbkCqB0uKHCOs+5BHMVEIy11pDUR4LSiU4RnuFug5sRRQjOZhvDp0 keNO6dkZEyp4qIwMHOelFOb5+qEy7gttvOxkhmTQn7IfQLCHvSK4yWpRKtjrdrPE/r5pum5XRjRA +j0R0ZQQsCpzEoxDY1JTkf5savHpiXdJpceb1OiSQU6pnbwOdqxDYhETUDN1T7f1CRPx7PvNi0KC nDtIqXdNDBPg+1GVHgyknDeNGe/dYD0fBerFu+70dJlHcYw+SDVMUk8wvolMsxzN10S3nm2izMMX 2L+sKvHN+hDtptkwvIIo/J68+KGp5eGHcx7YsRaUsLIMF5oBxJ+88TotfW5xplomV/CaEpFYIA3U MIbc6g0O8vU1aBUtrXkI+vDLtPu72AJwOUalWsPBGuuOuE80QBrN8OVW8t/SvnfKD8DAZBnjJb+F +EnUVVXPCulJmciAUGIybUpPeV92NBYZ2nQLp5D732vr4g90CBAXalmwhdCIL6PgDHIA/lYHmSvV YOcMELmu5ZOmrPy5GF2PsBqvfYgFYBgtDn+8qN6wQcv1zT/v6AyYUrtCZKdR/CHMjESwGS3VL4nB poVtjpbNP6azvOmDKmBeN+DdnwPgd95wtxs4ley1B6cYBbD5uq5MO3y9dIf52wEm9d48Tx0S8V/H NLrrh9iEQtz0HpVCTOFgCA2/QzYWqnMS+B4tT5GVXUXqjeWbBVZVI9ytkaQlotLAhxw5uZT2Lfcp GK6ow7Sx34mVFYnTAAXxhHj4Zp1piOjq3QYpTPYxJ8ms/VrtQFcbysji35ByqJly26DiJhr5D8CC WWQ/GxF/j1x8fiAWzWhhqcvRrb1OmivW2e3/tYipArifYnWT71kPHzjYmnn6sxlTc7GZTH1b3Guf 0Ne+eacCu4jvba4R2mEf9udLaipHcjlS0idrzz2IS5eSc1bd2vhfGAgBR7saRW9puxUwAo8MJabM MYSi2o/DjbXlxmybHNiHRoPuSujtSBfMGwFdzKni7qt2qSnt8Gmw0FLZeImGfb1FhJvPc8AJAGEG cnzXTye0GKdnFK/TMOX/nPV6vD6GosGIR8jfgANuaD+e8r6jLTOzgz6ipdDEY+nSFgDGEblBeh5X WCnsPjz6iY3Tmd6c3zcfL7dD1SOW3FScZnBMzDwJ7YV/ngLbXwfJVO7lGLKu3pPBKzK8kCxPt7k4 E7Iqc/vHKD3T0Q5RKqMVJbJEMR6Oz/tzvWzFYcqEYC9DQxS00XXjGMGSESdli2CPwQhJQlBrqoQ2 ix5Uicyx8aC0BizXSCOvKqx5Ld9a0APDLEw43SN+d9bswj6VdvPZWN2BrgSXnTPCdsS9OtnYkRgP 7ECDgCZqO7EvfazLQJHSDTSE063pkIaa32q4VzWDdlK8KvzkWJ5aF/5aEFbbOg8za1sMKKM3EtIw RYrRDlaXW9iEX3dP3EtKS54gsh/IX96/y0oSRLZ2W2UieTEeuAt5p9QaLSc5wrH8Sdktv5eNoRWs kEjnVoHGb2tpR7L6lUwefrOxSer8plnJVQ05HQVFlOaX/MpyvPEXQYUpPQyhGKHzfGrJLEkECUu/ 8JLyiSlT3vHgDYZC7wfoWmVpPkCesLi7bQNAhSj7xOJKD5iIKqWDKOU6IU8UE2w8nfq28slfTaUS PeswSXHzL+acESzE6qgFcno9qiIvyZj2fF4RskwgElsp/RUe/at91f96a8pjdvElpoekC+j06zid HCxYrdZelXDJeBYWCRCc5MTegPs9NePBZbalIUVw8swtMp3P+DwCxHYAS0c+B8o5q191QvOjkCSe eQr0ABI4PTG9Nda3ET94nkkH2F/HSoWUo211xetODtW4U7y2aKE00UnM3bxihDFO0pvXgKc8Spgv xnf9YNkUkDYoS53O/sfiQekFvkEbKUeNhWx4VrwAnDg9l7/WgwFE0zHQB9wvgIw5gAja0fn634qg 7JBQWJyauogd4lysOlh4H3QC0a7Yp8c4TBNCYNIZ6fsbLoKvq/SWEP2GYj6QAPz9uj4a118aYdRQ mrqUOc1O0t8P9FgQGpTZ+zbufwx5+f4iMK8dhk2mgsxcEIEHt/A4JyPq+yNqvA0MWMZmO3d69d03 vpIHZG9q2rrtuQeTArBOvusPzmrDgPP+TrKx2/UgaxaQiXd2yXwLia6a/1UXTeXngC98ROtj7RVQ NHmMOZj1Ix24nX12fgMto9C6uNVgvZT6RVs4Y5oT9/hhSU9l8dWam3cxDHMrJ7/ozkOt88tBZ4lo fAEQQz7HwhXzCw5hVheB1KY8QQBGU37kFBm8fs5mgT4et/zGQZ4TzGcXkIDZ7buR7kxmQpa5x0pp RphxniUlNmPwNqyhbV5Gjl/0PCGXx0LbsHSIvfYj9ZhN+yIXrSo6vuxXsXXkmiUgLm8DOfjx0OF0 QAjQb80XGzaXPUtSSQQWuRSaLUEB4f+P2v+ptaP1Cbq4V1itjk+euutG3EcIB6u9go/nBGoFgYpu GCoY+DfsXorc9/FsYlFQZTZMRJiQNl9YAwRmiKj3uUdEMYW+AljHnVFWvQIZpcj9oIO/unfX+zwU qGH82Y9d1vk/yIBSCP6laEwps9qebhdq8J7Ee6mczmAD+U4hVJ9nQz2WfZgVCxJ9RI5jur2FaG91 648jP4RY2k9lD3pEEYaq8coXH07RaEbwwcb9iwHkGSZWXHJrAuI8QYMUEqs9rwkWqnSa4PaJiie7 z6S6ZoIjonDIcVT4zHmRkFBdheUVRexn2bOV4cKIwbqetZQ36UWBppS44fD2FXPlQRR4PBeidu61 0d/tYtaUt+FU0Ikex24xOv8JxS/qQ9tELM/gNdGKofMQbY8VeEP/HgnaUd2/NvGbyiENtXr9uD1c ukstGA+ZMuxKxkD+dEbXfrOjYdIpKNpjkKR0jgT0jdtv/JSwX4hg4L4bYcgFzZIh6REn+wmW4i+z KgK4+pRIqp9qySpqQqVesxoSVD9YuQBZkkTb4hPAf40UDyNz6hUt5IWF2azxCMmbsYOCTjWDYVMq HBye257F65e1E+oQpqreEVY+woeH1sFOcA0iGhPE68T8Cvp/R1KplsDKcsIhI9lBIvnbgo12P1Zy r5aM8QcAtRuIWDzbozZzx/hQakZ1ZZX5Q6E3FnQk8YB8gXgzFDkEUPlWcOcmTVGwJ8zBJXiRm3fc qc5UMP1lbNEcpQ45FRWaPrTMpcaTO+Ze+d1OpsINMtAlR6uZc+30zNovv3+zGz7vcAL12vdBMIaq Hk9nrESgF0shsZbGmO8C2L0tNUmxqSTyJjldTOljxkibToKZQl6UJDbi0WI94d7lXmEffO63CYuI 2uOre+5zys+iyhJQePPvfbl8mkhdH9+SWFf80Q9qR3lZKjJwJpXtSuu1LJJedOKeHOB3Yd9nqrlO lU2xagdpHagvDcSYJFVQYCOBWS4dvzlOKhNv4v5L7Qqfl5dfe1MyejtnaqEB+u+/dbZUPullhTq3 YubmpMyApLu0bm2KApbNcQeS70XzHuZBbya3vfHfKmfgnb9N5soGia18ZiTVOU4Z6+Y9LDslwWIz Sl9ZepieYuMGPSaj4N2a9o3g83ObWS2t8fJSnEhCQoXZXCUNbhwk/jhj8pZtTEk7b906WKah8u8h K2q2KO6TVVlJqMtsl/YWVVH2aEkCX3svZMuEpkEYkJRlQ4graMfhOlvNanzt72iv8hwFC1byqBQ3 3RBlfiWNIW8Thn7J/Q7Mp5DEnbrxPOtpgimg27JjbkueLRA8mPoKhLp79/UPotLc73XMTPH+C1uC xJ91YskZamme0GPoXZy8nD/HAk3sI+08Uf2d9aGr9rTCzCwf4hIw9CFJdb3txms8rtzkV8SgsUKN b2WoTzTfcdankOSAHDilraDJJQSBYbN8LFpSnQ/blUx1kcKw6FFo9Qs4sIWImlrkLOTRN+nI0AB0 UerRt5rcEw13f+j6xAZ+nmZAimmnTkAe7+iPVLJhZV2n7AeFayXp1e+7+r/kqnRN/pwnShotYTAd k6vorZ+kMiv0ZosQv0efh/VLo7/iXJUY7lmS62kTRb65JCKIES1p2qxaTFZT7VObiekZuCL9oLv6 v/9wTTVSN7L4HUjGS1YA0yajScMvf1xUFOOc/5YfSzm+QQ/qOHrbYI9xkzvSNZ4CasJ62gWx1c/2 AEWWz5kgEN/BVOpftEiI8iLE2JdMhvukn2s2I2IsW5ASIS3CcadfM8Pz17kHPoYEjIljeflb+JbX uSdB7CzB2qKy6u4QnNRiUQTGUWrisWLpTrVe4g7bIfLUnWHzArkqdUS2JTEDY/Mkdmuig43SUbe9 0D8XiQlC8kf7J5aVOlHxOd6fapLm9ciDyCnprwP1sxRDL/B2RXV1rJRg/c6sXyFAdUm/YDAIT92m EILvbecFXGjxVvwoT6NxT9lDSbcO3aragCiv9tZ5cxAHy/v1K2ys5XSWrMn9LKlY+lLiV0M0aLqo 0nkMdvYXY1mWTXFmcSwP5D0Xez3MiovpcvsWUYGicSFusOQX52vU0liDCwnURyuxSPsbBjZa8+w+ dU1Ct3RzEWD3sbLSLsPSen6/WFkd5Dj65sZrwwQ6FZjr0eiKuTmQ73yPDZy5Wr9jvwBL2ciV3hkB OVqq4xQWYaXPvUP8v2rU2A/57fEidxTWHYT4QjLSbHJjl8q8dyUOKGPsBFKnrEWV53tOcS64eEy3 5jHDxx7bHfaNVVS7mapIGJ8C1utG83TULHlrms0dmnLx9k8AVo1ZkWaPnxADGLmkL4LiQe2MVvxS X/tRETpEZ72Sc+DS5mlp/7sA6gYZ+yxEtfkvM98dQZtsZq0SzupJCU28822c/H5BRFbj8mrc0wzt 2Zu2l1FbDXA5V1glw0kCE3/er5qn0e0VX7z5PDTk17FvMi7oe+7zJHiNDDEdOycJf6d6PBqcC3cK 1jtLnGdyy7T3hak/U0mvwrsUZ+6D3B5JZrdSgtITtNd95b5rP8BdtpzBU2QwgwMtwa2AycBiPSeg AO3amVpT9UKYSDj+2AeP5CcUN7N33sLHg8hhwNoXARJ27SNxYlqm5GAfmMB85vfkBkGM52IS3wbS MwCFHEcjBRkCkpGPSub70pFmSY/aDFPVNX5QXp0xbOYIi7wf89AT1l24mVpo3mmLFAxi2fEpbUIn OL5CCE+LqzPKdZ5fwUME4zHUyqkIqKN95gOTJD06u6yoj/pSpLeqD9VzcwsNVKIktz7iK93zdKSj U7GRIsSCe36xIxum6dpmrq1jI0SJChbZRwu+xxdfzQwj1BajAfn1tW/HnPacHsGnjyxLo2jdY8Nk pLOWksqSfZ0ly87RSiGjLlAc8uW8nSxasyapaFqvyxrubRmnL/zQVnvqXpa37gwyjvtVXBvOhDyt c2RND0GpnI99CNYH8+6AfjjysnRapB1u2xxEG/w7vfINadXOMUOBKJVrxMVDXlmTuLHbwDDrETnT LsPEf3qjo2OtMx+M1Oz24r45iQr7ppg3VmmuwEMWFhnkvAP6H6akthsI0dlCUO3kwM7MfxAIprXW 7H7L3mrgz+W0uJMtpUyoxYbOcVYinUGKT6c9Y8oJPb2C+H4UqExBUW0bKefm4DeYlaWFirhxFfuE PWvvJE0fajbpdLvKj5GapKXvGep6wW+aL5fnlnMxUNmazYB/eh4keFAyLLNd8iorGS0quAAzODKP QQJSH6PLp26fyxx6LNlDcqPC4o9wdSF4HIsgtynpKoFNGS+gq8Kw6tA4iJykp/O2l1DtRdDuJLHt kMILshyDDmAL8bZLaDqBSGVfa2uPNZ6E/hIWdrvEEL2Vjl/U4KEI71ey0Gn5hKPJeaVbEbw+GTMQ BA17ykhJa//GUqgVqCvlGLATYZ9HxAS9lFZ4k0KHMxdD7EISxVs8sx7g3KdPJL5lUgoHf9wxKxTa pKKBE8X3o0OoIJIPKCZtafCvXRATzAj8q1A79kGfghuCaDlOpYBW6yIKx/KbJ8uUEBwCLhH7RNjq 6W4Iuftd4sdfd0ssTmW2OyJTn8BcZvr/cnji0IIqOgp1DFERsKQm/MkE2JHvLljGiV1x8UXDCn5C cwMFD81tFydetMVrHYFhFgmMNAV+/ks1zyHciYhTfsZIGtSAp9EDWUkjRGR9FGUKtIhfP4kXBIb4 G1jGiZkSRRY7Kw3RDEhee08mCRegfo61QSTZYJ1yi/xIr929K6TjfDLzl6IUkphl9YZCjR+b1dMc MMpDMXp+wC/mZ9PrbeWbou1q01VozOIg889wK9OEmW/c2PBvvZR0zqBXmZxxdzHYgEdHN0WFbpzE 9SSo5hBYdNHGDiAKAbWp9NRk9nE8Oage9zcYqrR/I8ckt6Zm+lz7HSSAYL7M0FXhsxabLFcDxFG7 fHq4oC4lCfrAl8oU8OAw8/pSGi5GupglFjBxW7DqeSXYAviconBdEiM8amEO/lx4nKJedyECc48U MRVMb6iGu68pC81kKtyBykjSz45u5+LKb7SRTTHemeKobmH3xeiRQQgB8kNXRTEK6Jpg4fGELumM B4tLQv+bbnKIsFfOGM/+sxVIqn6mRRf/TjVw3HFYlNsguMHGGkOvVxPXL/TP2VU3o/68v9T/AeUV 0uCNWw4t8K4UuAvRE6eNbDMeJvoX2OdyDMBhfuYFra7+q02T4s4gWXgE94jMlcz1xhDJl8I/TrH0 o7V1IZX8/6O65EPkd0P5/XeOIdScBJ0U32WpO65en4BloxdlrKmsVgQ/TL7uvW3jYxjjndcpCqC+ ZXpVMSSPB7bjw+UyT0ydny+eRJxQsYF2os1pPjAlEOIIfrlVvFAHeJqLeQtPAmPUnd5tZIETbYYL B1rvumRDeSKMrQfm9jWhNXW73NkEwwyfnn/H5dUgR+lZbT9gltkrIWrisHbUgKrUcwIHyANkEp07 5n7o7M859fVhR8/oAGbZi7mfvDe72tFVUOE2vNF0rUkO0Snm32oqH/ihX7KH6fmweDPUzdNGRrgp hMIS3khyCD9smuaoRcAzWvZ2FFolDC2dk7wgOeig1e7xxK7mSyChn4OjcGG27lEKdWDB9QvhQdey 9UR00zX28Dvhg5zzjbalsm/1RIBKq5TyiYTkJ+O3GmkFMjIQXHRaGRBWRGRXl/2DGGbEjxPO7xzs xg2pfzwphjP/MtA249zVt895IZ1WhRcNcanchnGyWwjXLTFJ6wF37QCflapOlgD+nMB3n6oTKVHY l8v6V+9GFSyiblWawxXN72D/BBzyY2HPapDaaTSd7QR8sNHl3VAXahWQsHI5DZeVWWtHvL66AGFw C0LsoQhgTp+hQVbSiM3zJHhXRnRToe3JS0WqKwkbk2dDtHHMiPjE/6ZR2Z+2sO+mxXbRVCEWUqxx Pj82bamgvAxa5o/IOXWWj0P4qWz1xAdlGj4QKhSg9TmJKI98x3g9vsZIBec/abeEqlI7Lf7DOHku MWmB7Fkp8pUFnfI0sgMj5MwuxrekgXjk1d+PUVyp1ax7BKm61Eoj10Iw8UFOCvQe4TaX0QyZ02DR okJ3z5WNl37S9e0uapIM5bCfDSdvVyfZ2OCd/5hk0wZe+h3r/I1uHEYMR5Bk5iDYuxpu3/y6k7lk IV4GxFUH2TvyvU1RCKjAjOMq5ryk+F1/2442JshyyjJgK/J0SA3+pnSFIQSuHjZqyH3QJaUB5RQS zZl3lJjju3YzkoDbet6uwFO67Bh9qugCygnLYXCVX5GjYtVi/bqwcR1whtCUesrp6ZWzVBSppqoE VYsZCPAW84gsmmWS8LiBltASkDDzSG0hMClGZG3zA4aymrf3NKBM/CGI1kQVPnqD7wN13/CPUV16 iDgg3eawgRGAPNMSZ+0wWZyhQKd8F2OebvIoNFQCJb+boFOUCeYkWIoK43y0OT21gXDnSU9v2Wxy 80lXS1GS1Y6rpIlHeat8lddqY+pYHkR46Q93rU5hm3Z7hvrDijql9YjyM82tPWAqFcJcGLzr2Tu5 wfKowTVrhNEEmIdUUAFY5XwIVUnX2tHTrgBAVQTqRef3xDK/T9E/dtoBWT+JRz0i/TkMAuLV6OZQ ggBMtltUCTPmzUGfLGbLgpzExEFXyiInIPspnVd+wpkNL/KSVfWsxYbi+YTToOKMWA0Oe+Lz8t11 dUP5EQE71zUn73+qdrOpShDKOd3JN3bnx1cu6HGW2QVYF1K8mHErSYZ42+syIIoMWrz0FR5XmyNo w0qsjd5obgaQHEoyZ5sJ5ndNND2tjx8SbSLRPNbHWQYlvT3++wqX340Zm2oBDlWhP6Zc7+srheBo WQdpZEUewcILLU9YCDU2buTY2va4iVLc+UelNZGdk5HIha1PMDbP17rwahv3vimGfqujSDP8hKBP B4GBqJAY9gE99uojA0cUqln/rnbbX6vPA7MkzwXQAbwlYzSgg7uE1JbqpRvSJvXBb4PW10xDtHUw lWWfyGvBt9Uj2hF9gPTqGKsGbPw2M5CP6yiNa1kYhHksxe7aMGsP6JEoC8kTWVbMfNwG7fJcYZW9 B3/imR67hwTA3C0IOglCQlSJReNJHjFt3rj4rTB+ndglEfBCWpza7owWpIHb4xkkcloueMD8mbVE G36j/sTBM+A2c6ItsgqsH1ThjMJ5+s5XF6SKB6J9GDOv1Mwh5Eu+mSEeHcdcLgdIjjoOq4NGpoTD 0H4pJJvAEFDLeZOqeuOb54ArQsUhEhBpyc7ZGo2DgBGYYpWPXI9m28uAywDSujSWf+aQ+YKcWojb xWX2XqQQHgpoACa3ZcS33u+gze3QPIKd4JcA5p+EZctcJvefb/aIe2Eevxyz0Ks8twqrFul69+BU Lxvuh/zwL9DkLVjIoc3PgaJb99qfEB8lDj+x7npRle5NpZEn6NiOlj2tVyusFZVd31+PNV+mJNb+ QxP9E/enwm9EbtAbZCI6HEehEj4bGcC72Nf/VKpoiCrxr4sbSYL4E6wJFaEipj7TP06h1sI+RMtG QmnxyBOMLwEo65rOVKYOuCX3ydeHkgbKnv2vC91ZE5sPGUP03TgRAr7Mh+jT1SHJYQPHkIJMRwoE wYl8jkxDdaEhny6WEeRq38NF+6vheVA9/9rNsnXdW9wCP2INbGmZkCz1zsGkUso2Lb5q4UeNuHqQ T/HjJ8Ewub4ChF9xOJyuwyE5ePu08ZRIQ3syT3Xzs8lvyXRLXRozNxKDdf90HYLy2UbY802/xNLo wj1e0QLZF/ZKr1W2lHL717z987X2GNBR7DzRug2Ms52VcnVcM5iw/D/rRXnEKAquVUepaurfkSxo qW8S4xGTw4aJeiEG3oXKwt/ST7OwyLqPPejPO8ZrkAdgMwNqGnkC1eN11U4T9y5wMDEoE9xwNxn8 Q89zeZb7YMkkuyI4BFwq5HIFPOwkaFCLzgZO/nrRJ8Yg9i+M9Oqm2ozMgQYDIvpqpUEjYvD7vbWS VjOm9OH4KBrMoJnIoDIzAa7thtu83WXosOc3jkf3N+ltnDyZMTSMlfGHU7yRf9NqI6tLb4sFnVXi F9r4AL4qutAtRvgd76xWcgoMBddL6hYSkXER8yYKL2Q6XtIsiyiR1E1vraS+PJ8tOgcf/+UB7tTo yxHg04dCs7XWdmZjnhAQGIZdItO9w1QukCBKzfW9LayrRVuPJMHMqr4shMftVcbXOGbnBPDCF/u9 agnpyBsDa3exws1MULRv++vt+LZ3AJkr6CaNm4oLx7DqUuzQ+Eqn9SyDhNdp9czlLpUKeaPjtMwX feC52y+TRvyCi0qpcWDBxugll0Mr+muxKO16EnQueRCiqHOQfzdd5rMktBR2KVuRJOVr/tfK4H4e jZR4U7knflq51TxGvyLRaitdysshkJzZP8T/TpVOYwcQGrlRBnbWaItZBlLT+az/kZ2mXLa4SbyH xQbSwMToeuIfu24NnhcRQ3JjnHkta+qDFex6pjEyzXCJ49qoPEe+gAtgxfR2ZYWHLKylslTkv4bs 6BcRnqKml7hZlup2YXk9xATYEBMeXP2rifHOBNAzWOYkd/2zSswHI2iEp62NHqsQPzAb76B2NJIL BEuHvUpHqgpOk6EDTaIJBY3o3zO5ccs0tCVRAbb9R1BI5uaDzmy2CxQ9xH4DM6M4rf6SP/X+TBLD rBq8KTxSqgATi0r4IE2ioanvptY9IU8yHkH5rR0bN2u4NJTRVcgqotBUyPste3jm/gUiEZM6l159 j5spu+JvoI4zFG5xX8WGL5MDOWYj8/6Y184vcwkAH44RIWnkOlYUSqnQjTQ2GpZ/T/NCTOZxsXHH M4KmKX0DXHcEEZn3SnO01Y+EZyo9r1cW5aSMzm4koXW2UzkIpxRZYqwuaWlQZWO+m4y9F/kK6UHI H0bAWfhOG9/Au/eLVt0qZbCFKaaUwZyPJTpAm+07wpoy5KzFndBuF0SK8Ry4Do/oc/PFn1oFTcmz Jv7xw+AmMn6Ba9u2e1Vq+muLcLZg5DZOc8oyf9V2lp5EUFZaNBLm5jECWEhrbmUXTdBfNUz/sAI2 nxzWr7jgdoV5vHm7/P4rPZC/Bd/Go19cHJgCkhz3pqo51hEADq4nOD4RmOy5HGyx80ACoRW0JUKO mJMZVZFMwqv59dWP4O5QG+qwCoe5ThtV7rWaZecRLjPB8qRydqr+OAwx7fQVlexH+PfOj+YzdMR5 MP+cfVJarMCrGTly0AqCXWBZaRXmDuAtqrDQ2MsEmXJGG/zNIDC8BR42pEPFU7NGfaTpNc2Mj82d 0AfyafqEa5NTFhiyAsXOn9/F0nj/euVs2BI0wmh1CYGjFAwQRTsk9Z25Zzc73GZDdfSDh14KA3wH 8vUGIaZGAiYZr1we06PfG5WFQ/CAzs/Ohm7EXOxoXgkd/loCZzpFEZbpPkCCPmqNAvxsikompoXw CQYFjLwCDDqhrG82qLwNs+YUo0xGdTEDTQFhvejAE8nTZegF/5m++aB3vbKWOx+J3eVx6uHr2Qlw FCBR99a3zvZmHyJ9+iZHzqCaGi1fbJIcYs7Iq7jI1GIRGhDe2LGtgVKvtMM8ZABLDMn7UlAmbt1T oHm58PCp2D+EppLJrBZ8kvOIAeLClx7VO7qo6qRjAZlPN1tkLjoF3NvkuGci1j017DVnnweGvrN5 ntvk9dsb/4HhFmS+xzSB2YMOO9KX7w8Ome89jnQQXrlPguEkpGPRIsoBI3QbUzp/zCGKoLx+zOMs jIRwFVayxQnPGCK4LRXQ7ntHENsPBXjJ9Xkrh1WsmWkg3Dt6Cv1IxN1mybtxV6OmOe0V0vFMLN+7 3GSO9GyqIPuG4wMqWFMPp+gs1OCKJyfdaScHmd69PFa9YQk/tdKPUY5NVAuGx1IIu0GZcZu+XowC lQ3PUCTknmN5MpGsko5fElqPGK3BHDk+nVk19Qh+Lat5oBwUQZ603yYNOUkf258KIcJNc1DtOEOk Uewfpdxvqk8BOxYTeu9tJzMPqPvq3KTEtyEtwttkITp8xuTCZEIHkpvWMr1HLZ43LNdbLewVXUtT MNS+KpgjPypOL+Way/JdH2sP91GreAsU1GgDorvwulRE6UcXnkyHQHUHkw1sTMpFMrUit703Zyrm Rv+e2krqSYlNl0YdPLt+NxSgzTCXu1cu6jtnfVl8vaXYg1O6npdcCt7RYgtztLCiSpo8jmvc4641 s/oPWdCXYKssZf0fyqNZe+SWJCXHsXCoUgyKgmWC6X1szsPzenbLPIlRQ22LEhtZS0tmTpor9NQX mAJO4v70vCyG/Po6Rsy3HoPA9jbJ5XmgIJ4YPR1tTgwQ+p78qOLCVfHS5ITrqMEX2BI1Se9r4zUx 5rdwei92u0fLMLHR3Stnyz/hK1Om/1NCMm/D4rSs+DdJ/KzQ7hpmIzzUnRmyOM5AEngid8IIMnrz SAn1Kxw3Cu6RJkMSQuel1pimoVtxFVGmApNkEduoND2Cjfuk/EfRHJkLZ4/3qomtdKuPZVTUlq6v z6qArRjpVAlUy1nDtmDSg/iNbGP7SggzR+Yq4LAcdLZbA4gaIKbS2fbm1Ihg9szXjSvJJoftuZeO C+yHdCwMcHPwVopdSKaa+U+ANpH05Xf4H711HnSAuHV/sUx2YL2gG7+DVrb0l7+V8vwZHFz4b3bH aIp8bBps1oLIuaOew5t+f0WNqpPbLBttb9V5J7yexiz0H/+mJXJviLiirLYonLTxU+wE+iL7lK9s /wRQlH9k9gSeNhtWBr5nj8A0BCSPSTEvMLnftQ2FQ/iD3eN+vZHNMbnLLQ+TdrSKuCNvWKkrhtMo J4JLbG1ItC948GeRaJU7NkSwCIKilRejYE3yFSl5tYmLhE8fsCfNq8YIpeJzrp3YXDYoxcMSUZxy ZfgmsPv0FoJnQBzn7uOTCUaqMAoBEgYXwogpo4fX9ZjQTEYYqiSoozVcsPuay38FVVu90e/FusIQ GylLYj3b8cSj96W3pqhoqZJTIKXGV2wUHV/jnNd92Yqn5sLnU/OenN6hJfYSexgh53GaUONsGx8g Dm5TPVGH/AxTp/lRXtaaEpQRaWNMefZP6vLJqL8nUewPpURb+Lv4mtib17vlZD3291zbWixs7CUL DhliXSAEmAUtncwQHjHbmL/+jJvkBl4zhtEmInT7M0en4L/zj1xmOLVjDh//ycinwThNUwdRpk42 szj8sjiN4EYuPiJ7DpBgKysJ/qrM1IfCbhV36vzwhSveUakEuyQ7YBr7VCXylMqVtO/B+OMXs6mq /D5c6bqfSadRclN3Ga2EuqzdNdjWHt+Ke3qIY+cORU1naV6vp4ZvxRnm+wRs0ny4rzgAawE7K5LA rf9xwqn0KN5pVs+47zfRPpPS/0HLrartA/2d+GR7qdCi8xRlHEvODhfgO8QpqGWztC7Ksynq7fc+ /EBtLuXscQ0P669elhwDcsYhzYmUkgkQ90vlN9yx2W8neZdWX0f7qSZTVxXgp/8fZw8UyYaOgf3k Au7YX/rd3CcpUtTB42uZRI6qMuYYE+5kMQDYORMxF3Od9Y7XdHQvwvFqWVZzMpR0yFohevM0rpdm PMRCR76d/9PXIF98r9nf/nMZ4lMQKd4i3Wk6RkGHF1JXf1wQPehK7iJLHRPuAz1mGGih8mJ0HHPf g5izIPeF5/XGzDW50jV3AD51AxCFZ1bC31fBlmnlQVVNSGShLd7pxOrRCnjwMQdgE0oz24nxbR2Y /0hs6zlrzRiq2qRoWvQeIX5KjHhY+1AAeFIQJ7Ic58PSmppZ0g3eVm1Yxn1gQjFFZhmPYj2g+vd6 vILbRpS9attH0FO4OB6RiougLBL88/DkouQZq4bzSiX5rf2uxgzsDgaGsb2g4q22mdbF9ZHc+/z3 +VRKUKiuLs2nRzUMIRfY4yBc9ipH9WRImezb/KFZcU7VvywhKBu7egr/8jnb0mViS2vBR1PQV7Kk jEuKEyGeSQ41Y0wultIWg0ShoehjjQgwYc7fl3x9Tmwdw97FbNTeJaHOI73Brza0qTVQjMo1d5Mo qxI8ug2XRDU4A1RzilS+5xfX1XV4luqNkWdlZq+aPp7tCI3XD7qe7vZrXkonNT5AncvAeeWp6mBN R3e3UncjeLALd4U/MqaIqJ5XXgu2apOXUy+p+/Wp2QLS4Vgyuki3jjyIuZTB0kr1pMh+6I2l4Lpz Rbv/6Imt2QdpAPxOHuZipwDfCXyNTvZdkhLWpy8/0Z5GPdW26ofRng1o/ZUTbN7o/eSQkr1mYDP+ us3d+RjVwOqG+9x3mwKzoxZqyfMt/eCv/5CRPspOb+Z48u7TfNmQ8dEJHqYFFjsDwZHzetfveSt0 E1a2X6BDQGvyUp6BpNxqIT8ShwSEwfurNg72zJfQiTMjeIQdEO8a8zxOC9uy3UZqxmA2oDe44GqP StsMQmplvB5WBvGIB0IHkXy+MHmbseMcN/oI9Ld8gfV8Dch18y1gb0N9p/Na2u8XGr/ZjkUmZEa3 OG3+NpUW9X2MK4ZfXe7+p29LBeSQrZQBnvNPFp2+2siQCggfsnDkmK32oVctKzjqiIavaQCOhoE6 fkCWp4TG7Ara/PzIZ2c6vSaWPLGr/0pCX/aCnZlB4IlYDN89Rovm1ZUiJuhp7HBiRYd9r8D1mVXT G/2wxZwrGDf5T5nGbEm/6wGj6IAyKY0hNozDplnVcxtZYa80CWxSz1Z2jhPUkotAlCiq0r38yyFa JLJaJQIGivqLcJty3MZp3pVa6SYG2HwaudxFtUWaMFva3yniGYXUA75ebPq6GySH2TEXgWKw2EV0 vKNahNvgP3Jzau1oful2Omyl/FlYaouCORsC6NBG9eyTwB5ZlyAb9T7pf39EoXJ1vakZs7mxG8Rs XQoRbfaOcu3z5xwqxQsgjhhrXewucxrswA5f/kVNLsXgm1YBlkkity78zywd0svai1jDzNZs+WKE nKF2uMGK705+3ylSVd1/LrpTgC7lFg9Mx0x3PX0byEiUuOWHGIIhdlaYNlZT2/9fzJybR9P2ldec KgRkcw2YyWu/zqMbawOfawlRizEn6UUb5ZzD0oI8An4fIoOPEHQCMTaXwUtpwVo3Iv/l1pNOWBrY zJFaZCZcLvrPHx6VwEAlq+yxh41zKegOhKUOBrgN5XFE3qDS2T3lSw3Hc9AQ96TJykEKJb7z6i5r qW+jQATDvJmj/2E5nGZwRyFvUlqFcGeR+fzAvyzF17qp3+HQ2xi2dgnUvrvZZAmSvIABTbNZnCuj kHNYuhtevkPxmx/gAHOOjl+Yu9uKne+OLfjkjUadFSNXWvhBLe1ZGTXs9uqKAj6w56J5lQz/p0mL xQ/qJVeRi6utipyfnj2IgTdNGdSskIVpzLgUyngnit9FRFe/r9Wr6NyX+NEjPPwnDDqBY/2aDgdH PaL0IA5HGAQP9pSJ5Zue2DFr8ySusk5NDlhzYqIQfije5XDiIWPm1sGrs3W2lHNAQ6bIK5Kt5Gvf 5WCuLqgYhcuaKdvD6NIJ1O8Ij+oFYaQNChBVLgq8liYvS5u5jUV21CBlNwBkcdQBvjz+K4Cy/CQQ LzEFAwJ5D2ryatL7WjuoybvMEiUy7VXhuK4Bx45acdqOWjB4DKN6t8A/UoAEpe3wVYP/WNo30Sq7 hb3DML5tIR+F838P40P4pzBr+LbyjwAcHXGOUMQdpHAPFs7ITefHri0dV6mb0o0nrhBpynM+oCKD aSUAVHWxl1b4Oidvh1hvAQpwNf+u3vz587uw4gkWlre99LgQwUCcDo1Z0ibNJfFMVGejr5ZOm3kQ kY6wHwY+IicQdgoEVvyddIAR7NpC2kr1+YcY3Wged4ap+Q/dVPyOqW+imnKy3g0rk4jd2sXMhW2K SIAwtn6Uiq36Csj0JWYdzg38Mhi74zPzYkp5YRm4OPdbzOMjHhL+P8pVS1N6WnSk9+liS+TgIgNj P0B4xQj4YZ6I2QHgVVJDEP+gGKRjnmsLw+kVWAcQ7tUuLxxi++CwV/sFJSAEDaiOBnK2MYEmAU+i 0Eopckx1dlfidSO4UBTRtcUizmVk9MhaXQVeNiHWZ6Dm7ZM94CrkY9cTW9zPwHN6p9SHddn4UWED 5yXOup86LZB5ZCtScZWIsMpYozkMoNXzxpzYmXJZId09tGzGEdda+DpNzxI/FlSO5HqcQJsvBziO tipmWIvpAqBCL7pgD9WL8AGTTrGynp/DkEoxdDb6vi6YBSQWG9ZXI7hvfPZTmt8K5CYPYCog2ueb KIrxxp1esqQypudr/14zje84LfqBwdT3LOJkJFYQ9uy3nCyy/GR6rvr/Wi4Z8LTrLL0mNPCgMT4Q defNJcHXcGn+1cPn8D7KFlrb5h1de28xVrzEtZewbXK5grkcnAk6uFR8OBIht950oiDeZut11pnE CaRqNeSuVAyMVD3koqeY+zPZh5Pn5cWxUuROq5AXXm/V0qDBD4ao6nc/ZAyllvIdIRAbg8rKc2ir JTT8qi3gUk0XoBrqdPzIvL4ti4Ca98R9sIexviPwwtbOtQdF6lZy3hGU8C2r9GVpOgMl15Y1S6qI xy3oNwKALb4Qps9Y/9AkW6ovXO/fz578pls8YF+9VmX9E2VHi+vGd5tZUP9+cIj1nVjDYlq3U6JB OZ+7AIYCEULlZh04rBa+HbzLRoNQTmHfkwaDygQuHPpV6KG1yRKO321eSN7aHNVR9oT9lxNurwRM B4Xj9G0lo/kwBntUBl1+fI2HBfjPz1UJ4MxRD2J4U2O/eQ/NpQJoEcUuvMmGLYyXVZdYtwGUELO9 p0tZq6u0ISOlQJaIzASmWGosgIjjnVbFlzto4kX28Ll01pzS7NHcW2x5SjZUSKyd5KavIaL4w1y5 UJT/xUc/kHfDsR0I+GF2uitFwpz+ogGNmweKedSgmH87P0VFTSDfQ1iLm1hw51AwXY34JMACfJMI PebLj67oepA61ojjKWmovot759BLaPAEMdJULQFF1idFyyPkDlom2P1ERd9ktwgOG+ztEiMB2z3A EOHzUBBSQ6xyYxHdi9glKUOx0l2cNxAEgfHvFBQv435xFcGsNQzngjn1XU3rh7AWxEMwpJHW3cra tfn/qlM7N/s7vms8c8BhpUxBJC0IqpEqteN38zRpnn7O22aqe8vXXSg3FfCoD7w47FWsZIHfuyuC Psyv5rFcuZDfHWinM1qemhYMfuA1yUx9zeAaUZzRpO8MPsiJ/StN9YDRd0mTZzld4sEQyJEFBSS7 EnMoNw8mfvAYOX+nDiUd1HqkZzMdxWWPxSLMfR3ZcLfkcnjyGp55bog/us5GDH5QNw5gl9RmHsdD ot+1cK5JyWNrqkO46FGPi1hzAYPUCaAmXSN2/vo9LYzyQokwN2AWyCoZ7b44xptCoWFXs0numK/j 3jLQgvTnUCu02plwhY08ZEDMvY+P8BjvOC81f/9LbLV2TSjHrtRx8F3BjkQ26ebyU30rUo1seLwN XUhDxodmQM6p6R/osyTQ4xxcid7wgu0mp+qazUcst2VAym99YCsOhiwcw/blOZoUrmPs9/rBudC9 6UJNjLSm4Eolo4hmzDlDT3bJ7fr7loHLlho4q9ClcxyearW3SbIWgcBmynXLR4xyzcdlx3xA/BN1 UHNDzh66tj2kFV4uoHBfRGMQ4TUHmpbOgQuSJpK2LaUceEd2W7SqkgD88PgscrBv0bkLRfFdEJgN 6uiwQtdpRlKR322/fFHUxJFqiDv1pbp/1YXJxMOqm5jvyLmMF0z5vlh92ujmLfyUN7nzp77vSLam xSF4UsCZ8tKnZtI4elndhoB03ogX8gQksQR/NYGeA8Zt7aDqIE48HInC7CKM7Kp5rxcHbJZvp8zM 5qDkS24Ndwvhu7Zhsftm4Vx8bfTwbsru8AzTAFny6lHUeFH/g1sVugich2zJvSCGLmUv4r6LJ23i XuyOsLacF4yVy3IUNBsi7pA6FpmPoT1SOtQX4NKKxrANfWO7FPxIVi8qN3R/djHTWp4LA1m7VvNG a5fdjZkSPLTryWWI5nUxhHFjLwc4dqR8VzsklXFuJXTgE+r5lUYNNKnxrbcy14sxz4ARgw+5f54d v05UE2Om0ObUHQfP9QCqMCeallh/YKq316TQ8AOsbP2WTjOAKv9Jg6lbZ3u5ym5nyEta2zgCMQNI SKHKaVF+PIrgFOHCJac7FxBTr71V5KW5NMw4UPoCbxa9ZDrme84T9ZceyYp6FdUw0ZYbG5jI3Sv6 0nT9GNufjdehuYojb/6xsaK+en+SHwtONhurf1LK207TFIw0/5q/ExM4HJ0z61A/T5CFq0caoVQl fuomni9p3tismQtYNAE7RycJfQZqSeAY5XZ5V30FYkPE+fdEZjTtMtZfAQD5Xe55BNgAOeaBf3jP +mgNQU2OJ4JWtGRl6RkuXf5sECSz4qmnjyZGlzgHFbTxIYul8t5m0WzuR8JMJneRJODydeOONbAh BH/ENH3rFIkaDbIMplrXBsPUkSMD2vSaHJeEa2V+09VJMwjGqP0E37KUMb+pmXBKVDbyTSuBOLPZ q18XWSLqW6QEQcRuteuTkMlF64QkoO89VZrlMw+GuwJQZWbLzP9wmGdIZaZrk5UJtV159V7W8yZP da73C/73emj3Soa6uimkoDUbqeVXOrqn57OqcSJBiuJrj6HdhYTg+Tsj/e2Rj7mO079rOdSpxNum lqZMzPZ74/9j81eplTeGmuS7g1hkbe7Qq/d99qwp2xJe49PndUDPG4mbmeT/RaFUgBFyraNbD4PU FbBjKLcNLGevRIy8eh174dEwp188mjbrVyyBpdmb9vjxBi4RKB2PHfwnB1XCUqRyqconx8TMQL4c hVbdbD9qBhbk8CLNTMzr9NvgrEAkb9oYLe2LwEvwRBeNU4QWIb3B8YaqVSvEobRkXpaIrbZsYCMR MAJ5BaBmuKtDGnlTGR7gKZwSq5StGIlD2wEwUgpI0h8RaCrY6+H6NiaoVQwXq9lP+XxoaS00mv3w N2QgtcS1WUJ6ahJldAtaiq7eE+A4vFUN3/sh08/0UjaIFGLpxznQ08T0OdYUugAPly9NC2xj8DAB deNJU+Fb9zyKKVw3LoM/JhxCQg0jCMcI4iBcqNoyeH7obA8Y8MUNgf7cwpB1Cv6ht3DIC9TtZ5Er Pkt/DUH/4tns3a2t/nA2jVpxvNIEGDnvxwUUMdxTmXW2PiTPJJLG5ew3iInMaLQDk4Sb5i2yMiu+ xeOozQS0FJQSS5rKFdGMGbErC/WddYur/6HWtYLdtyRAc2hTDRTW5lpJyRl8/q/lZcneu3PEn7zc EOOzZeAUUhYg6Z9DyBlGvE42MmyGLovtE3eNiWi4W6TSESRmWKhaw8IQlKPXsdoXv20QVbYt6urr 4Np/RRyKB6NSBeaEaLcXkn6EKGBZxzWl+1VB1MTOEN61rRcDhq5ADLeQkR/l13wesUP6oSX12aNz 7E//h0XnznnzuyH42zMNH4nDfTSOjPztUbJdG+pBd0oLuTJmtBuLnjHuacfLfIeHb7ykXS+eu+50 e/W1kbxLo02uBizrvpnwhx87am00RJ9VwUB356tQF01zQ3jcPXliFCLIph28fQnw09aD3MgVWvNv 17lhbPPC5orgDBkOwBgry1j5zobVU4jnph7CLdkq0U/ij3/0Ah9GxFQfBNiq6b+J7i/iMl1xTPpu m7+BCbsFUaM/p+hi7K7QeFoGe2Bg8A1VjkoFI2RW7GfdtEhPg3h4DrMkN6vRFoVCS2lrEGedztGT q4bA/oO4PztcAPzlvQkvVReO9hIRIOwSYtxK+Et1t6hk2/wTXJRiS+isNf+PZvEoZYnHzcMZMXGK AwqBL0CzsbplDqzYIf/oDBOJcvjvcJsTGxd3sSZcqz+aNo+4N1eXl7KKEJHaD3LqB+fm9dlpxAsA eEbSs2CV5RN6Fe56sqgEtjvNnpkeAkSpjaCPeVmrVTcjtj/lYcR8w19/wb+j1PiUzMNx3EECDWs8 PN+K4qN0PDdSogqlRUli2l+98kw+o/4/8WbpNGpfSIYwBriPq2LZAeoDIeEHTQuwCgVNGNQyFaPw y4RiHwLLOFQoEejkVuAh6ce3YujutF7SGRCH/HOP+wH/PrucAjQZm7eKVUYZlO06Cb5CtMuwrm9i wDGw8E00ahcUrRoXrLC6G+koBAMuU7SmEq0cBnSrfnv0qb6fOJl/4sMTnOo9y8JEcD4YEUiAMYyT 21ZsjXVv4uL9q5u/OPVtKWtUrytPY5n4c//7alVM9oP1WwO/c0IabDBSnFnYH4viio0zhcqqwhlF FxXZjQrP48XAZ9xL+U+Vs3Ya2+EhCdQyn5RFgDYYlntaOiLEUi2D8XlPgwoCH0nzBhYKDpss6RnB q73jqWoo5yl2uqNpY37dAOHX8FF0ZRJexnvmOJMrAiEc3W9HODNj4EGXZ//ECVc1AztEq5GPDyAe qMjOWqUsLx9uq6MGxRsYE8s3f3uy74kZginwbrn74p9/QMwn/0qXu3nNaBrrJlMzUwHlOevx4oQH J7sg1m9lhdaEB7VXZS0ES8eQZ2CoRdxkj3LSTMHAc3ZIeywNqE4WBoYOZYNLBs+SBKx/3rh5YJjo jAGoX6sBze5eU+yR/o4yBdlym6R8HBy3wXT7wB0hQKE28GSmd+Wr8p3FIpVSVK/5X2QPnin6iPGY g4QjpkjHBHoPuSl1WVofOX9U1FcuAAu+m0vm/03d/95tVtvKmADyJjxX6Y0Cbltb417I/PTvO9Mp Rdjpt8Oozxf106xCiwdfniX687suz/kycyOPyrHEVG/9q5Y7MX4wPWKLRJtpWdIp/LhX56r8et9q 4wpzDCsiyFz/WUBur+hyeTO3bCcJqs9T4BBZuhdtYic0SRk9TIfJGkQ2+DTAPPhvOtvwxtTax7vw KeETfGhgL3XZOfndo4QJWpif0JMYZlFyoDLnfP/9+EaEgL9DgUoLvFQhoZREwpQT+DqovIlz1abP izqlFS73KGULU+/M9uCc8c2gh3yckvtrSwjiFtPaU9PpZCNac+J7xnPSLwXw2Qn2i7ylsd6lIErS hWNa8sPjovPbrRil6LZunnO5b7bNr6hTwFDWs/Knj45aahegvUlEY7jD/69Sb2EXVV0glnQHc8BX 6hbqxL75sEQSkMvSBGbGKeYpViKi1th72J4T5V8SnHU7s4AlxS+x/cHXBDifTV/mXX8LkWswTd7h SbJNr/Izf8IOEEsfQabiTlXNuuW55kSbbvp2caXK0Ajwl/y7af/C0WBclKw6MxaTB0CUD+HhwDY2 We2/9JMi5PjnwWs75Zdzfi6yskja62h0CtyR7Bh66loWllVg+ShNn7yf3PaShiS9rGotO6mVNeV8 ORLPianT4PFPurTmCXItGvxbRZx1xFrRgMMBmQRgCU1XtTJi765f1i8kV0Q9xz28AklVfoYS6QrZ GQ5i1p0+QXNOZdDXywYq8+Js/INwb7jeV0L+h+0b+JFdlpz4MhgdJaCpeIWmMqmHkVSy8ZY0/CgY DA+fI888dOIjbghIGqPO/y7cvLZ161ROh8cbZt1EudDE4Sqpp5aw3lKBKc8cxy/YFk+6fNujCcck DGiZ9Nyf6n1IHsoWfdBnbfpzLAY6pKgdpzuF7KDjS9NbiGn9iPIBdnn9M/GQBQaSdAXQvU2NvyTx Tj/C9eTe967anLkEMFr1ScKAYdw+aLNWNl9TvfH2CTyr6uyoPLOIDiUnv2mpfq+/xS/wro9iNwpw 11G9y8FfAbAmc4+r1TawVLrEBLdgInf4vOdFrLsnZNUlvgnJyLIlCjUjMPCPOt5wtIawEU8yyUCH dlbkS48dTRgiW2zUGKGmjydH0A+kesVKODL2BGjREwLXMmiTFBS9CnFaNs0iF6r+nv7V119rIBHJ uTUi2Z8lr5eM0cfIkm3Wz/s6fV9T1Xy8azR7hTe0LHxgQm/J+BCdkEf1o9zYmGx9TrFfPlgPRl2L PkkqeI9cTYYqFM7IzwyQ5gEPbKAvFtiwHhZ+HZ55L08F2tKjzoysJL2WTYVv3HDLnwIudYaSQ9LK nzY2fWDmKp7f1DiLWmIP7OuxVKdsGg6zdNAuGeLWUSjDZQxgFKD4FHFs+jPMhlvx0xoF0hj5TMaE aXv17vF6ixbnvmRAvCeHtrX5DiAHyGy1TUrqiifiDImZ6RbuACvHUg1CRCcZ+lloa9VDkjl0EJb+ hj7BVlPTvh3QPPLWTOE4PHAlirGMGI7gvELKtZiEFSOYSZGD5bf11wgdCrDycH9qHQkFcOE2HI1G M1z6dznD9J/J0Jr+rGyD+Khv4W5KZMfzphfPICBfK2L6aEW1axWwXvoOcrG+JykIiHjHVJZIeCbg vdwqtWxbJKKAnwVc+JkqfRr5e8HlxOHxBMQFEtbajVxLSKuf86OeMlRMEYtsJuspZ95+cJx26K/n izCts+edP7IT8RTqDZvSnK3R14ccVmyANB0PAvB9RP8O5G9Wa4ZtTMYO7pALQlzDBJtONm/h9xVp A32mrtxSOHIZ7u3pRSNGKou9a067qrAwTRe6pp7O/L5+4UkgnYfGIA9jZbzGiArXM4zC30FKkIl8 B+0WS6unBl7cRb4UJH9nGJC1KVhhbTF9CeW3cfy7Qgolx9maCRH64TveEYoWJTauTGXrfnVtxn+9 Z0c65IjkRX5tKuln4wUkubJKX/6r0nSUe7bjbiDlFfYCw3xLJNSkXnEFnTBz8lasoNEmjQVFNP32 oDiBQ/aSYz02nqXIePv8BONWVnMVL4sQAbYsP/giJtp7WOjJ4V06S4pgJO202kSun73Sb4aYZ/aM oAR5Te80EeewdSkdPsGDOwlP3JlOEppMisj36EPCwTiiw7zV/CsvFxZ2v0UqBC0nfg8YS/84FbR9 NhRSIhJC1422Knh8jApb7scaPeP7Y/SruOuMgsArNcHtQi2zMdfo6N7CdHkxP5Duizdi74IjgCtg CpRdyxVxBsZwBwKUbPxLi8MckWamroF3rhx6txN7NFMDX03Y4BVMOt3yPhU+nS9BKReD7slNxkEJ w6F8vqAQLczTtHhkpUoZUTSWJMKNZasS2vYP9WQRGdG1C6G1oI8aZJOAjmXiMnxaAFVRgAUyy/MX p1fjCDlIEoZKIqONw/lDicyYyuQ6DylNKmIdtp5sscH0BobZEws+VAUjA4LEzactigqPxyivuaGL vWbjI11bYwMj9EOekxBc8rLvYxbKZvMiShs+u0Lc0xjqIHLnPpHiN0X0avnUM8NLF5fcZPokQWHx g7Y7QNxguFT9UNiPypuglYvbgHZVCO61gDQ7tcxYSRAFfEeGeWRZyHSTLzGxAZ1vj9HJZF60Jwma fS9a9UR6QHg7aPhlqbchKLkgt7UaMg5M8LmrCK1yjF8wJr/8TddJTECuMH+1wgb6t+vH5bygCTFb VTBObN8zDOtiSXY/Ym4qMlTWujtKC551Cgw7YgC/bucDx6fVoZNRZ5JQAdoe3dTn6639BmJdX7wy QyVAq3gVwNHw99TmjPPsX+YjeB5IYZ3vMhQj4FGXTn3pQeNxKiBl0d9KeRrMUvFnjqZhO42/jnVh raDbI1jIVVXTWT78ph5Iex+ynOmrTzUO1gwuRa/2M/Et9dlNUrovmURRwERAGD7F+kchjgYiRkgW Wt05w/Ic63F12NieDK5ISkJ/60G7To79YZ0CFrFF9NUzPbsn2akCDECbiw5zDs9CQ/jlpFtpH9jY p/FQ6sp5Lho523IIT3Gd3Gjx3AC5BQI6vdTed955ax1ncUo68XKqcYYNmDxWbYT0IAoLasYvg1ws IfsR26PqJV8XppL2Tts0Sw7g5jGrWP0RYnt6GJ8LFrlwIqWOSuOGo5znAkLf3i6dBfy7D4vo38U2 65vTLWxfCN807NanQA91TBalADJs1v4vl64apKFpbB0WxZJgi9ZA1U88UDjxm7LozDh8VoO0XurJ 6ByIYda0c2nNKCbOtrRxae63khg18sLpejcdBl6sG7Q3sstolodQLtjpHs9UycrUZI1LIv/efbS8 wMtnjmhePJk4XhWrn919UhBQ7beabB2067M/UgqAVACPYcAs+LY0EA8YDaJ95C/BJb98+hpAW3FE KHwxpZRXdAdyRUvhIMZ0SihlLDufKNTXXacT3mn+DKm6tk0tfTeNzS0cVHRy622rny3wUjV7pKlG xOIPMYSV7SFlvd9+5tFscHm8dj2z8od+ZsJbOShtfCU9yyV7ja4DliU5G2N1M7VUT3oVuoOK0389 FH9BuKgslTG6tBBiW1ZQB/JemcTmh4ZiajMQkuAunFXOV2TVmcdsTWfjsjwABYEw+m500Bm86vm6 4lW1nGZ2UuzFCAN0dW4B8T7CwIe6D68VfSS+fyTmnqbHmrH9AuWjIPRBOM9QSxAIxXrs4jAr8zTw QNayHasR6PA+VwsVson06g8UOSs7qiN8Jm8H1lnmMsMpI/1wYbOjYwL5kPPqnHTw3YXnlyJwVbFL ylX+EBg4kC/SXvXkTTMYJkzkWKiCjfokfvQeWUaAWR6EI54lXa/Oop/5LRo/cJOuYcb8ZCKfxrz5 XO/i6nJqWLxPoXb6ofVDEchfRliAoBTogCWRG9ZBiVQIV4D2JimvvIEJCqFoIDyLySHIQcNFqDx6 fo997lmBj4F9ZaInme78k3kl9Isouw6BNZ1nrLBfemnjxrXkV36NmRVE2WXQm+/4eCVo0CDkdIgQ nV+23JAE5VZ9qsXLuSbpjh9tF8Y684IsoPuNjllIIlM0eO4Pw5maN0ftHMNEfGmFuJG+gEb4fu+T uEv3qDPR+rT5GvGuFLLC8C8HZflWTrcVT5bvOLdH/OPo6TgUAEOR/ajcHi3kHqBS23nIy2beqay3 crUOIpWU+Ye3xMjlX8l1tTNg1ENwuPeZE4qp9VIp1CAU8mhNHj75zz2ta+CnGNQMv/JYiSr0gVuL 5GYgEWW86hcatP7ZtK38YcJgrNHW2KSN2mz2pudYfsDD6unjkuRNa5gNyqQ/CZi/5P2ll62M6R4p P3uVuj6USe4yWsN63Mk4YubkvWkjiM2AS3ydBpYPITGSgXxJ5D78R/G3vrtIdjgci6el7wUeBBoR RzYt5+e9hfbiZ1+jBItDG71Y1GdwUvG3C68MEY7KaWzPGqEP/L349MzcuWpmcoS6KlMOmt/HRW9H CZzSA2+IzPPf+3A7NffGJxtEArTB4MjkrNb17jIhL8pR9ml0sKhNs0pAxY9EVoagNx0l0u4MO7yl 9qqNQgH1MaFDGBGJiAw7qrLvRa4puPfj1sMPPfZoJ+gY0kGqwbdTLwCZFf9pQDEPYZY2oz5MbPNZ wieCyWIWaqEGQgKOA4jm1l8UcF9LqhSIcjp+1VdRBBV4KVjJJAeuQs6xHY08ncnn/M7BLrMj7N5m tPngsj/e81+ibkTkPFLzgYvT9swwz3Kp5HVNIodRUDyTCh4GVCn6EzPbUFOFiXjMq1LqBrioad3r 3ZZmNhrVMYSX7+MECaDYnz7MnjQef2GsfprDYbWl4A89N9ayMLlCYDdvTi9SSvBSl3jWCJFuDeOw 75tA8DpYEIKyogC39aRZOrsjlcL/VpZvgW16NgHhgGP/KTVDjA/GKyBHvrQ07izOnURq1zzqRWyB GwKKXyDwWHgTyuSu1NDohW65QEbmVgEBqO5tHxoRZ2ypDWmFT6EmRv5kuUiFPUKNOfpcsOx1KrC6 tlf3TuBEL4y9uJnVDf+JvcAielaZlH1UF+hOCs6+xyJ63MjGmLqzd4/r9mWr8rAnnUkogj+hbJOk 3zgDLNe9gpy1PZ7cjEqAccO5xyyRCi78xMoBWc65bVeZxs/JRss3ZzKebIVcvabeDdEjs4jTU0Sy zVwh3TQlJeDF4fKVcFlVxLawlzqgh6BvdVU4FHIXj2Gjcizzo9NdRnqeytnu+3AcjonHZX2DHFg/ syTn8VGym1NXz71g1sSihamDNVl2o8AWY7HURG7yQloQVY7vAvXbfccd2GUgSB7rL0hJxzgz/ki6 hUkiUdjVX3YSVbx6Id8nCBqQfAs/m/p5oEY31Dl+yQbNtzGso9LSirjojSMZgGELjQXmwZ3uq+Ns it6SFRbVtvOa2jOOLORtREmOHur0IjJfdJHx4NzUzlNq6xjLuOh46lN7RnB379PxxsDlnwdEzXg9 NlsSVnI+AXjjYxH0dhujz0T8uJnom/mBWUbzxGedGbZQj4upuCxP7J4C4a27nLfNgeutN0DVGrkc SNywBcVa/E0n9oJC0TNDVQCm3tS9PbgazfKtrucjxlFnVn9lYgeDD7HDc0wZ1lcX1BK7qYwhZ3yh YwiBl+7vlQenI51jPpFe0DEAW8B+hfcbLvdoPQMqNUKuRIOqHMisvwHOLVLDbgGQQS6mJ8UKxe6Y f8pBkHDJ9g2jojmdCOVl3gF9ca9Egg9chQHwqLmSFHb1yuBeL9ELg4YU88kUnueoIJ/uPm7F7D33 TJMEi3/Wkga7Jay2RsSZWwpvZFyZ5DdPk1WoLwuqKEGdZml4ANZESyUh/EGPdHQ6ccwY8auKFqui zQGnGt4mwkSWk1XcxZR6t1br6OH/54YFb6hNSwhftXKcGgZJGWaDxDFJNAJgilOASfG2/j4EfAjc RbGoW94+w7p4bfGcXESfw+cBKdQVY/N6JiGYRYFpGp2RUa6CyHu8ru3ZVnATW2NEzWiLC0qZUJfT yPBPx0W1UARddUaWRGyzjGsjOxgfZ9UYjPXQt0NETUFyCZ33U1Im5ytSKj1WvksIJIbiOGmJbH/q +1jQnoOZmndpkmxmlp0f0D3QB6HuHTf39Ex8oPJPbR1/t9K3Jq4wR8Y45jMbdPkR+4k8vK4/pB+G oDdE1GFHUtADi5VHOeGosUGs03h/aZj4JmXHxoXUnnIDV+fcLHP4eiMc+7jUEXWGU+lO30imMPKo e1g8dwbEm+/RaQD17f+a+9V16I41lBIlZDHAGmKmxV50HWPLMEFSglhecKCjtP5KVVdClqInQn8B KjA5aJjaAZDJs3ip/nbNPeegUQ6QgCfDmoBCy9d1Kvzb5HBxhr0EadUTYbnefbxQtB9a9Ge9EHBt dvee+gVFFd/PBzXFrkFGjg8tR26h0yUpQJd7idWknoaGkhRJybKGyOuu1uv1Qh1EVr7Bt+WIeeaX 0aQ9mjuY2kQMTDHFPtGBlpoakfbmXT9k1fpTH4/qUfgIOkxfZpL+eE6/BG//RQdTH9xB9w+Fw+w+ ERHUAQOxb47fXK9XLiUJq8/NaB4cL+mvhUj6KDMyEWHnCoHAig2kVCdYJc3T4XG1G1NgtV2Uob46 vLbvYS4rZGXMpAI2IIzgdDYIg3+BHCfFGtD/Kl8pzqrILmHNBIRVBaSKK3FGGUSpJgEQASxza/GP FNHY0mFMXdsSST1dMGcRxFjUp6KDzm10BayerpQp2eMynAR02YgEP1eGDmPuGzb68JNCzYdbU+iZ SvRPLjGmUGveqN5RNa6djVR8wBJQb8Fz2+brggAmCYlz43sAtTV+rWXX3Z9oM9r7p0rGkBomiFJH ZA/WDnvmTU7wNAOLahe4gxGjiBxMk6uZnWmqw2BCygqnJOx5NMi2wfG3uqfdpAdaa1pQssA625gY +ziT7KLn7o9ZjtmZBkVf+r148+6EOaraiL99o1i4AXWcooS8bBhiXgCpoR0aWRmWwVgyuDNgnqO2 WhCAMAZ6x61L8Q+njfCcRTuo1ZpH10IzA10YNY6Xzil6OIq7U/up/d2CvDE7zYWLBa0VyRS/0iFK vCXgNlZucSSpW1eh/QFtjDF/ZoZ1jEth/eh9ebrs5NQLuZPEA+jkKi29n0xMXDZ5OdgBqP8Xo++5 fIRWj2cjakmUP0Yy1cXydqSeTjCcStLWxd3jZSQUgmRsrMrBJjpDVUCQMsddn7UOgk/eLq3391AV sezLB81VPfK0m+SA5AlUN26iZ2LMHM+9Gj8VH4Mfdg1wpWp5cX2cgO8Wv3qYzzBktYFD3oGqAMM1 woO9I85zdnz+xas5ZKMCGQTQAJimi9kn0O7f5qZPtYsez5TCTN4a1OBeub+kdPryPMhidGYLnvBB RYbZ48jXOHJKRJvWgZmVkmnQdbUJuhgeUG8iUJEGtCACQPam6nG5vpA/EkF3xW+v/5p1k9ENz66F 0gVuD72TBKtbT8o3V1qE5n3Rdgmh+DaL8UvvvYSDInZaaGM13PWdYoUqJGPDYOYP8qSmvODe97SE ntN9FpruKfOrG8B7JoYihcVRoeOUzeF91meFcDx9ftu6CDS5gisHT++5FAZx5NzBvbpr+vQ3ElRi gC517DWIMGdZfH7uq+2J+jb90TGzNAgiat6rq3q/PvTPMECOLDkKfeupCNHvu+kUPWoBGJ7NMTHf yyPybf0yshC/OvHM0RtHWBynoyeDj4VfMSjsESI/m8i75KaYrvuQ3AJ+EcWL8HNFNwAbjA0Yxyib vJ8uklFRKpNN7AWKW2ND984RGOS2MKO9ouBTMSoN9gzhPRWzTFCQ9fpKcKYiK7uILhu/VmHbl4Oq iAUNJjuA3oCf8G5c+ZkRni9nxUvQDZxWHTpS6gyBe7ejS/o5cv1A+8KQjQSj5NQpXeoqqf7wPH4J oiwWDYyWWaHRsPcgvZHfgZosk46At7MK3Xw9E3WqnjZFRFh1wER1jz90Vm1ZBz8kzGCVRX3n7hF6 jWK9FohRY/t+iHnttEAl6IDQpEgeT4ViCvg6vpzr72NnENk8KImHwHSNZql1y93hz1UHWgeCRMig uPd++P1lNNzey6y919w2b5TqPH6BQ1SAnODNc/Tv3d7spwBgvty9T9b/NMmg6nAIMRwTXuGWDyj4 2UVEqHhu48Xgq3JW7VFf6Znb9K4s/RYaHu9fxsFtoQWd5Jc7ODdpstlkV2MSe/nqfRyMX5kilkhW 6tA/+lhjrKWx89HCSKbd5Loo4LVkORaHpT8qILiINjv3vv7Ezo/vbqaAaToU3CsL8GU8FgIRF80D R4yhawNgskZJigkO5UrtNzly61SwNjko3TyuPbgx2oJNaCw3lA0yr1mVNx1wSptiG8XO+n48YaUq M0aZin/vHUqJ3yFnvELk6Q6Bf2sY5ApXBGUPaV/BB89Ovb8Wfj5IuAH56utAC98+ZmIDZkLiQYX4 f0ULRYkjcql1hO1yXC2tl8iV+uQDqNbTHU5jYUB5VAESuZCLNHB5VkgyD4QrO5VQcvPj1qMAD0LH yvazstVtnUlpU7Pu957oeiLfX6gzCBLVeZwvsT7f3sfnQVc+7rxWYAicFiJ/u8iNN0RbC/AvX05i WY1k1g64BjOY674vI2P5sBAKJUHfOk3RA5V3+nN7bZ7IHOyF29iCJIOao0Vas1/lb+BGeFF1+5W3 Sub+3ywh49Vy5cLh1yOraBI62irLnUZP4+G0Oc1R/d0jEwrPRSFcK/+SO4SvqxvJ1hK+Z83+ptBi 56qUhUIHqLujYxbMccEG4tRONAN0Q4lcPHKEB4xmgJW7GJPT0wVQS+dMQpQ9VdVC9ClvMW1EiWfC DmRqwt+oj+E3OpYmwQVubG7XzUFUU5DdofvILaQ/OVOIwFlu3gVKZv3yJ/it+FZGeXCClTtMGq5x 2X0l7BdB04ddPUVUfu/GDxHBQGa82jWFZF3+5AcGVYSRCYcpl5O3kVPkpiQlwkViaRW7unDqwsVl N7GwxMIYzs/C7sWvXf3bm61VdpDam79GkWWjZtAH8vhU1SQcC5qZD4KdNUD4jg9x6haibgbHDvtn vaHMvzSeR5xmpbLpcNQqFs1NOQXNIATmzccLmgcr71Rlyw6oceYPZBji3ZLLnpwr3bNOTRtjcla2 NZ31r805v1vBmJUnTbe6YrwPGLQ+z4EPGs4aDj8TJRqV6IFqajOz59svqSapVgy1w1YupD0NOrj8 1+g6rA/6novh6dD+rjAga7gTprH3t3S5b/5/AGBpqeuZlkdcmKjsrWCUOYrwnKNRJjN/uRtX2f/4 Kb5qOW9hOG3S1ZIj880B14wzcXmdqFSpgEOOFbZUg79nv/fg0xqBp2qICL+rSby/B6RGzUBTXTfY DtrfO/MfPkRtIbeYp6Vl136ot3Tfk6xt0VTQAtuV/IJcpS1uVC4uvseB4nx32ZAC1JqKNUBiUBSM wOTuuoA88PoUdax6SWMZDxEBVth1RcaWTC+D4lt+KQ6+JArx+aWKLGhmQOinDvNCjLx4NNMDF1ZO /Je/jMknOBf1weCDPzWRQXEQfVlCs/HyBJ5KikBgaD8ffgJpwtdH/B5mrCeETVL77rjXhnK6EH9W dT90QM0J2FoSuVGKkiQ3jpXJ88COo2rxM6ZS777BjfocZDMED2EeuL2xtPmV2E+3kIj9J5C9C/O/ 4OhYv3/tvjKuIR5vwFG+RWXxNXup9IwjG4zZbFQwIzZlXMm1ksnm78O0B9eqC3/S1HRrau8bUr/5 Ci+AQeksgjKm1aZStmIMLS56WHGVWP72Kn7jeWkiuzl9CWuVUrluuepBTGiv29oNyzVCh75yuZZK 4MNS6JxKoYxtM+cbbAdbNdhMnx2o96I8MSRp9v9YqUU+Roru2fLeE800Q9uYAewfxogjc5NI5nTt tSiyK8J9leSxfvXAS/pyNQKYTlc2TtDVQG7FgOMj5vG9wCX4CdawsF28e7F0eIkFIqOjKrvKuaFz VZ4k3ulY9a3ADj+B674VGakP+8iDLb58yE0UVN8Z8t+vU4/+I9bU5TjJ3phTARS748tGWgl1/LHf 5GAH0k9kB3+2k0+ZQ9aGFdIF1l2n/jrNKmHk1kj5t1mAp2NiQytRaPm/JQte65sMnRHEVU4zh39Q FHJLysTTUEeEsSZGQl3hgQ+AcMLZAA1EYzdG1hlpBVyH/Lwr4OcxTnloOB8sTYgWhi7IFlKkZQ9O 6iDKz9+Q01v3nolyUvtfnk8icTD3l+1X1gfFJK83EJCBRkREInBlgackWnRHUd/W7gSZKu2fPKw1 bTVlP+BUJjJIU13UZfMYf1aFaLlEzIKxCD6G8zE9eIzaVaG6BsjNG8RhNN46lX5JcY9sCgry/2fv HW20B5M4uRwZdF01Q2mcDNkpC1bKjCqlJePG/OKJwPQjATdZNH1w8batd7L8NNPOu0nXyV9ggMT+ dniE+/VGZ1PkPwE+8kdheLhmKpbbr2ADzS9qXpAa/p8m7189nmyO9EJhR8B+Xi0EgP08PetNnzd2 /b+phn8dRxQQXRTaLt48scYDpmUByu2lxxZzPTzDe3SNRu2dfBK5tjwoq7EIQwT85ptMXNHh4YEI lTCBktHxsthG2RcHx85XxHfo+pc7NB3G+hV8ywRN/E9j6wEceNqP+jiCidqLpL+B4SlxtQsZMapD Z13k5+lTlNBWgeVicdKQupIYH4EfoGPMO4Q3RFf4jRRyMGeBeBdQYVTJa5nkrf79MFPnQyLW3fzn elAZyb8I3D0aHReHFsqTNfuHAAH3cNk56QXUN+wgXXUj+lcCbGNxIrwYj17VZE3UE6YJGi8YXYbV atPfZHO/emICIZz1f1Jp44FDcPy09l0Fi7qOTblCkKBL7KUvJOtluv6r3VmRwXia71iKgID0PWlH BGy8Sf0oEkIPReopyQTA13ypoBZwQh3wRk/0vEzH6A6HEb/A8dnXIU6SBBmyxhX9GUfvjuebW0Lp j9Mhh/xViDOPeW0lNlpiKZ5SyelEBic/MIvapCcYXIG8M0dL2ta3m+AwqqUwQunrAhUoYaCd6Dor pSg4ef0d0MysXWLsD+Emb0WVPvZ6Fk8o1QrL5ud0jxHzu9HLGw24NsyDOpEd7AMHZ2XEmNmn/Ga2 lwTJjXIKmaqm+m/7XfIdnLgIoQq/w/PANqRrpIbt5iqjtaAW0wuW87dmV1h3kySTfkymSB8HdUOR aRFclbdVwRmZiXJJDBqmPT1AI9QpMHqXE6ez6I7092OJhV0YqKLWyk/EHtIgW0EX4fTGzi6xKiCD jC7GAVneboz/ffpT8+hc9k7jawMwxRTgMzZP7XImTpsXKVMtmvTkGgvuKeAAWPu0bADAltNM/f7+ oHqWZIr8x+tfNdeSqAZsUGyU+tVEpBw6zXgSLbUWRvr4nleageLxq8aBq7rcob7IMIQbNox8RKcT krjYZohYtAeZpQZBizZb1OHWIN/OzmciskO4BODoRd8KlA6n+HOsOBbwBasBjnM3qjnUV6Vt/tHc ZnbiHzcPQWTD/Y5BHGpNSLi4ftXO/gLQsDeiOk8mx4yQavupBdQ/32xlXkLWfZKLsHnfl7zh+0BH 3PXiKg9DQwqS9PFvmq01/dqik+Vx2j2YXSCGQ4aioYMkTx4Niiu8Di85fjVF98iMspwn/VX8YYMm mF++g2va/oNF+KZGpDeL3dCc5uxa8LwbpHEP0JgXiffrYSLPHqfoEmBy8UUvXATRaC5k6fHDNLJz 7D7BtezAOCRLze1C1KiWykhANnfENdE2DFA0vpeDjNlk4tPHeOhpvXoZMEgqTzmprWUXTAugn/4a Sol221aZYXpKKlQTYVojDnpDr5uWcQpadYmdTb8ygUmnsIkf5G5B8qMePeU4ZsopALsS/dqbGwBm q8txbNMu4eQ4ZaHksyH77Rb22lnDCYAMdfRNVkQuJj9nnIzAW7yE07z+kUz5wIaFXz4OzQe8wARZ KPY7/97OT+VvrTgnIAdeGVPRYWOKSTsCwUBZX50JXlSmtkNifZnCAE4wvKW3wZhsY+kj1pxwgZC8 x9SrN1TilrxSCdx8uooJLuCTLDjvwLpbrAVIiupgWsy7T6vcaqxfe0+a1cc7DZm5gqLegbZkylRX tHtkZYpZK8fQ7R5zj8SEGTKppcwRYjdfT8ZZJUGYt6UIUB6JFFm2nAAqF+sEpYUuvVu8Kck6DY3r e6JXteFlv9dc22CZxxYCKpO/y03RJlduYQKjgxysoKWJ+2I2oKPI1x8x4vFUSbahD3SUjn+Y5M6g yVe4hXwvLvYg7o/w4/8NTryJ8L4xYKe5q4MxCdfNLPRiLrVTE5126d0EggXZqmpNdUS8EGhbQxsf Y+FmjQcd7RNsks1DsfImlubgmZVNiqUfa6hQV6W+pyQFJeKCi7bsAIe3lc/Q5tWJwM8a+rpXR+l/ urGSYelSIkbeTcX+2eFWU6jAqvyKOGsHwDqib2TnuUCIgKnT/ibyAR+o9FFNZbIV3cCiUDrfiHVv sTkZu0bos3gb9dO/K94vucd00uM+7DfYyt6C4kXfJDsEsNQvLjESeRvJAlqnnZ3YZglxSR4Ml7HV rmVNdsJeykbFQ4NZDXJ0+Z7Et32kZtkPT/MMzUXPovKU2IxYch2yk1gO5mSeV/Rhxv5zeaFoTlbW xLxoKH65FkOvSQAZrqciztNxhQ9bbCOlNSqA6jSZxA/evUPpSriZLF7pBLTsDlCmvtnYPOPjoGRf YMXFn9Epee1QIEdhIuJMZ1vBGMllqaLuOkL0Vfyay6V1H+1/SZYF7IzRKPsxcl0XPdfi9CbnefJO zeNmZB7mEXD1afrxLBbYUdK6zeJv32r6HNcXX+cQtnhQRx+vgWhnyfh2TlZBQw8773lU7p6DouSx SMY5+7JWNv4plw4MVSc9dXAiz6gwPohSBdLRyYwSrzvpmN6z33dH7vHGxJ8XTtgpJkR3cert9T2A 5Ai0bBy5Qx6fbhXcQe6G22yFBzHK9dsq6wVLfWvj1txFutploWlsaitOMzktp05tL8RazQA/HRBT JvZC64q/TlRm8ZsEC8w4sbeX/Q+/u/uiT764hgIoAmwZHGW50/wKMTAROKmjai0c+VwkSIPUuapX 5M1Xc8MarQuR03PuLr62KOAXEt/NrkyBQVIJU1p5XnGNhrfbU4zOMwpr5pznW+W2ZXLOFg01KaPJ C+It12ldql9ls4zJ1p9GCRAZRIXjOrrVdvmz4iKT43BwwgSPQnxrn8vHT4EsrJfoj5xcVe6A0iUJ fIvKU5hfV8gkmmkVWrSSmfDZsRH7hKhci5RWBC6bxezfKWK4ulPrSEvJ0hi8q6X9vxOxa5uIOmmg x+XiJX39FulCSW7YXX78dthqfumcUV7fzCHhvP/32ZfU/7z/p49ulRDr7LhEXK4s+QZpjMzmdKen lgaBSZLb16KxkUnWs0xezo2VYPwGxxGQ4m//m88ZTbg9aAvE77nZJDL/TR2wSI08Vp7I8yqLiQS/ EbTYt69QtWWhedyvU8/FsjXPvY1bZMcSrYTeFJ4wosSPSBVHV83yPbnHffzs9M/XnjxRzRjWnaE9 LBpi1cr9S08ehdGESF0H0vnn0+hP6/te9k9HuPHmQCxpG6zTXFbgC5jfS0LuTPzGrFEACRC+l0IM uZjiWeeMKMX248Kxz/hQ4wDQwlUHcQFI/U7MJ6fDvEwXv4u6R/T4qFW/i7UH+M3MLiwkT2i+cTjK RBsFHhl9LGZyYiQctJYos0IR5HjulXxpCx3mlA+kBh8/49m2MqIbrlvXjVbE6vbix/OibVDRb6wP s5IJeXD0GMsQRMtUZQSlExyz1oti2hKBD6pVd1ErP2rdAH5VHF/4hnjrqZK5QR7fZWr1Zb4hLyf7 EvAl6/dqst1bTZjN/iRiaoDquduBU5tFvEkErRD+lLnUSPeiDwONLLcNLDp9KuBAOSr702O4Uv4H Qvhe9OlQPzF1ZVEqp4RRF1d7pjvAHXmaLy3iFHVlF1sSvJLT1y33PI3GljGAsqqi2Zauhj3P5tuy vokNkJaI3RB8Tjmzoa6yqNBskawdIBDszZAg59JEmtJW84k1BoZ0EAuptH7tQ538sZSC01Db/v4s UziXzMtPzbW6qRBfockxPKZgKeSmSb6K2ecx6vl2yZQrT5QsNQxXOMYPqJ3MorX/supYom2Pk9j8 6fO6dT7zbTp/ID3JasbMTFqqcWRbRaj41Ul6b46kx5FeNIzuTwGw3va2nXkndZX7DTMluxPZguuq Xs8YtXyVvE+luGb06ux++zvgEfgxgfDXTiv7H4+4Ir1/Q0EONu4YBaub+cn/UfrXMEM2y7jGBvPP w4IXmtF+oT0JLMpPIwMaFmqQN7Kxs7tdAl2NK2gR2kcWPDnJZoCry3ILohVFtVqHKe65wbMmsjAN Eq+E6OaMp+KHKHfDWLkImqZocsFc+qQARlbUkJ7RMJ6j6U+7PmNtBMgqhDCCJE6XqRN65npmptDT Jj+pKsSqssmfPdwoRJxFp/MW9CdXX/CDf/g3YIGKwoN5NU9mMTIl+7Xjo9y/OAv3Nb2WiaCVutyq t7SR9EqQEnsPpjLdgO93u7OnQfmYPWA5bdFkelMX1aBTAoEZnhg9ZzAITwHgdHCEVKNVvN1Q9QAe NxMZ1bcSdbhxSWixebrExaloUOhlozO//zdA9iLsjhGW0CSfHKjfShFK1pyDrk7Pji3wpCClyOh5 X8KYSV7odZGsLWNGCMhgOp8fAS7jffFLawDMgKp5WHacLPMhrz8F5Oryvgearb/5cG2ltMokT3Mj rVhOMbi1QD/J9BGp1hLTavfKm2PYJj1mRoTFYA6z+4/pGFdRDUE9/R4+49QJJwdYIezWZLOhmdm4 LfN7DxEkVAt7Iv1XTTb5VS5mg6zyhqHqVTyVlMV8FX+hJqL6HO/NE6tEq/vzczy18xFhbqqm753N HMiyEqmThqqWl1e/XBYjjtgKSyjfFSBRWiitEJdbK46otkMbvDPhsJ4PUg6X2v14xtWu7zbOEVd+ 5bK2ndfcELtVMIYaCqW6u0JnJAuAg/XM0eTF6jrNzBrHu7USUjtnYoqb6Rccs6pZlJqNrhd7RFxi jn2u66CjXEdqFxk8v+AdAsGrwxFaPPEGza36pqr8RBFMHWoBXPhZ87gXK2bDBAqae+RiQqLGVKjI 8aeP5aoxEsgZzAhyH2JQoQqq1Aj8+2nkTtzMKwy4GfNay+N4IP4j4eK7GomjPV6B7J8aouoZQ6iY X/tz48tVNYkPA+i91TDKIfQNQN+utsjGzh/hcElriA22CQwFYyTT7S/RdTnQ7L4Qe8YSNf77b8Dq eL7k5hmectMKNPLQSh/RE0Nd+PHYCFENJP2O4HlPjjlZuI8DliO+4dom9ZSynO39TzTXAgrkDNEU d4A9RaDS2zk87HDGpsrfpcvZ0RdflD3tvQ7MoW2WgZHy+/UdDqUvhfMfOGHKRzoIR4Zp1yiH9WZB hh3FnIYXcAiSJEKHD98vYRHiz6AYuuiByjkA2aXghc+AldIKYt/RQdwtNVNpyyBkpKWzf1U+2fCK sMnJkKX1b9pBMxzjnst8lCeeUtnRkzPXHlT0YxgppvSlpj7ghGZZRAMowGONJb6qGzLLZZXunyHH DfR7Ku7VGHRsTALLv4c554r4oA4RpbJwjHW4P8sZy2PUU1n/YUK5LVHY1+uWwGmMt91QnhbbPe71 +v6qGH1d8NxP7OAxaGvwpaHDDKai4pfT3PZs9vpCKGybLElUK9B+7T3dt9kOysaizKbTHZ0bwDtV 6LKO4QYvcozEeqneca45t1rdRQpHj8G+6sLu9rM78gw28FfR3XIjoBbgezHIKacc4XHcdNFDj5Jm l+YjX/ts1PldArqHw8qVnxEx+Czh7gGi2arLeTK+tjr/hpJ/uUbQ64vNuQ6TC3aoawlWfL3jwbIT Z7pY4v1LDa5DSi5wT/zU80JnprWUOb8Sx0HUpfYI50ewI2M4+0BDmRwpkPNNGWBT/Ou7PivlBc4O Q1td0WaWUlMXdrwNx06USuuRKbs4n+5LbldbdHzEyXump9Az8iOhbsI6eoFAJeFsak8dSnhgS1Pp Bah8r5f//CpCH4vaEDoe4KdINT4xump6O4WntiV9VpT5w/zJlgxJb4lW8OwCAn9OL4D2eUj6C/wE 7+AHEvkrtUAx/RVd2pevOW33GV+tPmrMJdWRdbL0biPyT4Nk/Mvn9VOdyi5xukRRX/LlR7r82fvF OzcG9h+MLv7NUOiVwPeF5GTFeHFqd3YlmLsqXOGYU5XK1LbRyh1dt2g6HY6d9X8UXZJlbCCbjZ8P x4rPeqV9VMGLCKFRe9I6FzdWmgRBtQ5o7DyaltJ4/cxUGTjsT8fNSwChXccFyAbMeeGiTbfYNRTx 3jlLFFOXCif3XkGJEEWnciQNq0BB9npUPiukUgM42+/BErx0LVxAQvJwld358VW3CDSsdsBmyJVG lHr8IRQvLbdlB6SCM+fJXOMO+EZGSRF1jdSIN4cJbYKO+yaU7lNiNZk3y8r4a4cREY5VU7XsF2H1 zevnPdc2zRTMfR9q0kmMOOgMGJ3vTjbu1KibILSgxkFcvvsrZYwBD//nuolZdkmQJn2oDqhyhr21 4fcfKtYuHY5Hsos43wR2YLh/S5IGibrVaBUVgOqNrRY3VfuL5ytZjNQLJWRVqOjwLYqsfYkKKC9G iyKd1WHi5N096xHdQbnTiG/ggSMYg5QoAK26AO53ZF5e/ejq9i0AurEHmrX2rzHE5TRnJHM38iSP /4obay4tryNsQQybglyQV1mez6xfQLT4A4yYJYmF93FI1XguV5I/qF9rIn0+rgCg4BEmDNuyQXol W9Bl5k4gIJ336IqvhELkQ4/yLlkuQ/ewsI0ST1DD8PYNlVQZ0qUsx1u8a4oJV7fVrhFna3blmeWk Aeg2GUZYCMDYNCdp+r1t8M5Ay5D/F9mwnVYwQx/Shj/DUtpc2Z/PslBRPj9jFx8QD59CKV16Jsl0 NEftNyzHQV7fpeCGSWPDXEUdiWBOl48jO0ulPKrkz5E4jWMO1oLysQh3btd43OVTfEHfChukDR2+ W7t/d92ttJlQRGEGdqz6G7uQdFiHDif3Ex0S5j8qhKaIF9ZO/WsIxVJ/JLfXZvwu9U9XnAOv5eZT CYaJkv0lptu+e1xNWCLAjp6IMUgqWIbSUy3rbmjXV/Q3Fi5UPBAPUMoyuyICgx7kXF4XD7bt7HWX WwDBVEt5nVcwhFhSCa1RyOaAsB2iOw2qD1DM2p8EZU1CcoJ+9bdeSBL1nkoYDI0EB9yoH0YONwzc Ow083yTYEKt7ARTSPGhX8VJrhM8wLjpoUBQpz6jYkY0I+kc4k42fPVOBirTCS5LjEHkumbXlOktS JLUW6JwPATjt/y00qBr8E+gn/U3wxNd05QQhs1C0Y0v41H7oJuVCU64+LA0MJlDhF4kDEA3LAsVw wK8XMJiB8WTfQGx1H3foCCXWHKFpNtGuYopip4AifFZiBMzmb6mUuF17cZpGy+B5IY224plqSVL1 YyiD9nZGgJelIccNKxLae+U6Gr4O+iDZHLPrtUaPu5mc5BbX1rFD4obzXWsB4NYd7F9vUCaecFak Wsqtb/nVrTBZHNSrC7C7yz68/5rl9fUPOQcha+2qYJZ3ZOuDPD60oPuuUZW5REjLAjBU8un4Izc5 OuFCFPu7wPpmer+Zr8l0eWKu1X/wNvjQKAEwufcokl3/wKGjSgTPnkzZ9V95YpdoSfv4yyNquWXM VRrFgomb60mDOioE6JxaR3MQKoGNuYtQQhF4othX9fM7EAygYSey2U7kLykvkyU+b7d8qtoziIMQ +FUBJ7sNNv97DolNP8NZsRaoCH+exNA7PWx85gd7lmXiXkcWI3GjW4gFbd3UWEpqbkh645ZPA8fK 6nVFo5xYFoE3fCkchD8B54TVPaWdYFMGk4gN0JOnFkAa7q0Vef3kmIPVROCAd8aFIdS5gza0LguH cn03YgU15SV0oXwRiTIrrAcAOIRo6aE76bxYKkxDfZi1KtHLjwX/lDcOZCdePexMCvb/rsxIAluU 4U/gXMx5oRGhwBZK3eENnPXJaoniQSZIi2mKIslNw8amp1LcTuOt8EoKp8+CnkcDZfZBCbWXtpi6 UsoUXGno6AKCmRl9vUK/oPFGocVLyBVpKLhsKAPHDPC25zUlrByQvaKWmObrKTjPnEGPDFNhI011 pHjX9DGeoI7hIglzE/aYTLeOtOi4dAmwJ0hfXEKU7mVhqSiFQD7+wZNKkm2/1CPrrL14vY1lQBRX H+UFqEwUpYXa6+fUjCCkj5ghMUI44HbLx5fQLoVSQfBhjh1Lze76t88jEBu8t4GMr68ANIee9dwg jjiF2blaL6HHkEdzIHe9mIJ9BUE4ez1M1x0SWbG3DVNThAXZbJhKl0gIx2AVUo7IfZ/ueU0qqGLV X7ZtsWyXnfRix9+NtOczdyuM0v+QzEwJjzY+Y3xsnRd/mp/OZ0A+rp+ifqIdAM/zqWh4XEUgtN63 6h47e/4qn4h1Kjzf2UWOVmB8nn/X0AkqTuXWI5jUTIemBtGn7YPoEgN8lNFSP9JGzVVMz6clWD6j IXCve07Up6zbE/butA+nT6qmjlOgJUWCuT2V2dHVTbsiyd4NxGu8FLJ/4sVGYcxuZ0CbUBfVf4Kw 4kWgPyBKbuDz1GYIEHhlUcLGkLW3pjxMemQz5mfh4CE/1SU+9Dde4sLm2AEj2CDVTbw6qS/tiVwp wp9BOSggApqDVRjXhHSQaelOAM0w28Wjv9j5pHliICaBWbdRHyZlQldptWujMrilQwCpy8VN6Vxt 9LDm1Ic9lSoMxeqgCxRdcwBhuKvNdNCZGTGlMX0TUdpfB6zZFu3CHk79+MSLUT/bZfErnHl1P1rj cgXzBVhD95IU9YdvsCAXGi7kLOZoiiP91HxseCxIMZiko/xusxQUIi5e1hZn3YdSPEApkX7ZJu0B 707wdVx0fYb/OjKhFOJU1sLThBmsnrLsWVHNDUV4rYg9SJ5/yApYWMzrxwdG/QQkMtdutWrCnL/S bKsx2itjFHRuO8ZKHHYX1kvURu0rqQpxgI16h9Sd08f3zxUMHIUKS4pGL0BWaoG4MmVAtFYiBxMw upDEzazi1UuQgCjbCHtg5oFu5cw4wp50kQjRREzygPSFya40ssvmrvWiCuZ3yF2OQ+k6EhCLewrZ jC7NQTC2XmkZyADqjk9tQ8oTzHiRutI/of+S+wqU+ZTOKbVuBGYl0oNbgP2ySgHW1s38uMwC42nP UMhYZGrlg44gF2DmEbsDDURS8htvBDwtHKd0swyCBdEeCUB6zFWqo7l1fgwIMdEVi9G7e5PrNelP TVOqWUhmLT6dFf8rtt+2NgOPmW0rkSTCEhbb1gy4wLKR4P0xboAVFfX+MouI1TtpMzuWVyddQ7Pk p1McjSPFmvSiXE1aHstA6kuQKQTkJh0Tpz1TJAPhmPCv77uAqCrGjpwMMfdhnjglftUECPPcJX2D cmCymht7UHwNFGGuZigZafciioN8Xs5qLzbq+dyM/PuC06/ctiGVVWvf2nq7BnrT+i0bZuTLEDYc Mql0T/wztEiQcpOgRQ8yqMHQRvBGMxhBPSNwu1iZDqB5CFLMss0yFsKSpoN+CSYyJTu4uV1gxoHZ hzVrB79DmM22rLf0+5fEj0oQtjaySjA2prHG/VCPs6K4nj0vXP2rCho/iMtzfiWwyVW2SF0PAxQt uWaBZif9S/MbSi+sUQ5G6OdkRRoQhiALh/TSsyodhVXTNSS7Di+Z9qGB4Z3P9B+NHGv4PKjwUzNr 9uHbFvsViZ5tYB6m6VX4BOkyJ4wL3e7upn2GPhRRNilM8kVIDjxIpaWPoMPCgsDPw56KlJKEM40J NA1Sa0eQ64ICnkJQZ4od7M09Rky2qEdbUSPgdKmtSu0sAVq6GiTHegYThcKY6VoGl8YxHIBCti4Q T5470B03j4/waSDx/xPY2AQ6fe3tt6ROVAxuWoHFIf6IP5dj84d4Kvf21yYy2NLJHvJp4ldLPIyT cJwC3+uIFggUVbL0TANdcoDIkAgbihEF9/xs1pRVSL7X/EmKq+i1OLZHII1YXEPiuaPG56OHruMk qOeXqJphoAOvRgkLEHC9IZjiiUdlTTN8cDZfv5F/dwCwlQI4OlXezaNuF7i16bZv08EIuUCNDxXL akA91wUYC+TIg1wnpZE/oRuFQOxAN64IeUXef+wBTKjM+LNdZ90uFLmd44ZyT/CfcAdfdPsMbIbL C+Ck+okC4FlnYUJIofZHoBweOkpUJPCdKEZzQFN2TiUzZbm6/hOdWP+IEusKTF8SuJA0apl9EfhO lrVLg3RU1kLaC6A4LhHs2jOGC8jY4FiEc8CymOU4ZoyqVk3U9/8cfcxTDnrPXHdX3WFFk7kCWDKI BCIfaNfPykHE1BBCrELRs2iLJWJqF5d8Go9PFXRVRND5ZGyfP3MXD77cJNDqtE8x4YLcFraLR0Z6 aBuKWTpZGbILmEglPSkYNPPYsdTZCbfiWEu3MLqgUi5Bv1dFUh2czdzoKppZeflus5h6c9BoCROi siQU3f1+p/+6rBjXU32iObD1lH9vtNwpzHKhF4op3VVd3K/9l8EkC7tGmZk/oBzAZLvNlEaDuJzt 4kPjdHYH69Xlw2vYGu2VG73mAAsKx/CoeMYywigydY60FzIaYWZzP9+Hj31eQ3HOtaN1Ap4o6FTz czIGWSP8jUtf4BXLWdf6N0rCzLEhcFota+85vZ9jZN5DNlh2tE/ZoG5HMb02Jf7efTTo+jdNKp2g 7z3YUdX2SBclJt/eK49frpAvDYUPe/6RhrGlKLBqABcdtj4WCX8XpmPDJ9Vk3fuUnvJ6pOkH7EFG v6FvVES26HV0N8yU+jAFlNsneQQp5hUAdkm2pLPyWJ+n4HOwQ7W8fAH10//q39+gelj0PP3STRXY pen0iEz3+ZwW0LQsDLNUvjYtmYsBJBBQac8ciVEDc+FGMbDnaz3r5umh1HgJWXaEUdM59+4wKqI8 21VkNfgtR5zVNRbRmQ6IuXDwyDuQEHCWB5EvKoLWeI9FZUmryUCJUskqenPRelaAR7hvZD9aFxdb 5elamgRhbjmXTdXt31fBFxW96CGx4+HM1GNruWmw+2tjnkVyKvLMUls0+Flumm3ea2o0h2M9B6hq 18cn3x1br6molnbUJnugAKmpG9zQZcE0Qlu1VruEQZ/u+RdtrxERRIM2YGiBjgZZdKKFPwMzPrYF ckKZ93HgjlxUcUW34Fjhy3S5tuygRUHGsuNvVVFQtXCBlWUzpWALgwzJmQIp/JjL/oSvvVU91cwW yYJ4Xbd86uk4NEqyMSgyeiIh3mZeOuK5vSRiI7PUcY2aNjKT2tVh6lwNTKf+iuHvr/hlrtQhbewz ezsUNIH9qjLrBun09mYO0zze9wOqpvB59kiTMPSOvk+ZXYaLsWxQj4O1D5TlgqRyrt3NxTh3EgpL gvKPjBusYO82pX+OsetVgzzRLQxoyDjpb43RRwKksv94+MOcVzMakMO0YhdF9k2p+4i9plMgjmE8 4d6cvOFbl/nphTeTM2z3DgPwMah44OjWfEntPpdCHrxfB1G4FXJyeBjoScfZb5tEz+jvrizFVOzZ FM+EGBIRsOrOktR7S759ldMhJZ/XtWrj8FWW9oi8axhhXgd6+9KBf3jkp48CqWKgm/WqcFW4j56C qTsumtw4mIuPRe1NntxP1/uPrfFzGHT8iH+5+HUb7Co+jvjLB0GwxxL5PFEeiM0XUWjY9swvsPqd wcyyvMQCm9C+iyVu6caD9HT2dwKk/1j+DsskIV1DUq/n+s3fSlyQapwWSjF03cPiwtGWLKRVgwdQ 58ul5Cs2imdPqPTCNWHjJbLwrhnVJIUHy5Z++EGTcCl3QFNcDzAapdiIPE/Y8yxcApQZRPh9sH48 8jIyoUYGYF886Dfxu0o+i4LDRKOEP/q+BBhW/8f6uRykEREOl6vRjxHFwVula/h+bV5KYbQNzMkH kVKUYGRFbWEA085N07YhpLDa3scxv0RM0l1O6ccpdFymxAbGaS1GTjJfIag2Y5ykqtWOjPvlP6oY 520hbnSJoPwlrBkv2piOcEuk16qtLns4wbFcdPIJ9V0eyRCXWoXiAsxy+X7vpgU3PoFubxjuCtK5 Hu1ABiqcLAC7Fu8yH8GfPtwCzj8/jMchxpEYrnypjt5RxJ+b6JDeXduVCZUXnitxRq4gs5eeQ8mI PGapUAEQsSGsnZzhot7UPuZeV4xQzTOY7cpEYhJ/Yc1IT48uxZmXZr9Wy7Ug2SDbbFjjoRbOk1Z6 CXpAFTn/86aviVbDvTw1jAi7nubt7JNuPNb7kaqZY53dbd5jgWb1Xml2bpjhLc/NeR9ik9obMPQJ bxMchum6PreV89J+aTuPGvCXMkQl+7ukipYQFCtLvW8NiBqi4xOpLtyF4OJ284gcQgcMdNWIof7C gKsAPhROchWMPr5PlL/ZpkAW7uGZfouhQ3UMQS4X6ZnjMaFufIr7U/Ds8tZyJ7Bi7Q/ZUuYa7/2Z SxjrMFBf32CQiGIManEh40LMdpLB7Z+jGUdUm+DiPiBpcUay7FGnm75q9qP46XHH5h6Y0OL1bZNR xPrxPyiaqUT7AYNR3LDPKt7Rn2oDxWcAWZnAwNYqoRmmEB8nUjVC8kRjBZowrWoK0heeS3MJ+QMi 4H0UYEmjU5hqlGBMJsYDI6kCwR+D9gojmJKwVro0Rskls4v+LiyegXGVHVPfQ746R3Pu40sLseg5 ox7xsnSF68opIwLy1znvuwzEuQ0pKwG9G/x1FUJTvyg1h5v5q90QkGFf1/fFxvLd+owWzxkiSjlX Z8mRKuLTM2Q+ksabXN4Sv+KhfTBhPzl8DV7A36q6Q+Dvg35SNM68PCoDocbJDa0ZTl60d/tdN/lB /tdl4/kVKffNC5AaWPK+0urJYtm6tH6v4cFGhSAZwAu28HVh0544iMwBWKdWmrbA33xGiY2txVJH EFqaw/0mEo5tKgt1batOuzWHcaR5eu6y32py+MiACCqZddZ99LApB5sWeHeEwVkxdAwN4cip0h62 eXUkVTTakpcXrFzGue/oHqiwijHY6ainBU8NigO5K/COGy5kMpUj+BWEHm+OBdQTfoQwBn6Ce3GD BXHISpfnEKynUQWT54GlXGyqD1qW6NizWpcbvOfNrL7gURTRAAter3FyvlHqmajm23B9tR4Z5bxp cXoItiGj52o4Li2Y/eWO2C1DcKFJOVAL9GyaUXC8S8OX9b3NCOmUSgekJ46hCi9lnH4vaZghgYjP 9YP15hEde1O2Fad2xi8DkmqE0eoEhIADslX9sPsHcSF22FfdM/icWtvvTU9X+inv1tP4CkNMVduV X8PI8hfrAUBYC3kqV6MSAi+2NhokQqdYBu8xi1V9Q2C1JUf5RnH25TzpnJvgchSLcAczLQdHGeeH AFJGoxtErQYxiFC9xGtuHig8Q1AvlAHzs0oFHsYYIVNr1XOfgNHrg4hTFLL4Cjp2LQ9/Ws2rF2hD Ql0oJu8X6jWE5Z1tKYgvkq+8wORJGfPcrNuN4tP/zwmQ0N8nnJwPtHcimOGPPO2P7wwcunTvRGFk Myw71hH5wmeITb7sy64Zpl+/EbpyIRDqVHHPvf8R5MM20YyhYUrJ/1nsmcuL3Gs9Yi72cyby+BFs GBxeGGTISHtHt6E4++bHBbboanzI3GDxT534dAO6YF6Nw3WwOoCC3gfwGOXmzrzadRnkm9PE/D9x vP0FQhivL4e1wfS00kFcz6zCOHTT26Yz1JYctje6VeWMA8RnZOpzmCy0jGdIsTWnRo43HGF8VQUd PG/qgkoRVibtZePwiqFzXdJB/JqUg7pk1eMy5Y4HRuqxqoblBP9oL0qAf9LWD12jJJpdnoCixcEL xPeo3EVSwIml9hh9UJM0Alc7O++OS1jfSBYV5ak+T8XLNAMR8ik4iuD7xoJsOuU4btlx6RWVcGrS Gw8Ps5cdeBoGPRuGcUnJMzk78NYBO/KdZyQ/FaVV9xDcAHdTiLP/PmrUjwgg12m7BorIftqVpXIy odBj70KMnZJ6TMNIAtxsy7hSJD247nTcHp82qXnweI2HIX2rfk6eMFy25yyaaKooCyOQ+ie+Kmv1 w0kOQ6OqZj3liZO7s6vTwWybDz/ItLWLKQA+K7xaq/oQ/lYSEo0aJa4KMcOTnQKxRrpnUQlgfsuQ 9EfwESO4TWCfEmA+pIhVZ5W4EuWZ3kPv+HssZULEIBeF08eSyUztOeb6V0eEgZN7+B+zVIiiHkWA 0rbi9Kv9pY+VJ5qVR0teC5+3cHzyWt4BI4WgGbZR2Cd1YEyrjNNLpyA1sI9ypx3hvJlfKcZSumnh k18Ypo+/dpTzjFmzu/AUh6fTU2yzLo6qwx1a2Mcw3wlPQ+edLMcNuRIX8KtsQnPWYNfeDIGp+WB6 yqGbo31f7LT6NQKYGPebp/n/McuRTO0krHNZeWBS+wAFYCKqQQrL5fY+mSUwCWP/ZlYOYvh1EOLL tYJWGbcozZKd15hNtyDyMbwXiebnr8DntzkIGlQelRo0Q0ELhVp4PhJ0W9bKmcCAiAoAlBTutEig 70yPPmn6s+askI26szjo/x/zrHiZRuQxu0Oe5QthC4tIOc6hR7CZzp/N1v/7EhOWTV2LxeL+vo0j jUzDbHVXkrFS+B4t8RXMSkY1Mpn+0oaaCxdn0QwDWmbswjCv7EVeLLBKs+MWtwXt+X8zXc6+eKxf Yed/fI2qqSJfzv4Uotl180iFfozp8IG7ZrAkvm0WGMmHMa+VLGQmXiqtDZvEL3uUKc1ONllgb+9C gliAk9kXQpHUBKDbPoOPNJqGupTAQnNaPGYzzahDJrRcGXBVskUV01WtB8tZjzHcOnSwOD/hri8G TdIcPnGqYPNvti+Aw3if2GOkDA5pFzkZGaBkqUrbsgMWsBvr7kTu9bLSHGO5GDhqS9Txs+EWwIiG dXP/lttQofLTzNMqmcifkOGfDiop62xx8oP7PlNGpFVH/MONFyup3cPKVP2xjmERIoVySqXiQxNm fvRWJ/j3yRzoTn5kfp6xkwCr9BER3fdbj3qWqhAn4Ql7G32LMCOWHVcgI6m4Gsfk+uU42utN3Mh3 VYfOIJLu3sC3teVK0WGLvb8CH6joDww8F1yHuo6r6MpoZrqbPJD5eN1Dm5+ibRZ56udf37w9Tyy6 X24iFf7YboyA3309VXEtG+rgpiWoUCih/F/DIPjSphfX3UGP0sNTk0RF9gwn0FJTqMh1hGRMaC1S ZVLvvq6eQeUZEE713GXxp/0R0A68himhzn7LKY50X3dntVJu7kqCI1Ck9ps0ui/1JPIK43GtdfGg J5tpsW1OjM6pwwHqecHDWR4g2HjDCq44IsCkgLicQPLIeyLTxEUAHx1QwX7Sr0kxLbQTWTP7Bv1S N6AIEz540sWLh21hAld+QdDAvnNRdoqUCQuVbYYnH38+GfzRNJ4k6/VqkX3WxfYWNxFVBl1D37nN qVDxq+I/GWCokimn0xid4aF/8E3miH3S6sqeBFIBhmI8gs5j9hHhgzOzRQVufjgaMQ8WPSQ8li6B 5eHw7K3CTBN5DHdGKiR3p+A8hRU29aEKLLaBjCS5z+KnneOc67IMNpEGZQXiu7oOn3FSyBvGUxQr zmBb/QyRQ04iEexDccvVHUyCrC6gdMeNF+ANb1FN7mLHJsUd/JChvjUAsdfV32nB43cqxCbDuOXX Z6qzQTU87VfY1n2Bs/LI0ZRQerxV2ao/JGl7rsXHhaexd7c9pQwo9ufH+/l8z4Uhr70YNNoUGh3e sI9JKI4EOlBr5yvr0C0ZUHypYqCSLkaj6y8gv+paZCMRoj0MmbQ37pRvCAFKm0kF3ERgU3BxiMUT icyl1La+JnPBKUhQ7209LfumiRoImz4qBjyk01eSJiwoxfEWdSR6rX1aNxF/KEUgMMA/UzO8+Tdz cyP2RMZ5b/KJqC0CT21s3GdEledJoqB0c0IWJWV35Hxmsi2vZY7w2vkxgtZTWdMon3/SfZEvlFm7 MJPH4KcOAMnGpyAb6qB3J6d/MQ+vQDFU1m5JH9ESlr8XBpC576QBSWlMAvo+IG3jXzpHHoSLRDXM xjnvz79V5sm7rthKGTcPySexavte5LTx3kTz3oPo7FptNVY8K92y3vuY6e2WXl7Rk/gG/g398d1T 3+33mddO3KisuZU8uR4/U+2Cw9Po2PWvWp7uJ7nhJbXxc0Pk0zVuMzwY0KJgbYzhVdmItITLbwVl 225ZmlSNPtByUWQaU16DTKD+QRaj2CGBbbXLeskyQ1UgpDY/pp4IfVYTEb6Ek1hCan8DkhW0YrS0 qX8X7LMbLYPczMltbDdRRZ39M+I7rWY4p/mmhEQapJiyCWv6UMpe92RuI0eRslCkN+Nrvr3QwJWf Bf0TKxkv0PiTBw3iX+HTO8PDqgJ8egDMZQDsXhbeUu3ElZggkkb6GAHwmRQxZxX8JqX1/WfK6Myo cwR2h7q3f2Fad5bE/d8E26zaMT9hP3q+H/GPOEz5Vnam83W2llqR/YAL+DSjfvJV2csGYch49jzz CHBdU9Wvr99s5izFgv/spO5ZqKAa/CxxD1V+aTPlmW0sa7+PBTXSrHqXCJabqU9YQD+X7lTQGoAD LTMih18GfIs1NNVeEENXau0sTEHs4DLUdNIU1NWhygHRz9v59B/g9asi6Iq0945OrLb/TJKsWQHB rfD+xyGgBCxbROtKJOt52H/ylGJF/s0DPCbqI9no0RHZCxcDgnW3vFHDiN/P0VsE4M3dZvd8hMqe oxEWmjQv9BxFKzSTZibzunlpZpHUYs7me1+aUh9MI/moqZmcoZczhwYk91aDHe/Bk45H5REmvzjV rUeMr/TLPI+s5xVqzNYD7QiTN5xHz5nh34/BAoXhV/XL6bvjngL3AUAD9SF9ur3qlNbuT5+e+FFR OzKNW+wp6mnqiLFHXm1Cpf2M8ckbjZEaOOX3EWcyHDxWEZmj2r8jBIJ3lwGLErSBefhdG6X4HzRW U+lvM3Gn2droWa+a3ruw0fGJsFSXGrKCcoxHCB6l3WlPUbbcQ+Z+MLdD3wYTcdmKt6ieaXoTzVTJ /2x0ppB8ZSSwGcezLL7g4qMi+tQIYvsoB59893PX6HMDumDCgHSXupQHFpSVj1gCYxMrxN6RURmw a7Q2/+NR7nAwg+Ur0hLyj7KANHMPeu0Dd1MDGLJ2GHtKubGp/aZcCLjxXVdMCVjPGSWtoWjPWaOx 1/JH0WssSN71VUpXed9djSoSwlbr/vuqjnmx/j3HqiQFJSyPKL4xXQrRjSRMj5Wr4M9/7R9PDgYj C09kIPBHk+KhxnBqio692lg+esPcZxMLtfqC8z2wqbArEqrf7roCj8vvwN0LLQYZvak+Uu80m+n+ TUJgeD538Zx6WJtfQq/Y2lUue0Zv0UUjwE7DzwYPAvg9Swd15jGIEXm0egEs7dvtK4WHUOJRhkko mOuTgNjtQujoSFMElEpMdFaCkcr/9b2WaQhwp6iEbfnP6kKOLNukR1rJ7qunsIPaTkkcg4wXZwHf BHvxo2YWrKA2jNFmQnk0CGtBjWG/993w80ZG+fiZH76y76AzqAJj03ycrNpoCkCqHR+8RSS3Qp4u 7CkIb3VV4oqwtzILpdDMSWvUiG14aYVIsV3tv6mVdirgmQGdPbFqP5PwxB9PrIFwp9wUYJawn4Ky iXVxmnBjyIhky7BfQM1LEXC12n2Ml7h6P0Ev0WxxPj2KJ/qt+JEyP7bRTg+GjIiKZcpYWySZDG0x 0Ja0lMCTxQ78lUlf2k3H3L1LgDv04+p9L+BXpqUfWP+P/k1Goy1kLfNtUFnm3Rvi/gPhjm/5VG9c uPuW/Vvhgcq/oWeBVIucFE6QABRm3sK0FwRexdXJVWpjwf/E8zUTl+lRpbPAp8G+5MSfFenCamKL CxuxU0o/7yvB/zw4dWfATGGlFKJkPBBm6QiiKOU98dxcth682qxAzVNGL4T/6XPvz0JT9YQcg06z mK5NamIslEcQ8dEM8j3dNj7A8LC/JZ6DvvpUpVcttlqW5KnNLiUpeZvDymtxbolEB1cDV4k4+NP3 VSbocOYJWg+IToXO5GMWJ1sC4GDVZFq62APwmmHtXwFEBsHCcVCIQ4/1AVeCRJvELxcW2k9yhofY PEVLB7KcKiEPudwS+G8NTXiZ1bztzXAoivm2y3sGpik54dChvGHd3tEhHk4AXlM386jSxmV+qgTq PIAo8F6eGdYemIrvEt5LZH+dUZemRA464PT2d1UdIWZh8Akr9huEFEf52o5w/tLKiIA0Lmoqkfeq BoIKTF9wP9M5y3hqt4z1+I5nJbk6iZy2luUbqnHe08LQhrljS/dIxP9htZqM7EuG8F4DE3Vp0Inp 18wAHFE4LzQOlsTeT2sJqbfGeY85Fh7svrQsm5Buy+T0qYBVSHyhFfpXSQ7pKkTbRmbp5K2Io4jJ z7KyFN0F9tkNUbacrYYjxvSRjzJHhIFo7pDeIFwZroL1NwVITZgIKz/OHMSAujI5L8gjzoJleegc +ytCHYEcWB914An0IARFF2eaXbT2hxr5Cd9Lk54I5veT4CCQ3IJ3wPX8xsMHC7Q1VNVPA+MNeEAh ivo8FXm3hPSlnXBrb5yJNcNiMF1s8h47i3knwvWTftxRARCJsMaY2tv1og2PgdAmLIUFQ/5T0bBp BaYWAvSkOkGtlf3yDG9r/0dediyVeiJLIt0BZQiHR+oL9XPclqvO02E00ZHvFHmeIrqAAiNEIca2 dysHJluejw14pPwov9vytKMGtTRRctyjv9mTSjk2YVvYnLTpJ/LpLQ12Q4lBUxQjPKm+iJS4DJzJ MxZQkm51uVc+0gYUXtcdClQNHT2fIjmxMcZ7q6nxytgXJ5UjTeoDiRrJ15GRNXXw+HL61DU5lbRm xSD1pK9Iz4YUKcvuJsZk/po5EsFspoYjWamYTBujCA0abj0BbNEeXmFFrImMz6R8vQSKgNc/yejq vQs5A5iop+JMI8R7vOPpaEaNLIvlR29G1kokAjmZ7FPHaCoGoNjXLfOBTWWTF4Wavr31NhW7lOHB aWisZo2PJKiGlTsoaq/pbXpUntNBBffoHrdzYoAnIbvmGtMnv+5uHa/ChmxtMYvbA+FChEKYbAiq YG2O8Vny3uKZXae8e1PvEW29Q9aXU7yFULfNBNk7mK5ig/ot2G39l0C2aBggnufxTNHnRGWjUjzx gPl50qy1D8JAWwNLo7MzASTfcikpPq39WDfPxNZSri4ogiq1moPV4oTmbzG2LkuvrtWLrN5F4EJJ SkzFHUcuIpampj+sVVTAStYxSx9e/WjNrfm3QMnsVdfzX7GKDCBoQJEK+ZtjnaphK0z1ChFxe50Y TIF5KUwtZpDg+P1WrvQbsqJnmUabNlJhPQfBO38qew+P4Px0G7QdCJwerIhJVXkqkIOhoWgptE/6 jO3qcIMkY6TFHmj0QO/zVk3nXQjQ6CJPaxTfddiUlHg2LJSPZDpIOJSwi1O8Xj2kyZyHWmJ1dBdP IsDnXefI5GfVORqE57BDSiNQGzgFPoSTh8YOVmFTi8Vt4Qyfk6X0BzjzS0LY4jmDVGXKIWAZKc7P m3sRNjhfyw1Ec/QINbSj6Rb0KvZq2NN4LJmYU5nBZbpwIYQ7QZhDoddYw4QWGET+bWtLj4PoEXVx NKKHD3mWTmTWMm3PjoUGlJCoQqXrmfFvxr8xFh+Eat38B45MTi3OtmXgCu/9cActRYV1GxVQnwZH wPjyRG+LPjcGAlHUK9qAui3+Op5ShihQXos5/siZzRvtkz3DvuKC2aais+4IPH+HuvvBTYr/dycZ 6sk4u/56hiVztC5CY1RRZv6ESEFegzwV4oZInvyaoW5f3undrcqSyPZez/EODK+B1VqAsrCQ3ov9 hHJK+lw/RWqyKBn9JZ+A2okdjYZWOwLY2QiLnYg+PNDluu6Iv2L+CIhqIUE7et5IXYKcPQDKS0Ud CkIHxfIFjmN7uZb0XjIw5WaZHp9BjWFmNjzQ2bbiQrD2wUBvMkB/uOsJez/n1OUOgTY5WlBb2W9H 8sdgvD/EMfDybQ2hOlEHhTmQIcMpd0ir9fCJ8mZISukKLj6WESQFTymw0g9LqogN0a1kOPmne7UW 02rY/OT6ZgC0NBi3XZ2idw+XHa9F5aM4K9C8yGDOpYDGPQFop/TaUKZcOWmxYc2UecsEcdvchAki hYsGeur4ndReLU7OVKfa+LWzVYWiy6jt4rBQI4mP2frt/3+nLBin/qVQnkDuJn/ZsqaDw4mBHB0w ae9BQN0UABLrtIznZRNRIWbvLOqktpa6F2yYUbaj4vFCCggAsMMxGXVSi55/mi+Z19dQhvRHVhCZ iVUEtNsPE3V5N0zTtCFgyghoeKl1MUfFzbYF+X/q+7AI+qVHvCI0YITV1ewbR3QZYe8RtcbLvUwT SWDymLIJFYLBRGVVbkEe+4py1sycZ+oE2V26E1eAPfqaOMWqrhzfYRxgsHjBL+x31gFBt7D9+Gvh lA9M65qfBnp8zO3Wc8nD0DvAffmoLyJou8li+sN82mNPbr9S5nR7z5X2h6wi8h327mmxyOmevhAW FxeaK4rQTUz25ELDCrAMwDuBJDqmmX14ciAi1imUXdt2s8KAiR+CSFC1fJ2DWV12/UYLLh3fQPos fiQHw1zzqZMfbrurKKmJcO5ouOPDhTnGHQWTUJNEy+LA1uLgqzfTn9MbyceoJxj2qn42SN79XeCR KtiWyyVeCmM6GEIUS3or4VrO3X5/Bl5kBP2yMxTdwCeSTZLFTbJUQ+0PhEc/x3TqT5IpH/UOFote eFNqOsMmZktxA+u+N+1XeOh3AR7HMJEXKDwkT/bCpl0YYGjD0XAP06rc/biJzAGidgQH1A7HEXgJ NUG6SHOwb4YCmJhjqSBiJpDp6AxN7vtqu9Eilf8piLirfOPDb30mMbUuA9LQS5KkOhnuS4zsNAIM jfOM4fLG3QJ6e3xiKOcwal5vzGJNSIAzvm60z11E/QBvJsx+IaviL7JmCPUGSvkRM0Dk9Waxopn+ 8HttFMX9+a91qiYE0wl4hh+hw5Sw8oFHraUuleijjfHHRtwE8hJASXcpe3ST5+51/74loNb36dWg t2DwycUiRZaeGlwRWEbr7HTqmX7GPapnXRGwLCMPneZfRsM5zaZPq4dBxNsZp8CE+xbWS0GnUinK 7yWZMwj1qs5NdS67y6+aUrx7AkHpAlIWmgIridCm6HeqWeBXOS0CLoiFbvbJFAEnhTRYtWeXRf0t y5anESAenLJCFjtrgW3sp2pa+BMjvoguVgdsM/nhm8YZDdaRn40hoqwX5+xSAB5FV7nxjW8+rf6V kJYovIWEZiugsH8EoJCWjmU7YFJKK6j/CKwSVIn+sxXSa5QwHpxMpV5kiRyZaWCMcp0fU8W7fKdY tifiJNhmcC9p37wKW7y5H/pkGWdUH5oulov3lQrru8D/HMkZkrAuMKtqDtKCvlHRzm313E4F0NX2 uVc/qjJRfxqhlLxhB6E7qdCYu1N9sMrgizFNKjAIVtvXFDvqaHf7YegH8blZwF6Q2aiQiqYrJmNo jUAyPqTgE9Q4ZfqXhrOiMgqMzYETgjBECDOUfw+s7ytdFTYoxZyR5DsHhKxehQP8ztbLsCy5CSxc sWanl1N8Zw3A5hVKVsAbQN0sXIIXTObmN0GC1qK4LVkxSPqg02nG6XSYU3a7LfLuOSv1lPDPEvNA n8abI8vFnT51PDyjsxseByMMATIjuUTAq6PAOHe86x8srFuZD9Ixok563JiitnG11lAjaABU29fS 4N/uXtOUwtFizm6WBjse44gsTFZT/0wQvYjIeO21EvaLOjrc1vRzW4dsVP4MeuaGdIN1NMyQvgmu Tte7h++KYKVP+pJ6nOG9bMFDr0mapz8WQYUDNpQNRieLZen69y8qEqJTdpVHa2ZbdZBWywjZbwJ7 NxNO0Xnukj58sbnB3OsGsjXeI0iYLEztQfXhnXb1lMecNM7P7k6w0pz63JZDDroPLsazcUTLUtV3 5P070sFB7WKTLqht7Rskst0qAYqvxvjVgUWkblYdCCBuEnyCEkHBJrI/r2sAvagMQ/HqWfYxOC94 YhM2owvNLLcPU5J85Q5Qo3wEz4bXI/oMj0BAEBMq93DDoYiWDcUY2RS3In6pXPN/sSBJ2Po6TrJN SkA6WejrSOBII8lpaEakYi9irH5H7T/lRDD7ILDQ8UOc5+ox7VUnteYkho+lSSFy3PsPyFtSz9Vd fv0tlpfdDAJe0fbTVE/t8d0qLGqKtbn4f1e6XPC5nXvjYWSXap3erd19f2qVij8eE2C3A7Saq6od QG3wUo6J4ZEM0n+tlhLqeDaITR12FbpdFJIGmnI/hdEb7i+hLT4jIKKvR94gjGuBSFpP0b6jm/QX EtN7h4Vi6uZDRwDhLGp3I8O1fHER22sBQmz3DDevXwIqyy+Zy+a1Vob1CMhzWmqCZW4Tf9W6WD2l iVK6Nz9Eum5b8JaqHF3WFTSF/CfYpOMU+1NXjPY6K9uAWeI9OKe46+x/jvscFFMAoo6aAmFCk5hS bUSy5tpoyzTMz2pYmQwKRmqMsmBCcebydHFRf+9KtEKA4X+uOAMI/l3J/vi9J+PVGCOTWSweFBTI GL7yZPH7ndm5Ig/V11LaLk5Xb7Ksm6B+TllOND0MQT9AoctVP4KaCCjJNHkULgNZ4u04SiGN3yq0 Oi7fXGhY6wNPGrFIkVX13Cvmnv0FRQ0WyDFNy8gqIsFB7KicZTLhWQjt3tymhz2wMB/+WTjMCZk+ YTzVRZt1MUAgqTk6D4uXJUYvN4wrS5nD1Qtde9tHHVpAiJtbi0VbPvDDm84lBR8y/2RZTql/WCQA gZohb//c1dsIZirDsbmtFawTPpl8iyTK3nSV1KcdzLbGqj2y1/QJQtyR4zYdlrt+E5ipPyZeOTjm JHju07ITZiAD71GTNZZIw7jBIdkXpXvnacCVLtgu7+GpdfUMgqSDk9nWlLmb9IjT7bom19CZWz7+ AEv/OBE2Zsi0tBdZORRHjTIQQWvlzDCJ76V7ZBlvSMU2/VIRIRczoeZozIwtgFgRJMRkAE/G2Rbx jhXVhc5FctbBuPf7dZre8ypNaqS4WLW4s0FrJqC7IZIkLw7CJSjRFRILjgnLvrs6zTbrALcjTHeP opGjPH4M5CqWUxTgonZ5byeeXdL6SCO2Kfhphvv8vLTbhYOCC+MGRxb4YjJvnHNx6O+dWQ17qRp2 H+qD7rMwbFnUSEIqIEKVoqKywqVYT4imCNmmgFUMU53hvwnLyzcWRpomn3CY17/VyWwvhoLYWSRB EmlWj/4gbZV58JRN88NIklH/tDKdzn9Y75wA71lLygIKJsZ6GLdsqoUiBC8/hfrUbR2Qdt92zTHV j70BQgurtjAbV0TZI76lGTNZ6sHfSAujzjI3dhdg58+HYI2gWiKM2t5EnFsJNc1Bwu9BPG8eBhwK hLfMpYKB/pR8rllWA7U4BUiabqhoEEKxs1Gh8wosVjNHbyQVK2Rs1N4D776idmyz9XeuXdMyWrdi acrXRH/XmFYEMFYsi7rG8AMNuwoU2rFTgoL6tYCf6ZnVnNeKnbA5n4esk7jDVGL0ZSb9aoqNhlgG Hl2czuPE+9XAgkQsJRcHH8+NaclAFCXl8aQsCgVUS2RezH8yAzJw5pR4+JNnIKtLfe1y69jU0Pde RmyPca+YnNapTuiANtotJhgbvu50Ic+OujNZL2sNXi6ht08SaV5Kh698fUFCVoaLBDk0FnUkJF5B 1xbGyt5FqF2oT32Nc/eRUrCrd9vDZMEHq1uyKBB/UGN2SWdu8gWmgPvFayzcBwaqp3tMuTpGhxgt hTDEbaHNU8rhtsQp59PJmbXIMx/xMav0To3EmqW4uEDi7BoqLGsse02cXibuCr2L/1j893EyBqLQ ZMyUmUwAPdEgIZF3tx4cbBnd0jYeA9rSasY1KSx+FjrJ+c+ALq2KxKRqqOp1X17rKydclyK8Q1W9 3akemGpTkQwAQN0kve13oBDBhr3o4VGwGp1HkSBULvJqaNNwC2llQKUleZIBP5a6gj3dSPFJ0iVk N7jhHZv2yXLNaHolq2vLK2WpnLN/wf6be9iksIZSSpKZ9kArhAO3L3KVIkWQF5fKr+ez58kOrD43 TMTSxbZy7rjQ/RWYHyfQpqj2OzPA6Ur/Gkvj7KGFcgCtyY/d8bxsH5MXL7TlmlJo0JJW3f+YdRUJ tMQihESw+czja8KPIZhhEkNmUi0RineNy+Jb2KwkI8mqs0tawepLqL1p54SkfdoOFMDJyXD2Wyq8 ZUEUShTu+Nwhe6Hiy575gTkFwshdVsUjcEBm0J1Pr2l3S+gBVFnmrJZvalCKgck+KvxsGi8SIrSq 9XiisofCLFag0j3tiaoAaIadRKcvu9nOngkbGuNtTc8izD27wWXSAg+lO9kGr2CDFiRSYIQLWDRJ beJ9ftCWpU44h5oYGsTnnwLSEudIGYaG1KiLputPSyLI7gvH5wvuALZa5TIfQYHA4SMnPNVtJ1n1 Q7YXGhGBHr/3xj6uvCGedY6xw30l1xImlPtlO3viN1FsleU8i7fv3aW9qYy4IjshbHu8fq6tlCz/ wlixaJYk4tm47BlDsJzuzX9p018XcSXIUdog4Qi7xGgmBU2U+Zc4Wj83MOWYCH4luTXYnuSo5SoQ yGhrXKXq4Dqr8CooN63ZgxQH6uuJE/Mb2vaSb1JooLQnB7H3pSFDIPFXbRbCdKq/jnUBWupEiHYD Ve9OPE+c4Bf+7WE2ymLF/FsQVLOcqEtCLDJkONsfknKJCJY4avErlnIHReIb/OM4PG2axD4+7c6O 8k3k9NwFer8McgPh5bX1jPkwWqKgvoZyGOo4M8lcDGSLqs1E7zxMhH61Nvnho3BF71ONtHxF5q4g 4Zn+40PaWgCW4uxnKMMOYdba74MnMoa3ueCrdATzCDFCSF2epShl+owAu12LmV0RZsLyJ66igchF LyI8++nCYf5Fp0WGjX1lDT/drwsQmAHtwbd8mx8tkuq7y+bmBzV9oalY/XGcMXy0fhl9tVZ8eRci g0nh5P6Ylz3wlzFdbAjRvVHy6ymglV+6jnXtFOmUro/zGB98/bKbLe7YHLvZ2TT3BSxZ2fl/lomS QSrb87yEi8hYxk/mXCJ8F8OiPlpgBTfzxtr7ImvhU+9wfc8Qk6vSYUyZCLwkf/RcXOWZfnKm+0Ty hTHEMm8QyHsyYq/75lDv5986oEWrr8MutsfqKICctVxoegMQyTHRBBjU9n+iScPHxjqXfkO5uZZ5 uHSxJQ5FAjakxJCDnh9ubXm/vC/J1KdDVBLs3FDybtyMCmzRuBn0RJW4xPvjMJBSl/tr1/7cZp0H dyglJsggVjTmHwGtWLN5Wusht5W08hWDaoYySPY4UVshsv8y8SFZf/S8mMx6MKogOj4fkkJONT5d f3WxZNGZBVZgFyhv0LQbbEHmjtuGywFKbz6WuLweakePtog43yTLgnfa67OH9BnhmTEAHF2sNjkZ 4QGuWRfLM7WqUa2O/p3+xlpIu+uvZKuSNPseE4M5G+sLYc4Ua+qIJltt3Q/UVUl0K8BxlNl1oK3o nW4QQMkgC83ksfWUIfmz46VoZwZssVSRcobBabL/yYHOjSnajJdemCSnSxXMj26vJWFNFHmzL/Yq hj/hFF6akSX4+gx18vWw43H8zK15fTJ60eC6hVWWyi7e9HJEOo5YBbsfAKbgXghER5hM4+QCEZsy fsAVqul8npJC3PSzi9tYQL2/IHVOmYvslHG3+UgBuO3dOQyxhiHxya4NwwckhZf9B/XshK71txxd x+v/rMLLHnwYTHoaqpXrNDtnYmdURV+WFJxaZYui4Akjh+CGE5JvLHHYuEJ3dbJKhuo3KWaN2HCs Vy96NkbFtSITznEksqet5LNxQeOuqZjz4e51DbsxKiGMnhHgUGOtMAjrgApnEe0jhrXdZYmJ46h+ oqgu0T85/fDmENxpA2bZ40hnE16/M2XBBkz0fp/KSk4mDgQ3Bp66Fc0UUoVqxTkkF2NmmVnbiRtx u2QlfdwVJCctnD12l8/wYjzZLvPqFE8KSF6JoOZS1mFweh6GbIZc/B30OW33MjKikhEyh/zI3voa 9GkBTqNBqQp5of1idsA6Qpr88V71CSEliXYndzg/yrv8pz5kfkp8Gl11bLbbWCCai6dTeBUVF/Fh YTuImFZsb4lvXmGoCUJH/TGvIWmtOtQCA2nXy0atzdLdgqbC0APEwYvWQDoHiplk/RUfix+jyr0t B9Wpelb2VPop/Fj/igznYjc4yzrUNeord03xWeBqYlVT8UX5HUYdrv4/dW97cHvIZsZuiaGl6JFR M7OSeGfiU10R3idz/TAV+tweguJKknJAK3KcyB+mx21OUWZ0n5p4LE8nw07uS35j7sLLV/95PxJw AYld9bHpT7yFNGDTHLU/J3E5f44fD03MPv7ZNse1DWZXW4gcmM5ak7T2mRmg/eBObkIs1cdNs8mY bfdlS9b0iRlm5BjdUSX112LoMq8Z/fGf3/Vz367wKIUWuYSxiUZGqah+RQZPfg68aiHY8q+DadAV W5QFYzwLAM5/7fzsrA9cUHWJy/jd8polvRo/dU1USQSihzj4bmfK3q5E1EUkNpstwgdYRYw8VcDJ YyejxUVEZDTdRL47mgp+E4tyWPs3+HEVY2nQPiksXlD4jcxM/WAaGBtAyC1krWwdaf35520zVcIm fUzM0axkJm6bJdReTngB7tce4jU56tN8m9khtJxNm5VRl88TOGktAew+k4fCTUwe7VjaFIExMraJ xSJ9BfawukEa4/LGKAfkQqxcYcWnU5dfbnDug5SI/7bY4YMxMQ5XulLV9C0HemlLB0S+bRPguWso YeGgil0kOFHLhBQO0h2uzjEMAEIgdkuEnQ6nAVU5AzQqT1ZdogkBkY8xchkmA87eW9yo5dmRz1sj ADK609Uqf2YBKZjkaWniQiOBF3pPd9boIeplbKtrBBY4eFGSrSQkiIvwaVZrMsfg2s4rYp2z7SNr cVrXNtlQTZE7IRq5c7gtKr9NPnyZv8OR4s4fom+6fe5L0ReAz1bXqwIyyviOGB4bpOQ3fqSsuuVM NuQdxdxzhoT7ApO/NzlPs8i86eLAvzQUe7S9KqdLa86KrgVOnFnCCbOqmFWqvmoYic7YOMkDf5Js Oluz++0GavuJJGQnepgbb8kZUlnOBD8xDxvFt+qqzPTTfxGHpxAB0psC9Bz28HZJWRCpgNf3W+BL uGXMed4iII73QUiv6r2LEipOvR8iBsqTFr7wApuZSo4LUdxiyHnNuLRipOTIiyHPdovZODrD4l7j e/G2JMAls3YQIC/Z3tHWWZhpncT/DCrgpfREPz65eck/4KLScUFD31CagsmtitMHt4H9dK+UUSki wJ++MTBFq4ftP6tbD4qFx+ZHzKN7JBImH81icV8E1/cj4YJ+M9AlVjS2/xR1Q3jdgGVz+EtR1kP0 Ynbpp6hKdwJEarcCSe6x1H2HHJxyw+PIEKf9asgbX6RPXrWBT9LMcSJbkXXU7o3IpmJ+ssFWGo9Q rzz6gpzyskZfPVJYeAd0lMu/r+XXoAK0DiLI0X5R2j/yq+Ljnsl0RIbiiS3lhddZBC04iMuyaLzV l8I4NhEzuqGzvDH0UOauEwcEV06Fhag2gvV3YTHZT6ci/b9iNG2hf51fgcBlevuSFoWhZXMRiai8 r5fQZu9Jt1HhNovfum7PiCQtO9I//Qw/M/0HPZHlyJt2xXab+s4FENSszG5DzR1cFxcZmS7pf0hR F9h0CXVhCD7nzdkOf0NUx6PPyfKy7WExdlmgfwnVuJhdyWqX8dHGs20llcjbQjPJbhj5DJvPIkPV wsZzAuhd3JwEhPM/2V47SzRQURXsdHE3HG1mTj6D+kW5wX2re1hLAZBnpkveZmWtVvG2BO8UOGzF aMulXwukeLv34vN7Bn3mxom7wzwxJVue5tcj4Fi+ks7woad+UAJw697ZrMzX68f+dL5qfyDWNuIp 6nsvh6oMwPyORvwzF0/yOXF/hSjagTD1nTc6u1l2A6Yps9TvJ0IAucDWGb7zUPMd1u5cAaxEpQKW BDsrBcpdkAUYgAHJvrO0QvZna0LkY0FVlH+rkJK95FubDY6UTfgRtKAlk7rWXgBWEH2aelK0azVx axDgtZdJIW60f7IhHxcuukQ61EivS0SK6xTZcfxk4pBZw4eQe7ZePUx8oPcY5m/pUxU9xPIF5Skh pH7GAaxbngdSzYg4Ge+eJgU+JRRDfQNpprtUsnMGL9RJNs5bHfNMF8sBBLrsRAFTvA/P/YgCjcrs O7R+5SpACDIKDKwlkPTUetgCMbYayrl+sFtiCAcwXir2PzxdIwp9nf/8a8Vw4f5Y0dNDbzuuJwWp isd8KC9zCusRZfdvEDIlt1hMQsvtJoCU7qT3MPxrxsvItfFN7H3x2/J6/3/PsbydwRZaNS3ITcnb CNXTaXdcS/4UiOpu7EDtX7kN2Za9kovhNN/XIcAnH8KMQdN6zMb67vYA/W9zasBR1IVcOhwjwpNo vdNMq8d8YfosQk/bMzpIXMKhTneKSIHcJN65OPF4MGoV2/AqFexjD5WdFq5k9KWVBE6//4PScH/5 iPswe1XIG6iaYL6hr374eAfUMS//KA7DkuiPzVWKTfuwRCgA/wnZS8/EYFFOSHznkptPt8NOOYm7 r/pvkjimOwTBa/n3wo7MySzD4NewTcD86XIefgNYMvOXRyjjEMeLZbbimghlHVZlFSDPFu2pssn1 UbMiczmLqKLer8Vt215eP7fw+x3tHAeJcCEiI1LkSQ5Vz+tAGP1ZQOucd4rZyES/aj2qIZN43dUk 8cyP3ltfs8HsMB7ctoP8qWZJ5+A1pXozXpB4pR8PryorvhV5VE/zymc3lWO45cW8ujFU9Mgm2eZV O6Ttl5K7HPGN2/L4XX1DlDxv3kbbjF/+5RnR5Me5kEBr3eignV9k2T7J5BTzPYFAcZhv/Cjc7qne rpHvXDvu78/f0FSKHGOz8sFk0h24MP9EZ5x7oUDLcwjdqdjt2qiod3V2fQ9DieATbAzlSUl/B+JU ptx9Wx80E+Mnbi0omU1AorDl8P8EnSjJOUg3EIgySHbolOO8VYxWe0yoGmOUyNvbOgA5xKp2wUj7 lO7hbvCKWMVZEkyuFOl8bTwvCKc1o+lY/bPiTeFcWSZ8o0hgpih/IiICNR3yxSD9HMA1Kp07lYtn brs6L9LnDCxVROFv1VkdkVBYkotdAG526IPii0MM/MRTPjbrM4XcNSHuOGDfc0WGzf4L4TvWEivw NvufqwZuifxuO8B/qPH/4doH7+5z2dEmTzS6MLSs1NbUBgbbw5S7s0OYiwXtWORsAOIeBDNAYKha Lm6cGByLJ2apyWyNnHqFJcz2O8AoFEOZQemaj2/Cb4Ykt/h1i0rIbUrt63ekK6oXK4G2K9xi/Bmi 4eVWHFwkuaGRYK9vwna4hEeCobxXGtcgFIS/8kIBUWIa2Ses8ohRspt5zMCDFJ4B3ctwLMN4nslh +y913OxeaHudTB3BY8SLRim2H3nRjKkx+QpQSAVzuM+4Fog4bPn4VhP9prEejmkIoIl01VjFjZhO YmrGVHH1K742gtZYYCIgdcbj8iooJgW4yrra4AIqB20eyrBdLUuug8ALT7Opo2siDO9a4W0HQZgB aWp9lWA6MVhlAC11sjJTKj/zDqkhzzGlqXnghPiuOebnozJGPHcbV/D9yuLDPdzDzcBqNahSYTq1 QJDxWYuVzOuutYPq8Q2UOyYfDa8bl8YxsghI1ieCKG1RxSZKyzoNLm/lbeiKvfSYdgCIKQ8crp6v 4ZnxyIEb9CtsxnL1FTLS1EefhNfLuCi+ucUyios61QOl94H1p3PyiRWExekBtI/+VvOO1eqKClTT c3HZukxCDpChacv4YFbQvDByBwnd44Ap6EpLtOo5zX8OJHXz7uvlMJTd0UfRgEAXpVzUpwHOtoKs RtxhrKx0H0XWi6aNDeV53DrCWYqbSSaIImS0SrWrLEOCAmR4O6yHbKBAWDbcgMostN+3BLlltceK AvuNHxLi3QAHDJeqmyCyPLwKuDlZ9SmkXJY5fi48ANpqHnboiM3HZRFoE7YNOwMwYrKvGXXBMFti oLa9jwqgMGoK4TmOtVhcMVu1DYBtpFAIcbv5I3zT1m8q5OwfuJClnhzYPnmxkrtYpZSdPYorDc0L egGlPuVke/x9HsiWyzLWwraFuj0/wtZV7FjEAQW9yNRsGv6WmB8RSE2xWNwS7M5yn5JF4Gb2Gq0z QZol42qs5HU02sPthBhojxEaE3dfGJi1dh4fWIw6R2qqex9tycuc2dyzUSAahrQBG8Hi4dt8aT6p 2Wvqm41XvGZ4tDjYy4jGZcocs/jmYOpss45y2mLxc2DhrL5LlO36vZCzwjyYckIdw71LCknboPax j3ftXPsE/NZfOZxePEudTfZscYljQi+wvWcgex3FnWhulxLewVu7HEaFWV95ebKB1L1s+udWiIYk ett7km607q0S1ZhoROBk0b3hFcIGscLm9AdVRgP6HIzxW9uvmW31NFE/yXGF3AednPqDK15w874Q wBWxWkJHfC6kHCjSbyA95ufQXfXRlTaWUfPyID3MBCK+NU4K4TK0SNBwdmfCeW5mm3ykPdGYfq7u NE0zArfICa02gJ335iFIt74Q3DhnZWLtJ/5PasNeuiR72U5sw0OL+372Py1yU2ZZ6czbtvy9vIev S5794ZIIYLa73x/Nrdm8zlamweVxXy9Nq3lz70bitL5CZPGDn5+ikGBQzcZUJPLUFQ/OKLTF88DG 5YD0od9YeFCE3Yp0/7r1Qxd0gIbox+fEtCvK8k9GzamTJ868Uou+Nst0H1euxfrQFzpI6Ps0pCAL wS/0T1GIt6StP8dnUbA7g6zM1yJNkvGwK9LQoQBwcO2DfDnSl6Jr76UgRJIaOB9na/1Fzw7b0nCp uje7s56V/hN1L9S6+yUfMs+INTQPrAtQHJOr2nAbTYyS9Hyrn2YZ+ImJanov71XeurxsXL1lS+kI CqWe+oO9Su0Nf7Zc+jOvSPh/gjbelrdlqmrAAhY0zaJv9MVNgd0nc+72eMuKVn+/8UVBXe/CY/LO nTlnRZZNWyePIxXUGPMEj3EjUPowJzLjUUObT5PB5ijNpJnjTyRaOknFm8hR/er8L9/QeJBxIhqO GH38HGyyGIC9B6jYMR1Dc0V87DFH6QCX2bnCIS8csyEE+pI+EcoHKLI6EqR2KANHbCx9KVOHpuq6 ww5Fmw1wo1DWxGit0bnUhzVh91J08PewNCHj4MkiLpgR0S4e6ZNijdbF0Uwo1uDfuwq7qVhfPtwi AJEE1sraUJtnlvidIoOaj8dEuf/TPRFgtNfXexlOZkWVZb8eTVVMeQYFYQPV4fFA8BJqFcl+EbiN wt1VNdU/xouc1bsDBK8ELVQ1nJf4iOn/md1DFm8uT8MnG/DZX1Ewu7moxxnWepLhPyGXbB/WUahL AQmIJsKhYLQvYdCHzeZsxIzlssUrzFG84SuoKT4WLhm6cYaQJCOmjUToKu7BQPsoW4EHhvzQbtRY U1E/9egbpn8YDS5AjCPXfSIpR4baKCr5GxzMvAlD9zGKrZitIF/jIrwtayllwRkAA46tiT/yPqEy 9cKfNibeFT9GmQo7eYqyzfSVLrJqek94x4xIk+zgh7x7reGHXyUs6Q4BA4VqSx6IOE/1enkGbUhP 5VCFtf4dHso7ldb0hw/ZLOaHLUHtIROZ7qfz2+dSLcvBWuJmH6Cdey5P15Z1Rder6/VxkV7mn4lA iiOVIb97II3AvWHkatGJKVmzYz2P9mcVdhzf1MseLdwSQie3Zb+qkjDMHKi5nY87zyKCEottdiwv x418HLMWZTHce4s2h61Ic2EHP8B0xfwNItQeBa6lYheL9CDEEBJBvPXUqPPEgJuTyTzxAsdM5crY psMd80dJz5J/a1rB0oNeJAqUjKTTy4M6N44Tm0Qyf0TW6HDHL6sQ9tLiSvE0hbkcl0D8fMkIOjH/ 5ZZMJJG0KamAjqrSGMQW7k0DC/1NQIW0sCaCwo9vp/ItMcFM/K6+Dv1ryInOdyJGLMLR5DTDNLRn sWsLr9c1mo56vO+lVcD1KZJjLQ+iyAKkXx3nq+gqIu9SrCGcn3R30ZHLGTTJMX1m/qRuMikeGnjq 08Kcp0ZMb2U0vMxLKz7WtSpEbFdRhLNWuUXFX5hMdDEV7LedY/k+j+eXBJmgMzi432PdoYvS2vtw aWArAZCUVy+mulmlGF8IP+lR+Nle+K9WWmdjfRDDpq3G9diwPfxzPZ9Oh0tfb/atPhg9Nd00YTom 9VXR1aL7510iGzUKhkvr1o5/bSKZBwk81QDz7yLs59v4rFi7ZSSemjFqEsX353I5ziv7xQga9/e1 viYcFRo4kouLPlxmBlPAbAJa3/dsU7ujYo7kmHE8hXolc6VXxLL1t2Eyz1UBy4FtgPf5pgxsfFin 8MIqGheLkY9xJbjUYyG2tThfwO1vVkP5PeqQWNlO3Q2LHdD+OLmDReyPDgqPbwNDjx6uilH//m44 mqIgnZDpjPS4Le7saANtCvKf3ovVsLq8AdwL+F8nl5kq4s4aSEr5Rw2VFqAhFtph93sBUD65Iv9A xprFkt+HQC+lAckREXpjRXE5O2VtyimXsFtzPXEZf2DLa7FS2yXTXLk+pf6JkbtYe+8wg+zGYzM1 cCQfQU6gTHnNxL2yPfyuFCT9bdOvV6UBg6BCTvy4sVa3nzlgaxFduhFkcHkJszj5fxzt8mWZwl5L oGB5DH4udQcusxTnnS5kUXwyvaHZiISho1xcTjdNblSJVICoYuR1X63zyBIijdQrOWeR4zDn5puL DHP5O6qxdnjdDY6chIrM30U5aEDgKFlb9lRRhtlWIsKa0RNEm2vlt/f33X3hccCNQ0gfDAudlQht eHWsk17XVpphmwQdSpod2hVRQ7c21/CwQQWbD9jxER3c8BS7SofMU7Zlo4Py8sQFIR0u9GR2PJy/ UQp2RIZ+Yq28MwrCgFyFCfc909Kt0lkbre4/6sy0bFywDViNI88EUA+KmklNVC1QPrgWxjJ/yvnM ESIbuhG4pKhz7KNElIVeJzcoSfpk5Wnb5jBiAxlU8Z8z/BlH9EINfp0yG7nLDAwrZUDenZuKNz+G nf5nZnjpZ2W0O88ngyJ2+lxN5lW0bZEfYa+5jL32/7yFClF0ejt0J2HDW9pdhL1hlHAgHUn7cPz9 g0qKx/JAn8Wx5esWdoi/sYBXFbvYCWGDn71Nb59pH/vkJmRRZIa1CjZdzj5r4jH+ym51Z2RIGzPH h2VgU+HZs3haNjp3FozgwwQpHrsHUPVjLL3A8z5900DcArecK0DLwVvNsYCfluodFputkrTllE9K 3dPzKLMaWLEi47OSIUDFhN60pDNH8g6Ctp4ElJigHc13HOjTK86TX/o+JcRlJQ3NX6Fvv17Pv6Vg w9Ja2opSyljkwDVQLLl+BLgT0ke6J1L4TciXY8F/O35J+FDgEU4CZVMJJOCPTSsLJHhZ8IuVyL80 7X64XIS/jYNPIIS6C/qsqI7Pp0RbKtvsqP3b3IEbkMpZiyXigWuelFRTCG1PoOf7351Uprb4KIuV bV3eucKB/V6rkP82Ad7EUsNO6cKFh+mPN/zg+vniSMSUWPT6wyKSC1nFzTxSljFwPDvl3d7ybNtY ew+YNtWv1QxoWYCQVFLh7LACi6Aqi2SZI9T6KEZCJdePJwb3YHaDbXf10DV63nFSAkBQ6F7y34wo WqMxW2l1Q+rAshtaT8GK+vYbLaB/gHkI/V7hJL/2zRopFj+H+DcD1zbMro5EEGHxt4Yb83G2Bsup TqYO/xiQ551yEVzQb5fojcHP3CYEp49od9RT+gHnVN4JnaZ36ZbfY5MgIV7NO1Kx3ggE+JyIu5gQ h9ao+WcQ8HxV79dmShy2Bu8Z/BiituNu2XEGAo7rhfamfNVVZEoC3kYP7P6xuycr4/LVHNINXLqS 9GJxJsE9KJg/0PVelacGdgGkgQhuYVzT/f9ut+RSU8w5obA2JvoqbsmWkIvc7t3ttwaAzCydoUZq LMjM2TFlBjbZrZj5ZGfuEIGdz2zWHsy1oR1p9bimjzcWt3DMv2le4N0OKmAEPS1b7pcoBCvOTpA3 z1alCXEe8+0/xEQijEy0xwgojP0L6hzZ2WGCbcrbEY7Jh4PPJ/XSb7F5FWh3gfWWd++V38+rRZ3V rOe7bs4PyIiN45yRySSIHMAvr/+0lbA0P6Czc5voJmXbm2dAIpKNZI8ohG4MMY0fZZ1ZqAEglwz2 a+0VJR29MXl7qmZ3HE4RDludPm0w/lwTdWpKRHHy3x85dTCrmXE9DG2iNVaB62YIRTEQe8Rm5l+e BkQ3zYasAsq07xlCurOk0sO3kN1U5AbZ/Q18xcjXk3wnN+75rHewY89oqOj04DwYTfedXtr0V2Il fSTnvYyOgP56O7LWSdpfisRDCGkZXyXhqMUMCYz/XOybfxVUbBthg7+5SdyKt+9p0D7csw1qeioz RGPuP70J6MOdxTf8N2P7uRmJlghii56oCSswB0mVs/408Li63NL/yUxi7MrhOPLXDSzP3uFLcdqo 3eY43iJAb/ZEpJYVrIxCcsZHJlypBdI6U99nNMPY5eiIiKliSjXAGEm6CY1aocH/xvJQtvRbHE95 wVGy8kMFdygkrUBC38y7gGBVwictA1VNKgsmO3deqYpyV5JS5gdOq85MH+klft98v1yscnb62HNp mR4gvElZnf7m5SszBwXx+WOZPzOeFffVw1djZySSgRjeOUcny4urxSFinreM/yIlI0y3O9q681I1 TwIHSM6YF35VC2i+9nXS4bX3q9eui31MnMPhzCwtqb5A6ormm1RVVdyMCCdzukRuwsEqVe7968kb Kh7pTrzoahjrzY4gUarPn6mbm10ScZKUlcOcMtyZPccdO9YtDz9kBKTf+YPJusrXBfJXxYib3x3Z 7Q6Vqg2akBsf5K5Qz0TUbgxRxyReXRn6arauea1GHDlQYNKC+ebQUR/mkdHw12xADkb59Ezf1wdA 55lzEp4Rt15XYE8qa8wIWLJG/0P1uUNhMqENMFLHwH9IiqZefE9swcNZKgWnIoxXn6a/3cTLdcNt f1cwOEdJzi4kw/Cxy7UJeEr3Qa6mI7wByjg3UMWADQAHA5njWQrlkgd+Y0J0BLiWY8MNpjYriYpF WLrAJcjhM2BXljg3WoRLLUYZVh3HMyZWuSQjVSeHErvnPAAu3hrAdcQ/e11IVwoq9Y7ZTjzqsxbK d+CHR8Gd+c/Rc9tzM9icMLbQHlCjH+UjIlU4Kn9ljSi/jtdJ6LaRmew/UNgb48L+jXkmOwBJagNH 7trVWSEGP8JTr0l4P3mxYuiGySccf480E4+k+9p5Pfl+KBmckKga1K1mD42aR393T8+kBHIc8hqr Y8Zu2zqj/iHYI+zTCPvC9hkj9wmetJYM6nntn7hhqNZW3e4pGRCZruTVdH09K3lIfOUHTrWzu3w2 Ba3CfItLJQz1HKgNgGWKFoFj2cDTpV0E6x+hEsCXwoTPDCKNjMXO/0xwfm7+0fX79DeRYYnU0jb2 HHFJ9K1mNMdggXor1gNMYypioHduH1z5NFvdYpNjQCgKjfmM5BpGo9UETwVkiTGidBI3PXax4i9l jRAWkVvXJIEI0UfzJtI8CczjDZ6V7jL+XC4iSH8AAUSFErH20jp8BESrxvtU6OsE78zii3bhEVY8 YG8eh5epD0wFAOBDSZX4a2XnQNksgtpkURlhU17YysihNKzcjphju6jnxMGGP9Kg7U3/VoUy5npE Yna59+LjwUzuiU9hGr5c+Ddij4nVgLKCmjOC86ThYOg4HRewuiN1oBNRKKN1E3zCb7kngHfZWBP6 NgziZB0CdQk1LiVewzh0A2sr2CU7LzBaYAFU9LKGsYmBZUhe22UzQOXHmnUcPNVhW9qvAa6tgGTJ cB6HdJ4M8F/PCd6eaxzCOekNjjlusA2KS8GFLwmjRX7pSP0wTlhk5gae+PxfdVq+WxePUrY49chm g7o3a5TpXxOMKaRwpBjtBfRlu+HSucGgSzMJJb27PTQQAStoXZkF8p8nYmsNYgE/2zaT/lghHoak ddxJuRU3I6zoj8HfACf0Ktd+wBO6VQH1MUyYhH6omoAg8MoWzN6clBu/gmDIWzAovMbxbxCsR/L/ oxD9+Xz1XT8xxu5aOWPjtvFsdIaRyjTuv8n/O4Roq6pKoaP0a4JeSx5p+emhFlbkuSEU2DU75NYx +N3YT01UwpURwgNjcHRrTjuV2Iz0X144n8PZ3b+11bbOGOtbPkaC1Wof3rqGZtDhcKnlaH08y1su jrQQy8cGIGpSaLgR4zMjWazQZr8xddTgZR12c0Xut+e+Ci5C1ShtGQARqLUNOyFZOzk21kVo/Vag 6BkUVw67AumykAi1QeL/SAvprGrL+N3RxJ5eSJfI+ic2KwXvsaj1rQ8+IbUo0PjGuczpW3/RCBbk mYbpWdfDyG/mTbsAVvCdo8RX0kBDE8zTXRbwpYuwJ3VocesqqTcQRqSGifSsW870IPq4FPb88R1M hRUZowOcd/K3R8gPuczSK9533UX9XkZWMQlqAoXuUvSTYRDBXhkJYCY0U6AiMCI8F7FEwRtlP84h yp3KntFWGuw82pCXqfqG2PYul0q7Jp/bM3gxhip7zUZn4OY33c2tydC1TxGVMe9xGdM2McRSX4cp KHS6jowut1maoJbhxqJsrWSbYaWKIu2EDkWvJr9Ld+ndQLSRGyTa3MFyiIJzGsB6Dj5Ccr9FQE0m pSWMobhylBzg0xp8HdzFJFOGdYaLp3EckFLdqZicx9cge7Io2F9fWfxSpgDaWqaSHbpsLyNr9RNn YZZ+W5xmn/sXvpBST4Idi/mvww/e8L7uj+7BFoqdtAYFB7aQY1d5gswTqvIivL6/crNCNkDQB1iR b8I/5XYK7nZDhRIKgIKBi1FrEZIZhlYojz1UZWKgPr6EY6LHdWxO5UiuGe7ATTbrUNcweQuGSWap IAEh8pHiapDpCJLjP6o12Zp1zkcE5ljRD/KB+L/rZS7DYO/h+bLna5IWNZcOSkdjeeIwIn+Fx2w0 UfpeT1UVCeRLknH2fWhSiOg66ifHewLH0xghAtMAqirlw+RZKKZ+3mh7lv+wy8c4wS+sovdkb4Z+ q4ujIjVp8NEqRJ6CkfNmrv/NfyqmoYLpXT5yOBeDPoC0SPWA20Y2cjVZGi+AufcddVRPTVi+7qN0 wEY+7KIx00PTLo4GzPqWVeh40xNMS7t5d/3fE6dfU8upNZVehSBsaQz5rk2R80iaG/83QemMq6mo rK63vPwdcYjEnHOLwxXP0cDAGccONvrHjePEpTsQKCZZgfXh2/idv/2A4KSPtfjRcerNC5urmcgT ANxycOkHTPj9IaqMrs6zaRScUMmIMXMKK3EXqALZYBf2w9Tjk3xK/uCmdbvJYE9JMnwBhR90zDzg sovKIrwZcueTkfwSeRFUXmybPFivrC5eJzJnJvFLmwdiIgTr6oro1WfsJjyYTEncOvnaP+5Ihsx5 jkH3MmDtfenkjo5xvICc4/1rz1O1KTH0bK3D9tfg5l24aVRMiMFaFbUPdQbhY2yg3P32YJ7PWwlZ 2pg1mm9zeVi3X6BZHFlwQyVpdLFPDieUKOcjyptxrT7sSe9foutl9uWJBnoadkSxDzMpljXaMY/1 3Z4RmTtkuxscUPjsq1pB8tpsawLyNE91tb3w6B0cgPqsLKmAt5AbSMCnZg8p7dcwICVXs3+J+Uer BoXVEskHN3YtFRT0va7v4JOkxV9GZUnn8jYCKlcMpcOnnYdE4eRqkP9tDDftpGSZRZxf0J6FAydN AFIy8ZGvF/nWTEP6Rh2V1k+QOM+J/XPWKy0jcVcWHd4Wphu0w0+72pptxkT5afwtcy5FEa3vmr9Y V31dZYv94Te8vdEGqCOmDIHlTWDZ8ujQDaRGwHWyFR3zXemN9KSUbCA8CZ0Vn9eNigoLHnGd0rC8 8KADKp5Z2mGV7ZzwpvoM5VJu0Uh9NucWC5vq7wJS7UnTEPbCLov68wNTCDSTucjeit7Lvz2HRGJ5 OiUqvhFDanPTzcpsyd4SaIORZ2U8ccNHJ1pxDEo414OY/ngzSNHPpho4OtSyJMGz/fpR8u2GLBhY o2sb6eUyt/tq2uYQGFz+NvxjQ+F2kd4In98KoxNC+tCCz8eEaqIEkdFp1drAUKu1IV4litUCeKHJ INXWI5O9Qn39IXVuGYNR6maQzU6YM7jTUJK6pqih6V1KctWLRavOMdp+GT3hoqcFU2ur0zylqa73 7zigVVunOJPP4JuQ7BnpCSMAHtbijBbQrabbVNF7nYyabq/XPiybs3SeH0L1PAUxKZPhkdFMsLm2 +djvoDw1xb+jev9h+XYM7grjEho2hUp8i4vr9STpW9AWjGG7kqP55bXn2vtwEV+hQ8DX+sugG6Rl fM17i8dDbonuwVG/Au/xyeVOIEp2BYohDni/4I0IlJr+KTzhcgAbtjSzVrlHdfXqLNEjYt9O85he K94SSmOEl8U/5zGZN0t1wtb5+ADWWIk5hEN8nP/CujFw5NIPZh9SxIyBcahqmNgvzlkWAZMfK6WD hGyQfng30vaPgGOJF3yq4r4+PFmXkOQAHtjR/buTWcpg0FscikvCVe9okDsdfCBE+FERbIrvJnKr H1B6W3pa537ljH2n/GB/s2RTiush88V7COO3b7EKBBLqZuWOx7G8YOChLbb6wspN7jcpdEqaOl3s 8S4lXVSRjE9tluMlYp2O6RtP5WxrtYemeuy8znYL9TRF2V5jEYDGBQ46bShSDXVqQsGI8GIuMUoR 5sqJ6V+qvWJF+3JEYKJ9BqQCt6QbHbtOiwDMViOgMuvgl/Y36TAvGRG3dN8QGA+ZhMWnL1En1Vim S2R786MURw3UXZPG21xwes5Nf3iQGufjF4AX7W8J120biXj9tyL3nywMv5lSv/O3EqbnT9olLCjZ 3kSe/5bK6yYAxUFEnSNLzVaM+6vQ1biJTQm9A5hWOHzVIDv3iXfxfv8b9afRdBkpkmrt506F7icM Z7aYdkUeB/S+ZXpm+ndBSjCpYcSI2XsrtRcAMu7Kj8l45RU0szRfqT6z6JzjOVP3Fvexzx8wlXxL IxIh8u1ivHRHTcygEWE+iIMX6rTN9GC0vnzIj8GwlRJe7wQ45SX4Q+VIMHvFKOWaGlM1ewE5AQBf tkLP1rSbKMB38mq3rgwWalZB/5pBhw+3hv2m3d6o2n4yaOXAgH437gpuBVm+c6H2akGBAcreOrNH C3unl5C9Rh/+lSXcewrkKF9w/o3+KbOay9O7HeW4ur9B6TYcN0E9hhr50FMkOwTicdX7uqYvrhtC CET1v7oouQBHmRbNT4AAuFIAfh1Q488H38j/7o8Uu11xnbMQO8eURWM5EQhLuThgCDducXlcXWs9 HIBoIwKfhHDn0NWCqiy2b0l7ZpujhFGiP5BuQZue842adtH8zubGu9ttqDRGiPp5z9qfnnz4pePE wFJ0G8vePXjBbzBMjAVF7KL2TUmJLk5RxmGTxvQOH/Vhy7+Otwi2EL+45gV3n5rc4RS0bLgF5RcE SMCvg4oCuMepPX1Vnz4ucCVwOWO/cRaHyfGwk8GtlpZqaZ/kJYINDigL5EjGGLPL6fuj/fm16WN9 Uv5KwUyVh8pC7popIu6zd0fwFIL+KijRc/tly8c/IbIf9lqiXuBeJRliziigbtGOBxw6DEqcnmbo 3EaZl678gb2tEiZL3/vxBoyLHAr94P1kk8lF3iL+u4uQkqId20JwSl1H3JY7pB0xR2yVsEn1xAgB JYsx8ctEMXmS3odDdpu0djac4RUgh/zWQjpXFCFcmbuXQQ2rf5/b7L+kqVMzuFI92HE38D+gCzRP EdDGBxI12eICigU2HgCaITOTbPOhiMcdAuIAd/9pa3c3liuiieUIp9vm7nERkLEc1dWEFs8laqhk V9gmTChPH1jK8Q7E6lNL1yMWfcJNi7lSQ4EbMFXf2eBOujUgY3+DFs7FdQKI5FLcFGYLzmrAHwLD 3c+UG69NyAhO9x9LD9ue5HsgYzMA4q6bGqQC8+TX8EvXAvrm+fN/ePISMrn7HM2nPiy0X6H/lutg W70XI+Lb1+sbJgXiydYREnYQnNZawxUhI2eb8z9Vx8LtGPhRLgpdfQcSzALwrhEeCTqaFu+Hc5CM /JIn1a5IDGHY9OSmfbKC81K7tDSPywVE9apSIQjVYyzBjwHxRjsYZWFd5nyg3V4IBV8Gyf7UHzvD MSIlMWoJ4Ne7810gVLQh8MQnMfN8YESZTw3c5v5q6Zww6ZTWt92U4voTtTEabd6bxn4Jt3IihrSL 4vots6ehE2PA4c9NC/sh+ZCKwc7NorS8UWVZq3yXuJmv6LAHyMEv2MQjpeGjgvk2cJOH5QDbTwo/ 3CDciMCMW9drXVwgC7USF3JbDcnzGdvraE491ZW3tJabHoSVU03JTC3ZLdw9m1u/qopc2hJPYfiD dllKN7m5rL87vGM4AsH4Ub7Qm5raAUfWDtBDHLyr1qqehOyUcmL8EodqvYxVoD7TOjuVlHCjOF0h hsTNrk1obr9GoSVJ1lfWP5voVXTjQEPxLNw2RG2llL4iu4zz+xkPcL8FJqPlRHA1vC/3ppHIY+TP 07fFZmTBKZft75AGLkvz5zceh+5wK4aI5iYMFHXWRSPkCs9McCKwXukM6WAGxayTu+41h4yH3MpX 7M3+keUiPJrpWQ946kX93iKjeui9YsghVCjWsXmYPMXwXcOf/O3llC1CchvqFhLW4J3KdD3g56gU ivuEFNZyPNevfUejz4yytCqQ4no0/FKxQCfG5WhS17Z1DKt51D4j41I2qP0h6W/ziQns/BgyvmG6 mkVYmCqFrmS8C7Xc713fj0+yupixIx23RlKNR4mGnGz7K6ghsOb1vWv6G/Nq/kgCoXj7+KA3R/qf MbYFLzTj5rRsmepAPfsLKf08BRiLgs9fGpYiifQzSW/C8j7gnSYgH0HIN3DmyHWwUJAo925Qd0f4 zHkO6r1laSqjbiVRRiKUv1628YaJq+/S9+AMSTKIaRDDOGcuz4NE2VzC9v2y/HOP/B/qu2109qID RMvScfJNoLlNMB1Blw0Ce7pOln+tmyRssZ4fG9+eCUydz3RAKbtzziCu4+qHx6SQLT9HniyR0fDh 7CLVG43HFpq/a4y1uyCetuYD2UaJ83jrZ0aOb2oPYKvW8h4yEcWyRwWcEMITXMdiLg6n/eor7HO3 HDQZ9Qgqt7rdJRFAD22+uNHBoAZ+kovuec29Qqr5FqXO/W3mp+DJXmeZt8aWTIdECPrDwY/s8Gtr YN3RAXpgNPVm1p6xIfpDkT19ybOb65VfogC3f6JqpP3ELibPxyGPA+SFEP66abIvq+S6RiT3hsI7 eAnHlB7Q9dIEc8xjAUuZi83hp8/4pctMtEkcBeaX8jcwsGYI5sLuXQj4TUfe2BE1Dugr6uVctVNs 6VvGAR/oMQY+5ateLa+a9pYS7ojADs7d349UCuHj26QTn9AcpT+VUOr5Nrm7gNmGcoNXnavz7FQn 3hpkkfOIZFGpl+CBwsfpBeB4tQbbppDxqAWV6nvbLRba7nxOcekyywekj883+TgTiQGzwZ6sJe7I iT12QlAL+qjN6Ef8D4cvWGTmo505nSZsMF8s4Sj9GGqPvD/p5XIejUcFjCl2DD7yazb7szD1fS+S bc4lAyg9YMxo+buKKod3jiPr2kYhBQomTasVym9vtXggmMU1GdgOh3Ogjor8X63qsSY6FgkvCdtp CCUVpfFOCRG2bmt1R6Z1ZKK150bQ16uIn4sfscVmQNUgsHmdrneAxZlqzwgh/S299Eh7VeyJ2wxv BKK96IS3ri0rJsLXJVaq6Jn75G2N8jB9vyRyStSg+8OntWJXkrw6PZWmMqZEVszCsMZu4uvt4FBF R6fZ0yZTiKXKdGmTJg1VeTbf7sN4k1Ilsyung/KZyhdhqdqJ18v6htF/axgTK3JMPvKgkKueC/ha cutqhLQuPJgyFazNkfuNQvxfiOL/bT5CShCuvZ1jZsDAvW0y1exUlqlUi4IXe/DuraGAbeqRfvVS /fiYpEYPCLyH5/+DUf/tVpZEECNb0/y6m5QZ22QX6pFApzbkvCGq/jYdKNDwLLp1vlWuafXzT76h ku8sbBJmgS/KmxEc2+krJa78lkXe3rqdjbL9I6GFU+CRUO8cbyOjYizIZF4FxqNhirk1hE40hW2K 7n2WQBzz+TQR04y1q45r10eiiM8gJhPlWRidMSjmFmrx0tQXXpej2Wa5PxFI5Lc7a8fRCeX0KQcB yLugsWR4z9SsKTHiDih9iho54gb9qFPgdsa1WoxcjxYakEk17Lbju8o0j5aT4YMOCfmLEjdqISTj /L5pzFRJ9+bA5Jop8/cF4+x+RZ9nztLY2KH+esxH9H77uBSjPcNMxJjJRsp34GxhP2W2rp5YqVXZ rZyvxJM7gsqZDetKmz9sh0oBAnB6WWuNQ4s92P/mDBFC6IgiwxPi5jTPhr+ZRxvAIxGMFK8otC/6 aCqilo1tmfFiYQ6LuEAt/oMwWego7PcJQTdmGk9MLK5Gg/S1bBstTM84MPKap3QL7B+yZ0F9oYC6 ECUr7le2Uzj+e5ulc/4iIEBs7qTdmJDDK8EacrdzRFO4nhzP/vEVIJnPPP5eD++bnft5ZBO4YGNq s1huwo//hZ7RuqyaeMkJV3Me3S0/oX4xQFmC4BcYjIhA3i+TYsOhz4r6kYj/GCFFo8iu5M6Ar5uX ULs9FIWbHitRH39mGV3pWXoFjmcFkIYIPo4FKGshd8PdbzzPEcf9Ni6yXnCMH9H+IgmwWfC5fZtw X4gNsSnbYzLMcN+8AGx83Agr4uBTAEC44B524kt+xVCUKm75rAFZ0UtxxZhwo4v7fp2wzsGDrUSv VClUIVZCq87cIBrOJni7nX9sZGuJ90zZquKNkbRYAjVgGXtydHbdmmx8QrH+3Th4faOeQYFUPXrC oTx9Z/8MH8Oa8nXYfFdh1F0/LtkdfKW2+wIBpE3dv4ewSBsRsYZ0KcAOolxNnQzNQI+G5gTZVUZn oITcHEEyRZUMy6DOCftJtzN3kAkyJbDT+nJTg1woKecPraG9gzLFOF4LmjD8fF2VCAYjCEJVKdR/ mtj2dWD+0cLVfv7TY5Xo6gct8qR2mfyqUnQ76eFNcMghgbtj1/LAyMIBo9l99WByE2NCtfL1iEsZ cFr3h8c2d6+d0qzdT2DPz28uiXJuKL/SRmxMfegAWrzu4XbFuitkGPbt4vb2cCW/ZAFnIPCukVBY tbn8xsklt5ThGxmaY6LnSA3VTT85r28Y3V5PvK2NbhaVEM4bD8BOah02sO4FVbJAutcKv4XTHdjq XWdraZtbJ4PggwfMMVB4Zsx4O0uimNUrMerODasKrRAqE7Oz5/siRkHdgpilzVMMEzzISefUV0BW dgHeOllYPMumVzk+1kbIljJVkm1Z0wr85xBJtMcMCBb4sImO5EspdcZs+lj1mHvLZuhOek3v/pWt FQNc6lcJValgNFT4ssR6DkSb5OqcYaYPKt7tapPJy+ykqRSS/RWvJg4eIcJXAc2k0ThhqzVmM7Vb KSIbnfCmpOi7Yb8A45VtYm4Kv1LlSOj6DNMc541/veLPA55s2YJDRmYiBLV0o405uywIjJhxcPZD nC69UTju8LY7vdVCL/vaoBXiGWPCAEV0953Xqy6S0eaI4JysZaaRyuIN/XumFh4CJA5+55gACwmr xVB8HCTWYQ38tVfpCl5J/Dh3HeptIpbG4VhtULCFCNpcWshEduxMmK4g0GYLCgL4pj3zv9LGW304 AkWQgfpkOuCEgFlP7lN0B75WoN7VQg8rvpXwXwJmT9w8qSJ6hOm/HODlEkisW6ycNe9CBYvFR4Wt 3k8wQYSCl9c0cU8ZZP7+YNuJV+J1fdtSCOtnYDxRAybsUpN01i12roQBYlTNRlZL/e2u/PVyit7v jxEZW0mipOCZaDeMgeWUVoF2wgmxYLB0590TgUh76hIuOgtXtH9l09PI7r/Uq+4/7id/dY9wNg/C Vt5QXixU1tLsVQCDPbJBW75thqegsxt/RmPd5yoJxqlcUDykVNyaVQldUBHf4u/bfKWvxS7pIXDO ai2a+nhZj+iKvrCdaEnQPuFfAYKcTjcl5dpXrHVzmyE41UwXyhf0EMM+RKmBppcqtHa4rE9Ej3aE aqhaE9LhAjyUkKWkUckU6A+qIP5PAhe/KGYGXKOtr1sd+Z4TcGclaGBMvsLI5a6JvioVNfdXL1dT YxxRgxVPyY7EV73DRuHa2CjiiezPvCdKy5es2zUQjvtXVFevuaw+L8b4hb6Ko4BkF2Lv791YDDth uAwwNLUCABQML7zec3GtqLhAptK0+6/Pw4JUxzrk5XTFD0N1SJiVHwHliQ/N8C3pQdLRb3iUfrom WABQXQ2fbMK1h/Do66inaq1FfP6qwc5X1Qev+fGvFb8Zjpq5jij8uG8uY8NTEC75TNNddAGWHgLO AVo+CWE8Dg9XMYGDXE6AZ1v9v7b1lGHDp8d1YakgDemTBi5rDs69XwB8vIgq0WNj4ES6FFa0OcrY +FreADg9trFhx+XEAcRoFbJXfmlsfwRKBPgFoGQkIygHibQU1Y7KXL2SZa9feNR/8/NKrsYKiD6P WCLgZMNQfVHo9MrNJhqmi566zMKG/DM+ODCyLhfLbKmYvPHcvxfXw4DqflWQJmCrYGtYtiYmhY6d IykNTMDQB+Tr8aeou8di+EGTmJPShzE+MwZv0SvXyYJo4j5OyeJ5f00l8Hwj4NfC4DfBrQOrVokA jwX7occr/HkJKmeBuIYnmOs2JiPjFQKE6VMTXfZISNS6SZch8t2G1rCcVxeWYhBBDJ0ZKC6o1grR QHGyLq1fpzln/MalXqrGCHZtHQL2xMY3YxmsEzEyiuauny2UIbG8aAmWiRiUkjvwCfAlSW4p8bSV HEfRPDX+qZbDwi2Q4vPHAA5Udq7n4PAzgtshzq0Slmo4UrLcSQpDElz5mspmXvDcA+4y9zqnn/VU VaYpGoxHNDxhRSs/KsXMc8uVoYNnsD43cY0Cjd9AZRKB3rtp+ESxo1zoK66xW2BaEsNyz9rbl8Qe 3oIl08888Z2e7V6mupuE+1JOU9WxNF7VHaCm148Q5/GQdjmKaETLbOTCT/B+/P9sHKOqRQmdSxDG vLVxyJCuhnEx6nhDfRLetKgV0XSRJ7vZW4CAwN2E8Jm9tHHpz9kkQnPCbxmI9VQ6SGaRBk24pjn0 +xGvYlQLkNs4QlXltJDKcl0Q9fm2/Dz8ahHcMhXoSE65S9F5DTepNIXaOzNT3mjzSsNxabBDkodB hB3q0KNCxKBC2SgI2CiDX2IokugiGWlC2cvOsvBGsJGrzzEgQUSR2ftJ9cRw5t5kFrMAg+F8hibx nWBWpS8X5QLycD0vU48dcf+J7fMVo4uUZYgsJ4fG92i+ClE0yL39urbVf3eXwHKjMlrMfO9x3LJV jgIC5LZYDQ6C2/61QGtHrLhOigodWNHx4gT6PedLjt1QAnJ3l14B/zqmI5FOflTJZHtREht/PNJK oMJsVmog85ynzSrqBxrVaoO3W/ZphHfWL4qJFlqkZ+pvi/jFx7lPs6zD920hb+CS5ZukcBzSam0M BloTfhgv4UkJhtRZPUpioB6roiesYYI1FvR9Pq04ztxmcrAHuYiKMg/d6u8wHA0XGRVd6t5DCD/M 8CyA8EP/8Q5Dqyaz9Sbtvoc2nebio7NbO0VZne0fGPo41TItkGPR9Kiwq0C59soM9Kib/560JUiW e0EFkWlmK9aco5Bkd38xL6dGiBBB8IDfbcWXJbO9WpQhc37K5Ua5mnk5Z5xnwMf6GEtVst5pc04Y tEcYJqDThCn/eCEssw7BhYcEKno0qD0ZuK83Rq8oZr172OcnHnIudWyWFrBJ4J4nmZLl8yViq1ht NagHC2/WOGhNO8zqEQAbEP+3YLb4TruSO5EvtRJfvU4rqYPlZH1pONvYenF5VAfu/91SDTFL8Ql+ RLRVidKhGNDd+EKVGEfbxUtxOj6KPJwL6WrF2DsjINN99MENa9x3fpVUAbd6Yywi9lE4+C6jg3sW CEXeH/+GsAIJ7EnCqemfY/jVOU69oUpXgPxgkbgjK8tJJt21p+QNENBsH46tNpR3l3WzOCPGrNQ1 p0cQ/QlBRsai0vVQ1/1C9mhm5Jcg8O6ZiAVrGg0FCI6DJtEtmuXd35LvxGyZgHCEbK0qFVligIc/ jHbgH4OEDPtayKXVWNcr10RlqE6/ggOVS2QnhjE4dqkutEsY35pY6hk+ueJwrP8avaoqS+pwKHqs y5J06g5HDCctmcS+XEcTDtkOafTVDNEpJj9aKquhNJKaBbQbtlRnX8veH5vYp8q8jyORypaGy+Nm tytZnNwE4+2hsYkBfioPrYlhgVuJthWiF/+nPh6kY709spXAk3nZFMRkg5nDihcMP4Q74CL7boN0 JqM6DjvEKwp4ZknDktW7yngr+iMcuedO/DZFbkvqikB2P6Lzs5ryAFDRsNod8yzj7DzJC5zrEzmx xJBilPy4/v4NmooSmbNDjaArywmpXyK8DXRKG8/7yn2Ggd6v9HSpLxRPtVNv/YVO5GaG1qbmc5Ou njycYfe09D7XcdJkHP5lmTUlaxVIulJI5D+yOcFy0VwV66D4GKXHO6HVDIntO75VoQmnc0Q2gD0B cS55k/3WCVY8ctHezx7AJfEsPcFmsNDBLL7iHXKjTkGuniZ//GO5NKDI7IHF5IIUnO0bURmzJCbq pL2pr6ImFO2O5mytVpq+dIlqWAj2BOHN5d4KE7Xjf7/FwmR8XiSxR15MM6zZCOjk39xis51Iy1d+ f6Uf5vwhbaYnFQa66jsOus2dNfeSiakNuz2f6N0iifTdHr09vZeS6q2pSe0pWQJjMEXNG7UZWZDO RHBc2xOcQn1OQ1cHBtQNKT3W9zPT/5QbkIeA2kuxOBel1aw4NsIr68T5D+qGRbm23fmuoA+jYlSQ 7imtG8YnLnvSJMB3BTcQtDpaWEHrRbWm1WKsTW6Zr1QKG8EOkC4pVpN7csJ1RLK2piO+BVHcYjEH 8RIQmolhIj210iYrkxShkqI6c33SR1ZN4dsFTtEHwM+C2GEaLAHGVQoQvqc5H4wL2DBvMwUURn/Y tRjXvszc/pdEF+8C2V6c4B31w0P657y8nOfPE9xj/pqZHAm2/EXsdI1i9o1j/RAVZlfBJnq740pR T5HOD3J5t0DbkabxHsn+F294sw4IF3V4y1guvke2TmUf4UHcSfbT3Z962lYnXH1B7iIfSForaqen uBndFWVAyIrZvwfOyjNGkc53zntNs3epsuZEi8/DlLgIDeUqwFF3w84Xo6eAl0/dU5l8gNw0o/Do UCH8Y2mqybZ0l6cYRZlF3aLNtPeOSJGAnwA4KnxqQTRnlP5ujTLdfjvSjr74CxM7cDaNGTMv8Hi7 oB3vj5ACOZK7ooaN9L2cnH3Vsm821dvffyinLS8TeEdcePH/aYG+Y6tJptOQH0BeZSfy8RkZwz8B GfDjZqCB5bGOG5SNT88/IGgntTaMRE9La9lJb0FAQaOc9jrjoKYwKL58wNrQCSLtKOISkAKD3BMu iNCF4j+JarbsmWC0aHyINJlh2ATuqCrcs8xdgMW7C5iO1OEu8QRVwi35AHPmpKmDVHNTnYzOhjmL k93GF74qZ9mDJEHM10xVOjQcr1kH5GWujMghld2P0/TJGjsyMeferYNQM7B7XmtwvtdaCKACuYyE k35mBTYPmEYOL5ITHtvu0jgWSz7MHFYUT/40A2uvM4/soFEDWqjDS1kr+zAXD088UJ/a6acTEEs+ 9HN6XvsP+dupQnKeId6FnDQbpV2WcBt9a+lIeHBIpWZjQtZPSeMYrfjgk1N9M/lMbCPizM9FEkWL hd5Tqgyp71qPiB5bv8xgqAMwrmyaBmoWXG0jwAgnNXcxpLqU45vET154RnpjJXp/dTHuPQHYsPX6 t3sfhp+lr/oYSY91nWP3ARd07G37nwcmtKNU+aGdwJuM/EAwXILh21X7RvgCkEk4D9dT9haao30q QwvTdCTt1cqaDTUbyEHbtq0jQpgcGyVD9QrdlO002WHAZTr2wVFtyeHsJ7viiTg0vG03T2UNIeOQ GjOhaiWaeelOM6vb/Pot9b9dH+tgeE9XF3Ue46QXqIqQqZo6mas/J2duidc9LKF0i9vRZ2g4zz6n 6ZtPyuWKtm5X72AxZWzGJ8xvy1kVo9v3Fld8oDek2oUYIuXxe22d16ZPUXnWrcwek1Cf/xcFAjLt pcmT+Lb4mE9W2JrN49uieNTbFtn9eokgPpPl1FIyc/OKbR/DRduPSz2VpM0JfC57OZWTSHVcYEY7 PRrFSb17l3SXaSiCRq+vlW1SPRI+pcs/o5TnoMxufc4NFQJ05DAS3UyTIq7423+PjfpVc6uxrDyj SkB1Ga4OHWknEPECB3vfmY53bfwPMwT1ZDPE78S1poH3P/U90PKXbszP9WQu84OQfqTsaPJckrgW B11xB75bvxC9ef2zleNKnOVJ4YwyZ8NB0VwI+tCpkpatCtU6lwS8AIUogmxbaQymD2GyEZbjdtca Mird+RGM9ysSjsaErjZaK7yarCj2rh8M32YImpPNAJ7+KShB+Ry9oGUIwl0Z3iVSx19K2k18hbHG JAfpEjPuh9/+0VEaw21+E3pZT81/GLIsbtdUUuOGW2MQ1QCcwtNBKb9QOQJdeW6B3P5MbnUf7zoI Jne2WE7T8R4NSn9J0846xajncAwPPloArQl4VnZILA+kDrWb0pRsZ04kvWSbrJRrVByAciUr7zGB blz0LfMRAoepXXkMnDx6EAH5X06FBb2WHKzxmEJGKsoiEu1v1iP/lm0dxR3MeeiAN7YuhXwZbeaQ QXacsDy+8wbxgCfABTmFwaE5G3Vsj2w9kmdBlNwctN4h/q51bQgpfUs57J0UCBaZnknccIP06cik 6q9gYJx+nS4oFVIfme73jNZmyqRpOPZwE5v7OtXAl8BcMEw9HpGuUVI1nDQFDeqCBRXcyoah0xdp ZSQBu5VXW9aQSLrVgdtWsWmpAXkBwRO0cmB3QGcEeODtoanTwMra6A48iI4zmHj0FPGcUJt7kYLm 5vlbMYjpwFnXfm0+oFP0bWbGttBLcKVsuEMsgI1BSXv++AMrP3o7YHhR/A7GlF0uh2NwfBxmO9uF Q4UEKVrXmpjwBLZiOSGRbTaC8DG3PwQOzILHJWu1VQCUeVga1WpRlTAjcKLAj+hue/uKfUi3Yzqo yqcsCr9AyB3Wi6mCo+TwiAw56gBmwlKFs835Iktz2ox/zruIjedRfJ40F4fkua4ANpiEzT5WN1cr AFK+1fODvoeRiLFTNpGuFC/jx4LIJYvPD94WaIN/TFU7i8rH0sR5BrMSTLNKxGb2i40OSzq03dKu eAoPUsFGj9UaFMW9t9qu5US3DWbnv3lV8J/yZeussApaytxsfkteIIN7akTU+Lg5OAwX4bT4I8dD keyl/AmOKmpDN1tizhkQHKqvh3j3QeXMde8I9LsFVM+HNYJs9Y4kGu8zXfalsF7R17mojPQg7y5t 3j3+2Q+ZTxnxP2tDoXxILMtTODsoVq68MbHVgiRfgEDKX8dbC6cEYhyCSPK5+8MP09jq+veXK4n2 anrhPMBR8ZsFFXGqEZYUQ9DLsylDYr2s9C+M4qLlnI9cJRNab7r2bzZMmfxcoCkeWCH4BQVwiDLH DSLoMbc8AeNh/K5q+LbbKXKooh49HMkTOVKJ7On5Zm1upr+JOyooNJ12PyVC4NWo6eS0PFjGr0EZ OwoMClbqmaT+pC5iB/GyN5B4hW8ZwNN3XVNBl0PTzd3WgXfIxNCSBZqyBX1a5lhF0hUUYJVI8+Fx ur+kOS2S/HRl7gM3tEbupg2TEiZHJef5KAZYx9FB1etrZKceX/FPMhSQblKEzK0I8D1S974LKbbO ZMkBKEvkuuwYK19AtbkrtfsWqx+a+PbbGxsQPlAG/IEAXbBvw39HBNvYNTpLAF4qKFAG9W98WLLY Iw3amMRxiAOo0pA94hyP3C6Ge1SV6uxt9cpNNKlSxIfaCwdXoN+rcQgu+uIxG7KEqViUsMZ9ly/U dwpWz8kd21OXTfJJHlUVCWaeGn54hL3F9Unsu0kJOZG/7GJJo9qTKqjuxYsc7X8LlWWbgQaiV6hF rEHHuKZsczuW+pVcK/o5RWhLag/PRtneIA1BoO16lejGo/gBFaXWqXX0zT4RfH+RsQDZPtG0Zper j7Sxjp9v39o4yuQ8jRqD/NW83HYz7lyXjsAJKsiLu+1L6z4esFhb9/5vpkJ+aADdL03ueFeYWd+o IFwZG0zjJbHHf00E7y4Uv1IV4NoqCC06jfDZieCijCpeTiZWoyxF2MciMcTEz2KsS6jF5nLGepAI MYdipExBCxf73M+M82/jo5xf16AV9tAB8VDgnnX7ToRtKMC9F/Bz3sJ0eyM+2yG78s+VzVD+lvHl xToFN4j39tdcR3N4ZNk2tcNBq6f58wSP/+7nlnd+m60SB8fzjG+PVJRKhKOCi6yVcSOSg2fewva3 tXZIC8O0MAmLQ8VVVfjK5an+LsvY6CO8qCYyqpWO0uiFXZLi2FDiBKxp14TTqI8rYs838xKgAUZF LupsSme9M/v8cZVG7SHPecZ8oArpx+a/A+pEng5+P1CdHonsVS0D5Wsl9mT8Qx2Una7Ilm5l/gCf nqDNnRXPYdr9n6YGrAgFWMTMOpz7CECbKy08PmX/FePIeMfxmbGVtK30Qsg9WSHJE9ZQ8GQGGOyH +eQxT6lkgUBcTrAKPPChV+0DkrZkbsrPaY2ClWHho4dbTKjgSBGc7KlY9y4S46fs1V6Rqax5qhQZ QfMiReRyoQKj6FL42j1FP3yfjWZE2XmQ5MSG/bx1i1GJQ9ho9qh7vjSsxXTBi5Qha2E/g2CgQJi+ /C23ODqmbMig0Vwm2qSOrQAfFVX8N3JaqsjvlmNnz3DafqQwDvEs4eFV8wy7lfHSffUk1or5pxzZ OR3DdSCW74+qrOCTbxCH74gIyUzVhbGrvK1Ds5xiWG+N4EUU/qCin7wfk0/IUI1Y3cFqIJ6hEbPN dU3xjyJE7KhbzvcdthkPgB1E1tNRPbN1gAjEHlqbibzmMA0YyuT/M+qXqhnVVrq+nizdf1CMx10m SZ7bwK7qrTBSxU8mBrRQUCc8xDeeg1SkvlllWx+wyr7IdGXyc1am/kT8fvOwK6KhBXfVxXkGIlnk wbYrI2tCyRsBMor1XdirtyeWdTVQwur1smIWVieYyTwVk72/JTT8w/rKjJzqt7Yr4mwMrBQNITuJ /K2CdkH2YjcwGD49Jw3t65vKKr9aT0tYLFwQh9Hwj3dPG7nJ2ntFFa8ffzcEgjwzLsfDntMLGdVP 7dMy/x1TSgVotkXAZcZnpZaXpJmDQs77vk5BvjlNwPhMwPWD9RRJ4pD4y+lzMvtgaBbBpv7UbP6v xAaO9RwM0oBligxJt/fvnEPnFWypYEWXUIUxFqL13JL7CK3hD0gR1f3YXhbNg+drxW1t194MzIKP GIs+rxqpH0+gh9HNTJh+ObOESM9hrjfmCKxmUHN1indFu20FP/1w1A9eUAJcOiYTz3gyr9Ordefk 4af3mRcjCK0WkAhXe6RllrvYgI8d1MUGcguEySpgThgIG4hr3bfIgB1y/gFWLDecoinbxfUIlQmc 07lTFUcdzKTKhm3OgvEL5uyLEJA7xN0sX6p4yk6WwwxjbjgKoTCZS1iSI6UE8Xvfp6B055Vn+hKm 8UlDqb8oJfWwOK/z3El7LrHZekqVLH7eqZfLpYMOT6uMvez7bCQzix1kL8JgxU4tyVGOc24+C91X AqhLIriNU0vvJU3NXxnNkNKeb3pp1gGLJRUtPiFbGDZn3yLKqS1ObnwDW04ZUj2rT0FAZhedmJZg JoCEFiKQEuGiDujNz/4dhoiJ+hBWVIjJqx77/pu8Ew3itspqPBjxm7Xx9zEoS6Gcmr4YWORdlfN3 fF0+L5QIQcfryum2D3ymYoz3bSpZBN2pUP12plmpJOamwdntTQyO2S7Ht0Z0TceJ18D8Xqw9el7z VmC2zjbpsUFhDOGaD3E5nBfP3Z8IqdA6f9dx83b4Ebi+WlTLn4nl7TYbXzb1oVg+B0Gwa6aoAqjT ZXoRxgkGdtMdU+az35kpdeuxY9L88b8/t5rO4MdtF4aDA6JXt4WbLSov7kTsSk9vVkIzqrQjpSCJ tY+lDAj6YGhxkXZbj4i1As9cr/Mfc8AuA4w7V8EDE5cJBk5jZE+pzHHc6TVizQoVRMTolVXfwR4G 702FiI38eiW4xD5zFxUYtFAeuvk4fMDM87FuRxm7pdm1jdWNA2Vemcvi585fj9l1PNwM9V9Qavrw VfzrTH03nnTYfpR2ru4hdYuRSx0pbeCuHYtNIWNSM9QZELR9tjbzY1/H0N92BZBDrlswUTf5X59E bkFNGdtDmtwwfwhs7rUNGDqCsPByo//HDbwXEeL+miMP/H97ZV7bt57srPLeNId5DTWhxs1jAon7 B6Cpjy10c1Wg4YZA8LuamdTt5lT3RhhtDxbxbzZcFtanmU3S7QmQP1xk5wOKlE1qqwBkgZkLKM8x DdUrmUeK/ft1m3Fc41AilMescsJ8hKM+NvaQ7fpsS44vEW0Gx2lv4mqYuiDAPSj/Zsr7aNV4yPt2 voCsfc4KhLlXesFLRZGyrIP9STvBrctoZV33Q3qNC8kgFL5oXTNH/ZbA4QOohYh1kaJwNbFissl8 ANlbB72/57Gp9GDCzP+hwf0Ke7Y+E+GuusTNRrVC+f9yhybp4OunBO+6icuOE/BgLrVXXPH0Qa1J 317NPFtnLeRr7Aw7N+GYoN4j/Rgm39jjtX9wA4nGT3z1QNb7bOfGQ6u84KyaC9wOj2hwU8mRzkA4 lNsU3rcNkO3NfhJ0uSbjhtnpiSnIvbmz8ZuEJgfdsBnCrUBv3Ve8PLZR7yzdtlfrZuk5zy1TOIxF /qRNPSiHOPewqhG/JdqZllP2sduiuEBmwZuE+y4r4gMdO62S3f7FN5AGlp7ULRcBUrp5Js7QIFPD t2a2feugz83kqgnzmzvuAOev3Hf2g503IE+HzDZjCsrCV3QTDipjxwY1XS4XJgkf4nYrsDrkNc51 aOKvuwN7duwBQrjTE5S8Tiax/6sJfzfWID7ppOP7n8I3x/o+fplYPKhEUjT639RnROpQ+aDk98YO 6z7HKAMZZKz66Qd9thVHOUlK4mAjS4GvxXd/PcPLd72i7yb0EM1nGKXkASNm6QbTgiS8v+ijnGBs f8uRGhG2EaWROoAM+5xwqNLBbDnYF8imEvOfKBDBnFHOQ88D2lLrrgbrUj/B7Fv0uair7xoTVRCK jUKAswyEsjYcnPOKcS76rGUgkBd2DdSFsVXKBaW3kB2CR1+mT8EZexJvldjsaQ8T8OF6AWAvBX99 gOVGRa9HpyYQMRTCHVouCem+BnKXrd1dYxdfji/pNLygahh45LYpOCG4l1WXumd0e/hjXS6c+awP +rNZOke/PCnWDc1Z3rFxFVWsX7/lk7cgi0CWUbRtvgRo3UMs4+kn8dpjMWdlgf3/MhjlRLhxVP9t h2OPJjPbnvXttnD16U6ak9ZpuUa34gpxotV3f02Gu/QGJ+00WuZZtLuxNEOygZkWrdcYF2rBAB4l x58s1gtfpYcg7kPfvy97k4V5nVOmeN/h2O0FOKLtAq1TkDEqfOu9iVdea8bDvJcYYRfrMvDt7mLk 0ChvyB1OH8qMjNjycGKZIRZmaXf8mNhf7w6HVO8nOxDU3000RhdSJxsTiF5tUqCIiTlc9ubnkgbP MtwbYKnDE3kxIj8HU96B9CCxzfZPH7HQ0QmVoB3SCiYXqZ4hI/9AqPDievlhiWAocmVzSmJ0tpDs F+jy6gzFbvjesfPSoDwhCqIiPK1j+PEhiPJaiKJPWepdLaXtTKO1uKNM5DfKJLeLQl5w3DvR5sd/ ee/8CnhflPxCDMiUOHO0Ih/lidMg45y/PJYB2TWDAZAYj6GvHVSgYzcXl8dl4p4Sp1uxYFY+VBO5 jdCZs7/CFIXiKgpla7bb8wOZNtJZZqRyzviB5gQv8zX3TCnf3mn7Edrrs1kzkkQpyKLUA8MTsR0Q LamXHVOOKvT/N83NRa0EhSzYs1+bzGlbr9o+StM+6w/yRzYH4xV2LUIH/o9A89kV/qYPEWK+tD+O wK6yOl+C6Jb8oLyCbZzkIRD5sHsx7DQM99V3Iu3rC/D1hhiG6P9EFQgDzq52kFo4kwDWLD3D1oUp 7uAWFfTxo41nEevVfftnQlP5MQaqj8jBne0JP1yx14883S6kC9+pFh/WclxRJn5d/RnmwXgchWDR ZdOZ+Cpp8ZxWwfVb0t+ZZkJuQ5+y4aOi4wouRFeURgBP3V0zvkV2gzFpFvgZ4/5b4P/CsCGk+/Yb u07f/lF+0SSQw8nyoHWVYGZyCTrFx97Bhe5blYSG4+ELNGkzhPHOPFFji90wOMnbz69G+Yy/Nfrx ysU4r72CcnUcvQhUHHcD21mipmJHYxo86BryimiYU6Q4YR+QhIo18TnNZLDJFMaVximQ4gnc8jWT jNiARuf2sEkWtxXRxljZBVWHvYFuamAI93X03/INiGETkdMf+CET3xjsdfJNoFgKlCgPGMbRAhme pMZrijibxZ3R9fr1uJJ6/rE8kWx8wF2FL6b70wBm7VAANplpdypF27g/ysBMtOpyRq6M3r/aIenv 2+EJaUjBT/yDMwHNcGcsqQSancDM5Gg6hNgDAQfqOpJlhnBxzlg7RwJRZZoglYWcHDmcFZQPE5ej iXBgEJuVi2iUY1NAbpzLsl26a9qqOZchIeA5Bhl2JbrU9H1+2IniRP4omgyC4s8ZQY38U7dveU9u rq1JlL8dvsop349MbOePEdgb/g43wn8UenmpxD5DVapm9DiBVMHW0xgHFwfbNcKJqozex505sc0m l248AvT6+c+0BszQyai9EdJdOjB50Ds1DAw1YvVRBK5QtAUbqUGJvJQmLSYySY0XvnlY3nmQWmn2 wsNNgA69A7JVDJ0arURgZ0uOa2rtbIzka2LPrFEIfvVz5q8D5FyMcXsN92o3ZvvzA54ol6igs0uD NcQu8DnMZFTngoqRgs4jlefNeJTUOYFog7c86NvKeCf4yCTrAqVq4IuwL62+3n/E7ev+H9Kae+/p v1w4EmOtDaHGLXwcVPRrsKP6J/lPoCnqj5zxdr10nkiSZvo5viZNCTA6hAukaOosyK2cQL3Ogd/G RS/CcO7dWQlIq9PP2T8ngEhwSIIfuvHGcZnZnWtGIxfmrwIGin0sz5Hd56zQgiz0w+cvHWkNDMh4 abOQhrAj35wSU1/x6/gTBm9YUXNj6BRcPUv8vaFOApxefeZgP5ARWwyaGGH2NUpv3ZM2EX5UPzIz LymB2S9WBt4XqkWGzO02DuvjmyTXjVzQtmSI9A6BMB7bBWJX9TpFnQQvreBxP2oHcpbmaiS7Hz57 Drvv1J6E3riKB8ZWypxuSyGrCRJ5I5dI1SHq6nBptXwCQqbgzBy9ikAkgTDQ0qWF6Zl7M55WhZU5 kYXZxSKIw0l39V0T8gPel1Okt+iGQaoWxzCUR0z7/401knTbIJuLvxd/2lg5LGrfuJcwkaGp5Eb5 mhPAEPCYDB1dzgNpFTvwW3i+hV75KKR4YpbSSj2CEEilX9bTiQrFwxkJEe/0WFdEvyUMDpMdijna Lf2/LKfipCz8Mv74NumI8y4JZQB4xOA/tJRFDrzR6Z+/RaWsSclBTg0dumtk+kHjQEOQM/yLYnwh rO+wqnRDrrtjEfngjDn0HmS7Mv0YUP2vlPNe6PApzIGdYfQkp/QlEzfGSKfdS9oZmPrewKBvumsX Rz98FjHlCXVw/AndVcS0jHlLhyrJXLdYeLLKicKpkV6i+t0s0bDp/vaH5QRiYIiESXJjDNRr04jP krPMLpMFuSPtaT0yA427bGC2W0GHBTr6ElQV00AnhuvJHdnatjQNGSoUmWe+PLXAiUc04AHPZ2fY 8RFfpG0JH6FkZbNC7A3VOyq8DwfcI1+Edr+lN0GXdOv3ICkiOEwGHi+2SsK6OE+bgMPgv21aIOdB DnHeIGIgmULKthlvgxvlHz7z2s88BvmmYrWaFGkA/F8+41xAT0uawRUZKdwblkYQFmIAexMmdEBK dwopC3CzG8KEHkT8LCWABo1gG9dFzs2AfvSr15KsdwamC+3cU712/Vp0G1OZWmnQMms4o066xV8Z bt2MTI6QRcN0cNB6bZLruBdKRO6Q1/SG1OaNto2k7QgCkO/Ch+YAv5iK/X/9ig2qllg3ahjfrqo/ frEG6GjA+rD8Ei9VwbBu//WeVpvrY0UHM1YjDdF+15xnwQNEqOrKQGg/RtOdhAgDymuUVLrXwvOI IPGmv6wzSQbLC7qTuL/hzV+4nWVMwGiVrWUVPB5LibY7QlsFt9IyYkckzcwMyw6jW/nqGy3qOEX0 X1MIJlvo6qTIJepiivv05xCGdYumx6VjjjLfAJa2as03wvrE9VAhJF35pYy8XoBLBQxo78Z9Duaw cT4FzvN9uIIlLfMQMKA6QLsYrELAv+qwl/H7UwK/FNHeza/yg3I5//mSy2GQQLme6Q86kQxhExAq iFUTZ7A3tem69cbCkKauQFg9qI3M9DnwjX6tc73W6cRQNAvUaxcEEs7uTnjRSQ7dYwnu+MmgTA/v LYec68ASFjAg3EQH22qHM3NZ0x5J99+UPFjCSIDrRmc3Cf0ubLnvqbb5c0UvQgApXFuUa0YxN8RY RxJ+EeQT3vNPsG8Vhr4NPEA9T/toINTgdI4sHU8hR7GSyYBmDQHA7uv1c2lPX/fq/3d8aoInPW55 licx+mb/QY9gEuzQ/SCNKvz0NIfHtELGaz6VpQhWXoLy+Os22BhH+MBqVoXyEJ2kqPQQcXrYuWXZ I4x7SEhornjxBBl+3XmpIC4LudKHdRRWi93/cHnRV3f93uTR9SYVHDhNHNcDOHUAmuz/boma6ALJ U2w7PO6ruHQ2yezadzi6ToMSKJf8xYR0cXsBlWmTF4La89VbXMAMBijzkm8LhKZ2PR47og4/s7Ve PLV0mT0wo5W/MQAku08tYL085sZbnxGlxWj6Br/a2qfz4gXURNfa0YDcdMBl6W9M34vzvMJFJZve tKo4hX37YrQ3txFfN1YIHJZmxOApcbLuURsxiWBr+VYAZcirsURpztGuajhsBZplG7tVL7i2uBp/ lR8XQA4mGzAiQI2++yFGus5mPaFSkT4XUMv5AqANAn3iXn168yxsYJA38pOokuMqbh0mZyaxzPdZ TCd4JF6QhFW/DV6y4nyFb52B4lLOCsmT3Bwf45iv0fOOws/2kYP8iwF2RmaOyAs8LG5u7K9mBCbR tzAa7OFBvUEM7PbxR0HrphTNe4fpRe6Kz9ar1GzcOUI+r7J0J8tBDNdn9M9QFxKg33c6cRBza1QW FUQcpZYpuKiQl1L8VlopnilazDdRUO49DRt+kKLoudOR0SjivsXF8bpn31XuSDyw9XP9yLkyiWrC 0f0I5ewwwQDUBZS4sPDuwhhBkX5vsRGmZa5dVdlrdmIMyi0pxYCW6OOB+kOpiQiIFNiq8HXh+O8n 9I5rtU+c53cZYFk46K89qAFCEHEC51IEuksk8pvUveJgUBmbVUhNn22waRitRojcaKS1nRVJKshG VtbnomrJnCn0/s1YhYvISmO+uoEEgi+VuIMjLPD52QpPMIqLc3gKLeZvNwESpdTAZ1A3+QUa9TKY wrH+M187ApfiLjtsLL/GWWcHnVn11eV0sscO1gMVH+5ouyvO0OgbxgOYWn/wojlYhds7JQ22/UKb 8OjMl3DGCLaiO/TGb3tQdgeeRMWU9ThWKHhXKiHADRhGgxE7+JibW9wVp65ItpQDH/FBxCkuw4vV iY8iu+prwdscQi1M4m8QIVar9pMkpuAuy7woVi7g4eNIKuR8E74zXIPPo/OhKpkGKvA9EVBRg9rr PIeIgDyA+ZWVA83vC/Mfi5l4Ey0C2WNH0b6h5tKFajJDEunyPPCi6WAWFN/MNZErYA0DOXcRQNIA 7TfEpSfs69ebZ5ojZRwHUvpLvN4fCre7WeDpVQ8FbkI2rqZ3UO24HLQAe34ohupUikq4NNEm5gMH s1spR7V/kGctN47BIIfURigaQki+Wu+/REEUFp2MAkT854YeUZxJEIr3Ot/fGBPocl6s04quiGnx v+SJH/gkmjqOzX2Nyq5aq5k0XEIUZYgnb8Dt1jBtyey1h29gwH1J7nsVFdqQaEWLsLXQ55FmNLx/ WXEjZCsk3whMHtlDJWv7640qZZGD7UDtYH4i4Vbd4F3vR20QS2RcwtVB0U/ihhycrQ9JiaCW1I4l SXP0oGvDZUbftaJXepW2TQe/nf9IPmx7VUWcFo0XaGhCGWkVklXPhsk6HiNeb+0P6It7lvwcHXWB HrF1CDH2O64uHnch14Nh02tZcJP1Psxlgw7N2ihxFJoRVBXOd0NzMLyM3At5fBFc+yPJmi/pduPe Xruqa5L6WVGMvBkMeBKS6pZObCNKuwhb/8Qg2xyTKG2kXG8TYwS/dwAicBWGnHcNlQYBi28Sni9v PVeMv9/hoXMMnpUQp6GWrnVDcq8wiEtUc3WWtG7gYn33q78YPuZQ+HuJlSMgm1CoerI2Klz2XfNv 8+HpgnTYj5Ds7ePCaW+OlvzkqOx+MqjMj6ufM7QRo3jGo4lo60yoIveH9bixXcy0BiOwNNDwnhJU GZ2exff1mLkJJ/ofIBc0P8QEO2BRf2kImKwU8+71FWn3FNnp0aLOa6M7s9wAXxjrUZViodfo5ABU XDNL6EnL5sDphphHcxES1XdMGkCPzJT8hP1SZfWjEXtzLjD33Zl4NHiS438YzKLbQ9mfGUpHyr8Y aB8z6CNQVBVklcXNJExcqmqVUJi799FJblhlrxu9dbH/LH39qXUpAz8zHo4e9inci0Q7xpyYWXm4 L9LjEBcF9UP3y8WWgfPXpLKbIWvV0BwLAQboBiibnhCEq6/F8h5Xkw+0I6vBgHzJnWrgz/dKe5sl b2ZzXRr+jiHOmWviJVMMLJG48Kgt1qCymTlSBUTK5DfLhB9BJVzHWI7EpTP4GQWdoiXazMxM2uur TuAKegY27Lz5urnRe647BSDzA4jgc9bi/DLMWdGoNFXtbk+syLa3h0jFZmmGBbvkaZ2ZeyRdgU5T wVhLaHYiDMstxxe79tOHu/bwuAYwTXZUJUx0zNhWocRa1HtwOnTc9y4KKFGRE8DvCjOAExn+D547 zCxs0Zn74qn8IPnY2e/EK9hGpaFldBfM6uU1J1B7107o8mx9VVlyo62pocVlzNHkWhl4A3huI3i9 /uJnQnLIxJavDI+MQS2b0OHDEAcIW8MxezFKq48AAmeVm9uX30MIREslRxO3Ru8FudvA++Q1zaLF 7eHhCqlisjeLVg02urWNXUNWVX8ekdac+1BhA7pIsQ/FDL8Wnd1ADNGm+S67N8F699grShdtdSee /PqS6Kciudkthn0ZO2A0x+yYEVr9q+qk/7VrRL7fE5J9P307BoG1vk6GxXldxIbMKOK0sD+KJjxo RVgQYXtvjvoGqUJC4bEJ94oaewjj8TvGn1MnGX1rMZ4I1sHvA5OmrFVgMT4i+Ps0cJFk/E/tL+MY DiDtGub99CvE7xs1WrAXtboOf4NxMwuJjsJadThpii3+kdx8qVt3UXh+DrM8PLRJ4dw1Nyxezi0q ZpvIdJlP5fNdP7DHAudZFCNdWUhZ8CVzpBurQ9RW5Y4clsOW5CGDDoYgBlix+/uGyykWc+n9L43G Lr0erGhvuaqBXH+XIANyCLYrCXnc8eQfVCsucjacnSaCCMTwfY4ArG5AccO7slss03itYXuzLsOh UcGMKhUhjF5qX4wJT/u/9/3HW7cADeg9L+XX62dKMB1vimtgUg1ZI7yYlqMDLuWjzlWI3Rc/yaMP sn8Q/eAam2d6C77mSnUQsLBoFwxDM5AVpDYrSAlKU/Wc5XRh2M/ngoVugrpjlmzlo4Uq4Tuuyg3g ds7lFZXEPTT5zoo/8Ff/nePJN1GRW0YLHm1RrRTV50rfzpBEfbhnL/g+8IEUtKFL7uZNa9tA9c7f OH3xc34tN3NsLfE5ironIj3h57hUDlNDJtchzaFg8yo353y9Zvpzp5epQmlxvOrHz+83zU8Oe2w5 BpTNKFGjfjpxxWVGRYzhLBLCb7MCmsYV4kaX4IDfwNTgjIfWMeb5y/KMOkyY5eVwsZbqwMifmVyT g4R6EekGHBZC+hs3ZWpODUmVnqbysrpkShTK7YYaUBhlm3OExYNoUQ8yhPkehDx9wpqfs6ky/lQP YNtCwZnBqeMll6PE7w9XbNSUiCa0b4AnmoKr+M81z/+bRsmVcB2qRaerscBgVzCXjfP76bNUZYo1 Hv1ykG+LrUnb2KOmf+z/YOs78eQIx9YsaHjeW8zOpj9krgzPZqk5lf0t3Qgx3PG870m4N0NAzgYA nN4rB43+/UIUJjGUYn5y5e2mLhoPND8E4ISG+zASWAX4e5TICDgJqUd0szrw+Js3fRWldmmLWRd0 bJ2Mw7ilYhmaDbNgDnVc7CMHpECVFZxwUXWL8eQ66eNCTRMI184+YUvMbT8pRwTk56odk3A0wQzm 3inkpw+mELtqjWxb6cpArMBY7fiTCg8RO8OBT4qHPDO3FLgGAcppa8mFKEovzZI0kfdLmc7DXvrq Su/s3crAim5J0TUQVpXLEAqWrVdDmi8TaZ9KceZaId1z0uupYTLHI2dCKkYm/I2gHrDXZPFqWsKz kUxgjSkN4HTeuxl92hxwS70//SETGM193jpdviE8/RMyHuIeJIOcU/Vx/rhl5NRIzYQKBVg4Mt9M HRktrsVb9xLI0XyKeONW6Onhelk6LysVwgXGmEgHQYm8QPPOPTPzk1z/jiC7XKY89w7GUcgb5jqY agX4SW4labxrL5NXza6FKIwWGurVn/0ft43ubkM56EoWgpFMl03jnU1mYno8c2QuQfNfxKInRNUd 0yhhHTid/AuwJVSixrF25lJLywQa/Hkmqt39QLh6VPOzYxtSxzmKXxX/bfHxBOoWm7/UNWHcXt0N LXASQLwm1eQ48CGvNG+lUXnpjUkMlFK/oDs0eX2ZslLDl7P6lrNrS7ghFEiVUTixW0RVvUMvrFrX MPM0Tok3tApANMLGUMWZMwawwTCXHQYia2bDah3+SBagpP7CXPKI/MB+bpLYsv1maJiTripmlnMU 7V1W1ugNQqgZzwR/b+rsToIZcRkW7ZyE+3BolUqpq9vFJWEf7/bbIbHE6Kd6S+zebKkhDZPSN34s q9XCNp7tnHVYwL7XFzG3rFm7arbY9fGBxKNPgWVqld+sGiwR5Nvb3X80ASAA2QhSyZ2VWS/PJio5 6ZVVUzdRfYhzS+10B8svcqcLMO+vMRRPp0pPZpo/BbWaZCPUA5j82S7YKztup27SAlhtW61S3Bh4 1Z1+f2Z+kDJ0TApIwqhEy5aXejkl9b0mT4YAm111s+YvBfS55984AQphg4KPHOcGvkoKfVZzphdM qMwQN+aSdbivO8lD6HxM09mRtZv4KFpBtjE+lam/7nF0Y4HT6mpFwC8CvdfOp1laoQ8k0XxXmOA3 atUu4RsJ0mbuMRiOSIGPRBggnFQNEFaOiAugYOs3okYMQ7N2rfqedxPFF0Ou+Oiyuc6/m+eSP9LO 8S0eQwoHclX/wgFvzw6u4DTBzkMGAn1f2UOxFK4F16WgDqlOkpZPb0WW+O/hoYFJEfvW/tBD03Cf y56nSawIoWHCCchDKkoo7TtoXt8ZchTYrnqDD5YJ1G5ACWy7jRaNA84MGvJbyPpVgLBxX5AZeW8J UT2OxEMXW4QkTdEs6gCnoGha3oIUdHrHoqKyEo/krL7M+x8rbRitqbmvPrRN5u2s8YkAMeg55H/8 W7GFP4leFMwMFbdjNqJGmD9hJ5YD0vXLucmlzsplEGc4vjDwROasj4XdAMtpOUJZ6ufIiNOtTOTj tFKBCvyaipNhw3n1msyvy9xmGiX+jFbiATCXoewXGimw5OlA12sYjOWQKd05B8Dm36aQEd2jytt0 9n/lwc4nVRVIlQKK0BP8NB5KFf7G2/jmQ1cEH7zyPfCNIZayKlJNfGsrUqrHjGi+rjRyvnWPlsOI iL479AEhqOOkB5S1hJ9Trrjl0+Sv7zOKlEMKxiMqOfTeUKkO8RsGVNFsUbKTlKRdSC22WAWPFcYa 90/y2ioBmSf1wVvSUxFT22Bbq1BkEMsvXI51vKVAW2lAmgTN/VG7hFIE4yyxARgY8c2HmZhDy5Cq u3XRLpiuFRKTdaHnIO1fOfb3/7UZF19mad0/DT8Jxon1gQEFh/A/N8DgVWnb4IUHcC9tsiMc0cbz jTUaY05iBL8+dehZI/kKaasu+HeaEPki2G27Guqx28BCulqry89+yq4UBwrapyuCH0MSLfYtZjq4 sTVZUxaOqZ6ZjzcR1v3TwvefABD+/P1o4JpyPHxA2lVPmy/pRkBIkPjT/vj/rq3evvUY/NiUfzka grXm6G3cI/NbcaxILSl4HBWZlgJn/oSvtwWrpk7qu0WrFUKrIvs1rpSt1jFl/yJ+mB6uGkrIhGcD vBlROH4wD6CEU49mtGN/aBO59PYzFKhCmrgONfTLkycafQlhsPetGk20trJqcYNiTmPhTLwobQdr Wg3/C5150tFxuNCEJOyP8is8ADOOOKy1cqxPDB5cYBBNuzhLf3K3XL29oMimALzP/BxBtgFwuN67 jK55wVW8TO/SNjUJCpYAefuKBZPKp9pLoA5njeGAM5f9c0vus/Wb6R6v4pO62tehvItn4o8MORRW ZX/9ChkgTJrXgodv7jlI6weOdXsZnsEd6ncsmTGe0nuqc4lYh0sOKN1AdMhCYGpVfunNvHhWRCSO xG6RvvGy5uqcYgamn2+iOspNbG9ORlC93Utl6i2O8yOIa7hEvbYhY5RC4litn0Ld/Yl1dxuYc50T ckNnzdyxTXoZVdCZNxz2ARwXDlvOpYq6ahXljjXMxEmOw2EaEtogDD4zZmkCD7Zh4GOEP8lPO9PM 1FiU8bjwIptDXM9C/Tcw9S8VbNd3C3KfW0IyrIAaJeNMdbO3vsdGOyJp4dq8q7GEPWNmgpDy7/Qi M72Anna1LlvnRTbFD9/UfPBwMoKrGNEmWOiVRi+OpjX3zBydad7DK/i/0fBjcFY+x+CNAsjldGC7 QNkbJAQxcsjXtIMf/ZHiuZ7jnZ9e1kwFCcIeuTvVMhNPJCDdZ7zmUkytqzqKy2lW82ik0HCEPsqd z9isnyJyAx/jdba2rPOEBG5GyHTUBQWVI5bmfPfQ1PFVGvssDCD6l3b267K4SCM7HzxhU9OOPMTk qJUm0oBxNUNqUCnFCugTRPekq3a0e3sBhPV25u+EqY0Bq8GnkALWJ/dMOAi2SOUD78O1Lcq/ED4V tV64c1mjkr5dLTunPrYekq0ls5A4DwZxR+ZPUuGChG6OvY1jfPCo9+Nid6z7E1HgDYrwaPTy/j5K mBI3XebXfgY6mh6v27qBA4FPWrXIDIziVY737VvlW6tU6Cs1sFlE7w0J7d3yH/W3UUQQilZ57Chz Sa9DyPoLQ4MxZiAfS6RZYwOQJA1/QVDq7MLvXjwhCAePkaAIhrHuK4bWzElbRJg9LjIJkYkJ/+kW 5fsfOs4sx9O7U2YoupTz2/Z7JTNnL2+pMQucY7iyxDTcBI7KlgkaPtaz22jk0Vd7lvlfUc7CSg0f y+ijwQbc8sPJxTGbmDnOC1+8yImuc9xjV3zDJN3l7wxFZpU5s9VIb7P70Cib8p0RXNXRuBIZkd9/ SzDzAmj4eJVC72eVJg2B+XNnlVXJHu/F3Wlz6FwUsMJjMSr1t7v9um9wsTyG1WSPwl2SjAjRRE2C ylJxjJ3b6waXHAzMjmwNyIY8f6X+93J0OIkH/hvluMeJqmhGTFrI23diWbLtTFTOJcugaD2cMoFi 80rJ6V8YxWfNKTwMKt0cbH7rf8tCC5sl6RSBHygA2NblpfdYGv4Cr5xKiTzaEqiMXzevkGpVRXfO dbfYPe6KCMKCUkg6HiJmLgowYhC3lcibka3GVHCsQc7+9Oa2BGhhqxUkDVYhtW7Fv8NSeow9zRYx y/foaLCNcjDz3OY0MTFWDY3Efzp4HVWMyDjVMvCh/tV3ijwI26E6ajpQje1RjHzdII/IsKAy+ft4 +P8Vm0EXC1brFMxDPmaxa4QXZ8Gq1P33rZ6YjiLpNbPXY+WkjZyEuhMnYhj/rM2on5MsLWNsPiOl 9IwjDYacnrKurSJjIswIw2YmGt9bB3IB+wCYZmGb1HZ4imMX1V9VWBC5QGgRxFJOWbRk/2eF+mDn tZW6yszkMOebWqtgUZPvPaimxupvPmk4XTglpCxzauGeG0dmNR0dOKlnfTdkcnBovvbGDwOC0228 7IfYEaxWitMHeVfYa25hoDcsXHtPm0RsQ9dSflPvV/cqN2NJcfGBTnO6bQpSXbHDuTR9/BCevbXI Dm/Kpc21EZQ3W4NXjAGu22+xkiGQElxjX91wvMQA5MDxc1kjVFacZpkD7Q42i6iPXMLPFfMrkV34 eZ/ZVRNKKOoMScD7XvcO+bh71/MHin1+JvDLW+xs3Bf6Ca3FCoVwomBH2LSshQKNOiog8H+VgmCT jCvmdAdO0h1xWgr4KwVHos2d3WaJ7eQp44e+eE+f1WRn8ZVj7tZ6MrF/5ExjuyNigh7g1IM5PeQu 23lvL4dRvrFI0BoT5NDOi4F47PhQtmQo9YXaSK11DH+d3lm1Mq7j/00BesYiOGlqqJYWdDE9Zev4 S9xm3IiTOt5Y0ubO4XJFaMTk7WWgPFHkv8l7THzLXMiexL5pkcpXuvTYrmCkds8bSOyp6Gv3Bheh lF+gtLkDPZ02x1CsxVfD2nQoydY/oUPaj+O+288c8ag1JP4QBYXZayYokCzyGkrIzSTYJ17FtNL7 sM83mlyQ7RMoU5SDBicuKKhXe5fSb0lKzSY7CMmDamYhkdVLW7kxH45jCpx0Vd9Onqu6LGHyoUa9 UyW0WeET1xSh6trDO8EHTLP4hJQiwwjrSE91FIU7KJcVa2Pu5oLf2+VOdfrh84xixsEe9pojTVnD /ul+PX+LfGzGIHxy+5QgudgSbPFvDkcaNzWXJBK356kJOpzNMhQwjCB5tUZvePuPdn7Oo63JRjtT sQla86I/N47/YJ/+2fMLXtLv5pC4L5hAfJshmwNGj55YI04eJbdltHLM5iZaGhYH/V1oViTY9TW0 d5BZYUCNzrjNobJe62X6oqMdOL0FwlwW3XmvixO/Ysqs16/9zabo5vqnNezOSQydzdsgvl7dMm+V mlgXkb7YkRbexHX7pY5hwM8CCGlhtZ1rmrc05Tjo8a1uHtle+D8U4v+s/FJuaodjjRbhzjF3pYRi XNt0+CbArp+J2+m+5uYS8T+my64nOli5FN8ggtet/BoSmYr9Hx3HdHCxNnO6OlQNFfJJ94IQC4xe H7hEUptn94C5XWJpMfMerRXCVlxXEbI7u9MHT6Jj1foAq2F72VxC6nxEnIXmHgYdXU2f4dH60Gn+ lRXk06s5BExLu8p6kEieho033R6DZ26VltYRCdLVaxhHfJsvGhx2zEJ2yvoDepE1ncqq9rjuDVpj R3xXv+Ny4ANhNQFFI+PrDrVeSdr6XpDUPky7bX1od6MKBmjUYpM20+RWNb+JlCURMHmrs5c8YGSK Hwxm+Yt5tqbG7k0j+vAXZSSDtXpGC1Nob7B0zHP/BYMiEm3qNonlgsmzrBszX1EJF6Ln0+C6qAtD NygEMCoNhfRvV+K25duvR0LeO9PRDk8vYPXfgklpyOgBD8lSsKZIxJMad+sKO5yGCzmu4S+oKdIK I4Uhlbw8YH3SP7ikULutN/LDy1Xuink4cAmEcHtFLzxHBr+FG81pOcUNDjV0eX5Yp028Zy37vw6Y EUUqYwcz3jcb7bamjyy4igSN12o5ZtNvp4nQG19VIsqFptKTYE549UoGxrb83LFaIfz2QQbq6QGE UPO/UVRR3eUMZjgcHiSgwMYc7YGD3rQrt+wX72PBVNv79XJgz97sBhD+4mNwGBV3Fnxi1WTLLdyY YCp0t4ioLfgWU0gC3zUQd6oJX1ShSmPHE9dv2caqd8ceh06e2Vdg9bgjscnlNqON53h+SSZa7FPw NDS+k9JsRpvAmGyIZHGRM8J+YpPzzaheK3I+QVV9fm9BUv85AZ2iLGi+vsIWyjc+bsgLftHkALMB Wp5xoH313l/nz613uvKmFxXE+aFxPYRyejLoCT+hzbQRqE0b5f8Xc1P8e35ySCD3DiCpDVgFRVgJ E3jiLPf+7DI+OmeJb38atLxKPL1xPk36wuMhuQVrA7VcTxqFl6JU+whmSFCIr/LbmU/12SbfSOSB c4I+/ZYgVbvCc6JgL5Rzja+Yg06l5nb5ZB2vX94YMjM0faaVC7h4hFqQf2JQUFROWf/CwFoaiQYm HvGgEwcHp/krFkGK1Itw1GroS8/9XjNXH33V9dOT9MSmX14BzuPtvWLb9Yk/GHby5/kon2+Qo7+S lfPMnJgSDIwGzRCemkVNUGbz1H9lIX3itGKuZj1UkjezZ0AgQUdcnh9KF/DtxZR2LyJJ6J2Gs7i8 ZrvcN9KqSN/GUJiusleH0aXhirL05ye8QEMY2VGvz7tukAolCdZpF3kGQErKVWnHUC9J4o75hMZP r+YXz8tAB4b62HTGS8OFz2JxsyrdD7cj2Fa4Rn1wybGLGvmFYSZ0cQ+s7Rw92+GsNm69XhPFKfuG rTEMbJsDP70mu+bK+fS5vUa9iRkm1pEXP0l63OdRI5ZSHyB1ZSK7DZ4CYxr/KPjCjKWxPcLeSYUK vFLdshLCcmqsm6MSl9HS4KaBLaBfGha6ipLfrZR357CBrY3Lo5zBiXDdui1LSY5FwWaMjFwRgwvW tNKb6hkKlFwgNZn6xG4l/aj//LdVR8aIQ3R5mi5TMqE32ofn/1ICAw+QzkuwxHDjCR8IsppSsM2m faS2UMVtnv08UBrKES0J0ESUoGm8YZo7Lw1H8LJ0muUe1dnjzhVQX/OlojZGWhoUpz1WynXQXshB GTS2ZJ+MeeNbkPCYf9IVBHiHxu87kjJpymO6F+utNrkrhyrU4D/Jm3M8QiEVJJtYKwnsKonZ6m/Y UOJBuIQv1+gfI6DqOmTMfjkTQ8OK7f1FueXqiHJfY0ea1SoRra62tm2esh26GA0DdNDAFQB2rRlx 1serdvl2Jp3wBAe8Y+jq9KfR1jayTKHw/S3GDBqAUa00c/o+F6igCeG713Xvi2lQWAEuGEMEviMC AbiC3EMLlZsFkzl8kExIxEb5MWT0nB4MKYesIoubgseHNrOXR8Lzs/TLzFC3l/hVx79MbMF+vvun 6B1L2rltDJj+GKxhYnt2TP6z9kJz/tIbMxThPIvUhizej5s1allCzGAlPyttKCrxMuaIaZ938ATW a7RYDvWktNZTseIt5qihhKa0aZXCMWJeLkOlGRJXKz0mHfs2MMGg8ydcRs42Uls7x43A7gPdzxiO XH6Gq1LXAwwek9yKjDN9EpdsLoLE7JirUvT2R8ReStssZMgL4lh6oM1bd/IibGFLt8OrPtpbWujr TStritvC3E9DGFQi5yHHqnIgZQi/tqie1P6fY5tDlnleQtEMi5DoHk9mh9fsb+bI/fQ04BR0Kq4+ 1BFztGLJNJKCF3c1Nm+wldUUs0hOL1EXD9GYXTPV1xw3zaLZrU0iZtmUTRJJzxadD7SBk/ZNjLbI WUm9P/14zfq59gd0zZtIZIkqldDsrEEqYG08g9XgtcAUU0/21emMZuTdw7FRkRsNBjHMbtd8yLjH sce7TKl49aJ3Cb8A80gzutBKGMrNV87j4NvSlzsEsJaixmYF2OBRddzGQ7iPPecQOzg4jZ0qrUkS bBruJGjekcFu984vuh74l3e6mcAHx6B/s2tX3eS1u7Z2jQgGTUZ5a9vEBbInoDJTVUCTHjilBwmn FPoNl/rjEnatWWb0IWOwUYVkWqlaXt84FLDeqqMrJSgEhsmlk++5eNIPmJu7eQXD1VUgROEu2SGk 97uEGRx/Nuk/kWzKDbBmWXT6ScIjPFt6DAhd+DkKd6cw4ROXbH98MUP4yDmsr3J+e+KA5yAKa+5q u6FqetCpr5g3koM8vyEBS6U/IhaD2Z8pXQ0TqPLilY+0JO5urORg0gZHja3aJTWZvHF5sZRoRk6Y MbT/BJV3d9tTarbOqIRGp8OKoleKk8fsKXV4yA6lhpAmxd8khfkySWlDULROZJp9U96KA6B3jFa5 iOFDse0bMa83NNq76Xo9CeK/RmPUgYgHAIcpZ9BqdrCwM+vUct2MhnWfRIdf6eJ3L+oXaogHJPvb XfFeaTn9FB67HxSuE11zTzWpJVnu4fmUGLbv2d/8OEPJT1bdmh7WIx8XWWHF85CTy9+isaCKCuMg g5PjWRbw0KiSuBf8M/5MtBH4ZA6fjWDLX/CPz0Kr494+kWT6Ylr5xD1KNgLv77HFINYSIYVK3qyt H5Et8+EtSzllCSKpGdbiEBTwZntxXWYPa4KSzIxeZDjHa1CSwcPK2vYxUxv9celInO0B3K8gnVTX Gzlr+6h73TrnNOzlUjphhvK+bJ98kpOqmNtvvf8JBpaZb1d/BA+Hjikjrl2VoFXL5BgZhl5F5J8Y k20NX7TwqsBVskglhNhLnwhdzA2hqfMwkT0VOG+/M2MtZdrCpVRWK3UsTp9W2WiB2fG/z5Crkygq nSX5jta5Gx/DiH5rhzEW7C6CJlzv9qq+c5/K0ORrKICl3t5HNRNfhIqNHTt3yJKLl6fEHPAyPiOg jEoxomY4hb8xCsnIEy9TupeGireuVUk2iwce+ekG1UbPpizuUQBjHbPoWuPXYBVaDUeR6hckAOqO d+naM5tMedYVDxzF1kvKM2/XFptiKf6fTR4+R1m1Hfwi6NtUCodxc4rUl7PigsVY5Zbn2jaSEfK/ /oyP5CFaJaAIXG1IIEYqda/lsniNlWBELJnpE37CO49OD49FQ0URGpp0Si/KGGpZ5iR1ScFAMSR1 Kv5qxJqvpL5o38KKgn+oqKb0A1RF1tzQ8Cdd2P5S+GxQ+gBd7lOPHqXY6W3HesB1XZnEqQ/QRLsF QP4jJBNvTnl6tpgqPYfylEk2wEUWqLRv43bUiQbUksBHALdacJLcDfflWQaW22j3Bm7QfeFGeTjp fxQ/HAtmeWbk3Jz5CVHDrTI3SH58ucXpY015F0AM72yZEUkiJe2YE2pf1e08nIA2HHQbGNl0nOJu 5M//M+GXdyiBjrxlGzix7XSTp+A6nCR3Ni/STRXzSqCvBes/u7ljuhbl+D0cSBDDOMqWUyJ++Kx2 mXt68DvRpYG+cfPXzY3fuQi7keZ7jcUGCMsl15jqx+JLwUDdURsGXrT1A4qwZP6UlbX8hDNHAbbj fxkLdzchikSsRqdVgSKfr2O+vE52zfmQt3+uIHEXdmZ16cOuDnNdiDCVbF0ybS0ebHdzaCcRFazM y+sV0emLMxs/h2YSd1dfXQuWxpX+gsaQ/AIChm7HMcEIin5zD+phwRgW2B0Vy6ZWigowqveH1Evx JKKsm/Jxn8I9QsG4fuV3Ua6BFv/M5KHoTvJjIxAGTDF/UhYxrDYLWdULZUUsLEDdnMpt6Y0Zw/CM ShSSdtOL5mY0Y7wTVM7ywdSF91FuLX+L7iZoRc9PGjGMDy7QodfL991OKQXNq3za70EV8WvaGk3P JiBWT0EhMrEhnq5J+LggDqWPX2mTf6FPF8At1/6kxBxtTEcwgA2PASi07EIUHgIUXRfblhrr6nT0 RgF7LV78RxeKHxmICDdQutUVWqg+5Aroj8KnemNrGl+kGzMDHHRAoo9OMphqtWIpDEylaKD+7GYN xhXE9b1MfwkLLZFPG5Db8NUAcMyyT+8BJjSEXBIdC7AIcNh/wXaXLbilc+1bPbFXpkP5/gDFai9v 59vFnexvRyYoXVYQNXfZ/VveUCb5cUJeat+obq+YmCUdBuu5BZ3IuKCI4h4EFge7tqemT2BWUqtO 7JzEZ5UyP2ogo7RV0EnMwI9w8JXQznzzs0d3uixzYf4d30p2ijLYPsTkI/wsYwgbvd6otfOBC/QW SolSmplNDujvcPfBoctSbLjBoPbOTeLSqYqngFZgYPNxXsi/Nh5YLA5di4WT2SgnZILQ44v4eDVS V/wGbjp9bEo8/Obrd7uEqg6cr+13pnBLX8PDhPYZDUqnH09Xr8PiWF4Jq0sINMbRF2IbWdXlD34/ tm/M/U9qmq8rd2SMiw0YaCMFgJH4PaC2x//5mV2mzAlEUHxkp/bC0XD4kOhHb351wGbghIQWKzTV s3BmzJaFxbBCrpHlDo95heYtUDaR+3m65V56XofvGIhXDfFUzdzLc/flYw+jm7hnJLuBO5gSvpT9 kL4teEv1jAgAm3gCW4UZI5fVrNXEeCoEiWIU1hmDDv9J7NC3lpaM8BsKbeuR3Zz+LyuhEhZoW6vN 2s/548PbJKI4EG4KZ0o0yhvaQ0+86B/dX/jVLFqEWrBBhiY3zYhIgHRX8eUstt5yBz6naZMX+swX r2i2cNJlwUXIYfvmVnkQ849rYGFS9LSvR5MOKI+Y2Rhwm85MryGLxY+VjLiKY5HfWRqOp0TJt/kW ylplWpjpuhU4GH29xeD0voDSmAtry48/MAvQCMQgBCQ4nBlMZWvqX35ePwSoQNTpSITNHo+Y9hJf KfUDBq+FYHEi+TlCopmdwp+2zpaZqI8i4gCPV7yHwZWkrq/23YysL5ffpQIsFXO3kQlB01mEySNv 6EcgBm6KWCf0vfC78HcPxXBkJOXyFydHzOBUTR/Os+ByfV+1rXh1cxSyXAP7jRa6Prcm+iMEa/ZX /FSlAYMrbGO3PwIdAf3YE1r01tyfP8dcoOjt8246BZucTnQzBj7eK8Dud2ifRSq2+KLdD6EnYtYF XJKxurPagFGVRSFgFPr623c6cktqrYGnXgG6fIjY871f6mt73wQTiWupe6JI/OTnQM3rc+9Jk9he A5healXx5ZBaFsFjUwm5h/d0ecO4TmIG0HLpI9kgFKaNPiZ7c7gZLBNYMDJzgkfdMd3gYIzTZ/5x LHuhFWes+SJJnMwmoGbKdZRJWKZ1y6ER2nIzHHuCk5pjMbI9qEHhOyxj7WGM2BmRDSeFVU37pcIa kCL4bYAwQxFGpt8o+Cpnb/He3zV2+4+yU2aKJSVIxr+VTE+zW/Odz3s46ZO7EqwXf8UIGTgBn1Pw BhfBKqLpZF81u7XxZDdJSxPTK3oj5n0nFCTAqL03kECqBWWirC4B7AL5bQeP9enk1zF4j8rFRT/N 7Nrz3EP5Tu6oVhKRAqNfXR8vYUWvjFFgaUafN+W9Rz4xFgwVB5F4J1lGJp8Hs8YYovXJGz8TQZNn a76Mr/CQbv9GASn3RlgI9Fv6LpDxA95HPTfPpVQGZ5iqd+e5Qhr4DQfU7WZtvflACyYzavfnWsCg 2MrPmbPgZmYJz2J8QIJhktobdKKI4OQEzuUArj5Cl/n7lVhkkxQNIj4g4qM4rcImA4AT8oeO//EE 1z/R+xOO0cf5BPYMDX/A65bULGDNcVtKCswUmtACty0LHv/CkxWJ8hIzeftaO9ULsXlyGO/LDK7j Z9iB8DmmNvm40haRYWMsDAkcEBDHurm+Bbmts6XrYGxCQF6TNy4Fq8voNFXCMi2JnjHGSCgnpeSa plMvwZl0Wc6ql/sa0IHKK6UJ1cr9PLNIJH+HdaIBApV0XuJJTvP0KC6YjWemUR9KbH7vCO89Fsbf k4L1XyT6hI2RpipbYzD1tbrz+vkJ3cZBaGvakvEupLjt4NxowKbfFMTCHi7eb4VNsn26X94d/lAR QwMGLE7C+M/Hiu5iwIRkk0ej7G3MeV8wv6z/sBCWINVSf2S/tYWq7gtxM34R+J+3WCEnR6XWci/v zKNq2iCAsSamfO/xpkhuiCmOdAv8KKL4YIHlnanAbFNZ0E3h3fq9aozkhMEfMAXtAPHC4E2ZzhQ5 06QiEDmSxWS0+2gdX+hz09skSWDs0TqZN4JE1W0zc3KccMPuuulyXwxtSGHJLXZt5ElF0At/XEod m1ylBvhkU8noUDDR67s6Sd5ryeKQ6+UGBhaNEjSrhOw7bxQY/y8oy8FEESb1GgS9OGng9jJF1fkg HNY+Mm5qr7nNiT+G9TfC4Fk0zNDL5domZ4BIvxwcv/d810O5EvChFH650OfAKr/QfZZtPAjJs3q2 prfQurDM4Ta2rF68682IZuH0jLlOKJCUJTVm/drB6DOxNQR6fZKdHn/OP6IyM4nUhfWhLsHe3KOt 8mY0px/A6dXq+i+ntONaeB48Xztye33Nnupk3Lx2JPbXCG9Wi9OG+JgpxFytQTg3oOEKRG0rNa9e 7Zl05rm7XZ31qDlDivSZ2qzjz7rX7sjknpxxO8a2cBE3nHa2sUYOvJ0v0sR/KEGSxaS6Ax1F4NRu o/tLLrV3Jo/vFN33erR00m91B1NHrbnTOkYt3MYS4S1OaPULwSVyvPWLl5brC9PadYVGWIyJD2ob CV2ocOlA+sjU+/uyThERu7qRclK4nRVXPpCMBEkszWDs0F1HZAWmcgMfpFB/lIqLRkawf7FTUJO0 KFOLRcdsYZK5EA/FJKTkugu0R9vrQuVowRhM5Av3npMzahgrv//pQQgI3cmiYoD4ZF+1pKvOLUgV v4KZa011pPUM8SJemACWNIlcIugBPW7VQnl2br037uPfLq+/9G4ssJhLU973ukt3OKTyOgencu8c r0gyiRBi0N7UxmOCJZ17bmrW5FqcUmqh4lQkLtWhVEnqV1eb6TMMW1szPWdWU+8c5sa5I5/38Vg9 yfsKWgRhNM5C16/K2ojYUYGpzWndY83KFChOYEgpvrW8Shc+ndqzLRi5NKKxMZfZ1d1Wbt46du56 3MuFTwpei4ZqcviMfEKMPzqk82TjcMdpG4tj9xYtd7XsWLdUBPu9WhUxvwLm3oDXe47b6HkasG7L HA+Ko55PT7nf7/3QCP6UHrQI+3gDRXvcDDbCcdPDZ+ssU3O46OXIdTZBX+4t0KxmCOnZM+6KyOZ8 Zs4L4WJuYb3810n3A63UPEYFd+rVoxtQOK0AHyOob4SyRSudBnQZVsJLtRl6305CnxaT+/a7aNkb HqruWbCHvvXkIM/5tSzi0P358Ayh7GrrKdx7RZPoTnG/B3etOgw0LEDSR1YJFmoLMJJ7GRtXvVWc E17x7KIiXSA3mehAw7g7wPgzcAWzrYmpfJoL9J9oEF9qUWpC4SiUpDwY2GLPq8OPcYKZNyrug+dd GwFZPSpCzH9XBXyyEpUz+QIewsj6+nUGe72qvy+cm4DhpCjDleAxxskPblzYMuNb5ey60ZmsoDlQ JtPGqhe2BRde/nUE9p1CVi8I7wV0MF9XwC8yP0sBRJFRJAdhcwEWH4Zak6F4mNns+kQJ0DuajH0D BKZNZCX7jkMZaqKBDr1Koj/uOSj5nm/gWpoqWvLNssXZ76VPrjQCxjVmUNkNsu04h1Rinj3qDOyR 78bXq6rsQy/E70bQo+aU7vVRCzauNcTFWjM+6+DSsZegFJNoFWYu3YgrgdBSsnBTMxN50uSYxd1Q CILY3pC9NIn44PwpyKsVYkseLEvVUVlTJsA6WbeaccPlCtAGp8risnmD/V1Kz3jWy34EY1ASI/q7 C5PWbhnWZtPn+UbBEiZV4/cJ9d9anR0IENAvG/BDK1pi42KeFC93JY2lhT+mPNU8nvU2lmu5mrUB 0ZeAkE1oZ4JxEcmsWGiyGXR9vZTC6uQFIWx25auBlz9Ugvq7Lb1oMwxm3HHbOwBAOWnL0JgIVInh KnIy9EEXh86xu5QWcFm8grZ9xaKdGccfPwY2mLMTBvJVvs4QLftuPSbXyrPd7A54BXtyCaVAqH7z 4XBncrgIytgD+qpRzG9AoFbenV/bvjLFk8cAYCuoGhOBltgJ8fKR0MH1QSDxLZZT/fjdncWhhSvV 5w0TcazMvCXhn3qkGE86dB3bjMUFnSAJVPj+0wLv7zZTNUle2bDUzfgHyEnaxK/k/ZkC6Hiv7N7h FAzA2SzK9gabErMDheXro3s0pqz4YRNR3oif0pJM4nKTWo+tulgoK/A2WvKxnvKrGhklnZdhnPev fUewKgg/fuD5rZ9Qc5qybz8gKiZasq1CihY0PUP5qNC7uSv64yhjGDw/oyXc/8WoW/oeaHWktb3+ vxl4gtB65s6y8dafGwesKdmYah6SY3fS00bbO4ggb+mIxFyIRL/lOJl7nG1I9iTg0mfmEy/bOw+u AKbgoq/xgWKxz/uBHyL2+USww2XZ42FzxN44jM/WZMT5qpdYd0omnea8OvR6LsgqQEEzYt2duWCu 3r9ae9Td0jDWPsMBpGUljpEtTyqubdE5TMFLpQK0OhSpIttsKEyNK7xyvNnkLThkJVv2X6jRRsVv MK49pOpDPbbTI3JJv9r9efIb+H3j4QD3w5nivi8lUmvvq2duSnr3aLz+TmU130vLKUdV4AqlvxE6 zPuxBFRlBMiXyGRkmKm/I+MaJ5JG7LvuFJ1T7GQfrhHk0F7xnYXwYzr0othlUiGtOHO5w4RDmEif TmrR3WUIf117+SCtRUhfpbsdUmumbcsCJsvWZOWBbPjG7n5VKny0smQ0XGBgQx6gNXwc8wSFSI25 D7GSwCJ8Ldu/BjWs0TNmLfilGi5RoREhWgwFqpEGuk4i6pZcrNsQdInR2c96B1dONTfboklKrAD1 +GBFwsNE/dEkCEVWcVGGwXsnwp2dcgG0nKiEcGJTdVK6wxb/YtDtV/ODvBDtLC45eIKUZdHOA0RQ qFEAUT2lIFIbdafDjux4L/mtL3eyhc68S3csn84LAdIvpAFn+ZmIdSQ6UzNpYcjOFPQZQMwY5kKE ZRWWsAZdqNBg4QjGfYFCGDw2/wcf1QXAALLoxZ/6E+Pu3agVO4pIWPGrt8ZNZmfbcaqR2UFu/T5X qoo4UuS9DHvownTze66QgDh+kif6N8tXCc8S4zrJyj14uHW12KAY7hZpkoj1fyRTYeYiJ+yfapGw EGdSmIgqtulu4MdiS40Mh76pln20kHsjQkxHXQxz7cwp6Aee1dLW91/GITu9Lvk8AVOZQ01/xufV t6u7d2HoMmkweZKaYmrZl7SSHfVA2oYx627xLYc0iaH/LvPyECSVVk1pdErOf8Tsf2P7Ar1lisgz kJR2/n/HlzvyHTSt2vctOmXyvqJ1gLrpk/SyKV+wojgnS6ZSALeeISEFHREbmTyuXNxjhti2F46j RLpzFriki7FLSdzqQMCTQJK6kmW3mr+g1SDqDTcf2Px3cl8YpxiltRuJYIV74f/2RfQOWsYLdBLH /VyEJ9S7gsxrWrSi56S1Uha/UzQtAMu6xWXTjzv3RI4uVeXGlUeEACnbGhDwb8YdmbKCCwOpP1w6 F6c0PZt3dwLsckKDpL6urN1zu8QgZjGV/4yM4gmbQHBN4bEdwuVB6FI4v9eAbXgf8un0iebY7m94 Hon2ywXwCpyKQSyAeGRpjCGoAeD6607eWeY/DGHKC0lWtrkBi8wgeO4EjWtdaI5PSA4gk21drUeM nLv51mDShccRUgDkYMSxgAKm6q2VjPRej40aNnbcw8H/eNw4eHV6+/921mDzOIUceVJ35tqeRKIT UuxJAk1qOKrvVZqWx1knAeB5PXrMEJLa+RBr19JPqv1uUid4cii8RN7GiKTPKfCRcm2Sf5i+0Mjx AIAUMVrIjdqs/M38ivAD2OyoLlnUkUtGXb7UZ1yaHVjtgigdFH92WjNyZxRKSsyKMj/8BEhzmo5U 196HX0qglwfFRnFu8lo6Q3Ryw0IQHpyRCoRkXJ/ZF5x9bThsgscwDAg/kcxcVJZkDFryNaJru8dO bUiFGPyizmAp1NuYlUCfuFsZudnjbYr/YcrZ4GTmpTYmdkQUDT1h4rbTahsXuyMuwmVwnnw/2NpZ c2d/X3SzEt3+K/q2TDYdPyqfdvwBlBV831ylOm9TPN28iho+6NUcVbYGUnUYpdHIE1QnWF4OmnG8 UKB1UK/LtKawU1ig0Gs7AfiFgVYuyBx3u4GpwlzB/x/hYg4GVWpQBG7QXQpVL0WS5nexgrn7ik97 Ue46vGHnHsaUDXFidh1VuMEl5foCSi2Yk0Y0XeMHxPCMSbPqWEGcjWVpROlSbrTA3ukMASqgiwpN 3kDbAZCjzgKxlCwrE07DvZPAaxZSyBNRQ0LjVe+7Lr7hMQU3HPcqugamz7FZBeOMuG0oOAc8IRxp lIn09r3lEruDAD5egO/89ezIqeNMmyTOO87z7qWw4ID6Nep6s+xvSg5bF8c4hNC5JYTvX/vtKMg5 7HweJMNdQetQHvd/QcXmAYGIo/qZtwpYSu0IQrubnwbzXR7QWyVrYWJVtGkkxiSsDWDuCqiqZnEJ UZaQonNwEgPW3nCDhTTXeTo7Sp4DZB1Egi2uWwP0J95SeOSHx8b2Auuw/HhVGf0Qq9eg2j8zVgQb 8Qv4uxYSsCZIUcgfGqbFahcIskMoCs4ZvA5UO4irT4LJwjri0BBGqlSaYjt/4YZA4WJaugGIDlrf XVyxS1cAZlSVq1SnLj6khMZacuuTdMeSuU59m2lcuBE+MZpZRpyDKDX4aG1GCz63j2MNIukmFY8B gdizCwOrmWE1P2FyWQpYk41F6cf/hyXyjLD3zfbIdMpcfcxM4H4dhr+6ICgvPkaWR3nmiXtT64Fs oUBgcqlJFZJrk9xhfpKzJUBnKnT1OcVlZvPfgtlL03xm1FQpjUfrqKGTdVVcBQ9gScSZwNehfVAE ZuYzvhXvzXxVV1sFdc+CZ21Wv1GDzqpXJRTLJ4g370c/4++WtR3bAVJ+K8AxwrL6n+I6eNyoyWAJ /V50/AspdW3o4PfPwTL8ntTsxVGsRFBhsSgEXhMExSVfAL3YZIffMmbMO9K8OV7Y7GMhA2BwjpWy JWOCT/waRgDvKjOs7SL42Lskkj9upX1DPRT+rQAQ/miFQFmc89NmWDLp+WDiXGDWPsL/pzWYzeOK LjHGy15fDPpAO0tq2hZkpI+ohIgLgRgjWCilJ+QQt7zM5l5DnWVKGBrTLlHanAk0jmkL9GAgdcmk JB2Wjg9F0YBOJn1mS/AXCPwE617lUHY4YcAMFGzofkeY4vanwC9i0eQhdk5KnGIfHBt5i/J3rSMe PBhBlpcTKaO4XRlN/fSWuYYUoS7ZwvcqfzG5eOm5XIPAWnrnJYzygqZdxa2ycFnGnYrF+Q7Q1l6p NgMcZXS0JcwCcQcT8pSihVQXpHpLUCeRXB9weHfNk2IgmFsbzM/IrHUHQj0h85wpUm9tazG2OwuO QAgreqETahVAYTn7lzcwXDQDGmxX5ATdJY8xiL6HTqste7mYa5kUb49mdM7UPo+irHAB/KEmWacf 1HD9zulMhhm/0hCKGO8iGP9cTBYdMc5a3UIxKDPQF/IRHi24YRWpkMD+KlG4F3XtxP2oi7k46CXu jeqbev7C1OyJlZeqeAcb8PAvJbuZd2usxqUbt8fU1KdGlifuLd2pjNBnl8Op32E8Raw78orQ7yfF dlRzZk6m2VPXG3Bm9g9hx2uijvFb+dOG5Dp0+6Z76CeB4RS/Y8ycUXuLE3dj42pC8zgFRCLJR+d2 6+3Mbe1YCew5BEUtZa24cjS5qMjKO0atniEKwgOkJ+6zzsDwZqZppPAR6sAKh31ApE4PcFzGzoRf djSUSjnysJQg5LPUguiGDbaEIG8IJ1hX2TU8r7lcdnPz1/RryGiq3mjUMZsSoNC8yV2P/NL1+sqv tSt56Gkufs9eg17b6wvO/kFSEdSWLzMepCwhitFoX0M6VBbxXTEBt/Nd2HVHL9jAjxj83y51Zc0Z nm9b4Pdmj4XOXJa08ephgzeMkU+JjL4StbhrK8zijW//1wWjCh2TFSJPj/brcVpCsqtm8Iv2o5JZ BnQyAUd1V8ZfKbS3Owe0nJNCIlFihMe1PHEVdJBvBuTCyK1WbjXgd1/0/CDtL10tJLbuBy92PBgV dWg/Zjxhbn2xs3hQaFe0vTYPwe2PUFfic2uTz/S9QWkxiPh8dpWrIWuFEyl3pcLH8u9f9SNRF7gp iIoKzlLQmpRpUO0IM62E+TsRzNtJd0OJmBm7GiaDsUj0pfMtV4htUqTsyn1Q4hdosQKwHgabLKU8 A7J5vl0Wr4CZ0QrjtZOtedE3Cx4bQFqMBXNbi6Gpb7k5NJkc0KdiAJnz22ePyL0a3zirLP5CyHuN 28SxhHZ2IaMvDbHupG/ysPye6c0mHuFdaZ60bfa6TxLtpdviw7aNh4VbmbdNiJTz6QrpTajhhln9 be4ORykazlEQnnIA3bE5wpcXlZ6RFVdIN17HuutQkrvmjqrtsJemaV6JD+GsPnv55jawlhBhs58s 7tMDQIzPHrNKihXQR8d9MTLv9ePT9VSquEKh29rZGhfnUHliHh6wAY75NUk4BrPmm9J8qJOT5ZVh rM1tEKN24My/Rz2ymG5V47sW1/N+pGKLgqOdbSDLopXyxpEeFs4nCFcxpscVag+Tj9ICadD3debQ ViVdrkn7of8BI++7I/y8QdLeMg4knNTKlFmDUezbc4/BDx7R9zOdfce0QkqrevnDF/C1JQixu4Sj Kfo33cpYv53kMHnrPkgLthjRXnTF9W9aEX37eSEqqhmFyYAkzuyVHxrakQpYrSvNz2aB01HeENvl 1KwtSKSpQeGNgM3+Ur8K9saHVWJclGnsslHm3UpvIC7FmzKmW6HhVwhwM2qDofmhwV0nd8CxGio5 Dvzcppw2OBtb+SdWeU36xLivZB1KzDABqA2VgrjR5ik72SgUHwbURzxIc8QaK/T3T1wrZsvdBT9+ vZTQo37tO5p0cJvGPZVqxQSnklzGk+9asLkknF1UNNTM9tPykIr7/MKPB0ZRYa80skh0JCH+wuj0 CRc3vRsC8IBUMdGN/IOx3jjv7wuvbTbgkmrdZPdOdjEh0K296fdDYRKtMIApRkTNt5NtnG0EN5cP AwidGqr+gMiK3KuG0SO7noQUqWC/8rfz/FD+yv0YqEyfo+1hDDcxsThZHiuUz5V2CDQR+XfCXVc8 AAplR/oMAVTH6L7kW97kvImEtEAzqt+zmKaRfCtfBWw826ckGn2wb9wDHwJvOHX1yV7AQSOno7TG n7caxrCTWzUOsRUK+QV9E7aBKf0Hl52CDyVIPjB3gr4pojGe/U3tDgJBZ44VAmi7JSGC6Nng31S1 aPLtfd1oiJZyrByDKm/bqoOiCAIO8ijKanBEIjFHCRHOLUN4FnQtixhmhI1UPU3Lra4DJXFl3L7r w+8/xtuUDUJ5VMExgQ8I3VPYXG7GL96aSDl4IExiCpLbKClmN7jMZNhlzDxZS2OaRpJvvrFMIrs3 SnOCXx/A4QUoZnIWSIS+O+xnep3Lrz3HJMm78JVQYPQkCZilWZgtK+oopNrnlMTa4C6KtmeoK1ee OrqzKlyW/Xmd6jUMlNhh+k5vqnH0p4xPqbX9iesChqla274klQOeZPEgcTVfzJnjOIDv1x1ynk6X K7VAHEsL2tOj4Vul2SXpPNXGAbXOnJVOFqD0LzJiB3yK/PixeN/JdigfatgHxjUePiJWPcWG6Rk6 hiu2XcMKRViA2+5w8O3TkYpSpnxwN4zBEoohLuQ0svYFPbG9ga4q5wM5y1dcFZivkSBFDZHPohXS tqVRs6VdSiuCTV3Y6kZVlgHsCctCRjjUsefDxL6Ugg/76oTQA6s/wTzyrx3dqEFD5h2Adv6xgkGr IxjeJLbuPtAKVmRv99ra1fyMFZvN+Fhg2iY/QePXgsapky5xpYF7yx26sBN5Y2zIiwzZR/KoMHRQ l6VQeRkMj0UZkI6+Vpe7fTon49o/Z7PKsQJD6h6rJt9Tu2bYTvZG6SBRnTBAFZH26hFeLU0JwelG p48dupa1CY5rZTCYiCtY1lNthwkcgiQGZnX6remuMAS1NnZk5BXGiU7d3dcwJCgJSaHfbERFkBd8 FMwa8TnOY1OzfP7DJFcy5D8TyMqSvJe6V4k85ju4jrhq+DzSuOZUyhdzofTr2FtsBCjlRzVaODkf tQR5jNkTA+A4FBm4nAVHttLKzpzIk0t6AyQc+svlF2JSnwbZNNQNutUhP/SqV848wJIpP6DycMx3 lqlDGBnUPbRATEs80uku1YyaXz0eLxwV0Faemqos7tOgLilAZms6a00JdTZ1gXnbFJL8nCQbX12O Zht2c5eoemJQoTh/FT7ettoONRUEvi9Fai/LOjti80NkNHmMtjU/ZQoptdEcnohANf4hs2h5Wb6c lOvXqNUfItliddzb/4xcwfug3pxeANHLLKXL34XOCg5guLzfCy4/z4tjIuk5F/s8fJsFlacFuLRc IKUAQj2KaKYNoYYfKTNP3LpADM3TxFG/2YL5YNwfd80XR8GnPT1FFviI8Tsv4sAtDR9XaFH695dx uTtd1FyxE2JAeZsL+tR7bx2iBNn0+HNlmN22HTaqCbbEVyXL7vNYUdjDUQvj6Kt2vk8qNzxu6mQn wx2rqglFdH/IotXnMVDhzbdEkQ6QNyV1NaGrMo9+rjTXvowGh+O6otizs04f4y57QpjpMNstpoDE fR0wtSJZjnsdj08nPJa/HqEXoK2XgA1kYWve9fG9HhWDAyUHZKGnsMg9j2jDVrp91+G+5AJnFdOG TV64XPkZpNchswK6inRPXVBjQewSpwT62RAok99jBq3kckGt+LKl68gQcjqqQQ8wqpHW0HNGMH9w iQDdYagoiCfxAeaSWjbSllwrexiu6wbIgEx8a7cfvkdO9RliBpfisJ4ILDAiMC9nhDL6978OAVlb Y93adCi9AXJ41v4bndbwnnqnqiQtoo4BRzmihBoPfE96J7yDctUKpiDyd266kAWmB6xVl8fls2/5 1uUWvxPK7I+U+a/GyI5Jp+CldprS+dqq1UPW42bcjOj7n4fJFiExRqxrgoyOzpk5TnC2FGS16wKg w1yo+L0XmW8T2t0qvUrY26jNWjjHXiDHp0e1aPbNi7DIJVz3nVzyaOemEQ4FSXScYgE7vaJ32Kf+ 1O8eEb172YRh3V+vDjK8DDNs3fiFPHKLZv4IYte1sAqluqV9mvHxOE+U1i8No3OAf1fRvMVc8A8S Bs0j9fRL8a1IJK4St5exny1qs+KVcN57MvJnRwTeWIodcPsV5XzE7HmvcDjnzjYDjjnpjVxsCheZ hnXnGfcnXsHLMJL92jdOSuqxrsqc9Exr96l92O10BifNFZh56QrvIsXU9f39gAC63jtdUcpIkpVX DCA6Db31Zdgkey4UF6lRpOUCSZDOqBknsmjQoxYB9qb7RmlZlpCmLV1ULfcgT6wwmkYWaKr1eTex i/Gi/RFyRdglzTw9Lx/fc5CmbgrZAlJ7KMeh0i7pGjBgEPbbjF69BqBOoLb/144Sq1cXYtZhSoFE eTQD8YaekwzDADHa4nIY1eGNwGtjYq0FNpk2XJT2vfP11tjLF8jQbvcoemGwLmXkimhXD595/pff egbfxUS9Q6O6WEZmKiT6QOaKiTc0kqLj6tKO/aoHeDK6h+h1f4bMIR+u+Dh+VHqpN47ceoE7z4EB tcOcuJSrtjCHmQkJptadiQYMyzBDSC/aJ6Dn2sDG+Za3Xw4WyiK2A+6kQxP9F1SKC95CDPzHGjz8 yav+EVW2JEv7e7/BXTYU4VVbwtcCOHuwbaBDe4mrp+yW+nUknPrGfOyN0KT9r5V5pwQWSxHD510v VBHTvH8YSuNgjG9EbgHBqommFVyzlmr6OB/iWdVzqFcX4zBUNdwQRy8uDbeUsnXHD8oZhkGQlC80 1chLsx15vVEajFcUODwsJhsGA6p4Qsrcek6iP7iYx4PYbE4JhSytz0I6CaedwdCxsSsuEuLaLvwp yyZSUDLDQOOsemr6e28LZ+Hi2nEWPl2MgdeFj0YJfTJ5L4V8HoUE/gRO36YAadNY8c5nbRnHq09o tD332JrDV1KM6xNb7eFTGTC4lsNW3tSReBq0P+VS89ANH5KBHZ9yDaxhGeHE1Yk7vvb1gPUNn4xw 97G6vbJ4C5xaayREk7R89pqY9zyJfRYF1kvLX/R5vmxvZilnPtbzBrH3BSkmtaWz7ZnRbsWEdA1+ 7Qg1mEiIU9CrI2vPyCqVXkZTHSjla+fPBY65wPhE1AZbQAzHHyAiJ5ejNWU26pvgepTRZ7nzwnye vvZsEYPzW5i9cHj2lDBPLl8up97WjMueLLGoun3uZy2bSqVW90sZkvNA6fOsn2KO6G9lSegwxSsb lfYLS2Ugk9FkcPFiJMhIi6CMgTtrT8i3UAAmCMlWy0M4rE2Q8hwu5uU0WI7EdxJIYRLfQ1QTlunS afZKT5Gu0+AIPfI8OmmK/r8qHkygUMMDB2tRkEUAcSQ+CCdliT0I8OTPCR7wFCqUGfnH8/ixdeGa 6z0cqmfr5LV996bLRuzp9dmzI2Rk/qc0UeJl8rETe2NrJuHViL73uCxc83zMOXvcY7aHGxLWNfKJ Eaed5L+xEqlfdXM2n7kj0PRUSM90jLP/XAeRgijAnJdFSlV7Ufbgx1RbAMNa8MlMKdwQO01DBTAO FdMe9EZO+Ys63r11wRb0siYXIO6CT39g7mpjJ7uaDoLqq6368COPJ5xa8SnxYDpMNkOun8pseBoK LfQ6C9n2EeJ8mSW/5zJpOMWVx4HgYI1To/7HZK37qDsdFlod5Z6K0d+D85dNfxIZ3S0M6rUE7jHL bEXM8lFvM6oj4TKtgRfQ40kTjlpkK2gW4zFEfoO/1XorrWKuYP9vlbVtQIZ59xSgg5hnQOc6A5Xz HZEXFsLdRnMqbCPoSud/4s70I/2W9pq/SXx6/7DbS6HbGpWgpe4CCb3+TIZUsc5zgocpaMLYvvpE S1qoUv0JtwzaNSLayH7oXqh1Mk6qNAOolOkw5rxMRvfCAuuDlhHnpfFyq5/lCDyu/ula2dlOp+7E uYNfDxqfjFgnev43Ge7mHsupf5qsYbsAaSYUGu0TYRFav2C/ompdt0HS3NVCyG0iSc/RORlSM/Vx A54m2HG8N1WgOfcx0EVbu+R1gcMUqANesQvvpKtWVOtEHhAsNcKHTrKkqrrKMgWrMwIRP1geu3Eb fU8jlPTtSv1LPUpHdn4R+zcEuObd/fJ9xj1CMDlDU/syUxIQG3xK1GT2cTUfiRH4Jk1NJBUivpmv T/KOYYtqhPXgxAjrEO18E4dpNSa83OwxgIzUuw+40X8mczINx39x0qOGkPNAeBLhEjiDQexoTK8W /Hl691S0PdlS/ZAuYFNJcT6/YYjFNyEg7VIQQXrbjgVZC6X7N5IOjZk1Q7pv+fiHZJDULzCgqB2I ylkddWNC2ieKF2oCX0smN/0a6hrYxv4uJJYA5HeTjnJ9sVYM6ca9vU1e9Sr3X+FJQSJ6zit0gSnt kPJUrDV/z50Hmul6Gq8kDGXWMNYjRwnWa5n2iuANgAGuP42goBW3qefyfmQxRQz7/L7Dm1lQB4n5 ZBjH7OBWWqALqR2+ylVYaNCf4SFUV3CI+3V9d880U22geWFx7/CDyFPH1EOra4B5fqgQEkVAf/Dr uwDzjw8QfAOz+E05Ddj2NhTsasd1xkOJHAPIcYAaAVqO/VY+P/wCfWDHc62Ys7qQZyhHpeQnVlR7 LMqCXOE5nzaBwGiheqdkBqnW5bLWA0q1aKbnzoRMa+GAvLEn9cbkePpPJp0/4ND4qYAxspgR51sv opKYCMyoRc02d6hBjtSKtgJyWEE/JvN/leOZP49Wlp/vnwtyowTJPBQiQodmORNhho9pwn9hV4bN vSbFI2lF4Kls3zkevWrPFpyNopv3xovx4TuYGg8LokSUqRKyV20MFDEwY3D0tCHrGfjsUebDC0hi H3BaqZk6ZeYHKxnaI2B0jg/uNI9lCoGfoGQkr24lq1VF0yUscaaVkGKNLJOQS5H/4Cw4HANpEWFI zk+TNKep6IZjM+CxaoOLmsEQ5zj8IhFmKeR/iWT9oqmhk3TOFIJSo8VMm4WzQxNlZPTYKqX3pjb2 WZHTri84p6G2vAh/bBEa7uOEBycHUj5XiJyRyNcctevzP39D+6VrNReGWEOw2FLNAHbtnCufbE3K ibzOuQOt+/POPOzkutMdHSSADof2iNHDE9Fq9r4/CSGAu88iaxm0UY5YszHVXEWdSphKQAX0q1wf ebCn98Uppo412BgWEPDWyEqEo7DwgBJknCFCqjCIw6gnU9Hh9vPv3tEwSxhNddnhnRg6aqqrjhvN 4NlZRcmvgNtcVQ2FSngd12/obKngQbc/XyTU0hppsS8A0K7OOaGORmb/+kVF7FSDgksJ24n68G73 4ZecNFC6C8LtUIjE81hl6yFQC8QlvvD4PfeSMudtQG//E0P88BUQqjy+SHW1uQxFomkYKng5uDqU 1Wov/9d33LQl/1kGN4hv+DR2/liA3oWMXsZvZV4+a0kSwPq4wFCqRneC5YSkX3FTUBBWxlOaacRw V/YRmJKF8nqyySn4ZvG0fh/XmkPZE+wqFXC5VqYVonw42YR6b000C71OEdTOXDqx+F0UHvPZOdGq b0nf73XsAvDgegSgTL/YPXMDKO5Bze+tXgkhXN14hVAcgK9aIokh3ChAyIhCmLvcNihDhjMoVrnf 7mhH0o4MqzyE3pnXyu5UkiD3OfwQoG94a8tzT460OO/eBtIGssR/hNsvSBrlpXfwhduiV2KUHLbs TkL6brNDDbCPqycG0Gs5j+gpG8AAv8372+a23epDi1AHG8rnoD5dUVeRCvkkM88BaxcB1F9JdjAY H3oxoQc9l56egcBpBdoqRkMD46tM9KFQyTLLuwMeoVFrZ+sV7IH574DgDh7pWvO4dp1HpnNlBBWp raxgphBOhorcmEMZu8czxZBF+DhDv1sOUlKt5XRgfoX5gzUFoFuGgxf/nZp2NidN+tc33xXE2wg7 WiVbPsEGXOZsIxQKtfw+2CLiIAUKseyMeSj9numvHnA/5ROPXksP7efEIhrmTUrkY6xrDlg12zH7 DKL++PM7tp0tum1KZRUBJbhCOX0q5dBNQgdwSyKG8PXSjLmQWrgfIMR7fytoT/5tQCSsuNzhwJrA T1eIkdkef3d7jML4ZPXieWRgFlwEbzKon4WL4US70M4+QcDTKVXQDh8sgwcw9HIasIUcbetbb1Va oxjF8vPP9ZhVaC/mBeHbHLGlDJ/8igsFj4dFSxQKcUGyYS7XKetTAlZfMzJbAE/eoTmfcRSIpotT ZK0RDdKMVUSgdpstIcGkX9f9U98mATAlWD4NlkCSguf0OR1hjIl3D4C8REUwizKJ5uLlhevpldok JJw6j7xCRz10GDQtGPgSJGnw5E8ougzSm+pDdRwfOwgHSuzjR3MCeFPtZx8+PF68Jy8nkqYyyaVc 3jWWjXm0rPF2YZ4iELXwaCIOv6yO36ePYUKq4/7RDV/N6NRHE6vmEczQt6aBl6F0BHSpjK39s3K6 qXO6Dsfj718GtgdPZFoLhnhqVqTsYWGsMl3ryANTEH1hHHmVltWWsrKhKi6sOFc0LZOV+m/o2ofX aUlnZwMtk5Qtsxre0uYC5qDFDH0noyEvw/gw+POBtUMHyKdKdFPWDfdLuuJfWu2NA7C3geNtDgv4 v/qpTgAgiYPxvmB+4UwIjcnXaLTm9gO6+gNcLq5m8zu1JwPGgXibLVi7O92eR0uwsSVthFLrK3TJ He2NxueqwZuQcrzMS61VYyYHBXyIFGfrehCVP3FJXkgE8YHUyGsNRAkWN+4SxCtioPtsjGGyP57X 4+iZH0ESYlmPtPhCW9OuXvH6lzsCPH4EHOhpyZL90fLkXojYOLqudbUFu7H521RI2szd4GAtNIas AJSRiSJZZZW5Bp0aK5Ueyi/g+I0rIbuFPBiKoKMvSpKs29Tqv9cYtS/eDak/YdzGRXNibJo+S32s 68P2Q6EYaIpJnk0v1AjnhReLoEXLOd3Fz/J2EfjLkhhKQ8zj5Gc2kpJTJYTzeeVZRDQlYD7DAiNu kPQGwnfsn8fK/Ad6eQjlbbkMT/8bElatTBNzQLBl73iamyIVfwVAoaEGuI5HJScO+9WX/62YnSRx D2meAsK2qQq1GoP1j3zrcIlPHsWMCloQjI6z4KstSsqJNYL5KIYNLrziIaXBUALG987vkexjTOeq O5ks1kgjUS/KG4RrQ6HP6tmpbNefpKYBwUc65mQYMeWMbTo+ORI0Bn13Osn2V20Aw1HIQjRICDw1 MtGCYbscyvE/EHYY6HQD1yXIWClBCOsywET1asZaJqwSCdbu3RtlfydHOKIsPQ8w/ug2vf0KPwFo r0iIx0cOvwhOa4CKlswrtn2gXAWmg8PBv/0mEXF/hOeCOZMScl377UKlNS9jpEE/4kj+j2PZi5Ex AwWOmEW3WMtPl2zVq5FCnaaVlrx6THAy26kM93eNLkVk7G/B9OySjox+Z04cKxgdSYChl2paeQNc +HSxVYn9Sr2M8cPi+2l5GgENo700kqu6PTNL+ahjmPrdhozCUzuIQ2kqwrP33d+E0VeuGkZ0VciM sWLiCNQGx05aXIlPMGU50iKO5NxYw2HD02Lnff17XZ1/jpQzZ9PrqOhmkG/S9zrqTtSAO2UyVZGL jj2nEUmlhfRMGVMbrzknpbHO24VF480M4iQYPONV2xtFZam39yS6iIF2XBo9ZjAW95SYs6I0dWk1 ddSIM62mdcdTOCDhU/9LCyUkKPiZinbt05mBmk8OG2ONnKg+DuSusuMUavT2zQFVplR6jAoRuRtN ScQZndzIOjMjyb5S8ayhl1XxuVmQMqe6aYqTRKlmvXRPtDw7Ff7o2J9T7lEWMBvJwPVuPXdR2CfV 7G+fC3A/kg2sYCuucUUmJjuFo5WtZrB4UR4oeXPCO7aARXXVKFVR3QEYL+Y6qEbjdo9p+/wQrTit Im+D4czonYC/KsiUV7q9B3rr6u3kIIYXtF6yZUtk/VgdyAimiBFz4C71xjmwvJPk8Z8UpneXfQig NahMjTqST8N1HqeQi8+0lGWoJCqMWtUokQSmYcPMFolI0VDBIXpbVaSHXIkecxr98UIZy5eCe/QQ LnfOd/NcELqDLVFc8xEu1K5Ef0Sx20a5C7sEtGrQfJMGGL7YgtaNc07bJSD/k/p5xqxzwCR/5uy4 Xfh0zGWCoZj4rC3BQnhTxpuL42kCjzoGXz0897DVzig5KK5zQClV8ybPgXQ758bbfEuaQNCr1S8+ PhSOAGjZMctX80zQH/aBDGUbLgu1ELJqrwGIcMuClOVE2+1dASGuL1UVMfVcen1GDR0z+4xiSKMa clM40m5cqjIsNfmCwK2lpRq1l6KZUR5EWp1RCtMqctPv0Bmhz2F91NzWaXd4rso1AnjaecNbSLcD EVISQ3wpiITqMbC/Bc8FW4IFoJE9+zaFgshPBOTiQZvnQFS2HEAfktFs7Y+RlA1ArIl1DwdJa9ck bcrmMrERdMdvLCSFxORC4fzzNQtVEJl0g8NkMv29e0z2QTlfdiKaj3LrHkephJPJcp6z2icJXa8H sxHCNFzbZuw15Cffw1n3jE1iayUTITb+LJUDIcF2VjlRiwAbSJkAy+PyPwwRQJmI4TWjh4FDPzDP Q4V6LL7R7VWzZLhS/+eaIEtS9ZfapPRr9y3xOWJiKBCbgruSyMmsauvGupMV6AwqU82JuifJHXVN Idm6i6FeBFZivXnCxtJe1evrar+Vi919pyYRS47GWuNXfi463B2VOyBxuhl2CHAaKUXdc03y0q5C ZJjPSAGdZ3W89lkumpxSvaie2jfQk0okRPnbokx6Ehp7tPmeV5UZ7/xyQ10ksrL8Qe3TuYMToCwf R1iHN5OdQety7n8x6BWZ7ZiLHflanP3grI+gWDtf0th/c+ulpJDc2EdWrcf9jtS3978qM9wjrI4o 5nI9QuV+KZ+HsyDU6yx7uFD1KNpvHWgoB8n4+Ak3xLcgBSSI+s+eWdMYHASaJ0c+Vai2OW79apdM W6KfAZSpQexzZ+ouMuPaskr93yMAJ2WtO0QZdUYkXKZfSyKu5ztr387racCpGJguqD2SabcvYSOF ap3zTWs4HEIN7QM+wdpH8puTUzSlhYWcKMSB+KIZJiVd1RKCYDl/w5lsdnoWCMai8tmsJSf4NLs1 IgxyefisBKqNUdoPTwwFBkRKXhOejtPDHtMA68uArKr7RQtTLZBjAjXiu7Qkv1OPZWES1UeiN+gV vEVLU7MOkYJLdIW4wPJGYLOztPMaw6GubHakK59PQoSl4GBJIAJ7ARP3+ogXG7vEHXHFLJ9r6+NW Z/0K0e2CaBbudEoxgBhfb/Z3tnr4UeAxiqXn3UYeOfdsWo+itDql2JyIlgQzVe3j2uCKRaPYy7F2 tlM8F/ODlbd/1K458P27qjlhwyyO1JYNnNOJWBdZQgvcCwi43Q7U+vmumEXj93GuL2YAkag6Avdb h+XOvS2Oixis/4x6s8fHmt652M8woY6p9ZY9cW7gYjajNUqyjmJkPAYflK2u8GsQ1k9J/Qwzusqa fUlX5YBHamqBedkOuLReYi9nAnaRrsUNnC5DQE+UuoL+mQnnQd0Rc/SvamJFPILv7nWw8ZIEGAoD XEi/KcJBUdi2IV8bA0Y/zuYROuH9a8pdSheXcwNXSE437hAu3ai3ECfzsFzI/VR1QCNA7pOo3E7+ kfURhVzwxaV8VgEb1ON00n5gcWeQPhuNTluBC2AmJi4uhujlrXvrFeV/vTcep2nzSxLgpIfRvsff MusH+Nvfb8bAnZSZfIgROjQ/96F1S+xvueDu9zVSjZ4wOJgHt24Q5eqORdCxW56f59FCOIFF2hpl fWZUeYfm7FIp2Aie6reOKGwKvog0scGjZdOS3VZGFWYeYeCU0giX5Ko6WDtLhTIM4V1jxU/y2cMi E2CVLaqNty6VGSNDFCuoKaEcnuMYB+00nnKVl1rK39upeOvjTJI24bm6MO9K7RM1l9zhSOCm6AuQ AbyIikzl4mkDTDWNUUc9LG7Oe5S4rZIby0ZwdTOa8nqrBKbbkuwsULQtIXw3vIpSC1d5Ou+V3vND 5d+7DnzPB1tDrIJo5Cpyia3zJstsnIK4UKYAMIo6PvzmXVmh/imiTdRJQA1jVDe2LmkO0k1eto/M bivWcRwHasuR0Ad6qs2v/dKuMi0ye2e3idei4uwPLunLZlldmLIeOUwFUgb/mq70XHsUDxkX9G1r C1hjYRQqtveVNm606PGLkQTVnesI+2xJZn0yhc1s8IOKXqYiTjjSB3IWTD+xIg0CVr9tPuhh/hSX daRM3DAqOYTFns0pWz6Y86+CKC7KZpuRl1nS6+Wh/ufBoclzJL72Od7jFp+6gNCpRiBx1Z3fxyYv bEa2tadyFHlm0tf1N0SGGd7HjPaujZyQwVewbKCSuX+DdNbayHSwbtKRvq4h0nFobdlsxq9k7ZgO 9+R8EUp5hkA1mye5shnDfytvVepIijG+LVPwwA5HPLlDnsf6SeMSGHDUwsYuy5894hWwNBK6tZ6l 8O/XN4AOzjtShLZoHJzaf8b8ia26gTW5ywr1rgcyUln8eldH5VwPG91rdTzkxm8xpB3/eFab2uDx ItK66yV5CEutE1DEZwSDEHmuKpAJ0SDYAmV1qysQx2bC9XPHiM7l0cxsmYYJS42ur3Uc2vOzYfvn c5zlpEe2DfXnD/Aog5lceiT1oza9jGLRc9iF9RVtOGv03pYgAkfpXwo1ziv8JXImHVPxYNsXiEj2 O+xAnP1Zg6wpYUL94HNuBc3eU0NVwOreOF736KntYrb93EWxUZtzgXSfV6ainkY3lyD0DfEGMrLz PDH+vZybHgvqIW9bouMI8aJHYuBtt6qbanEkpcIQWoI618jw+W7zldDBYYQ9iw+a4CyMWzPA1XK9 lsuLEFlu6196q3DAYGAlsiIof04LxGt+tUGfgLuXMBvT9u9oTZM3pn8ShWNTR1pzfnwNQ58QOiMh DNctgcJtQdnq/BLvPu1W10sO/wXjaWc0rB9YHY1D7M0gMmyesWh50BnMx/7bBu0aCdZtYZK8CHn/ eOmokFMur/u1OLn8e2ULYlxQjWFRABTN6K1oRPWAatxHLF8HhqDk6/M/GLN8ulYVx9xVDz2ICLAw Y33JJt7ISbdI/W22Il9a7Jzh2+qbHw504MUX47c26A+G2MyeXv2TFf7fJemSaIniV0JSgr378ABp FRG/zky8dtaw3Y3zDvObGJK5Qz93vA2EieSu48PkQYIeOzon7GH8HvQQMXne6xbhbBB4EDGO9Hh+ NU4w9R+bT8HgZN84pOsRge4jNGZT4Z8DAuv+wcNRn34PSJkzkXbgBy00XVyvtbxSEU2zjJz37l/s En1vrJUDn40eskQO+3YXFYmzXLSageSRD4W6FvH7x6hzgA34B/gLjTFXc0DjDZuJzs1evUJelgH8 1LYrDoXicgPBGPYf/r2O2s5ZZ2UZXubim1+km1U8Eu++5m0AoWDqkdy1PEvjCDVRUVsgQ+UkCqih MiQpVtvE5FLPSPyLfEU6y7VEeiZwC1fvFPJEi7YcC5hiUeWsld5sRX9m2YOqxUhdhEUt6ClU7kwZ 6nONLvOt34sIUa6RgmV0TalwWdK1lmUZISrqJmOUYwS/xdj887adTluChLMf3Shl0LGIBeHcnnng Vo1VXyEoeVCjvhSfAneMwwAatovo0efuRVFCmVSoVqtTNaERLiz18mfkL85iNQC1BL6isspjLON4 xqihfKNvWjCPzOGNuddELtFraYuZkSjE+hQHuC3oV8HtJ/RmsvNWXcEsOUEOLr6DUfHenzXC7VIp +m4qI8alR+ZmbWCkLIJ3lSqKlExApwKdu7UQY03JONgByZzrKS/xWl5187LrJlwrwhQo8tR6FGN6 s+gC0tAMTjoRgXOAguffYMLW2jMkvQ7vjUZ6aisSbcHxw2d1omCLmbhKlu7zsG2Hw+TchkklTg8O k3xOnyURWwIKbMjvrbK65GmPOdEXJFhhOpqBnhAhMZCxGwdzYoVndn7qRsaXfU5vTa3SSAhJA2b6 fyjac9a2/osWxCNQTFqavLoDOzJtWkiUd+9tKILJ/5RZ7QCqJsVCnRpGBt9NYtnuNjAZdW/1a+hI TSxg2yqVraG+06pOWu86bKMqdRdx7s988JEQ3qc2CMag5JnBm8xGoiU4i+4dJBVMNwxMWnlQo9/N 2xMTSnwnC+Rb4bl+BJiItj9oA1fX+g0GbxuLisqBK9moJ3Y1sW3HLgTTPj7eyCIhCqmAyHQ9HzDO DR7KuGXyNhZHY+DGsa2x6HYYiBn/GR1AcPFsxuW689lPZoGEJ79lQf4b+B70rIhaByu4+8LpSREI vk8nNTjIuvAtbFL9p+GqTbMr276n2B04Euw4T8XCmJvvuy+ZpfgiCEuwfVGaVR4a9SW0c5Fn9jjg PwayZlwaMeKom1IUd0gp0NFqpgabt9dQ9Ofu6fxly67x2XJzvLffH9vmagHOKMD3rmkwh8/yiLEK AzYgx7Sg3Ta/SfEgnIFjXDwZ2DoD9xIu2Lv83x/KSoeMLzfpDmITupF3ZMWbLBtRrwshpkO5lkRo 7fyp2f9oxSMKzWLkxvmUVYUdLh7nFkUjDz9HRLHHovMvYKfeC1S18KCJeUPrmf4ejREZSNklIpDo HRP7f30eOUEiyxAVjpavunt0Aq2BWml/ZrFqtBpLeVgCdG9EetakUCACv0qDIkO7Q6RmY2ky8ciS xt7YRNL0g/XBqo86gTKNMkTfTPg+I7tf3LtN2XlYe81ZJSDguRyKX7BBQaw6pbDOzlo+ueZRedQY R13YfYUfCOEVL6VTxrX6SjZYtdsSfXZ4Wy05AHqoCyQTcWeojj4pN/0Q8NCTveNSPPArVKSo+O9E Kf0qr5O7QAqlpLkU32bFBjrgQRYV4h5Ln0pHoaZc65+uuj1Vb2hpmsOWlvQs0QbZM61Ub7mbUvbZ 42vsKFVsRsQACSy+TVU1kJ7ogL3CPuOQXJT5FDsXXOo1H/nLUikboSk5TG1DqIOHiIZ0lw0I2xV0 +O5rys6WcvAebNhgH70Mm9UGaAneihaUY46WfD2Rg4WU4i4z6H6DAtQvtM2lo6xoyhg9nd6JpM2R XEPV1bt4VRc1ypVUadetrMFkBXd7jl6fSW1ehBRE1Yd4/0Ti0ux15hnd+Je+FM24LMYAdesOi7CW HG4pnp9xAjMx8QE1OOTb2BKxMDTcTHVVLiSbMhG7RZkQ4g+pTaTFaoXtksSSci7iqAScEKWK9mqg +XsfCNQN7YV/R3TvySC/KLHQ01ctzRNOsMIcVxdusz24L1aYGbXTGZqcdLt4WsmICbY510m6eNZd bEwo1Asq+PBICbD3z4pDNitdUEq0eFJOjF8pkRhVsbTfUEB3PGm1UEqgpCL7rBebyS76yOpZcoxl RCyMQhAg4cbDOiOpedkd9mD5k9Ft2gZB4SigXTNJGkMkclPhbO7N1n1R0TYX7eT4uN1b+1pecSyt DrAF/+F0tEJRm9QwFjCucxHQhWdAgpWmtmapjj4I6s3hDNt0mLvMAXGD5Re+h/iLy7qqCxnKXMQt iDSzyELTZoo8u3wjNUIYVqxmAA5qdF6zfQeKufmEBDJFEwaNkZHFjgFpAxdkzdWkj2HfYERd2p+2 38Ve+Fj52GxvrQeGph4B6FjVRZwyonjX+Y/Vqv1v6zf5hZm0mmL2hRkMxPjNcOjlI1QTNqilLMJ+ FzmCfdxMWfe3Dlyxcbw9/2AE+m+KomQauErcAPvJIWFUze+nrQgjzBriFoTc5cdMpgkU4pbqBhn0 sKsGQyirrvssZyY7J7yDc17UlnNGTDWAtHUxwChp5X5+v8Nn0sTs1KQg3dZTtVeYcrtAvsfg9sgv /AIELurbL7RT6ged1XEqKqWmbdCGGW9G9p0rnH+6WGN8DQvubFyt7XKb48HYfRYiG8Zcfm8uYx9l nsIVIiz1hmsPZldzOxeQbPE5QjvblSNAhNZB/MJ0x3HkJ4CBj4mU0TMk740IUj1wRrOVsq1DduNA D1WYiGgn/0/5WgV8WqeOQuJN9IhAeN60T56Tlpyuva109YVDGrfpbjRK6yn2ZKnNvwx9QYqRJrcm UWUPea4gl0w9D9psmzJKcRXo7ibhIysl408FzrANsRlfKi50rxVKgBhHEIvZlacoRI+IZzA7eGvK ht6h2vAZoaxFuYERM+cEWN8+qxTuFk1Q4tqP3vQV8Ay+86jQ8LghRRX2JSEMQelG7kHodRTqX026 KmYECg0Xbgrm4gnfQ3q1Vby+T2/HuRgfZxbCFZF0BxL+oE5eRhprqBQ/ZTZAPjb04sg/2cxnTyIy 5ZgIlknL3hTXHnViCTD4W7VWn0cDm5dp0HlPpQdQqzlGUv6HahQzfUzALTXxrDttgJ/IBATxKaZ/ z/0BDwQBa7vCICp4YV9YUd+ywNgal4lOvWFAGNwt3pTFPbSA2iiWAzsTEfzSwFJzZWLn88O5BgDo Ew3kh8ovVti1Durr6ze3UhYDqf+0DxPeKSe6ki/gs5eAR1qRzRo8g29AlfKnE1vnLfsylG7w4Poo tmLoOmL8BAoaV5ngmPA/3XLgu9Q2jXuTsel+ZKP8Uv5PFQn9ZAgpHOUzGPoP/hirRkpCUTF8Vd/B 9E/MpLxD9kfAY6pnextN5+m6DvdfjI56Ou3ro8tZ4wrFqv3oios73dHp2shQwFis/KZMv2jPlkrt PgCzg1YvnxmLF7c09mxhSdq6nf1RWimN/GM3o8sE3kMikeVuvr2pxQoNQtIeucZxabboaSIoahck zKlUzB9CUa+qQxsygiZv26StzXPcfxgFbcKJ8zDig0IIiZwpOM3ev+7Rj9COMl8XEhiknMNJ+fPH c0l6c7GlDtuRDuO4HUIRGJled14VystFOK+kqGP183PMh5399vBeH0oZAEMq68RRVAjHI4FgkzWR OVE6FUFLt2YOiYmb+XlvTlyQdliJvSMDJqLP4F9qgRSj0VDWEKNF+SiMXOIfGackgkipqVAjMb74 7ymcgYxhzRmQtJT0RlMXhKkejt/iVxK4bqff9JAHs3SKxDjI4qG9Hf95TKVsTEnKmdLCBth8U10M gK0TZ+LWUkw5j4rOxH2H6tL6TEwF91f+K8KD/i5GHl0QGzbyY/LkgCe1f8TqhbApta0vk0sUdiIP HyuDBno1raIpkHmFo0XgswXrrsh8pialvcaW079kVuRNNGC1BaGFN8Xy4dR/CgH4oR1m2ZlWmrB4 hsZplfl4dwTXaPiuVOU5T8l2Rqo+lB3TD99zkhs9jS0QZliZibMAi23YjoL+0TF1YLlSgFo9L4Uz DP+QVvIc4hAcTGDQmrddzIGpih9q04pSRj/qvc9Ci5kR/d5cwDJwVXkfoIeBLWsYS3mjbaMxjnwX muhc5bDQPtPPHo0QvcPLIO8MzUPah1DJaNUhg0qiJYyjP5LTCoaZJ0OOY2sjeTJ6m58e4dr7oxKc ocGHXuJ8tmMwZo1g1/IoKhGe/sWyINDQjTaNtLgKJ26ljMkF9TB5esOOHvpDxih+RWhuwnuGd72q G9ySK94PfJEMUKbTqkFO7nU83vzUoxnQXCINCsHpp0wn5OO9tg7BayevXOITnd4tVG95zxJRn5Nu 6B7u4/EkW0tFklm5TsGCGWYqmGvxHG8y7/5aziahLyALBwpPbJPPfwpO2jrOV11dQ6p1nbnpWmWT h8p5kra5B+EBw+/KlBY1uvbmtOvUDk+uJ4ZGp+0kMz5xlq6jdfwrpgyPbiQRnOjI5gsHp29fJrWk rjRvzn/civ7sG9mz1xbkIWhAO8jP6TzWCY62N556aJntmJdWGrikX5WESPTqAhSZITtgnZm9oaMb I95HSEiNQ0EXi2mGJJ29/+5xyvKzWWDaaFoc+HpQlnrVfvdT489BcgLop1pn/ZzXRSLA0gywPIXV Cp92/AB/+DE2YwsX2t3+mKcLyHULyRirqEwxP3w73k/IsN9zpRrfW2tnoaAbuqH4HrSTpMQDW2zD ZRbMhr8prmeaWG0efFcx0mZXhXO8J9X/2n8IOHhPOHXrdXfz9lHHf87+ce8TNxEWnfL1Xn1RNq39 eknvsDe4BtY0Kc52wzAVdLk0gOYK0PAq44IBrO0r/yp+IYphrJHNTl+4fxigOJ1a+iWjp12zJV9j 612pFGbtPZxPJ9ySOU0m4h8JV1B+F8eQN6pXrXuXt+FXb4RIqmuQs5dKu/3gpxH0ByEsyh2CJ2Ec 5kjLgaB/+CWhgiblJgEnp3cbyH+iXKn/v6d8Zso6SAJTQ/Uiqbwa1MakigOzieJmLbmqUhBrlwmY bqUbGs+YxzdzngRH2JWavXM8tfcRY+rprn03hzhU1xbO8sIAWABhCvnPQx/doz+pG2ZLA/f9pkx2 /bffYBx1P9zjr+62qosuxHIL4y/zDUMWnGogdpGOUb55YheeePebDdHxrVFSQWJgIQADggZ1NAqj S3wAiXHM0cXWeBRIlhCYpniFUXPghLjxo3o7IoN+opC3uhNb7khhnP/qiAp7iYS+WVMTryyqYL+G ff0v2T1GxDd6tjOjgcWBvNI4HWBTu2I+/VHvyI0Sjgyp4i4mOgnato4QZnVX6IRF24zs1YX3tPK8 VdYu75taP+mqRS4WdiyHExhCDzTHBCGY5YVKOGPyY8gIZsZzf1xu1TMNMeW//MD8RBH/4CsZ74nw XOWj8i1Ac+GkL/uZU/jT3mZUsJBFW5xaLDDwdrT3KIsUTEVlpoDCZWEtv85ajdQU1iXUFSti5Xim qu2mmMMfHzXHZF/ZxL5ALPBx35ZhF6WluaXs0rmIpr42tprk52pUCWyoX1yejogIQmRKcdGwXfne A44j15QnnyhZ7MzNvDt7oc7GTFkW1eE7ZMYZ5EL8h4wQlMV8yVnRNHZ6PKdUGOHCVYlW7foFVZXI uI+GsXiVzjgJOf/HHjY0I74pA/eFrZNERcS9QZxoK2PZCtRatp3JNbc2CKX2wtyncVlxRD7tx9q3 HP5VV96JJ9p2dJw30w9kghfw32UGl6d5VX2uT9+e8pzktEvxqB70xbVrLj/fYGFagNqMToXOR1o5 /l5QycEItU00Y6/WCEZ4//KcKSPcshLkBFgvRF5boe2UYsnoayjN0rdliovaMhq4+v7YPgOg06+K pSQc6YYFf/k6FUcz3W/ALgfJpkdI+JXMsg/VGARQbb5xjxdx4xpGWleIefswJVtNNwiqYEqbgNJb eBi/amkB4WwaeC9U+b3AjZ+YUUk/tLYvmXNHjmGGd4QIx3KP8WjaOUxPXgPRm8pI79Ikxrfa9LcA CbTwarO+PoIi/DeSBUf9sGN88Wv69okYGTXhBCG84iOq2B3uPitOkMtOVV/DMPW0ByHSIoR4ZA7N LWdQWhs3YVd0qjONikn3yyIKHOC91/DHmzuqROFA0oyj/k1+fe/+MQC4k3FtJb1lYBO9+TRIw8Ea dwZIj2Ho7+Swyzr17ZRphFccGymfSjAxXJhItH7yC/PFMHjbBJ8sq/PhMSk5jwtCPXh9kwcmtUID EmVIIwm+cxI6DlseF8rG6yXL4+/s1Bx0mPT07ZLS6v7vUjnJEhx3w0y0gSi6kNwJzjrCWDOFPtwL 38KuHmex4qvVOKeztFKeGJ4EbyacbGO1392sJQAkAK4utYBBnww8aMa/c3vGnHWR6WTbYAy6KoUm o189nxYApCle0MHWq5KWPaF2rwXPeXBEZIQbkXqrBg3bmIHTOL3NqnOf+YpPFwIsp/tJUVC3nH8y uzDNoo4gnFogzRfbPFblpxBIfUckAnPj+2W0QuyW4zwgduUWqs1/VsD0ID+zwybjdvegriKsp6VO htQY2QOK7m3X7Pu08slvpNNdv1faiivoyg+La1hN4JNKMU9x8ClnUvINQyqx054Msdq+raTcarvG iirBVtXd9BhlTWuVgYAZ4B2STe5Frtky7deZAxPLkh89D0AiLV5I7y8TJs1B/Q1RzS92ZJTUBLGT 3Yaovp/QuUM/1y0Wd7cTa2ljIywN3waZ8a4Cqrqc4yrrWL9I8BXXgtUr2qacXg2cJbyPNTcbN2+r HTLuSKgWLJbYFTzTxgj7TRdlfA7liRm96cyDyqaoux03a6SHelLeG3y+ti0oNjngLw8eEXOcb4vq FZwCHhXd0i2PFkzSM0F+tVIjDbEo4hr0Qe4j7tocFFyjhLk6/n9rOLmg/usEDUPEjMkEddCGlAwf 3W8FAzQkeFf62LCjqOOIpqaABjlbZydw34HLTfBJT3g8o6WTBKuwwMDMiqCEciUTlfuXweZs3dJU n9dGrggxxFJX/mw/8dfdAZCcus2nr8IlskccTpGR68fZ+MyXpk6AUP5YMIE9dAOyd8kNtfFmSZo2 LSfi+JGwyET9Hf4KJfSDS4/c9VsvPlgxlwQlbYjTnIdkefrNdu1zGlryZhZIzF2O4QeU6JU/MCka +Rlrs0V85czG1uYh9lLV7HZS+nLdQ37NokORQF1tZTmK3B/14ZRD12k7tKjRIvzCh2hSzInQEg33 21zPw+xcDIjdA3ecOvDD/5uOoTjP1xG8S1AGD+QgwSHjKRnMq75xJ+Li+tOqhis+YGW+49sxAeWB Od76rYDnirSztwyt6rbV/6Y3dFPdbdWkQkWNN/TVYgu8LXx8U3oH4r00yTOz+g5jyzzZ8MqYsViF Qee58PitYG4vg2nRlEC7Yg+F024y4HkcWCepQNRlRnuSFuvVi5LLAvUjaM+gxsKtPWlfV4khwd54 unxPa3iIccigp5674TxaEXMneUGHn9pnqIViesKqMaJ1oVfZ7Mz+HcV7gUHRPiwza9kU7sYvs7tT byHgCW7+e8MV9LYI6YxAxk00S36WrEeRIafIrN4QVBBg4Q9fBfMwjNAG0954WjEXQGsea88cQ1is 2VZ2fB+eIgW/+qnjfKd+0QlCCDBJ2hWBwvMiBUGaKrtP7UZkmzD+g8kKUYkSFDDzpp+qnoRDQDjw IqwsoqT9lJB8vdKQA/8izTr1UQnqFecvVsRkYIOaE1Qgli6GgG9azgGkOJu1hCLnKP5WbEaC67Cs FeLWizqOSwHqSj0Ej0SB/ziM5KbWGQqBnaKx5lUwQ1ZvnAXn46uydKjT3u3ABm2wHsIWXtl3ud5D 6CmfkzemS6M4KyvuKG//6b1w/IKOC3Zg7zxa77KxRWyZ3PU164Xk76OtRun7JApH/7MDiTgCPlBM KANU2e8zvFSH7dc/V6XWB8IYbBOEvWyXwT/vxKCdW7v8WeqPiXqLu369f2saIvf53aGbM84VXQlP etM3OdMUlSWpWk11NFRqLd582PYIsQplq7nYotIVg7xCMKnlQUnBvV1UoN2ihOCXQrT9+8MfpPX1 wy3hhSOGa/EbpXkyk/6sYuzR/u2gD2WRXbXUtG7FejJK1SMVC+nPrnHG6DD9OM6BiluPXsH9eiiD 1qmN9cOKB74xhb6wDsuYDauW7osmhnQbab+rQYQQ3zaCszyiXyH0MlTgcBm92HdcG0Ge8niLsarS s62OK/H+TijszcFjOI2QJjf5XTacenWo4MkQEM0z2o5YyUweRVObMpEYkd2KQXNgyzZTsNEVbTdD Z189SYYQs872DAhwSEt1714k2MtEc7vo6LsP8sUynC46K/e6yM9hhhaN3Szkyw9J3ff/EsUB9+Fh HAN/mVI456J5xgoJYUDYu0A/6uRlPpuZ6XH6Cf2Gh4vhE1RHdTrH6OUUVQm/Tz+RIVdkSLyKBKmn pSPvidAMv2O/sHCdrLAIe3r9nPNLyjS3JqKFS4XYB2Ny8ES/+Hwp5eRyxIp21pOEhJaFZikEseyF nyDF+3bLm+9tEz/AxXmGb0Kkv8QKkQcxNoKxDaAGwDn3U6Bs4Ec4weBMp+uZa06zH15fevDiwr8g 1+NmfmEwLEbOPKXEgsCpbEfseUk91v8vh3Eiwb2pq8gQBCtzHaau44RDaGMFyPHal11RoiLjWN3x 6xH/QMl2LIVhRorvqmOyEG2FpsRM3FWYg8yPpA3xGe7ORU53205RXRpfAxEqP4XqeIiaQE0wY5ga lYka2G35G6jzuYlfsYhzhPwHH5WnrE5D0iA38A1uT/GD1HPYM+cUc3AuxODW0ZwpPhlDOYGUKa5Q xleHIKPMYjdayJtzcArAfAA5JCp8KWH+czeMCm/Cw6VGd2HBAhrY7kiH1OisMl6wgg8Xr/gv0uRJ XWzKwUwX/MvCGeZBVqNj2q7ls7tNEdivtMnsAG0SxOZJb9V8xURGFL7rmB6DVq7PTGvq72uuakSj 06DvKn3lFjrvXAxhQzSjxZ8E5Lumfxxx/iIDC+TOFebYtOecEcqfTGpjwfcTm0woO1Kx84vTGMV2 ji1exttp0UZRns4Qy7LxWyHzc9iIsZjL5wbIC1vYFKFQ6Ah0wXMGXNe+vArUqaMjPeiYXNTHVGmu +H/aKDLWa/ICt04Fz7kp9InO8TJW5oaJIsTA+QN+JCweDiEG+t4drdYN5g/KLxoduHf9cARKbUiK 0lIH+trnAWPpTKh10GoryPbhsnjFUCJLWKE6ThwgpBdYpfn2dFvlBLQSEjLASUEZlYQpTwDaUy7b yOqGMphtqFZW3LuoFMEncAp1+KJU8kLbaRvzmogiB0PUE6Wtb1lR/vt1aAXApdyv/2zzV3vn1TiK uJaDC9zq5Y4O9vnWQccfOcZh52p3wm8Z8stYIwRCqiwBwQ7lECuBk4jM4D/nAlBzKTfgWRsSm9sQ +KZ/ewPCGDL3NNHa9mIticeLuznO8PS6PSXTsQLvzq8FI/dTYcvH1EFEeIWU4ikeMDAsqS+e3v4X jyJvkJcrmDP2MrU7SQO+j+GG2MocLDme8/l4BeptpTXYxoGlLMgFEq9E4IeQNA8yk86gbABHFOVz Qlj8HIydbLNRtQVqtQPvxyCd+ZoT8I360m6PZUnfGGFN/Z6/aR5H37x1m1ZnVLqV7ZCAwtb0UJQF 19WyrRTlcTqX2FGtyQk3PIy7CZ2tSdkaUEhkSN14IHobsD4xY4KX+56GBndhALEdS+Oj13zDDV/M c3l59lBBVx2SKwUYr8sTKlODv5vb453CmMmVUoa3Z67JYSMAKN9Zzm/RGNM4qw4j0phImarkN6Mw qBlTDyyeYoCojg4gUqSdiP6aHc6G8T1OdbvrFpZiu84N7OO9xPsUrj2MyiWx+bCidOv6066ERLEQ hHlArphX3+O3pxA4+4AEPRhGmsz4NJQJ7i1qDUGuuVyonMIiyRDw6hzLus5uyK1w2G0/fUJZv8NE JGF6Ghi4fr4aPObNY8+LqdeaW+J/cKMOliQW4QsE4HQ4gEihpqlGuo+Gjhpo3n+Aiw7Ot8AKmmzn AFR3jFKR6gNht7A3jasfUy/prNp99uGDBJAYiOfNst9rhvGuhPcV6uxzObLSyiEit1jZh7+/lqJ6 KOyEG7ZWTUSdsmKR+KN+ejnR2g7lBoBKq1SuMOCnWPov8cYNsW4Mbxf4h4slKn7gwFki8Ud+Z4aS KTDUqtJAEG+AYqCdOb9cqXS7Snb08NIFdXAEvBv7G8SfkzH066UvTSiD2HbDYHBpsEZbjV8F3MYh 1apODuOKd90R5IP+715YLdvPGDS1awhJKnQUT6Jrx70zDHmDd1V5WRUi4fVlepa8pwFKyGl0MIuJ heK02GR69jb7MG9oNUvZ9/byl2gPjd5C/pDWq3Ovnp72eTX2KZBCGXeChckp5ioN2hLwKnezaMIC yKcmA3PmwRKbradkkfgr5DM6hHBa33UWcr5rJ8FL5IGPmK1wockjSo2iGFeDv7+5iPY2I1UTg6Me CNp4Tm53oxU078yC97ulWVz5kjX0KtEALmVpFgkawIcwx+3ujhJNWjJ1EG2Crs98r+c13xjn+q6J owiOVb8le1KTV0C6lVHaryZrCA5NVgY6H2kwuV5w8zNO9BEAr1L7vRvgzA62kGi5wQvkAQXLOybM k9gSdIv6YyF3TPa5Ln/iW/7KCR1gNj3mHYSpa9VQI/R4oy886giAkjLDyS5RF4/SFCr+DkHuCRB/ ehV9x/JxSz2itYnBG2h/mVGwe8aBrqjEHOLiFgbg8l5Z6ok5k/b4d0lG7aResk5xJ4MGz0ajZnXG umr+kQU/tgekQEXk0C338aFCSgJRE+n8Yw8TIyHR6tME3r8YH0JuWX/KJfIBCp2j0m3uhJEZiujC mRILlBsEp7WGNzwz/eBtWeBQKlLW0q+tMdVlTad+drHIBaEvSm+MI4zmalbZTUR9PeDuTs6jOEl+ RMoNz6etrlBuWvA9+OBgsIJtPFaCOSZiZ8G0MhW6rpfiMWHEYphcA+o4Hh6kA83QJQqaZR9qAV04 a07eCYVxDduMmMqCd76sHrHocc2JuzVQXVEuF/8n0EpF3ziGyXhRDxf0Vz2J+I+D2M9M4GfcW1Zn z74yECsKERQbQxYdYVqAAjMKNS5H7osUNlOxlYGm/A2Ajv1sJLlLyUCM5n5LIWGJ3KuZdbGqXkqq DiAVkD4mrjYOGv2LfDOaiSYPf28UCgDc/IvKVlHQccCxzB+OBRcnGWWcC6NyFhxD37pNY/sgzQyq R6l9JN4ETXX6zyf+Q5ySuvIh0ZGcoqSEkpeXB19vYbCNG2e5FAIiwfXN2sQjtZn8DdAx/rww0qsQ LT8vqpnH169gKxk7MU+YYlIgUjHxkXrgBkrlFxKSIG0CcdumPeLAlKZXuoc4X2g4DS8WV+jynGbD BfgBJhtoErPi1zX8EF9Owj7lXiCQ9apdD6030wjg1nz5I2tmqX7Z6/dDIPOPjoPv3MbTyTbR+Zl0 qfVPIvR9mHQgrpydS0JyUBRMYZDEoPdPgbt3Vl+BDu4llpSAYPSSJ5nl4+ij2yh6fujHgWBudR9O 7grMbG03njyjByfGRPZYVvGZnvFcp5f9aAhskgquMQlsWH7L9plN96mcLNTfL7pvBeGX2YtV9ry7 qNhsJTvVGW9Tw7yNrPhBh4YBEsm5Hs/94wPY/iKukljdtaqqsy2bvN3VRrsuPlmcG/xpaID7A4em zN2HueGneL903Y+k9WE079/m/6Pdwm23X7K77w5qyft43VxiDro+Q7YODxRPeaosNGAxWk4uHJ7A kwpd9htW3wGJ/yrUztiR/pLQIiGvBaD6/erjk75Rut9UOwbvI6R7B3c6ZtmdxGsS7dLmVoG1aE01 QbUQR4sPb9JK9sfuDYQ7V9/pK2UQnfw/YGR8SNRTPNPhmoxrLzt6IH8yhUKhoeCW3EIjzaMbkbP2 qNSJd9x17GmtY7MGR37hxdNe6UnYZWDLdV5t8/z3TlbJuAs+bPEiUx85D7OdhDzwDZbunWtmbW55 rRNu+xCqY8lw4forHG1Z1raq0I90KFhMbexnL/24pu4+N55eLz9zRMdKKWj+42Q4hLNwYZM1w8r7 Y3uwwWKgPIG6ll30SgRur3EV9RGqPsKiQp/zE+qvctXCxWx3DgfipuB2nwTyyVQKeTsdP1adLz/w lTia41WH+PGoqGa/1qLUeVNsUwfQhG7iEBVIJbdikqW77m5f2ObAeYwsoGnbDNLbVGgbLP6ZH15c oBk/cyNbKqfZMTJQaXAMXgy0QR4ykwHyYVc5mRt+EWfvmN75Wyko7n/z3B9k8X8eTwTpVV5fD75T Tmt4gMXn5n52fQV7pcHfGbMLcDmxe/JGkB1sBRrX0cdkEw5idqQHAffXyA2P5ALI5Y3XMWWR5iZ8 JkihQJNUXD8kxPLpJkM+mpCT/uWwf83AWNYTBfU1WAHQ5GmrwLPjYhnGsgbNstAyYhrdEOzfiVJ6 3ZUm5HRTEQsiFPtSJ8d5Z8orL6qA4becD+j5+m1CTVrjZJkK4DDq9AEETLbo8ZyZk8R/UDQDapOh ta2fG020vEKJPA94iZWfFLq9XDRqfQSXRHVquWkWgd8TKQkHRPGJ6ACbTG8h7RVDOB3LDM2RDvdw fzG3OvVBRfavhnP3YANy7A7wOW+LiK2DX1ctQVoXwMIQ8hE/C1y2Dgf0s7+Env1/EGQXCfyg92bu LR6iu6lxb/lzVJc5bH6XJ8Pg2robNjocf2OEgjLFFyGzgZIAIY0vudNt8Y7y2AuR8z3JMtZP9L4v fr61liblYj62P/11kaHTgIVbbS0+QxphGbhC1Csu6M4Sx6NGSsWYlP90PayfbbYalkn+P2KjXr02 d7FrSn0UNNVuJNFRW6ZVDEi9WtsFKUn/u88iwUbOg4I4bMP8Q2PyoRf/amdVqpdYSlg2DYebUTEu Q1LuxdS3HiZIb5YoMh+Qv0g5IHdl8dbrC9IozRApqt2w6l4DmbPHIYJASCLHQPVJD2ztoB5v5FOg qAL6rJ5q30GgoS55W1ptGwiJceL6bAu/Q3z6gojmPQQTmH5bfYr55eATZHlRM0ULDdw6LuW+lXe4 wvh8fRoOQCtgHwNFXCw8IFF2TBLDjQCXwvT1mpRA5HXUzbn8e61wKYDzXSW+ibjnvy9w3Zw9JG6U EtsjEtpZWox+gFESHy2e1lVD83Evj0X0oKA764sxjAu/A+CCFnKHVXbh5HSZnR4TYKNmDvhM2Kwl 8Vrn2VBb2hy98U0vPq9j2KOYUw4UvtNH1Kxumoy3300t2zDjmrEYZMlhrURLy6gmalJ8cRMtKPLn 1ZfDYMydkl+p8sT32Sd/diD0FnKgZxrXG3tqh9ogpuNfR0iK9nr2OyWGRHAvjXBddSxBoJuBovUH QcFFKX1EEWEox3azyd4G97PWU0lJJqDPQ2wqkVSEsfs31O0izKJxu3pTKcT1O6RjeNTr7zBOJQRT 8038EqJty1f/YBDFYEzKp6+nJQAF+sAWbUICHGyJM1jB7do1izVJUmK4W6syQ/6bZuRf+//iYfG9 pPDNfpE6jNaAUpraTcQjxlUCrSLG7+R6mhc8GK3FBLtBAqth7pabm6WisgRPpm+uNufqqUMbsKdf 7YixVUrnuMwJv/K+Xz/fpJbytT0ViKTcBnoY24i+rFv3i4VptR1YAPsC21xtP5P1nJmooGS6/V5A qOcqAXD21e4RJ5jyd5Ei/IDFER90ijmOn+wiArusiknOTMaDXWTva0PUue+NHsV/u9AM56ZeTu85 kw+kIHghk52Y06cuBWEQiUHkQwt6Y/dN/zhMACEcv0s4NcjbHc3xpf27Y3AOD5NC4ZJ1DsAFs30/ 0CAoH7dskjfzKw7+6Z1RExQD+BnTk6i3q8Lj/v5qh2vwwkH8vfQwcOHB4aeAh++evI/TcvDeevC/ aGfGAPXdXxoYVFpJpSq2nq0G8zSkaLmZN1h2ZlRP9AmscKm2NvCoo395v2lTNzhdkplvtHyEmNRe IJ/9HkPIJvPHJISvu2J58zvmA/hGR9ltXFpJ8TGnuKPL6iTn2M5znHUf2JyFMRw/PLfsjNgOKfOZ ncUqDc+sV4nr6xO1eDppn5GdjKvI5ecR54Et7RTXj5Fnh9Lu8hXAF06hR3kgqQ9OabdoOIgZxXh2 opgO55qgTmuuUtsxsdWIkLrtvpLKqrisnEqO/DWB45nktDLoHdIKtR1nKBNvx3M0Jvdx5+VwTCE1 X2Wkiw/CDPMXcPZaId2Ev3t/mId4EOjTEhEz+2ddabmFWO8vNCr3cmH7nKurP3v+vM8x9a1xCwxg ik/PW/ZRTVsldVvNNCQ4G3w8zqdwEOaiOzERasPmkykdE+nYE2qmrNa76S/IJz3Vz7P/4cRacZBq DBQ6l8MOxcphCP9Mv1k5JMP5oQVR4AJNg6RcE1bbUvvmPBe5Tqtt3c1R7ALSSg5FH+TWV74q1kS+ iD7pSdQ4l6/pP0XS+YxLA7OPCDy3VTuR7rJdVtOE7BIAdBAPkXNoSqvPfihevPL4fvXTqHOVGHUR svr8+MaBc7f9zKAi0y3a86+y7/0gY6U/bQXNrG7lWh6TEEiT9eH26T9NDmP3rujvhoJLMK8hipsi jIXieshDBLQlDY+0sFsrx6AawcTMokyuh3OTjz0m391myuESLDfdj+GsHaTT81moe5h0GlenOgu1 gDs3qf8LPBm1dAm/jm2O5KYFhL3xzWt/QtAkW3WmZg2BxwN3m66oCuu8Lkvc53C+lQmbf8V16d5n Nnrs301z4/QNrWRxgkuyprKMCRmg8UGKs4iJ/U02NR//kIryWQYyg7ijUwBhx/OEmUR0W4XUBaWt JwRjUO8VIZggpxYA1v7XLpFwtsebe2D76MSK220/+3x4pxhHGlw0D7RPH+mOmgk3Ob5DMgAS26ul ZCD0ChPTKmK8KkMy46n0t0Mgszep8XkDQBHABFD39pa2e0MDeGIJWcHdkATVbb61ZBXSFWLbp69C 4161v02yhL7b2PbHSs5bZvwZHZpLFKMjUOe9/bqsNovsDnX0204AfX1iX9NGxDWgoFhtRw0vVtde C0YOeTfNs7ICz7kjhNcygk9mabD6NBAXj0xktHlUTeauag6DFyVBxj/vtUr459Qeu8kM1L9y1xP5 BlFZb1ZHVmY8Qvmc6a+deIRX0oSspygbfM5SHqPTVEFBNdQ6sU9PCfAMV12Vt3bVrmZc9ub3cJS8 j7kJLVdQuWbVhsupy+32xBU1Jl2o2lrc4IWYt809SJNIl1Uqy+fetr33oMeDikwQh599ihEd+rAW wg2iOta0SsqNSwdU9i4jSKLCp4Uj3bzRJBDAtfsDJTommUxxVx+L2zii5Y3Q5JUo6wwSiheHRYuZ pjpSIEcDByHuhz6oIUabG+wVPdFJD1sZOLSDnCUeCnsjAIW/rMA6aEtuJR6t5C3p9hUIdgpdS4Ta yC+lJirNwPdTpohvqfxYaJAFK1Iw+GFQqqvKWpKKETgk4t7ZMOpYFXky1Yq72yx0uxLEg6rqG70o lUnrecbznfuXUCnY9dk4crv6FugjqQKnspI71E0oNk/WoaE/2VhvyLEQtBz7TYOq3d79vv1L7SVN h4OVNUggUHRjvMNe+0hVw9+h7mIzTKfksZvboaoFm+vtYA1i3//RVRU7I08Im1eKPC6rogDYBvIZ 9FOM1+0bn5GLzqFFoSvNPZOkUxQug1ZcCaS0Kf40tuBeck0KrrcTA61yqzj83K2a8hGhoxuo3ceV qoMipOrsLD/7EMzcPkTdVt+OcbAlHkgem/AGx0lOjIcemol1BHSldL5Jl1Tgm60On8gvLgSHepX9 84eL9PYUtYKF+WelWoR+Ju3rtbiG04CWxhZxbeOY0bd59/fPQ76yQz3W6aS0epnvMb46IWHW4Toi Sw4Qb9XjrQSWqbZ21BUalwQGun91rJ9a+JGJXYHBwJwOvFz0KpsWqghe6S/b16jDqnq+5yN9dvck BkJMGXAZmft4D49u3mTyQeZevbWwZ+fVpMY4tXUBfVWUs/9fpm7YSCSb6Dui01ZhTCduyFsQ5gd4 f/CzvcxTDB1sq9KfkIHz/W4MtjyIWKsIBueA7c2/TtHPfGO6j2bggk8Ve5w9RAvVWKBi+pM2ETIs 5CLdG42WyMlMVn6vDx/rOQEYJMZTySPlbZqFyLyOXNW6UrgxGwV5B5LfKjFKHV9l3ho7pT+fM6Up kBbPHAp9eP12M718d/aPDDGIhLcFJRsqVYqjpkexzsv70YkHByf58rk89Vh4O2lT3NXPHUdjl/SB beYkEAQz+xq03G6zNE/SxJOyeDgf29mt+aY06SqQ/6BKCw6CvZ6/dCZONf4Np8w+lwC4CVyHnEU2 7bpYlJuoRMlvaUWPQrHnoAPC60/+iU5PiLEvD4+NgYrv5Fz69TgHF0LG8OFrz02vZSMCi4OkzXC6 NyZaN5hpvBh3fUA18seXovDpCIMwgi+Jd36fRAMp6YSaCeiGun6vtilNp+dQFXPonYBVhQgfuH2D Bl/+QuZYBnWMi76XdoCLUg53QOEt/i0RiBBvWSImMtC3gemN3EERg2tjIgwUNDZk/sCGlE4QX+3w oHcTyHv78lohYB5nymSzUvNPTcWo2huEC2u9mFgexSOuuiMvWtnpDSJ/W+D/b+6Yg8lUNBXJulCq D2z3n2F6uo80j/USiqBh4ftz0NbuvN9GwYgbJWKOTKUMizSAfmSxaQcIUSo2p0fsTiH4ju3v8UaS 74f6ASWx86nQ5+y0vEEFJMMB7LX/78yLLOQt971DrGxk0Sw9e25TQBlCEQvDe+DIy/fY3IRaktVS UNYSk8X9F94xVOgXWtiI/G53Q9ceaqVEBjvC+F7tYi3VYfxACbAo7rzr2jxXtsYs9PVhab7jAJSy +w1tQCTRhFge2zcquyNAGA407ui8kD9dZPiKXaETYJsZaQncGEf3BbWxzSo9XNaY3Yw0VnaIIU5/ A0wy0aYrPUHjRLcEHC9a0PI36wHgI1/VQ3o24zHQim1biu2IVMOw+TxSYh7gxxJBneo/VCDFpbzo Tri2WkhzgnbJxuvwvXx69orcshT+WD9wngq279yxrcowCg9ajYGwl+aVyCqf4/+cls/QzXwsMRXz 5GUZ6eJpuUMWyUFwrM2pTrOvmz5vxbRzAKJdL1t2Ku3IuROvWHRRHA881m3jua8+XPPynGfhaTqV 63h/6j3EsrtxhgkWfUqE1CoAdNeU/leYlzZBK3UUiFctb4aIGtFotdw+kQEFlcRqsT7mSDmje2Yn FHxxhhDDIKAScULTeEAC0sivTtdu2XHMtlj1TNjGCsDbq/BHb5RrRXY2iChHgteprT/9S1WjNZpm VHQg4R6ye04lXyGk+jPdOC7fRmodzQIXf9UW55hgz2r3YrBbXvzqtKjlaTtQkC7lGZgCopxbM8Xc MhD9LYHUOKADd2pPlxQX5AvfdXarJ1gPZ4wCE3PcGNyVdyLpa9l8bzwgPBI5OWhG3lljJ+RzTwBf CvanwWS48yA9soQXQWS+ivPee0Eh+8hWDX8PRen6SL4A0J+EDTttcW1LduMjBFV0vkEO+MboHVQU tXQTx0T3RH8SPHUZERfKHJV74DnZxZnCtnUrffAzgf/Xtcc4U6IeNSK5CPrEvbzpE1phfvH/lTwT JK3EBfAS0/5zbWZxjkqu6dCQrsumoRfJGNRXMjXcDhy3K1s0akYMi31jN55/fm77pp+72czEBiCp f6++8do8Gd25Jb7FhPAb6p0QqT7MAskSK7L5zJARDdmdE5ZvP1fqL5Rf7reEDmvLlEfiOcWfmSZ9 fwLVu4ofGJPPtmBM44J6aYNog6th2CiReGfwN3nGDZEMlqm2BXudiCjFb+bath079YsC5mFlSJg7 2uBC2Q48Lcvd+9wtZRlqG7aosEiIffhhaB6CTkVIdH02WNWCDTvVR2GMFBII2N8ofCdc+1R5EXQu qgVaxmtdfyA0zOSuWQAUpveiYa+Puf6GQuOYysrYHZoQSBUJ2Oi9iXNSbYzCc+eLrt5YheiLrHEI Z6N5NA14A1rd4DQbwOHhrsaDZEgrhhwtkWMF7cZ2gtRP86tOtp0dg7WjYgijAsQqpMwTDgPSIb49 Cs+z/wQ9c7OCmBFpfpRbZL7cnoeQb1C/et5jM3CQT6GOmd+k/Cq4B4KRupKsYyEc2MOoIrO2xoAc B3xeP8FKQuD7KT2mYhVU9SNV3BAffSOgtLr8ntF0FVtYWlKIVB+3tDkTjGNBHBmCopH/zAkIX2cf d0W5T6D0dDsiPU03pLJO2VY3G+EuSl+NxDzfUv/sXVYlK0qvnn2VkKu9iUmw1AP1a5qiyHBBWg+H mKqBcj/36mEWR8uDFlHIrOmtJLvlSWN/BAP+ZNN6hZnRkJWpJThyLETVqx3BDgz9OuUAJm0OuBSn atvASPEUIG6GVBHORQr0lDl5wgHFNPmAFATowlK0Yb0OytnvAZW8pPDgO9NLsRyTG2ZgTf7EM2pI igW/99/RXdK6ZETeSHGNvlDCu98ly0CmYEzBVwZqBkKIzQMToLzJG61Pgg56W9RC2LP2lito+efv lpKYSvf0vdvBH7egfPbGbrYy6eN2xfaNuipxPfMWqCB58hMe5gt/Sqzp9Bos/X6dDz7XZ+H1Q/7b p912i4Jn5a/HyZDuT9E9eR3vUhpb3JNc7cfv1Ps4/y1RGDy859LHKFu/6krqlRvMgcKUHRUDnLSf 0xespLwERLamQN4ATK/5ecqo5MrT8LW4Ya2UJ3QnpbLE6ywBLHBKzyW54Cp0FVRYA9g3dvQCTdKU ZD6sy6fY3PSyShgmiBDI4yzG10EongLfYDB0QS/dwt3sX0XCWeqOAyW3HnkcsXbTfcLcM1ShKTca VP75MWhcnLzeaI3eHbU2AO7EeYlmBqZmUe1Iq33nu9qNSV8q3EAgcju42YNNJ4iAwUJAcmoCXkVn DsxLpreHrbg7A+giiQ4K5yk1XB/seQ+Faw6ncGSosNpMuhX9eWAepzT7upxgu9N1Ai1VoadMQIYY 1VPzazOWZSa0UXa/JxpPpQvJUsNuuQe71wL//1AoHFE+II/Xm7ssPrjDa4Db2AlFunt0aKPRCtTr vgOY0DwMGq3kb4RwGF90BBfJJsTJiYcE3SE7V0nQKuu8ESeSrjtQd7+NHzlCwbOH2FLGI4BCqye1 1n6QWpXjl3JOTTmDknbP15NeVBJwAPb42ZDI4jcftKpk2udhyv8IKelVAUXoKg+Q1WvyUyWNqNn2 gHIr5B0ZZW4AaI/aLtXXtnQRYelt5HpYFzBaA0wrY4l6rOJT/dnyAdcwnHHDhM2WEyrHn6BE+58c TQ/Mh3rUDlC3R9osaJ+EAYHnjRx50omXZ3KUkDIEXcgzYVOF8wWgfcC+nRgNl0ey7BK7wPv0WNqW c3Y2ypK0pKJAiK3A5zAu199KyFT5Y2Thb4CUjVAb5SWXnYRqKR5HzE2kj0YDmDNCc+tIg4wsKqpC 2RB6Pfujmhxci01ewJkPoMHBrtCfPbIxJIsMT+yqcO95HKoP+6NuAXAT6gFbKRsU9IjI45nq1AZ9 UXIiNvecnlU1nngJl3qQC7tH1tfnzSI4Hb/JEAzL7I71TFXHtBBrvOV2rG6aw/+TJ5hsPfGtUt+9 0hKIyol61R0U6G7Mw7oT1egIy1d5net5lPnRp1ZLHRLVYdzIqsf3RL+R7jmGPyPYbVbuArr6e0rK q4RF3OIZmeUsgjKEHW5YraY4LYFBl8IhXT4OI1YySgUxbXn2baOLKXXkXyn2ZI3/K6Zc+xYOSsQ6 7DxoQGtDAgCsY3cFqMtaEJCC8p6jCLj3g4SyETKbaI6f9YEKtbDwb5wSiasm9hEQNd6DU40qdh6j GmvjKYcMUZ7IEHHYmjl9havyf2jRUDt/JCgyEwpctW4z0SGNgaVhIxfBNF/azNZbtZ3iLB0L0ZM0 SD+lsqj9I+kBkzkNAu8JOiAAIgqS/EfA2es4zfRFSoVeFyFDnOue6msczPNaRZB8sR8zvtnNZPrN ox8PTkvyK69caPS0Uu4fYb1qBGb66GYbSgVuyGYG9UPGwUt3m0NFub/aw4mU2HP22S74coLDN0p6 IoWFc6sUaxxKqv2QWb8aYvltNr/t0mumqrLVW0P+uVnhTCqqDNIrMuSaeY0R1LXoXQCgG9eeLcTk S0BL2+1raer6NQm4kDDJfKq4ZEmDKcf5v3DF8rb1E030q7x1STYDdFKxl/Pjs5NkTurScqYg2TkQ UXVdaFxp4RZwKEZvHiVwH0Lbs3smOEo3UVxDi70KUy1X0wUafR/hnQdDsLP6d5dvC1WjgXdxe0Ob E3wACHoJqyuEn2T/0bKrbQx00rahcLAShScHUds3cWoAIUEJsMJYTYSUZm/k9elujfXbUXfDRx5Z GJOeDpiJQrXDluZMd6t9aHrltUM5shgC5S339n6Damz+WMcDAr4iCPBx29NvCjt6vSwcYeqFzoCa m/JTFiWpQ8WZxXtGDsR1tXosMmypBHNrSd6iqdYDSwtUUYiRYV79EKG0uOBeiIGVxhZHMVTwq/gj EGMJEIT1dBRaallZ0FylcBpN+TCoBQuGBdkrk6j2/QaO3+DDYh77fbTbORGH6sAH+mnIAaAb6RGE lZTxaed/UYLEfGvzWfgoBw8OY+vw7xP5D4CZCwqZFoF696Nhi41Wrxvvtjbb5qk6IH7bO+bANQbh f9QCDlgQN3By0AVaXLAyZVUL63rtBNjbFtZNCKq+HA/fvSpWJd3+mYdcaxg/9LsdXpeKIhzP1aOk KVEtePEfGfwSbBTslhQKGWSStMelhB9KD3rZgbFaqDpa9LWL5e79OaeWy5iBlrwPW7dS8GNVTyK1 tA59lrtPaoIRK845rBl9IVcm+wMr65jVbM4JhhkEH9pZpaItlXdCC97AkfyOLYLTERHldzoN+6Dk 906PaDXOMXk0tWq9Pnjf8n5EqoPKiRbIQ8ntMswwbHNhCo5Eik6I0xwsZUD9eqxC0umYvRTNFhTz NIPF8xhbxuskTQsq19xUdgh4873wc9fVWR8fNq5J3xjE+PWYpvgnyjEvNXZrqHAAISGZCMO2yMqw wQJBLBHpPYjEqTNYZc7VkCenhYbV9IcpXELrAYBb639v77oiskS/+iQDSlSNmQkDskPS8kOh2Lg3 X9PPZS5p64PWXJwZbGwCgtnW7cUJetKgUwDArOZzAJ8dFmloN/2ZZsaIiQjDVKvJofgi2sN7XaJx nB01RHE6gJQC3ICwedHwY9WrJv4T/JnnM76dnoACdDB/jJvYBzwva26oyQ2JHS75JT+k1Q5XNZUA T0HpgE20Kw7dSmzIcfl+JzGNZVV5Jk17HrT9kZIGyZ1292bukFfbAzxnUeJvzsZ4iTj9mpGQsVVl a53AZFUk7RCdZn+skn12lVGea22mZEDy6PRvx1ZjjBAs43HbEMGPT681p+fcHhj4z3ezE+cqIYob t4RPbZCJGQkye13ZSJ+hAR4MOkzcERiqe1wMPLU5eruDMP+7Jf7z7DYvBOVGYSqUSw52D+FLhSne pfw8Zl+P7IQnNrp2Hb7zCt6kkNRvl9fLNh6ABXH0hnVddh7eCutQunyZEYL36mcc1+/ys0u7xoDP 4OIHFVt24t0wARWVpgpw5QdHwd6Um9zFuXfkYITazzTwgAS0f+0gEWdkbRec+V/dwQBtwNJP0MUj e1c8/pUiHIHLDkFn5DgPNX9rxiok7qmTGJZ5gpDHmLt2sWxUZNmMDLje6zElYw4xcAs0JjgcQxqB zjvI32j0MdEJwD5sT1kdv3NW+0fgIfUNHVXr11Eq0YRC5tgVuYXW/tz3pPlwDWne2Tndu/Th0s0C clmy4rzn6ZAS7nmNePVfkPzsMs2sfVWUF2Yd0/LX8wgMYU7Fy+5MQA8POza34kQB+kCj/x5hdI4T CvIxGCnRnHzwEzSlEazK2KIUptqLD2WhKAY8G3JNO2AayKGFNcJ8Lx/a6JHnLlaOz704j067Xbpv DWPdBkWp9lLOiZAsh/LDk6r1744R3Z+JuA4zApPSdTjElGnOoYCsK5L1KJZaNyGzqQrSNFZMegLj QKjQbYBQdXNn5IE6lO89jqdq+HIzLXdxb4KnEkS77jvm+CilDycGKH9Z2gmE8nvmaZHOQBpbCVsP JHRYKRhiA+b6Arz362ID5WnNrwQz3D9h87vCG0/GSyOPDmJu38ylVU5s5xa6BfIBE4/zJHB/ufXl cRjTObqJqDfJoS9DshOp3JHr2wQZhkDdiPOU6TudIpjydqR45cvXVcA8so+PYvlDJpx5l1+QOgcY 4C0jWUHnbgP/WM/Op32EOpJo9NmOO8mTooCzvpfZsrK7CvPtegY6AHaXjTRoRzxx2oZMNAwRkvef /5I8yZS689SPWonvcz3mhuX1IVWqA03Rq6qWJm2UEQmZIK8YHVwzxRypiH5GuWWw0NreDkLvqVPZ wakVNLnMSb1XQBe+cpwuJR6TFzY6lCWskxm72xsnoJ4JTANas+iveYkZv//MmqRPrNizvdeTT+m+ nmR3kwp+XAnwWKKtAvHq1svh8wsxCrhQzHS6uo/M+qezztG54VdKssaSBjVS7OCxcktkDEUKgTO3 dr6AbbLZg9tjr/MgTptt2bCZ6dl4iklkGqthCHZnub2t4sZDar0JE2IimtshKKtSpzgvfHlnZBb9 GqgCPK30PRcajNE4Z2A29k74c26SlZ7vnfpAW06osDzpZpbzc7NXrFIu1XiDUjpK1rNsJojfXOTt 29uwOYxgtNX9UhkGnfrvzY1DOrqh6tV/2bvcfrH2KpUG7XS9KfpHT/4ZcXJHHqDXrqMeOWsQNIRQ 87l8wPDipQtQY/P0Ouuh17l3LvakR61/g88hu/xxzFtzllDdCL2P5TZ/Qqtn5u6F4vBFsbOHMWEk 4r0oL56hnBWIDCIjUOZZXMdAw0FZ+pvjzVBCvp4Bpt7SK22NA+OpHDulYqc1+ya9RuizfG02NB86 PAny2fw2KwbDGIPWopvwYbFQJV4NjHTVsaNd4Z1oFZhfShZreiunYqus1xTaeDhVTgEVi3ZKoZR8 qSnTC5O4ihVMg+lxp8uIppWAnnIbJsr9VpdioLcih76SX8VG61i8eUITQG922D4dVbXRepU+eirv 69hOC/uRs3ZrA2O0SBUE89/H8JzIFEpZY9qdBqs4cYZ1o/PNrXSAI6Tjii/162t4Zk0YVT/v6ngR S6pZXE8FrFyuqu+1a4pnQPGL2hz/X/ulEk4ZHH6f/ZjrU+V/AP8P0C19ljz0okyx9ucC8Qw+lCnL den4C/3L7UFoXS35ACuwE+Hf3RChE8tKyqTmmMxRht4fBczU53ANneLODHoJk7UzWlEARczCZKXX KvW5E/aAWy29P0GmdlWci33ltAMLkYKEN6NC3D5roPAW+NN8t2Tkk4gf2me3Oa3R5IwCZmrl8v/9 qH2cQd+2wJHbdnqQ6bdNoMOzTxOCJSO3zwjTGZJVv4cE8UfHntf+pKOFX8E7So6nnWvxbA1ckEBL b4KDAfziUsurGUkn4IvURLLrPZBRNzuysdq5PfsWVYNHJORfmYQcGu3+nhMmcwj45fS5bJhJVD+n sjtMGgW4Igk4QzwUtmpF05XChWyUPLwLrxB3UOiQ04KqeJrCfaNHPDK5+gZFUkMJ7sfalOsvA5BZ kOo/GmNe+4GFmVKOM3DnVwSYbITZL3PV3+2s6aF7TkDvkfp6dRkcXsTHo8cOoHNgFX6ybSputDhp mS782X0skcc5Q3Fi6WD1e4LhWdFKMCsP6wE7VXksfh6wUJSXh0bROp0d0+VzKHbnmTtPSM20XWHo dt8o/JI0Ttpv2E2aMZZI0XIxaBNXo58GFKva+11XQKUVbFFTCiVgoqfDdD17//61pzS4XxLJr1fZ VQQzGFvCBiVZIvs1B3KB2sDbBO6B2mroivZiwpkvSGng67yU6Fw/lhy7k6RzLETtwTpL49/u8qcm 655PDqTZpCNrKNJqBhaIGSFV0XyVLNLADdTV8xb3Y0YI2smko+/bYwa/6ac1CJSDnF9BevmapUoF zoOEJ1FpuVe2lFk+/EObl5tf4j1XsNczrKP3ygndsodiPdhjMph8TGlmTqlR+VpPTYRmMTuMd+J+ sinZ5m1wrWCvls6+0MeUXcGLCyN2XDeGeXq4YMHPJ790XSiNtwy5ehuTMw3S/AMceewEWXktv7+d ZWnhjQ/kSKFi3xda8E3SZLXmrApr7oFNasyZn/M60AmMSX8kh2XurdUl3TF6VslIrThWpdOKDlMo DQ8RL2N/sHAlfw9c21k/EQ40bI2PTxvtvbl8vwfbfrF1iG9kVG5F9heH2VSBFXk96DL6K4eRLDR5 Ptoe9LNQ2aTzaSjCOw3weTGx1SzqKhL4Bf0FOCXryiWNvoMbvIOGIvxChUcIuZ7yUIqJUXG+DJr2 tlhk68aeNf2A1V6/H/ZBJC8Eupa9j4rETKHmoj/z6PGIDEUw4glK6bTyTwXxmvnxNijNVfsIVn0C Tfr+WJN8KBkznOUhOOoyvQ3hpCd95ABFUa8bsDxA7qF+JII7NQpipAC7md8yCwQYeeUwsV3V++E7 w16BF/8FiEYqKmEwjgwUo3aAYFzc6UswW7XH22XqgNLNY7C0GBtPVzK547htKNs2tqAjNl7ELCaQ NC2VO7nDxjeiGT8rTeOMNFgCbSuU81685GsX1EGev/tvueJv9KGctpl7htOrrfq45mi4cckj8FNt E2iclUQQ+xSp9ibhyuVxxZ0XuHQMiFaCayaUWWbeWS26TNj6QVmgexl0b0EAv4+f4B1zZwZc9gcr L6Zk6rK92c8yx804eod9zrbW6cBv0rF7VnDirUTTwbE4WuPof5CiX/Xm0k3gk3B5veEKoM/NriBs dZ/u12hR0Z7y4vXZkqUOyrNUB33GzNrhUKERWEVVGZCWDSr6WM22Ad3iHCZ0aF39eVl8JO4m/k0P Tu6gIG9sNdgDY2rlrJtLEKsvpDq+ozWpWAleonYjJnumg6wqC1weoT3cjH6Dx+efj+UiU9ZHIRmT uOtYWD4kXLq8iTd5THucgEhzLqvIqPQ4rqqsA+T/xZuodJ08WjIlf9yKZWcVAGFfdwHiA5zLFOce nfV43dJl9SonFcdSMzut/SbN+R1KWelHYHOU/CV6vLVfD8oNbp02pZjqz90ojCnPW/cgnKmHPy8v rtgxYve/aLS+0NZYhk5QGgFQvuLahmHsnpsiO3XAm72T0PwR+onQIJoO+BYhV7Qb3XlEU3hv0x0z 76r/x01qruAMjYJamUEKr766LYlVxreU6itP0VGc12rf7IiLP3CQOkT7pwS82k2fp7LNX0phR+vf GIa99tbvGm1tqNaqxRNn2Chp3WN+o7UayYCc60/sb2GNto6wK/lYzWrssdAQJtY05N66jcCDqHSo 8089W6z7abq62URIPJNrkDYVIt6iJhTca1Ib1TUZc9lq9Jfy/mGeYvfZ2G0x0ZWqhgskg+6EYIhY SLyzDNYs1FoIKB+1i1F2WYu6P4+HokPGtnLweZmi3wn7H+hL8c7OS6DmEPuX3ebZz42Kj3U5lCtC UhztIhQTBXiPGmSZKWlYh/j07YF/Es1lGWZddL+IGt/ADPptQ3dJbI7zMKbNzxheGcmJNaKCWRjY JR1/agPzAVpWMD2ZuBCJwAM7QW2oFAGUy1C5zGDbEr1NVJ9aKPlaR8I46/lAY6U23NIoe6HNTt/q Dcd6Fh1x9EI8yp6B5sslwN5POntB1NzNaVPlWakkQ9ktX/5bEWdo9xYrcpySrnAoQArRiynohNN9 b9ao+2OElK9lK6tI0Xm3KPLVyv92G//WR7dYtOKT2Bj4wNk+WpnniqE8fjmSpnGGUajfCXAPIA5j xscKEKfAp7YCDWO4eoKoaj4lWtRvzmQJWvFm2I2n0miqM/xYY5BAXbH0oUhhxnEEs9o7JqoGKbke gBoUYpENA1cSLC5kV5G7eL8ObRZ0Cay0TuEqldJLFVEmeLWWYc/l4/1fiY0foAfUi90H4B/DL+GR tiTmuLZltRWExSMnQLR7nFKfhmSX9b3PjvyEsx1JCTsWdFpiQGxLFL3+bBu4nLkYFutxMYs428Cr kzKepIQ+pDsqLm4jG5Pp5aQjprLc4VTXPH66IeGvTAzLefY0sn3Vur38oZSINua+UIIFYQgV1m38 CgV0AaRS5UpnXV6ti51kkCtkrTWj4FyxfNb4pR4RbhYUOA2jjtfhv/uu+HibNXDSS0LA4Mh2/lSa sGD31wnCRUxSd6RLzflAYwXsmd7qL6/xPd9MmSfUMYymUY8lXm4K+9J0lidHNplXUj9FMPjDQ9Ua dgJh0FhSXpUcCOKy7sjgwLU0HRMHD3js+YeBJak96x8O7EFfhPB2UO+5ypR0kuUtK7G55a37/T1n avaXTul+gNwhmr8rJjqNQJuawjTyb+grzQBqlkDZKf+xsOrgMDxTJD3PLoj8AIC3x4wCzp0RAHPK ErqAlZ//ve7dHMfztkVWPKuRCLWFpMieeywR6/38oDDZjDbtGNFvY9nvA7Z4/XPaxGtpQcAZ7XG7 rXg4ZfbSaXgbAe7NwV/TFK6JEs+g6Yao7nfcVN8NqJTCB7TjzfAbBeO6fIQoDMtWUvSNNMkyv7Kx gEsf3f9O9rT13UxQsGQGt572jcAfDclLdzy2OWZXrIUoQUwtS9Byb+zS6qHstHNgwdVfEgJT/W2Z qtlpsBi6eJmHhscutwYtpSnklSK2eI/eOGtSs4kHnZLZ86NGcejEbPXB+DK2SvkE334XfDAYpNmw Pd9/WixWh7oDkpco/yE1lEbyHuUSRz9Tg0/+PGqpE3lLA7JdkP5cI3fu8EJpdnwYNqiqmpnV4Tu7 jA6rUxU2ucNS+NXIzMm3Bmjd/R9A7NUYHSTSkS1JJqQc+jUZXAWfFhx2BWoxmNvQi3cW8jrxpVdd ys1IXu5F0uR4VrZv35pFTu7aPTluc/wDQLkzi3fM49y3HI3iZD1u112xXT2+yiLm7CJNKDE68VUc rXsS1SUJzshx6VUcchmLcIzALzmSSXwiHbMndGqPdkKiaGuHVnE+cZzx4+TM9FTXRNskkFqfhsIe GccP5hZ13xw3rJJHfdQugpB8XfHB1dDUCWoc6pPLBGcoxioUXtcoghjFQy40TsibD9UHwd4PuIIB y1D7/NnVDmMh9dYoJ9C6unyF5AfogTnj+ek1H2vMH8fr4wAwGNIhRcCVHemTBvu1JuNMPNPapahw dZw13fdNA/DLWeDEC8XV69+AqsG3ThOYytM/Y7tsb1Bn1fRvf4qgfc/4SR13pHMTieQgevysyN/a Rd95gmG8/QWUweE9VEy6nMiVJDxDr99nBkVQs9EAIFVrkOmUlfKOhwQg/x9x5Ekbk6E5SB94A8eU P7V00TOCK270+cq1UrlXYaQHMbUODZ67iiWKgAV2wjJ5e9jDSbhcvogTriGynrEkZbapCGDG9/eT Px3/OGeYIBXKrhWxs0e2T3WxVCRH0MkJf9Njo/si7sjSpQcHeRtcRbERIzHgusvyOfrDOQqLQmx8 JhHOLsYteQqnmrB8kO5DnummXzotheg0WFmGd3g/c45koe24wOVsXvi6BPXzjzMUJe7pJSiQ2x7Q uIgGc22FaP/JtRdAKRKs04N506vHdSKVd9tNf/sjxPM/6R0A2PbHgLqZFmyLR6t0wrqfCefoPiMu lHJ2P1ahaHqWdFE5NPkQkgyYKsyFcAiTrcUHl0A3u3QQaQJdk7SVK0GmxCqc7jrn1PTgZMPvWQf0 3a27Ro3lSFl2I5vaeCCb6ajx8aBHVbPBXUTKzjcS/CHZYZj8P5U1Z80+yQE7s+kkWbZQzb1cHxnL ePF/fUlXjYoO81VxNCa/JH45EKyBm+L1C+byta/qEqSD7+ZOZv0F6vM+cNT5RX266gY8sC73QUmX W7nDbUaBfXr7MiVd/PSahLCZVCP7p0XQCWUTruv0ZMNTcf/SSTlVmOFs966MJx+lE8V54F06TGoo mJgacG8WP3+1taAdCi5fRyUJ3rqXZ0IaKqY2fml6LNxUec30+J8LOTx1ClvPUFzQ8ijP8SAk0oIy UgV/tpTiS/Kuyfx1GdI4DYY7Pssmr1p5P9qFGZWl4V18cjd3L9rKL+zTfzN0QU/5he7zg2R7bu7P 1z/w+2YclTZ/hyaKO8y/cMmOQtmdvnv/iLZ8QmMu394Nh2fmEYqcS5Gr2SI7Kv+ewCB/nfRq6516 zSKXgCJPEYeS18ndyLWqwaGf9gWPxNwDvnHzGFX+rxrj+HEM5tfKgPmRMUnLKLJhdNAopHEMaX5U BaAe0NUuNYpUOAVJtskMJMhlluRpKPsuObNy/Az9ctUud5cOP2z7YbFssAUphgeY7G8cA2SFobL+ OQVRxH7ApAQhTBH6eCXEV/dieUR+UUc5E3KIjwDnQerHJ5/9ZKRwsimvyN09Ks0avNvToGjeQ8QW sXoiarGlU9KheNLhVhweUOpwuyZG8Dw+a3UfrokzFJTvonyDyjlzbhBuIRSGv2kNdjKh2FEHMsCt lED9kFc55dFuqyubJ96Cs262X6NsYaJyLKA1N4ZFyP/igLICkyFLAseDRwsEVXHSXhVmhgTUeyMq gkbqsHvDWhTFiLudJhcSAT8WN1d8w1e/KD2Jd50Yl9s0q+0niasvhCOD3q9gv+rM913uag3d0u9n vGhoUOawKxj7w93RG5yfJUlXBhKFm6cUy2qXRq+OVEAF3zWhwiP/cxmU8YIsao9SU20FkpyLwW9A krYi81Aq7GeOlFDVp5FTfdltiZ6LDy1D/jZaxtmvNX7hwaHakTe5MjzDoK9IR+umASpXVtemTKxG dB+6rFrM9Dc0JsYvuDLdKhm/wwo9uLsJ30+tFGJR9oj6Z1AdZDpb8RQ39aVWToWZU7MizwBpgV2r G2YIRmza7ypsaboRtKMjWbNgMQNtUt0TuBnjzRS4J7nnYFgtoM94YJ5wrRykLVdEy1WeoIb9QL43 mJheFPh25XE1G3Ey/wcS52jpGtfREPpdhvUIcw1qO+2ZUYASM59ggnnxSRd3EOAJoCLHPyXj7oPk VU7ii6k5b/oj38Q6fFul2on1xpFdaTYD36A3AOy+BFMVPW76pNGNtDO/MhUOM/J7H7mtAm8wbsur 2jmjupB3A94c0FLFQ1CIBQV9dYHcMv6zqiWIV2cTzSTAgNrQO10fQ7+QwC85XK8AYNpU9oe+O4qF 58AErkJztgeB63Le6CNjC2acdAKhvjIYfIacZJsf4cHYhCkOLB06vYdUL/SL6PaoiIc5+KApAyFM wYxcwNBqVxTQFtC2JpIg6/b+HT8+HUqpQR08tNnPLDuTVS1VdUeC2D+Ac+1qY2t8EAOw+LPxkmaK Hq5ycG6atSn/SzTHw1F8QHlvP4TJzTSQa7Az+gN50Gbm2wnKW9lLk7PALw+P+JziC5VfdZHmYHG5 skyQnjHQa5pREw03FN6+IqzypIpQOrfXurQjBeTt5bTLYR3i5IVcjYMIfKzHS8S7t+gsjmb5yT7s /uum1cATGhQMl9j8wDC1gUH8QAAuzoD5gnzyDEzBZDat0DffVoZW7UlJynTzjPjHbFFrGv5tmyJf tgZWCht4wpPittJeIkASxLcScbeenjsLWFkgBWPF31u4ueHozwf/iF0DT/0JPOglMRPQw0X/Ug64 r2U3Gsp80pBNENxVq8wrKJL4rG3yvsZYKVVgcyt/L7pNdH+wmkfzEia9tM6uIB7l7KFt57aid/XQ QrMVj5BNC7VjKhFQEoZAk311VdiXYVlRQVT5r1tscCeTytEgaOlFxWWaNJ/whbVHRYmYAqnM5R9t zF4/0mNuVCW+vEOVcl3JDj3hfcRfGf97PO2sP/tEWAyTtJmpov2BbKQIkEK9YkidqMyIUeVkt5Or NKnjeFx2f5Ju5vOGhiVUyhckswRbG5mBUNZx+tvydELddMh1S04twNKdZYC84a0SkHuudubu8QBA rXpb3orQe5g+7S55SEFsgdQi8xlEqIp1b3MHCs9Zg9yh18t/o+qhvudSx1pQincBrg+D+sdLd1mE 4WkOukqjyKlSGTj/S3aLLIjf0s1sOeIB8PlyQD2fgNbQM8PdI2dZo17sj4vpyoswdjG7gYl4WwnO 6fyS1u+MXynzx/Ke+yY1ecwGFRhs/761Rz20dEpyMKmcbjjeIq+NpUiP+RWvsIbakSMC35M4wXhi JiEyJM+EVTw7EnE+DR/y99YiDjnZG3TI41CcOoiqsPR1Y2aKWwaAq4QRzodYg3ZuUh/10Z+5n5Ut d6tkYykOhX44wV5pNcbs/dWXCmvsxUnIXdurBUDySApLW5OwJ2nH7ABLFMyoTWbMa8UiF7AJlCTI D/D3zZBK0GNFHFNyiXEME7vcmaIIeqoE/FW251v5qLgReT9SOpMz48vMIHGR1b/IWgvjtaJ7BL1f T6r5Qm1HzJQVIH67KuJpe1sRF6g3bF6h+jl0bdJ5NzS1nTD3XZ3mL4171tlq7yEw7X5FbCWbj7uG cetyb5KvtjhQs5Ox+MWPQ1PfK/iwGbz0XYLKjQZtWsHg8CfjYcV3LaBDFZduDmK4oLVrHrWnEX6i OiFqqpuwr/KzbgYv1lzPP5X/5g9yJKJXqZA4HXFieZSqht/y1BEqYkM1ztOehky/NXZFXwLduUcS XuWysiNwQigkMRkIWVvOnNSWn0WnDOU9j5G8QVOOhmZkyo5LGKfv+F5Oh3ZGs02IfFI23tCzqn+1 vJFJ/xk2xO2MJD3zZsP5CfoKjF2h2d1drLWmGwypOgtHqBbrLij9sGmMUjOFMv2L2foJofuPTGue L51fCPpltBXXfbfZBJnNrU3Yp5o2ywiLXz4wCU8yYNRApMW0xXb0uaBQfFxOLe+xS3UlS9HZ3nJV g/Rg8+Xu57cbz7qdxQyRIbv6ugC4jzbZ49zJ4RohlfxW6lBevjkKen4v1JT9vysnnmr4YuPr3ORJ 1urRDnsc1FSvoT4J6NrT0EUgshEyyZe6UVU+kYyFWbObRwWYSPLDfBrYK39hStpNCMogTccyECcl OjxIAHaP6MDkskbGuMp+tcdunFqLDT6Mdc8QnF7Rw/kleicNqFK8q92OgPsA+POp/gHsHPZ8ZMg8 /dvjL/UMpVtDhYF96BZd2DtQr9T2WqEe6J2mPs2oYbCZr3eJjqjTJMPbacBGdG3IOV03ymm0Zupy wzrXyFr14JEZWZiudB9XFc8A7iH4LDwuFsCDoQYC1EDAeGIBbOirTi/chnoj93iRzyvWDZEzgIcc MakLnhq7VuHGx+5vy4tf6ubRndaAX4fTnJ4/NHk4NAGvem52Rf+ZlXs8Pcy3BuLTgQpv7cTWo2OW 8GrpWa7DNuN04QKlRtzTAIpHbHlv/sE1UarlGbAOccHSmkF6sP8iID00+90bgjMvdpRKr/yr702L J+III3lAWrM26kBAE7dLkxTYYfsrDZP4ch7WZ1hTtQx1ErOIXn38KI6d5zN4B18i69Pd1KEvD5AG vrSp0hsksJ/IHIKWI6r/7R/+CBPEP1snrlZEVAS7oJFvjDphQk4XlDkQYzeTQkJdT+arh0j8rHur ULpgcU6QPfGXaG0Gpq4rm7z0YsTIrmPABxf3ZAlI75w23O6d0n2v0vN038QgJlLnmOsiYgvneoop Pwf1hLg8OOaSs8mqI0rv945Fpp29SXuiriNeOmwzRfJIgQkSkznjCtJoFy6JlkTEfVZfIWzMmNq0 KbYumnznQlVSPqZYtFZSb/m+EqpQNhXqoo9gyTnM6WedafQ8q0HOukKxWGu3pUR+Hh7YXeQR+hEu UEDe8i536ckjhI6G3DwL8YGrgJkcJ/aMByjvjmRN4VslmgD6RowhQCnS9ZfwYK1feISFEqGNRPx5 7tn1mO9f+//xdoBw5likz8CbIMrz1GhOEEU01uNAn7JjJCYZki6wtc3qsIvQUqTkMei9pOq733kx xycJuTWJcwu7TqilKOQi9s7p8SdUYzxlIm1wXO6dqArxFOsfBrgFPPyq/4H34/4b/v8fEPfGFTvr qcRX+ejXc4mA1l9/ZAlRfLW4OlFAWvYi5BGanc18dB3oYY5AwcJ42XWq/d30aWmQVfOZ9GKzwiHM Tvr78YrbPOwlvQYPO9maKMsi2RcQ2mNJvX/H/XQmFi1obdJksQqe1Q1FEf0Oz1sdIYjdwkPWstGa gR1x2vxSaxlirF8RATsIM9n3A3SzaZasV7qRUVBAvkDa5EIn3Qdixdctc0JJc4pcbpM/Y7pEo9QU vuJTqDL5EvZXFOtfRwnCaTvGBjPldcHgLezUDwdJSpFrChlK3Sl3+D9PWmIl26EZPdCcvmK8XIhL JI2Q3Kgr/QhgBRyNY8fQu3xVj1Hn8xlNl34oxffx+KShYA95uWfaLA28BxLrs/lKUeiUFIVwCqUP UNq9I01DKHzaNI/VJLtjRKLpVEsLlCc8Emi0EeAcpHmTwsjvXCTYkFMjwoZdtAwIT/hodosAdGLN TL2R5Gm+8JMUiMx/eYEslAAnbXKVtZGlpMwbMcSG7uZehqfm81FMhdjzrkyHFNoUv/DwveDiMGpg zYo/u70vCSyn5gk/3XJqLvmCbVdAp2RYPU3tY1LXiQO5gf07sI8LWwWUvr9XvRoNBwC/3mBlakj0 EIQb5OWvWbXfbUdUXqyT/G1vgw9fOWmjXVu2dA46bRrZfNmxHZCSoppYDdoSOKmDG3Ukd0fVx+ID 2aM15KNJNAKO3DZDNuH0StzZHMeuCymiEgGMwW7/Ok6zh6rpocGF27aQVivVst1u9UiVVvUloiKw 6gJSSrG0q5rnPzCS3DiXhra39OZq0PBHLW//JWDjeDU+WAaese5RFdAo8gmLfpxQlj4XrguJOOHc TsPi52yePftTTc0oH11MFkQRIxP7fo5y7FKx/n0MTLsg1Ocee3OlSnXOfrj2Oc4+v2p64oVWM7KK wjIf2jJHfVzE2McVqcFHvV0xWIHL+3BcITOHTMSpIeVEkA0HK09iaRMP0F18j8KjvzgMRCV0LaO9 OAKQ/S6owCxrccT4ju6He1EOs6eVtjQbtXQzLhvjr5ot8fc7+Ri4phtOr332BDXbiG4UwuGPgcXg JpVUzxqkMsoaPam2bB7HCh+yHJeZPAUYHOEDpRS9HyXgerS2OZe5NNplxV20syJTagbcXSKkQ82h Ap+gM0dZkS/UyX+bYnI2I4X1/Pb02/bD9igMFFyvpxVjcbw0mKNSrvVlCTCgTREKoa1jaA7M4HP4 dQD4S1/hVzIta2FpjdbDkIHdBMcSskSe9+RdNelOLQlvkQBHWrbYDNC3oHLEt1p9HrXD2HacMYW7 8Hk8EeFGasFMVzDIlAkpSEBdTdvuwTXm6SughEjMcDICaQF1INbdaGRCzHTHOiUe95z0ogxkgRtW 1919vtQHSApsTmDP+zVxfnLj9rhan6ViKdQqjsyFT0LHhWk7zZQy8XNNB0F1pjYmbW67x3ff5n03 QfM9RKYFIPFHbl/L+xRz54RDWx5YXrEc6btYz+LGaojgz+ZxwkxcNb5WnbM+BjFflJ2TtR/N74ub MlPuErSkwfOWgZlAu5SdA20lxgncOh1GoDvCoSt3GHa4BEMcwHBmmMMJfH6AUw22YgMt4oQFr0WE eBov/tExGdUgeeWeFc+LBmLG7cZW5Aik52UFlK6HoI23YKR7yldCQxxmvce46GQ+yqzttWKUaChd 6tz4lbPvq/GVX7qomFarv7QWyQOdQSDIIesNJ7Cfw6oei3YJubmgK4IEzGlR/whhGAtqvVpViCzT YgeifmNhA2ueUg7ws26RaUtLuZ2SjIAra49yducTlkLt2TvK9ymhKX8pI2dmHb0ecIcrTDbv/JLE SK4dkotUm75MADBT2ojQKj9c7be5t9OyjtbRYAJxnE4H9aBt5f/XfJA2Y3wkhvadkk7Q8mN7qaL4 hmvBPDTB6hQZwHrFtBCN7TE6Y05ZODG/kX6Oq39so7wEDc+gSXadztQqSvjDs3t/XwJa6RYVeMHS cKrKkS6TEmzq+nfCCXkTGASeiqZQiu4/jWgsmR3lcyYjGLFRNyzh6iltl7uaA2wUwtxanye/wDWB uwUaj2f5rAiCSwNgKDOb0HbKnYxOZ6zAiAf5CBASsn+7xKVIzjldC/1OeCeqnTEYczEsoVryLFIZ D/GPYjotvmKyarLjko9W3DWIkJK84KdlKTi7aYfm0J9OY3lfSvm8kOSbLJJQC8FwoezmcKOKShEr Wu+61qJlPfenOVzIjvWDBwNSHs8oca8x+X954dLGtmJPLBVwqNYTQjcskB60/e3O6kGcy2gKSfHQ uWHBpMEdsUi8e+wsYHGSnWiAvhH6eFR6mTtHJoDrrEPu4lpJQo5ZaAPihFziDXgRYkPo5ecvjIDR YdOuYyRLfe3dASBP+gjo7E/uyE/cymHcYLwFVn8xyNISmGqP4Sj0lC7IoU7VreAa0rwjj3zPVK+s 0pbP+/sPVODFTZmsKnFMsllonjaHmo9qesZJaFFHKTMuoPOIWzqNu8+8flNfzsQdzFOSfpHxIYgf rUaOHnZHmqMuogpVCBYJicwJFCAuzcDCc/8FpDZIUAaA5LFf6v/kepA4wE3WU12Zer3c45f+fTTA mj/fad9/XRaVEhZI8I7ro12/xughEOyDPa9svJ8TLZ8i3TF9Oj3D1gOWE8e42evd4GZj+gsiWjXh KrlbjrK8BcaNgeUVnIJOih+6lJEAli+nh9+yWSJlbw+xsd5NCW4eu4yc+Z9Pnva2nXwrVcWvqSYA 6GISmTBmhrEw+OMKaymZQ2QkOpMsW+dG5EU3Y+o9nbANcI8At5OUoMixbyYxqe6ygCuDIujp2Uda WmDMfqDYtFrvVQDvdfL16IVCAMQq47fTucnlHzoMzFXNFi8ly2v5v/acXPNSTgmkYiCY+e0CUrZa krUcemnldikPkJlc6yN/5VnougANtLL8j21jVoXSoM3LvVLsjR5qByiJicXMQbLATdOQumLprnYs HSJLRsC5E2Y5+W1NKx5mvxhjIGOcbKGMtmO5bt58xsQW9lAewofdkY3gcw72BwadVeN2fj3f7iUH mmVHdZ7e8Pg7Ivr77SuASWQWWgQZ5NKCGaKCpgDSUEggsfwr8rLt2/6JKa0orjeOWVY0tuQLm8Qj 69jbc5RBiyBXIEgPHWFshvEtAmcxtTu+kdO/HyrMukM6PMDKAAJ6P6Te/c+R3bfP8VKUShSu9iMP /R/CpFljSTxYphMpTQYxRxeQtJIaWBBsOU+EWaB5hoXPHud7aczjDfFIED/5avzmL3ACHde9VO0h Cnv2GsGhJZqbOFJg2yv+2ZE5fUxJl4TGWu3DvfC4wsXSdpIAcb5mUVBWh+dAAWh4ivXnvTCOV9gr AqJsDfBlFkzfW/UxWZ/SeO+Bo37MkpcWOpqs+nPc4uDU5tAi5dXghpW2OHBL3/e0xenxMTHXE6Q0 /PlPFm6XCf21EWxPwgQKDHGzzBsOYzAzyyXE1su65Ahqxkq9Xx09hba8OAIR+aqiFonYiXGAS6G1 wzvya5s2v6xat+amDiDHoiEyS3L/kaIWg+JUM/3Dk4W62nGCIPbsOstIunxkqE6QOXS/ma7Vbb3P uGbCq/fbVpx/52nJBewNWszuOp/wt0Z8mLx73RfvkS5DKIgpoMVYYv7mZZk0ONTzLoPHqm1QoZzH HzWa2KT53wGhU1nGCDPEfoVmNFu7m8MvvY8qj8/9XeJj5B5B3YWu0tfRzksYX+Uk+b//8k6izSM2 RkSI1R09UkKwLMyMiaQEbvLOA0vBhz696HWAhUo/kpN6/uLdvrOZxbfJoYakNrNUHWnFWfSPuXgB XxnTLX25f28QP6n/ENmxCvi1ffcHQWqwQHAmx/KtJGPtHVVYz42tyR30E+Nc1pZ6meq0SGpVcvzr f6zXoKOYcYV4+GjfAFTEujncHtiDlAIHfK0UtRFMQJFE5rRQt52RbdO/C/kn6eAwPj+w7KQq4eVy lB2h40rFxo4VBB8xM4vrTfnlOHTNLgoT+0mxn0txjdLn6c5V+Wwo3Xh5WFY31e3AHhwJ4x3YWN1q Nmqsl/tAH0MSu1U++C4JCi9IgKq9TVm+tIph+XtyIKgPu2fAml9hDVf5FBjPQy8EjRHaZnakRcEe WtgbGCChRhVCpJ6nCPrE+pcLXh3Ga78rukFxU4wLB6zE+iWAIOJldz6iZCf1ctHxAv/894zJr4Gb +CQpqsbP6EhsHi4+/tfbyTmY+Hl4qLKCMSXeXYl5D1KXRWWVsoDUr8Fsr48Rs1KujMtcTzXaG/Yl TvadpTzFGcfuWK3+QqoZUfOCNru48l/yIjOkWr5ztLect8gTJbBNLYEbbiP3iW2pcSUjFIOK4v9J 8NqNEDGIpZJUuapxP2WXpruTd/ja9I2WrFnCLbnBqe9sEU9qHYVi44EUZhljItAeSkHz6SZyHoYn GGcBAX8lVX9FOblhpgL1bRrNUcNWwjBSHPoKcWiyKqxLpljDmZYxyhq69ePKvIR7iN7Kn8fs04wp +1Ri04u6ZgXHIjwZWGXRKXKRhGWoMOPfiU7q2MnHJDVfEXRZDHYzSpCn3LCmdrJw8ReT+IKl4KNo Rqxfk4zGD28QUqBmy+dsvdIs5ahN4/t/lrJOirYKCbGhdpApzvHRkhqeczJyqiBimFuqJhe9stni p6t6MLm5bF26Dy5eDWnxUu6hhNikB7gc3Y6kBQS3RZ2OkdK4siWoMTqsTPNkiho4f80AJS2ZnP1i 7dCJE2ILmuKV7iEfLvoSS4KphrvZEyGxE8pPrSqNlRAWgOUb7O8bAEBO+ZARMj7wmhieAYEuEDZX AD7oECqJcRDk3DiKqjGyfyPwA8aAbs/KHgP9OGzPpNlWWncy9bpfek+OL8Glo3ZgCVdOE4UYcMsq e1hr4pOBU5T4W18uIWGDyCMfAWra5UuzjTQWsR/8CPNH6Ho3HT36is1i+nIGgmfd0GvYmBQIFhH0 dG4quECQFTFz7kPsJZWX6jpgAL+H2ROTkhk6m387vpsN9Sj9vEF2ArKVGNHzNnCee4ZjNpH5sv6g pfX51VHCc6ZNfCEYXpPkrcQ2Rfe7Cir5ojZT6ZTGB8x3/TPQJ6m9lnlvXYPyAPmUsYe/6vM/lLnD 18Xk9fpF0QV1IcC35oKjpndy5HyFEGW6gfCyOLktG1QJRbob7bIpRwkwtThXzK9fvR3gq6/nOp7I 5Yg+08wfSVswHySBxwhOhI5S9ig/kAj484sKKTgWXc2Vv0eLgp99blt7zSjpTYzsLHyfAy3H3mDv eEZl2oPwo0JwA3t1RzDshFxqXM6WKW5SGMZOYnGnTn7nrO/TRRXldTPvlO73M7dgU8l/8bnvmBaz ySIrFmu2/eSABk0xYHgolA5W0fnVuzbabZHtfcEJJMTz1FXjXn4FNqrc1o78iM64tl9BPk3vpKpX nu9dFJqb0ZrMmRYrUpLZ+OBrV/7YNmG2wYgpgCaiy8nLe1O3AaD8KoJsfOb1fuy61YCo05/0cZtS rIQ27ZOcVOScvBhWcYfv/0zxpOAVgw1WC+oCa7S0G4ojjEeH3dfYqYGpPe3JDS/6f2Yx31daDRoE MFMSp2jwOcgpTwisFc/xSCI+MD1k0LzjvjG7DxlA4WLKLzwKYZSlKTLt2DD0w8Xs55zFIR/FOd48 hmrpECvZo8paOxpO/wc0+wY1VCQ8tu8FjArAIDpmxexV41cfdKw4IXGBZH5kEeSJtNTiNrCb1Vn7 PuLWzpIqhV39Jhf6HaKvu8ZngY8khIORNOlrvoTvIiSq2Ay+krO3cJrQE5FwL+IWUZmb1EhTEPke GbIW/gIpwX0VRxMnwmxtOy6D/0CnNMjSM/j3iYjdps7BT3EqCu4gAiJVirndQuxkhkYHQsZZQSmD 1Qd1AlDafGMCpmKMT+S1VYpWLPJXN95cDMJyRjiaTV1xOHqpIgIw5UfQG0GugNlfkPTfqpp64Rf+ nT2CDaXzBtrjfV13DDAg5nNxzVIHZ1twroeGdryw8q2bPOvO58dbPTlH/DYa92M91bHTonAn5VOn EPV41kwBu54LD3GSce1Q8vmEk3WiVUEK4L2kRUDRcV0Sqcgwh3AhATCccLfxJi3el9iFT0O9iqW+ ys3plQa0mniyvujOLioymeH1FnchYxPn0DFmjO/eY1+h/yxXO0EcdvIHyJRQ3GjGuafukuGim+hZ BNhSV+GpfM9buzzZRSGZnT4of8Iz/zNoKdJEIfuDLYr5GzAHqOHYSFf5aUTC+2QnGhUogfB6vd9Y wnCvlwRwfgFhNc8X5S1+CCmq+nevaQvYx76Cj0v/S8cxQRzVcGOUdZQxxm74lmFZeiP9teFye3qg sum0c/ooOzv1KNeOvwzNsuBqC5z2oDQlX18H7nCMRkRmwf1DaEVz+CnpWvS0faoQhC5R8N3HgwAA rHr4rzTZV3HLQ6hODwEV0fBZvDTfOOfv9s53fqvp99MtnSA66Blh6I/+5EeA1a9K1tnfiq7db7Py osuxm7HE0JOqLF8lfNV+dggRMF2+akux+pVigNW9zHvtd+M4uXyVf2YGXa+LoD4ot+VmPbCZbjRA l1bAXczq6gJUUQK124FrCNKQ8BGpogafoM/RRtlUwC2pK68TNhLb4lRUq8YHKZpynXSYNWQp0q67 Rvz3r4E85z6qkzQBahDJuq55IeCChAModU8TVk8xPUYpyS3bKETHTKpH63V9av91RvwTCK/AwRPd V3QcRE9A2kPMGf8XBwxq86PqIcL4u+W8D8JJ6VdquxRG0q9hOgqr7U2+ROmN6naBCdMUi2ujDPIF sCZWNyywimZhPGE5EXv5HvA26j88pPg1yOwNV4QtKOvMksIiCEuI/bLDeMEbMFelZaClLfIAudA7 lGuwUuqdNWE/UDybjV3Y/2P11C6a2hDC0mczNO80cqxrGNUzIcBOZsjGai2o6PLZppYvw9pxaNzx ihhFPvFVboWvl5r4KhTBuRLgQHnvMre0CX6f12K0wrXN8c3jS21l0I3yp7DcqBPMSgFhF8qXDIPm VPet1Fb8g5/j9MAcpXPbCtkNvJ6kKfJc8v+4BGiiLNJyqcYF9iI4CwgI1DrDK+pExaEGNV7SwxNu 1GhyA75JXPUx/zCsMyZOUdcdhkpquQKTb+ERew2zgNp73GuCU4AEzaVS/FwC+d/hELan1ldajEvc fQuV0Nym/QrgZqXhYSl4hlj//aDweJcvfP1kH9vInMcv7I2UA02PQVb8jJW1Ox3Ntjb+LFXgbFco J5xi6pt0Q2a98DPFtPOIHuKtB9cOhlDw/TWa5fCkwzqIFrdPpZ1tXLm42KMl4o0tBbqCt2wASi5P YMjGX0YPL16xymtKg6QxgetcuRFX+eGei8RNhLA0+TXoi6xyO0bpd2GG7JbYc+5slQEWwrOLNcYF 6EYDDo1LT+VHQC7oSBI7gCboWq/6RdtDaMBxsTvLrJAEqLcyuD70cC/5ziAz5qqQrHRWrh3Xvxq6 RAuzOQUNwYoGrjhVhOddFJeyywt0/eLSqNIHE9ekQmrVjgEUr3Tgif8H3iTIR0eOa6DHPZTIKl7e X+Lpt8BJgJVJDnjdRUXXdl3oFXOn+xARXc6srJQO6kBMrjabAtmFAIizViLpKVIrJskbsA+rgtBK CYREsUWX/gxg10Husk+WK+3C/zQIQLWokaEtovIBxpXao6TVeOX3BUD2SUkEUP94K96hY2PoqrGB TTxPEfXpgmtLow34rEEvLC1tjzTUYU1ZtUFLemAJBdTkcKLF+QiwOtNwu9lMwYDIS2OVg43JnC3a 03R+oFqIn2ufErqFfhsmxv1awB0JbxMc+qi8mQ38s4KxYtZESf7AC1IT0VVj6SjQIqL9syo11BVX I+kZs9ldaf97MFHau9f8KUEtAdXu7QoYG7cjBUHZbOHeUb7EUjJ/2T1OOfY8asfpo9yMm24PDAls wByraXCe+30vZCQFSDi4U8WdMmFs+rP9fCWopy6On42yrHnVtD+yISDlaX3KxNqQ3P2PeMRkxNIa hNMRwXhIsn5YHxGLAndhua/V8Q9dR3oB8Bc3RGGCYKUaA19iA+9g1/OUWojrzbYmmdRcQifCPfif nW6OZKJS1y5Ri4NlnTx48krImqIcE8o+G60nP4cvfqFzahoVmdnzkfrqg5L2imA35W7rG9skVWX9 rUvWjmidxI7kaTYVEj1AoKph9K/dchNgZZcVM13xT8QGjrK1upRrwRErlQCPRg8cl7Y6vXlfNdC6 S87RxXdpbBuEcghxAErZXJ3ti9JBglXZUBbPB4ho9qsajH1idofRSmCLrQT1xYGM0/UH3ilHMNVT 4gIIV7D4ka60yBF3wPItuYlxRs7MPp6ntz8fbtLQhF2Umr1xGGnHqNzja3m9QF1TWuALon7AG/Px RktlZ6ULOddR6K/mxqh3XoZNLYQhe0rAWiMiDhMccXQuwg0XLoVnkj08d9xWpIMWvfRUD1di0lrG QVQkBsDQFYeZ1p6SJCBcrs/exSeok+c6yVilPsKzJKudXAYLQc5++aLJsSSjmhy42QZquuk5nCPE ljaocu80xshykblROvvTA7afogp0cb1GrnIoXgWZ/rmfpvY4JlibKKVeOPbtbl6dTcULO0auobBy CVz0bCGckFBldeJaleUuBG/0SNEIqAwdm6rrqW3uXr5xI7r/q5Q3PRDWRCrmGXjX3iOOTirq9g2w 5nX2AbJbGIm2AmYQnEkL68OuJQpjm+fxVqQbMmIJMEZ3Ly+Nz8FdrjmoIM81N4u802GYjaQsFyEf oXKIM9nAHnmMzoKvVuPe+VqDmMOWYZyOy3Zwq60iYyWN+J9fGf5iNc9rRUsKVDRwqQRkZIuBzErc is/Q7iXYuzCpUnSknBTHnhmgiAzFPrE7G84HSWff/JAo/PbPoHXEm8XimU5UjN2kARzjmJsAqkrr 3ZdMS7xuicbIz6sPRI20PsqAR/MUu2uyAse14xG2b9DVTAVqWR3LOcJCCyM0iWXFiM2Y54hywqAI zb/n4mHd6JCIL8Opb2bChT6mNCDKMwKGjnBCTgUBujmZeaQx33ZXOinYtSD0v3+R5aXK1imUgwcf xxjxqzRkEesX4bNm0pEDRAlxINstMczMKejTyB+oCqSSO5dw6GuskoQgJY18wpsQ+6zhI+SR+U3W 8Mf4kYEHScg50fqVFGTnQF+gKILFBMrXLvzafpDSSutMNPCH8MfQ3UeYoI4KR/CRnNCbPJloapU0 qrna4r1LJKXsu2130pyVfcTlDgFosw0xghlCikH+kR4aQkZHlIsfwt2ZZVxhizHZSo+EJXVpXWX7 o3wg30vSmlKeuYp2EwTPVzFHVWqi5VBkHqfPGfv4YsQ/RwVT4STr2G472ylysLRvyeKOYj66MR4C ADYLZNdDWNfZXXVV3sC2xEE7CktqrUClhSJKg3cxM4KRi7PMgVXXZlgWJTGevQfeAKSYWI151vxd hfAubUIxdIcuh8WRH0uAVsIjQ41d75ceye/Ll1zfAn6rEKsKdKe79x79lTBrLMpcXwk0yAUVN0y5 uloytu2WBhTS+7ANuZQbFpADz5OvG2dXqkyhx41bBlYK4LiXjQOxaL/Bh0nXGQpDrfmMNLrSwVaD TEGZORf+suEpNNye5ocD33kIBCGTy0kUNnmeuagys9Kwo8PzP4SDPC/OJ1uKwB2Bqxg4uHNHWp2q g0zVRvb5r5T5Ob7hwNMajJ23d07k9MVoI6zYztx7p9eo6/VRrYinWXo4cpVqhlYD4B5d+cTwA3Xz krI95DlT0XLrL2UDdFGEui5B66GcEWRctpc2GNHIbqAjPMPYzKgl6rKcgo5Umw0V+/riHeiqsb1j 9lPdj/VAUv8UPP8qNZzqdzpTuB9Lx8HF0Oh3pGz/L3fR6ZJ8gOCch/FGFrIntmP1D1V2ZGElxTON WK37GQgo+n87INSV+C4TKCdKqA8eAvNFkQH6Lk/umZVJUA2yiqgeRaIiR89dIUkoClcvK6DOpKf4 uAQc1d7UKSXIP/5Gu6mdthCLAD7CjzvXmlmsv7YV8Q5QWlI2cXrWpB1LjXxdWU5zGyWsHt4muk0g YDHet7r9TPKUjtXU55LS3FVjrz60kLOl1ZuWe6JGCuhVYBUenxyeG4e7R7hmPn0DvO2TDW6kUJqa N0pJmNTYeXWzLdT2M4XrpVskVq6ykHnjlhUChkr0eWELw3SG6lTv4AdZstCSjKoYJGARHwwpbE7p 6CQICCD+itvgplJB2KU7WAuvK/js1SVfOrbD0jMOxYNFbkiAlnBYbQQcO7e3GHNzbJf88gAtdcbB MfndTj4hZQ3CN7Dfd/RmW/OvcSBVBj8RQgyE85mrkbcKVBdHl7KYHJDdvv/cjlJv2dIeSPEtyjR4 Y3x18sL3C+7MIac/iumaY6T84aFeDjgNmhgFuHmYBh4ROfTLjXT8ZWDqRCWpka5sN/7umByebigM WPZ6mHqBHtKMW2ZILz/iLRb2g0RU4V8yr/fkfSwfebFOe+bfUOkehDWTnq3D7EPJX2gF1SiGguK0 3wVtDD1WoeoA+BztyqkvfXhpQwvP1Mt1dzmNRGS6SJXcqQxLzWVHcXEGkg2lLyADOWueCic2+ihV uuXPZrK6RzgkUsK5n6Pr+jqQv12530duqZvupfRMAK4sMS5OtuQdX3b7BR/sQIBktkglxlhIGfuY 1fJiOGF4ORpX47e7yBFDxG9tUPVE7bYAqsdodfWtKkTB5wgPudP/P54fktaBVQ1oX+ikSDWyEmvP pzsBjCd8nlteX6UxaZiYAkaVvEnl2nLsiIqy9hF0SN6OOkpbhU94ArlB2etzxjtmNf7sObhbMT4D 9KET0qHke27VwkKzjmgXa5JhS9LX2r4KPWuDMkzVbQpO/vQXKeL4hbROhDtPfYT9fsqyVMWY3caE y0hA7WRkRsW8DHFLjXJ3uJyQh3bjlh/ThLG+Xwp8MRhflFp9YkrDTrcxp4LeLvRCjWVA3A5g07J5 jsO4j5MrBNeP54qfLInRBga1gRpKZ2ShChUxbrF42s7FldmV2qLelaER4zY0Kf4r4lVjxlK0tAxc oiDvQ89ixT3z8t6ZiL/FtMIg6QYL5Mc7j3hVVu1ozEfUjm8ccwb6fKR9MTfKXUJ/wyHaWqzR8kTS O1msujsVPDmzvj7NuwjdlhC/q+f1LdvxbElr51mLeLLHuNlC6CbRxcdCBMZctD7jhmZ+ChDLo6V0 JckvSFDgAG8uxxp6UMBZzEAeuJ71mD2Etpt6RBb2+SqnuTIYmUrdVHkPBqP3SzwSnmEz7EQM1UWt ZzRL7YT+H/PznD6FR6B8TbCH9pbArKIP1C/GbtKJh6LDpozvlo2SmovYHZB/s5ijnHUn0RfBaApa MAprtzMPgw1hEfZxwknBvOZ//b3LmWLgFGNM9dXVdKK0qYiTo61PTFKpv8dKaqkWBoFoAPUU2CPb mOtpDGolM7LWLt0ebuBAktqsUqhUlljerXZIA1oUbkVg7njMQ6cqirWcy0GwIPwt+UO/pmHG9hrj GgMHXfH/KKtwrgrNktW0k5Sa0xuWSsiJvxZVqTPH8iPOMzye/NhapCORL11Vpoynl6ZHv+QGzJUk Jg0HXB1+U2pMwOGBMatoorzIZC60qunQasSzOyaO2dGa5R+Ru0wqtJfKj8H9NpU/M6fQ6uG9ZXm2 ZmeUSRVN3+0Pz3PycsLWh+DlnUUv5DcqRVgLfRBP16vTck4NNDN+B2m8X+x34lNUMgjB71LqjNhj Xbv51le/jEnuldf6e1XO/IRvw+cyJE9ImiJqokJ1/lAvy6/ZeUGPA+FUo7CLWlfp4Lf/pJIHtOGv nEUCiSOe3mH57wXjXMBuHfUB5LUYW0JzaneZKNa7no4OeG7CwJURj1etwDg0+MZ+GPvsWiyJRGtl d0ZFxWeqrCJKXMDwQw9I6gT+5JXk73B4IX5emIkBLls9ZQoe9vrMpZBZk/YGzDIqUSJYCJbLe5aY I4QgMkI1ldB5HJAXe5YBDIJ2U5bLUL3CLBKgD9z9zsdO/wEwC/FmWzxOWcyxArCBzyWBQg3Tn/QX sD8mM9nJvxGSetqx0Ce5HGakT6e68VuCbAKUrC+Yjgi+zOFdiaI4QRsNWEQDSTM6q3Wu2cI1/vYr Wc1gn6h5DsytLRT/LNitbcjX0/X8n5QR3unIs666Y4VnNFwOE2q0VVqubZCmldTyEp+UKd5IfqsN 59D1AV77jXHv+jHk9W7Rn+nO7Lp3e+c7QNiEw49t8oM/SkgRAiPYxQMpi9t6dgk4ZPTqhcPi4o8B lcH4ltzgBCs3KlmDHr/DsortXNzx5+Pe3l5XulnnLeRO58okX9zuUlVT9BVdh/ouzuQS/bi+Aim7 VbY+qGioEy8evoMZuZcnZ+ty6TlfwuGfeUIb3OHUmvLnNgPGBHKtAkq4z7uZcNIWjUcwy0H0LQqH 7XRiw1Fw/yF80m+qm/5/tfYw/+yBpl+QingQZy4qcHUdKcTv8uDOz11R9gQdriJXFRUFSDDZwyku 7qG0YkwaPHtKiBQcUy3WtGnGLmm6MOZIWyNkjJmTnNgx3Twh1oxDoTTeXSls0yAecaqcKR4/dFFU 8AfNKO8ld8v9qYHcxSarkNF/TVDldGooycUaJ8yIjN2ktvsyPVad2vevSy496OYJ+9SyVgZ/o1df QF7yIREYB3PTHUoUppwopEKyM+p91ZUVVExt2ZEBoDG3A/PfZIxAHggIHPXLyZ5n0MT0E+WHjlTX Z6yZ7ZITp6O1qlMMxFjDS9hlZpeoLiiFrnZ6vedf24CSbIbi+a42jCiXVFO2hRLG6NUsbe5mFGQA oLj3BYw4iqo2lizRFX+JZ715UCsQwF72F+Z4jg48Jxs3xerLzp8B7xAdD5bNJa75+AqPqgykTtay 0uuia7+N4v547w9aCmjZLqDdpBFPlI57GGPbLr1VbVilp22r2o5gUr5/XIcXSovApSLPmD23KOLJ N4jQlKHKU0M6ahUC7TQyOujG1kyKll5ycfeRjvROOaGqOLUuarsmu6h1cAA9PpgfUeGYQ+0LFG62 1NrsGT4Dqol7JavEyJL1b6+w5NPLBoLl0QHJW3422KxJ6PJWnSqB9EI2l4Yk7NZ/RHF9shLICKx+ +AFb9q+igsA/vMwo1DRTMRm6rU0SmKmA7HbJ+JT48hRRuPnoBQt8VMo6jLSfIOU3ta5eI92F0haX bZtoreJz7IGvbmY/VVG7cKU7Ph2IP9G82PwJAFlxQCpdPE8G+6RCv9cTo8zm3H6JPba/8klx7wQX gSJ9tbSYXuVxD2E8t7sFPF0xrCY6Y+qUyJqiQbU/uGv5xH5OjJPlqKsGN+PBc0OVt+pabeVaxltK QUWeZi/afnENzAt8kTp9bfVTIhD042jDbDhkDzbKJbL9n5/Wn6U+tP5qYtHiqBMfFwy+q/4zUIld RpQwxoMssuecTLLPUqQRz5uKQVHvroMWjJiQ/cprswMm7gakrNYWcIOt8HAHeYwWby5f1EsVrCx0 x6a6ChtrxNVGvgBuPxf6as0CdsKfZV7qnGRXCrz5cUcQkx5Z7gyluhIydrZfNEHij4WkAX/tsgLX mElbeUKKWKAH1kl+JPRO8Z8ApkcdOg60FfNEl3xrOHZbJBeVLo9Pn4udTOpQa/qqbN9wroVdSjrP 3if3hYpnAfLNVwaakRZ1brtzyyio5oCTqPFoax0oa3Ag29THwHEXmek9kWr2NxUMSjto7iUFLg7M FLxcf1CmPI3bClzA7gz9LsGg29opZ1JbaLlgrbRTw3p/3IdJF4dR66+sBc7JxaN9DqJaHAkC8zdS R9qn9oXhHppYZnGloQzhDz/f0z/gcm4hQbSa4UnZ9V4Hta6kRpuiaWtBQTJOo/t/GCKmsohiAIiR rDvTJnIA4NBJAcm7FmPgr4SopcWaj4Ni1SH4MXRtJnT/ulGx1u2pELw1iTYa/m2M1NNr2DTX1eEV EWFJVCpoQdVI9+b9zAu4h4flHr1F8+X99qJjK3CFYgteWFbjn/ItN5esZPMbmKRhK/B32ddwPf9D YkncWB0oEvsNmpPEUzLsZ7WHvCxfqkR2fQ82bpbEJPLx503aDMpYLiH2LAiLts9Vc87L0j559KBm Y7vGX/8U7wN2uavQ3oUKdJpUoJtj9pisH3v3Ildwsg+o/kfng3wuY388xOoCJElCmC7BDMKDXEKz EzRjnL0ZfcOCSa59bq8SuaGUVBtD4CYxmxwmdouCTX+bm1nSPzPQ5mhpmkX61j04fxML/aX/Ik9E AO6anSjqiK7WVBXQ0AoIkfKXSkNd1zcpr6q5yfuq4A5gcm7JA7KP9AAKiRIvJQt/DcSBg435o/DT gRipqTZM6xPc4PdQfiNv9c52nDUCKI5NcW1wv+xj7qChCZVZDtS7pzNIZxwAdNmh74SLAS9HE7Zq gz3uDcRjjX6bDBAZId3ZXt4XM8XUqnLLjoAfqVzhI7thJSWLcaIKHa6t+9oFU/pwZ/dFiJzIe3Xu n7T/wDTYYd5UT4NX8eLnPzeQS/rfPRmAcYsBUsU9fmBJPB1h6KdKPTWDgptsR13dBv+S/N8xZsZI RnQlP8aeeG4L8E9VxUPQhwBdZXrDG3QYZtn72kQ/yfDVt2eVenQ9PHeaepSyGHd34ZioH7SNOF8w p5/rsg6qKUvabW0dRq03XM7lldl6q1hsCApxmmm7MH5suGvcpuLcnossah20yaIfhu04FuU8wRtt MWnmjh3DjUsf+qYApJ94twoICW/JVgqF+s0BHrN4Cv35CzCX27lEFF5oUnRiiEXtyyCvg7vNoOEa yN21aqhb0KYtjiMkdTATRlfJtiXORoYxCgbpggSYz43cz2clgnHzX5h6t3UugLh30nUJj2qRoN8y PX24Q6xWcsY3wnbS3GKnEBchSRz3fNNbo12REexP2rvNmk08B0rqq0sMRLKHv8DDPqOw6ZjWVs9x jYXabKf1Q5r3Fb6tbGddp2etHu2V4Gf/NYdRq1pQEZ6l1aYsBwd6Fk6O/EzXtf0037CD0AvHW9iw wtijshllwjjU8oK34KB49d0aPw3NUbJ7WaPvK8XJnKX6Jwp8e9YCGU8s+xCSV1jNcMJvMoCSyQMY sPdkNcBLD0ah+UsYkVQxoms67gLaSK0S0OKp/eXGv+srLFFz2B/9N9/WFt51GM9WxTUlx+VN/Gg5 KejQP/YmzeNEYuTlxB6ZmhrjCBBQbFOX0W49Syj5ULvZuvA5Au4+N9DBHvz+ecySdt9V8tnosCqQ bkyL5da23gFsR7fqQonkpdOHn771HiqulTVJSzV3AzJwz+Er0HzW3+yZ4aYzxrLByQjcpvJ1J6sT hC6hdfi1K6GZFISFzduCfZFQa67dOz3wFJaY/a+jAhQldibxZISa+euJzIb54APHuHP5ehgB0c00 0+6eMWo1zEf2sPau6JYKq5g1ZHAgbdXYQ1kls0bhSX0zqDlf1Ls94wXOZu2ODDA7bxwQGOimY3YP BZXwkNwZFlbTZFu7EIb1gxdyJAwIgLJcrICnq/CwvP8M+rVpNcdFBsiGb3lj9CpHcYZtow85Fj7H Sqv5GAGNGORd7YWFVgca6tS8BhWkYgYt35ZmGtsH67gmaiUkUM652aHf69wr6yJuUhN9o5x5h6q5 hxt/Sbe9HDnDe0BFvsnZ6L+xyOBbB6k+mo6tn0KJXF3cbAjn7aKAsUdq8zU0c3ySFpfvkQHLkc6Y BLAMgazSJik7FyFKxy0b/0GZCLV4+AfG8meW3Spl5PsG1cPIJnJrYzuz65WQqK8GfiLBZcViPK4f XxRjMZDH70rtPLlfBOrshv5LSvbFsFCFN9MTYKSmSUGQF1DUMgkEzUUQQ6kLVfTgama+r/0CwADD +I3ZmT4gRfV2Ut984jj5bmZ0Rk/TjoAMhskmnXPY3A9qiEnB9OkP7liniVYvclJbqFD5oJZiqdPM 8L5ApuWfrczBXdmnzViI3i/JNyzaiYmy/6rVRbjHWaVmxSwRBDq5WJvpGFRE1ZK48fhyott1V0Sh Z4n7sjwhwEccqwpD4evxf/XDpyZ3370BrngYSlvzWB6YOqA7FWrulQjR83kNjEFnEJRRtM3wHhyQ pKeapuBMUpp9x0wkR34fGV+0bLai3qIp1hOVFdq+T8e8/h072aymSFUj1fNL9+CIHM4uf2RJPV+u pMVnshEaRTURUdyQdYJ8vrPsjKPIvWJq0PeyKwHUS49k/8KUnhq0ZPxvHNsLKNT3IijlW787tm/Q ob4g+PXcjtdJhFxWA3PIeJzg7LWFqMLSrnKrPLweVbK0kPM0qGScvisScaivsmH177bBf70JeJYx 8HRtFMCcqdQauWsjOyT21nwTqDbo6qG+QNtfr8N2fXin5CM9qeKrGx6cuB8Gjj+s2Wtn0kCBFjm/ Why/2zl+p1dq4PwNor2F2XCl0/tD4fq2PJjflx+yfFx1RzkG5njBKvMWw9CBCRIiWEJ8ku3l0yPR oGK4gI0fnlvq6MhbOZ143LKI5dRVo4uBEkD4WWkjxWLQiQ399yuuEfte2aPjAkX2Kxt1mgLoSVoo 1/77uGbEOr8+rkWUuIqbSOrVPd1yfVErfVA6U0oP/P8+X+Vq16RFKCKCbiTKiqa8Vn3ao7hQ02zB yEn4CSPIZmNH8Ya5G0haLP5Ioatf/J1qm2d/RejUjhVDI6R135puduvoRiS13MXvdKdwTavZkagB wsVJba/K1GTMzJvrx2tLXMNhOEb8pJcbJUpCvOPknZZmJLHy6OxSiIo/GbrGUf3Wt7OtaR40gVQy mCzMA0An5eCmYzZlZyaA2SVePZhD2sSrNSR2iLk0BprAJKcccys23sHLS4sr9E5DjSBaXw5Pr4rv 74WMpGRf/q+69VgVDxo9r8dwqszMswK0vUiIMsaCZISTVV77tGnW8rYEwEx5HbOqc+XFvDW9ptgv CeWu1gC38Eu14N288M9GBvy+O5BEpxSe/+I6+Vjs+k2aKw7L2sXXUlaqh/iqUsTCee5NsPduIA8J 2KUL9RR6Mr+uDrAWawBXZpgI4vr3HWMLNXGMN/K3U/CQQcGkHCua6Hq/QbIMKFLdrL2OnV6UwA8v Xu+jVL9BwzcuKeL6rRoYYVvEo8Vp/2SCVH5wkIIgwjA6jmzPx/awdElAm5m8opGNZJvvKNXZgwdG V8breEDVp6zoAGASnDrpQwPBEEg7UwNBbyyPiBEEOIpKihJfD/ok+M6HOzOCkEdgqOen9Yxp60PN wj1+gHmPLFLkkumCkWnB7zW0m1TmHrc3NrofEU01RF/nopHolVPsUU9UgaaE3bqIeDoC7AYkR7+M aYNhy7YC95tz95sR0cB34FoS+xvicBrwLgSvELup+40sZKy+vJcsKuWw9EDPovoUUBbS6MY0sBcU YFqs7dC0M+IeFVExcKl30LSa9trHgw/wPbzXbyL0Qk19p8EKaj9nxb1wt8FSZRN38SRbIovgYW6x PlbHMKYKSTUlz5C+XVEfQnukMeJxSTYetfQgYwPYw8h/kv/iUSNxLmf4CkEpsU2Ks7v2EHG+JK9e dZ+8JYqiyK+STUYduKN3Ae52P/1GPx2rOYXKkYOnhO4a0/JqyF/zGN+p1aGtMbD9G9Powt1BEDZD gq/ukBFuhGYcnTyu0RCyMstonOIN/o3AVRn6QT8x3KPsXmDKN+Pq13QPFeK4L2+1yF847o9F6SEb k1s/T5zWTAistIb9nU92DCVAU+qHtvQKQEW5KQ9LI3pos7lZ4c2+eHRq/NunCafPhNOH1DfYZvAu 3M0C+uEkvx7pSsmPFsoqtQvtFwBwQNC+R/3HI/AjZUyGAWp7dHy9viiSRHv/Q9lVeeklF8npIpb5 316U4BLK+66T3JhL2tQbIoKu3bK8hPInSuwV2/pVr98WFKFF5vgGCeTzb3sro8TSiG4wrNa5pHsJ BxHUIPcg3hw1TyAROZIxItDyC5V/h8+cw96Phh5Ts5TRphY7ygYL2vUejwwSu5Hvu1SPUA7zo+FE cvO3Zf1csKLs8KwH3YcjF4/CKh+4Qd1PoRUqbbzMXNt/qA7PT2UVHGfCAQz3YjCUqluzN2Xo+++5 lIeeF61WcUR3bjl9G0sLFdA22OLnYQH8B2J/FMkEcv1lj85tjBt4XJ4+dx5SqlJyxr9zyhTKTmGK rdi5xJaEPkRi9fGgFA3xTju3TWnPSXFeT4NtHxSFigA0+tSFoVxDS9yXlP0zyA3KDHYEK3S0z2Cm zksnLYv59lZzVsEUhc0P+N9I6sCyqZUQ4fYOriAuCopBCi7SA2cOHjqD60ULR2dntyOi9kMAffkK yqPp8B2fPIzoQmmmVjpfXbuJIj99pRCMZtLv+OyzOL0xab0VCNG3/Sor+F2b0rth68lWXcvkVZHA ahK03n71JSGyE9RNYIu9eUGr2oll1NZbXJCoFwBNeia/0rTZLN+aASygu675Y1VRVleQgt/kQK9c /AO1NnuwQ395nfDgmxNlq2Y2OLOn4mAdl0W9k2GMeil7DP/TJ5zDJY2dx/A/zcmSjGh90h68EjTU 1udAohVo17eo/JMmN6GDEq/qWviNSbFkz8Cw/hP7NLoQ5T5qfkH+mlu5pfzCpVLVTICAKYvJcH1W yoN5RP6t0yyvOHqNTqK1AETqsv/4TcimQ7uMCFl5DcJ2Odp8zBVQ9LpyGdM6jATCcNqL6EL7waly zLjI9Dtwy7XLnD1Zky/w+QyeyFCLJRbhE7U21xyhKVamP+jn3MKAqWfO8Shw2fP1Jvq08KD72bqy M3VBARV2BdBn5UfPBngTMt/cXTkX5V90cSzphZf9Jqx20CI8qjTyGtV0SKnbKrtiY+zbSZbQaAes nxd1SZ4WDvXujilaYwtO9irhIvBd0M8jFJCLHuYqb98djGK7GRXRv/JKUI/s/yeMQoSe9d77IUcD CL/13voH0YX4JUnBgpN5sL95XGjgZY5XFbWdopenT7w+rnABmrSPJfqNICCYSWjOfDf0a1SnTqjW UcONTaHbfHdLfPD9OEaNKUqzEbc8J5ocmFx6vlGPvQWH74qvE2X2XfBNzWM//0PBAzbxvgrwH3K/ DupbDExtJ6YZbcdafAsZHbgJshefca8j3+jZ1Y7YyAYWoM2jPjqlaHxxZjia+zrEa71vnVAwoiDM cS9+lxKhNuH7HOpzdGGbA/O1fkGTLFS+YnyHm5PxaupL8p7GghsrNoANxpL8CrPU6gsi9SkRrckj 9vY9BZuq/M5hHdLEcBFtP57BGvpWsstZkSfa4Lcdub5jCPnlAdqDZWMsSwYDzQPxXD1JcTnquXnk NfkabnObxNiHuSu77VqHG+rJv0O7+EwLcBu9qLnWT1f+PMR4DtpW+ECSFjYmRvnnt7ArU4doSAfR B1C4daIc2zdW/5zOSqWlBQ5MeqcVMlwPLWqq7u+dF2QUfqZmsOOT6zMD0/rryiDUJQg5BNmytnZi t+zr7pDdd41l2baZNs8RfXOTTHyYMk9llQ9dEpaIP7ai14JW3SwQRusEh40kTcguGnKwjVwPwUxj l2j4awkwGK0zz44sV7FYJw58O/0zibQCau2NKbD4g0wTLvnrGLaAaMykBKc0goiSeRrEwO2978Tb 7ufVWG41HlMgGuHxY96Y2yOakb6A5LYpf6xqr/oU5THvN79ATk50tJAltr5Uw4Py17LiM3NxGxdX eDo4YBnIdVhSQFWdUv9DuWDeBzBWwfwf+zBdvBDaQ25GXSErg3cLeYijY+rR6a9KKS51iV56pIZz ldrbmZpydeSujXPFqRK8DMi44ePw4QIwYCIC9vMha+uGBtoB+DcLkq+8a/BbevC88fU8lPfGvyp+ KucBkUSTBCtor+AnfrS1MIdKrPBHMRTsHpVeIT1nKIhfDbrC7KfrJh1XcMwePjYLLeqoPIiUSsgC 37e8SBKic/kRC3bNiuG4SsKeP159gUQE2pdJNG6i4TeBEmZHWfI+WO5xKKrUpVNi7MF5fLMcOO++ ZhaUHHICkE59bEZ1/lWN4DAZn+Vf8Xq6+DZ2Q6SVrTXmVsM66EGILp9Q5bAtA/ZU+Dp1Dex6djAN yFq91lCD1C27MsDcTX1iXn7QyAbLU1v1BpmUcqtrK9+kOmaYsUCRMhzdhu9pYOpjBC/5eG2vteiL KuNzhyNaSF/2BtZzmwS7InrpsjnfANHTYOzU3zWPT9e8s7GKn5DpaUjuQ61bw/Rj+9XgZV0rZzL3 1SYbh/UEFHOawO94IFcXFtU2PoG/koIZHJ3kXdr+SufwqxfCJSzxTm9XlChdzyOYAqdDzi8FawWO 0YoyT7M5Sjf6anDDm1eXMtQcfeMlWOfxkvBxRxtIwfxZQTHodJBsnmBi7hC/NkNIZx5mLqI5DT9a 9wskpoAQSHdc0lj8/u/g+7uqnqIOH23dtddDYuXCaWMaxqJgZpsu48RUk6EuWJDJNWSa5nXFpKtT R+G8qOda6ilGKrwyzdTPLoEgyGR+lYluR86B7B/gbHFT9MqCEuX3IS9IZ/nc48ala+kkSmDowahi 4rYPCjqYRhan0l2lVNTHTlml9I1WSzQEt2phkqnz9vyRGii5Xx5/0gmPUvY3wjxjapWGCo4tmSyR ABeckrXAR9cWWGbBn+soERubRdJGnrfD793u3d63p/zv4/m/0hVehUzgKNrO5nk2n4eWXzALFVLH 4sVbsRfdKf8fzbbcuPJGxGR2XgWgIPKuNZoEooreGjfdp53WTdS88dez8xZ/Dpalf90LBQSZywHt l8qI8IbaPomFHLnAxJzXDTTingWZA3/FQRGqobroWV3BoehGkj2qRtpBIQDFvFQqORrJStLEXWAx x5z2TasZOhgd6/aVC7Zkb4lezP5q1a9y7d00/5GH8xeF6FQkNR898eEq/F/bye9DfOF4kLcXV0Qy xEkxC/X3VIic+EmKYlQKY3HaYfA0iySss+5ERlceO6AR2R9V4wz6VmkWrzpFv3ThQ/XDyi1cxuNT pRON+jiB5tBcrF1uvyNzlQZalHTCGh8g7m5uxzOdMIDUybkoE4oUkTtLuGFAruARFccy4kj0Z7W/ vCkj+PjGRzt+S1backRjYXVRWSEiy4aVwG/ARf1RrdatjlS7zijd23IIbtx3B0CfyGBxgwbV9Iak yPfF4ef6qm6qw5UdEfAvu7ha1xrSc1/uf7QiMsreJdm6L/8LB9Qu/PIuMQmM0eny1Hv/M8xI5WZW PPf/VHAptNFr3UfNm/Egl474Sduc3ZRkyc0CoWvUChgZuV9cgcbdYpzyMhQzNBhAWMFHPAtcDtw8 YIHDrSt9Dd9oyn8Zl15tsu/9bY5lRklc47ZWefg/Q8Cuw/1iGY7zFrOqg/i3f0dkLzEOZyF8GB8s V2Okx1Q5Ito9N4G5+sYr7guC7gprNcbphgDBD/lKOrPIhoiZjZvxkS1zTCtsT0Kfs3IDB0jDlN+5 IwvFEQmbfGP3B3bLbpA+qhqTlaQTqERmZl1m88UElCT0xgQY6NRNcvq2jKiRS4cwOaDQ5nEZZKVL LgWkfkqnKTPEOgj3Rv3o94B+9QRdtS6P+xaTj43zfYDRFSaccTRsnIMFAhXr4B7KFtcDjvLWLTMe 9Rh6sPyXMbGpDcgx0qv8EqbRjV7qgQLU0mx9fvnUKe4Yk6tjn4hNGXG9N+WXd26rVB/0AgacMJNT b+/WfwPJex4g0JH4mET5h8w+Tkuquhl4a/TFloxzuvTozgcqoOjZc6miis6wyrY+o/+LQhXDxrAv +DV0XsqcqEnRoS8qjbk2Y2IO01qGi/FkZs8KVMYRB5rIQwH+tEodcM1kMNAhCgPZVu8gEIx6E/7N cSoBcVnfynJnuEe0d/isFnB8MmKa3Axi4Vblb0qC4z6zXkZm9dNL7k7ud+deQKeIRiAZUcVx56Ei CYo88SM/WWs0kTTfCvQQ/ffQ1vivtlFZIa9NFy5udaxJBjMwcb2hg254un7ShBYqaLw5nSNHksgB EeM2t+ZvwReEnDwp07Ny61Xiei/CQA01EPBZ+48MGXKQEIpVv3ATN5V159nRkCAT/W2jRwUovQG2 c5Immn4gvafJ3Kl+C2lJpsgyE/5NcLY/MBZkOHUFhp+k2y1UsBCb1PFBfdsbn23DaJJHiyZwL8Cc ME5fX6Vh0nDz0Vh7aKfjjCIELxVN19JRYcAzbsYF7yqsES0w3FJZrxaUazUSL2dSkIvX5nrwf/W/ XM6HeSNQ3jws9NhJ2U4RcBSaVL9f5+c/gpp+i0jBMtcrjkHQdoSn7zfwL31qdHRh2/xHfaXvq3ca Ma2rgVrdA+iiqt7FY1M7taB07hutnfHHJDKstznVgiUJ0hJhmZ4lSyCdWZVRcvWFCFRL30IgTtib L5y1baocQQq3QJPQqgb1eJCUAJ+cNR03TCkf13kHcMDb4CpCRGvBVsGCsUwy6ViOjNUQ01/YnFIP LfD0M9qAUHud0JX1b5mxHTLrzKap3GEpzkFKe4EkHdxZ7ZexLmTaLH8iREQAQVsJPRD+vgNkPYy5 2XfH4/1jT4RGwnfQo2RW/kH4W3Xfcbi1Y8hJMVTCdcfQwJkcLpEM7UmZjxOrUK+poIdAt4TVrKJy QvcKTH434qFIEJLGXzi1tepstaRcqrut29pJuhr5ONxG4cIfloDc3VNNV36thsdit4TqJvqw6V9Z wwkcJioG54U7YOizRt8L3TLNmiHMUIeZBIX6vML8OFvErP62NcTzuNRL6wngpLRpnjUK8Z65nnj5 6hlQa79GwEK+N+vmf0e3e8a4MZ964t+xuhc+skMOPDgo8/qTRIiLAXbdjBEa4ldiDBlgCaVDnDEj M0qSnJ6jkq2jem9oFa2LWS5wFmg26y6Ir4UGF8VfyuCEsnxjFHuKDXlsAkuInlgzoDfsrIAVXA+6 4o3MopDQkBf/8I+tLWwpBx46hwoEUcBvraF+LRx6kNjTq0tDgRCXplKU291R0UAzg4LxS2GSrnlt DIu3Wv5F3Mpt/R9+9cyfD+QSlDgW6cEAQvqZ+tfN7Sq4k9KEVJdQHUYhn3LjUogBMDL3Sz7GSP/W Gzhd2bbIf5tegLptDRt7+YWuT2zh26U+NQjamQtc14M4zbIhLX2GvpZLaIxrT1DJQi0zNSU41Pt7 9Ghakzm1dWgDkLyCUY66BPEn6CPLXwbrHpKl5RkCTSyJN8qMf4MaqmR5M0ZzwRmDt6Ei5gnDQvEw hjWk/ivj9f7fsbfFQB53/2w5m+8tPyifEK4+/I0f6E72rntZg/w5CQ8GZSEVOktPyE1viHjRCStY I7hTdVlH3aXXhdO4/QcYxP0YlYRkH7cQDYrpQwK8eDsGp6yVD/ep3kXbuAshakks8ry11OOXuOBT JUZB9coP3svj/cmoLWDMfChto92oMabf+KbtXdnDDIz+SHSz53TiDMEU0x23SU5knDS2bBRGQHgM pyW7FexMMTSeSBdzYQnE7w4Ldro0NRHmm2KcQq1kRHWxhCqpKXB/t9ufUEvlzlPzCVkujD/9NhEO TlyUYo2HIrSzwSeTe03ahRr93qOwrUrTh64q5j+frryA6dYQk+6k/KCkirZSc2pcBeHwS95W2qQv qA9WHCzUCb1BSbR+hfAvnQLycue/eSWfveFe/TfcPcWDH53NK1Yw97elascROKHn4gi5JOmYwpso EP/63SIXUOmF8sX11MHUDELRaVeYfUoJz5DWAhO/NUxmZ31dzBSn1jC38eDmeaT34FQvXWpbzDi1 ebIKQWueG/hQSjLLbNZOgGRzlu7vodq4xIm1fWD+j1q2qDSjAG4qzvPzF6ix6dYX5tfF/Zw+7XeQ gdQarRMvfT/Zx/pfFCpALU+21bgjJA6raIrdQ9S7npoENl2HAoeEGWBSA85yPuXE8dg/URS39DOX 787laTXqd4hk/I2B1iBkkU1fxFiRH0NMFZU8KIz8ohW4rgmeTwkwzvrUnz0RRLpwvFIZdusuvnsO MbEtTjQDnOnMg6rkGWNheHBe7bL6MiLdE5NoLmgAqiMuZBZikWLjUH3JjDhOkG/E+mN35If1oaLa vBWZ/KPrn7v1EcaQLij53NaprLtkblvTIvMikQFEkbYwidPF+PzL5XOLu6RKZK6YTuZPRKA8X2Um ilsmlp/xW7Bwv62Sp2YqyzOCgf1ENMvRBWi2h1Q9xKIumJSKe9RW5peyR7vovgz6RPPrcs6BCdJW g2gxpNMfwHwIAmJNlcubciUCC+FvharaRvXWxqZ0xe2ZFesJad1Ko+TnI8OYnK3XzRzb4xNmgy91 TKjI8aLcKiIOmQ1+l6CEi2lDV7u02fRSkgiGSBEvCPyajBDGMxsm3iAR+N0fv0Ev11Qo45u/Sl6c /Ud1LsZXh5ToGJNLmnEwjd/yQoVxXnX9ybLB0ZWfEGJVkfjGTtOx5rgiTBzDMATl+eTiJy0hZHAd UuX1Zauw7RvVH+jN7DYQUdG/sc/AhZWL3IriB4NBTXbUuDDBWdKA3UY3YGOPGgr+yib9VuXtzEc0 XWsikspPeYok2f3o68jhjnQxLWj+RMsyxcgTPRwnKNIGTa6bfAJd5tu5fFqgg324kWdC9u+t3fxX We3WyNX/q8xmqZX9ZcuP79raLg+cGn8J9/+Xc3vxrOoB7kSavRDhp0Ip2025VqNhUvskq+ZGWq1x G1vH62AOZg/bG97sqPFkgcLPOcDjPLTt6ACCueaAfqNkGBW964dIrLGlEjztyK/ZhM8KPVY1tYhv b0XcZxicoPKyB/c1AHbShRtc08mPzZZre3JjFKZXnM3L5qAL4XY0hkOOR2ctKRGSfncM+rKjOXM6 JnRCp70RKKOFsBuVJ/EDOALGgxiYXlDkTjVEJIl/D20E+mxdaE5ou+TSCsB4pzFWW0l8QGXc9nJ2 khOa1OBFPtBOAzQu9ln/FL2Sd51mE3kWFJJTMin2t+TCT4vbIWbW4QDx3SJvY+9UxYoQACHVbfcK 91GzVzqlsfk8olKnxgf0tqK9SKOcm3u1FWji/CH5/4rOFxdkDt472GgwAzwtbvsuR3/sTpxcYLUF LXO8Tx2ayXoayJxjZgcQqixNQr2pi3dnKXcJx0/4OA5Xw18A6PZ2KTyfLqyVWwZA5R2XCO+O7LBG +WZivp/94d7tuqZiPC1wd9i1kaA5mDu4F1LtfO+Bm9F4IGcQqKUIkj6SI+vhdGZlXCcpCgUYm278 kfrRuZwQ8pRQTUuYCKFSGMOpS0Y4GoZc6X5TA6zTWGgmesvej1qUemM7XsxDHn71Ra/PgTrxJyo2 xzwSjqNhU2JiBMSBUAzFtgrrbzpeSbc6yIF/mDqVn00whiNCvs6VOMTNL/vN8V7SSFQ7mOUhMv56 g/lgmWnje0DpvkjecIUnCpg6sxKhwUjgj5ro54JjkMTk0shSu7kya5WZ1KSJyTk/wZSBzZsSRKV4 7oEJp12Xx1pC/PKIYIo2pqjgwB58Wgpd1J4NTMbtYfL/DzpjtMBZoawE3coAcYuwdZImr9UlJp1A BaPXqf2CWouyA9s4TKT/aVxWfSrwIqPoHhyAJMMSuthdQH3ahMxKevTKWTyd7t35nF6RPUfuHiyA OwWf9TbRy/SXK7EKVIz+apcq4zePCCk79FHUAtngp1+1sXE4VnZxNvSvdJb7n3lK3lwdxgua/uEL DBW1n46jLQK4SiOyR23C99BsRq318UfRhzrDPmpI4URbCEzq+HIihVpdPfIuWKmQ9CIpbuDAhKEy 6ol9/oZ/coVK6VsuEu1Ag6T1k6nJGVadQd7JaKPGq8CYzO8RvuU7VbNfqJ1PVWcqEVtBde6S+bOf muNCbDVd4jMGSOD85lwte/IlB2BkhgP07c7G4E4YioRBP0o8qlvlRI1hlk67OLU36YUla9uS+URG PJ46oG8yp9Vtj4MUtLmEyeiEkmjbuWsGDHA2wv2mvRjZ0E/vG6zLC3ye/b/an0Fx0toY1DbbKvHF sTuB66qw8CAmvTYYn4stYKucgeQ84lcEVe+2lQ7MR7ZYz0XF1/FvCVr3I7Z4w1/DSrqQcyK12f3K RlhA6nS7ccrR8f0WLOF1dTW+FBxREV6AkRitncj3pV/LbT2fbNDKHVjN5g2Ok34snxkiAJezM1Qe 9AMFp9vOkNjt4qsBCTIiiy5mxGdZqTMFAS8V6+vUKm7GE35sBSOXZwS8ZOVyCG/XP1ro0WmfQOF9 f4Y3nyMij2s9HHoDp13qswumv7Dl/aJAgzEnWjn52i88dPNtxW5h2i+wBiRXNp2+TXWIfV8u1Gl7 xAYXbNj65lQCD4XR1bxV9Ob+8Tu22+94jQoFS4Op0bVHWpkSACtFsNg8pcXp3EKBZEp1QNSqcMjf 3lbSb7gW/39xCF4+imlC8cARMd19yJLGzRbT9ainJj7wJuq5jmqdu8WzJ7BmGqXa85tUgUqk4Mwp 7JP8w53hRdSjm+c3ws5GcDYpusijsNOn2w/C6iDPgkFRSLRobnmZ8naKymBS3iizPhL7fDraTAeX Yzvc622E2LsLWP7Jtbjg9eTLmSFq6+EnW8h9frhshFIb9u0w9OnyHidDRw476Y5iuhIXxXAtZnH9 0MGno4PRvAwBFxhumSUV/YPp35XOcLGnhQF6trAjCiiN4+6FkU/YQcHTaGcwDglute9h+w7LjQU/ swyUCwbd8SHcDCycHs1/ZgYDLwmWRuI13SxuVKK3I3RMWWZVRHLQOWDLEpPgAdpjvRLwMvmkOCdy LQXvx+eblevlvm6oVz7u8EeI9N8g83HQUUJ+lljYzE0oazq5RrUbMBG+nQkYnkH7Eqo7NP1Vplcq +RJ+CcJhGCvTOVIEi4UwAY4k4SVd4LOSBLC2oXvaRdSo/Dbq/pPPx1VObGeSxhrOLFOQIBUHBji9 YVx174wYEUc+BbrNbPqvuJDLM+5N/rDDKk+kbw5Pd8Uq4l8o04+bWTpnUiuY4PwwaDF2oTrgg51g UM3G6ew0kbwXVMst/Nz6X5owpZ8HeM1TOlrb895vAU19wqXgl3I49eFqYUp6aLwfhkWoJVg8xu2P 7UtrJ4RaF2HbgNAdu32JKEwEvVGfW9v8sOwctMgF+G8SHqGDDRQgKfPlcotSB3aDZMcL5HDuKJ4V ZMUAfGpX34Phxt83p8I1hGKxefFLr8ZKRBT1iJJvv4LR5GEvrgSKUmhhhCNtYoSarRML+lO7s5AC ayGzf2NyFZSY0IZE4JFy8s6JxYzvous+yzUZetA0QedM0EV9RWSj6XmkmlIoa0HDp50KZFr022x+ FIvUsIvUp0kk9IEJuDAj+K/fQElnGmBKfIxTdmK13aAakvpUVFOEFNU/Jy53xsrOUUg+rUh2PBNW KyzsY2UFI8+9vcfmbfg/X+MEQzNDG8F7sy+TNDKkOsAYplCx70ssxTnphn935iRhnwVzesCX9MB9 /3Gjqr56fl3z2OhmbZnuyCpT6OjPsbQKcQ07YgrxOm5Ndg+uQWISTa2mWyGXIpsVjJcRW/ZV6car +FUeYItEWQFjhiQ4ToU2wCm7hZym1xGc5EhqGjBsLjRpKg0yFHD0eUpMTEBnzLlClsZ6+tMTWmm6 dlzLABEyo8aXLTycGJkKeW2H+SDVe8o3sUgbKeeByEZ+F8qDS/eXa9x/cdFnXoH2AIahUcfMFMeC jnZ2FtvKLOETsa5ezfd36woP29LtDyBvuZkbjkh/YQMpaGl5LBnORNhSc+vDyX6Y36H8UTdDXZVl vQv9s7DI4O1sJK87Z2ij4oiNdDkXk2jWkxj1W70DpbqYbbH0sPmEZV57WFjZbvwuSqCfXPuRVtna 5eZS4zj8ZNpWl1T8DnlfWiLMtrBvPtEME/b+gwVx6yVIpzrP661Oc6/VEFHxNzPucEI9w7D+DbNp SlWCrf9CGjX5iE9xCd/XfK7IqsbrkftWs6Y5KNtq0Qt7aUGm8Sab7rt2qtGikKv4zJ6Nyg4JmmKV m0WiTUxFEAHcpDJvM92l2L1ZMuy1TSsHufeQvVXw2eMiwOF19e6BPhVECedo0Osds0oSCiF97yAm w+jAru8SoGuLjFfkAtzvlB/bdU4uC0l7/B+9bL4uMhvMrglqmfQivzUX77v7Ip0WVN8ToWfK6isF YWrhKkmF7hU+xFPvlG7joLblasNKNnLOl1XUQloaMmyG6gVK1qZNHOtgOGPLq8P30mPOfaYlTlcy HGbDomKGc8wOxG/CHanc7fYtLFrh6at7pUxCJ6co2JPocm18b5NI+SHQzo6tCKcS6BtfFoSLne/F AUTPUmTzim4V/nHjkENIvCRHxzb1i1zAh1sTYNtZJyYxufyoPD8qPJ7ZA5ul9kfnLj9o9v9jkKjt +49GyZI5UW2CNmst6OcmfxOZk+3VFL8FwcssOyUz77z4w6b7K5wK16otg8ruuBiZ9+DxOXLWY0DO B2q/yuWiVSUlYUKUIt4t9Jz24fhxFoqh6opZXIy1A3dwWHgT//Nmk7o1azzQYxajIqaexQLLRIrg Rx39UIjm/+JqUPkHfJaneQuJ2iZJnO+65Af0IELVJitQShcAnLWhOPz7Fbh0OoUYtBOnWZzAxJu9 nyDSMoTFYv4M+QyMHBFvjyLSlGZJMBYZMA7vaC1ebKOhh5wcxmQtuj9CIVTU6X3L9ADMtX4rucVE 3j8E5V0AP23FqJx2KRRE1v7l/wxt3KukDVRylUCg+jVvYokSKPG4ac8qA4lCJFY7c4c9A8DNRahi KYXCWcnwCXA/XT9MkbJNMQs5N6eftxuOSoEUtpnO7RZVKSEjEYTl/aPzM72AbGRLazjsFAS/OohQ QWLMvOrDOSxp05cCSaetNsypqdl628l6ok45iBaQMHUNjiQ0rS+pwwn7d4EmcBOZzQ5mS7CgNL7R 4ak8JiNOEMm5VPYV90XTGLGu1nFM7UfgRWlhxZUbmBQjOxF+n3pvczO5pLrzCPh3Xb+4N1nRdelI txspd4MI6tviwa++eCZctGoNiujwCJkNgyrurNvhUmC7eiLecvlE7ljjrgoDQpRlfGhoQgVlq0Fp yklDIDGsGEtKRK6as3wJChFrH2uon69dKUtesRPEx+Mm3+uwhwAUpBiKDvVPaS0AVW/M0t0ortwD 0wbR6wnWEwUnFjazSQaEWlbL+qjIhBqDIce02Qn0nC5KXnEN6qP7/jfbZH9vexAWxNo3f4d5lsX7 jO7PhE59JfcCVrQm9cMzxqDv/eMOe/iC+b9Gx1v12BaGOdwJGj2Q6S7M6NG4hFNwGtNUK3voA42T a+gSMfLhpGB79Mg7GVRm2kG2JQEb+nJyZQmDkzj4Xi4URiziEFfEyhyLiOKVqpVmpXfRl3nTrLcZ FWSsqIVx2jwFCCobgDtKl294uVWZQ3Yc96MAm9IOKAU2OET6R5fhtO4ehCs6Urzgm6RnrzXnX+Q3 lmsVutfM05AjRNGk41Dj+ejrYjIydqSbW90hutB+iox+sNbbL4WqP32qF3BQH2En+ZJQgXnt4v5E mwFICFf9TCq293Bz2+/tXGeVUjokoTKVulD4W9Tg7UONvH/CH6MPGVDajhZC29SghyC4TUXEMFw9 F+ttEY3DYVI3fu8vB3/c6K131476IsoJRt93f2heoIvM59AD1U+vfFNBPR2qPX5lVEV3mlUlS+hV W5Ws8Mz8i6Lm9YI439DGXosstBGivWnciKGU1YzJaaNP373YleHSg96z22B27u5BCo0EBeVBuG4M pVrotN3XGSwxUZgKm5lbSoU2Xq0ASjVqeaqED51ugxn3MNP0/VhjhGGqY1aTcB/x2tGHnRVlPj5P Wd3J2GamH5pjiX6dYLbfdmIPhTKFaow5M8ziOsZAEtNpL/qxtviebfTlBKOK++A1Azh/mp5Ltz0H 6qvSxQJ0HhAqCo0WFD3l4X0iqULI6H5cjzKF4Tkhovmn42kBAuTspHMu2ban9+rNB8YkuzMkNfi5 vxsREiHAt6U0dueZnZNigtVzhUpIdM+790rok3KFWDIHeJUzYedw5ObEOOV/SRoZWwzPAhePEBGF 13Ea+/ZQafF+zpRTHCbq18Tq+gZy/Zn+3m/NYoeO8r2/XehW13pxoErnungCIwIajSU6vDPskHUh mCT+5p+Zh8JPczNltlbMw9CdhE4GD6q588b5ihpMFnvuzJNAUwRHbuqtAppbJDx7An1HzeEZxA17 2+CqIv1dQAhebAMtOtTCPWUR6e0WxWDhR+1O/dQd2yVpxfRGDo3TlE99BD7ypB+go/NWyiq1Qtlp eVgI5nR4a2ihgIbwsYyD3DUYeR4d9/1PokAWYbFY36sEf/3ZL+XPBT8R9apbPhuJViPwXA9ka3IE bfGRC8IG0+Awj9G7iCJeVigV+aIWWNbInmi0mqK/AvT1hKjaYlclYgYzPzAdtntFI/7JO1arq+IZ 0aKWHCuC7AxitksCFNQR97olLYMxy6+pituCK8adi5nB/JmA5oxVhC175xoYZBxfjunVqYor5hVq czef2AEH/d6RsSj8WaoAkmSUCOguKeiOTm5uPbWJkn0XzrPFj7sunL+OIM6UyNR94BEpfYeGO6vO vxMkOanS+dPn2obCdU0xyUPruobFhdjt1HoHwUeEFx104qGAVlG6JQDCRSspUPzKcOMe6FhO2Fni 958ekb/OsnT39ZCkNoU36s8lN25ASJbxjV1l3DsYFxQaoyZNq4rmGvkhE8Em3iQs54/BwmHBxC1r Pjjh+AZ2DvYGN71NgbTUGKO1rQD4Ec6OOGUOZbPJlcIJe1ZGdO0nKeZUOCTSYuP8Nj56p3BGp8gO SHY73ksM8mfMWj/dShmr81g56rKeDyH9VSWKEMaHcoXq2i/FqW0lt2P71xKXSILgJYFOob/o6TAH UbtSfG/Cx5pm6h3ZxcDYxMAqtAYngPuUFdxsf7uzNoSZe9X/ZxENkfTSef8zElG+dN/muowH8DQK hyJnlXbnpVr79CIoOlvPvy3l1GHiAaMVlg1kghSRsznnnLenDc+46bFO8ZnEryhZInTfMXzyHWyH vDsgg3bUe1+gGo4e4CC+R+XG4kYSL+oNINtYF0CqvEIiaPo+mqwgLfupb1MwLpXLR9mPHua+5L81 V4JaXe2d9LOr94zpZbo3VMuvguG4C7mXHlZAEhJ46ySSwyXp9sOAmhJsSpaqKCsrfhBcWG/EkBqt MW/NM8ipJktXu2cifXCWetN3D9uStI3vmpO6bbCFKMKCtplpL9PZ1gDTIyTZ6byzYoOxzuV2g4a5 GGTeSzWT1iy5eCW4MfGWlOXa9uO3RiawnZ8WvvFsfziAKlgYytdxBAxMHeH129bKGhdcB/UJYrYB 5BBkVAd8Zoeq5yERFMohZy7Te1kXnY0UWTmicAXPAeaBEgLngEfaMJLnQ4wSw2g4KywC9hpJdAwu jHkywPaNXgK6WvV0Z2L0V/WKcwZQ+LOi8SK1QooW9+lWsRtYeJHCs2zi5hOO7aP8jz3Z4lkMA9pc 42PXGkd8AYQyPNOsJLqOeg56VK/NtsszCaFkPOOpRJOU0ZAC6aEfYXiJiRdw/Wc3xq6LMpvk7bZD h3pnhmfgC4ZQkn8JPBA27Je0zGGYtte4TK+IudZrzcddNpMs60wDiGwRF0CfpHU0IkxynBIZcnRb kcZ9vpQ8vbPx9rE2s3Vww9j7huA+UICezIz6aCsJPLCihRXGOjCES69ulwApbJlmsdoN5V6d1r9x Xf4v62g9KVv+k+910teiygtufUUSddxO4gjN4Qgp2dXQAfD2qRbmI2p3O3z6nfDIjwzXXveyGu3k pyfJ+yjrJN81gAa+SykhzrYBXSfffwkpfSDuWlusNv9j7u+QlpWJPO5Lf59E66C8MKlpgR7K+V8i /hJ6wN4gb8N1RyeYFH+3+zgD5cjth9AzEk2iN0sciltuVrCmJlRpdEnLOE8JGlbk+8IWgUF6lmS9 B8nUT4+PelVD7kUBaMs3kxcpnej/WuKllLe4BvHB9YzlLDW++fzxJU78R+liaugjRA6hpCfZ8CnS Tl1DfDSrS7qG7YV6qwpOXsmLNlGHSVkr+VXX9tbqOXSIjxGRQ/emUFRjbx1h6WguH9jnxlaiXTvt fzeBISgwEX1DYcCRZnL9+0oC0ZCqZkn2tCEDwYHrkoNURG1vYs/jmtHSYLxIrSjzbHFmR39fWaHi qLlFlFa8CqXX766teXnoTOgS+8d1f2MfcY0cAo+KsgLoVUg9q/sNuhQ78AoQQFSJmMIkC6Bqb+GB UBgq39KrFfC34RrW0Z4rxaVFd6H/kP9B/bwRhyZZ+1eQZ0bF4xaTB0RC5wugxKS7e1+ZkeTo6AOY tl7ss4uMnoMnbFMZspArUx9XELXCcIuCTQJJoIa8uIenDFWn1IaqsyDaWxcnG0W1jccpBFzHRnLx MtMqrQk6+wFA9oxg9+OcwAecGRZ7jz5cQob52oSG12/8TBn9r7FnuCu4+p1ROyDguO0kgrI2gKsI Xjc431NZlHq9BrL4FEbmHPlW9y9vBc4R00QxIdx2vOso6PuLEEkuudHt6zLv/7+RqGCGD/jjGewc yZ4BcBOc06ntMeLlUFogjG4S1iRSQR4k9CK8XxdtBThhPozoZKv13ys759aIPDDg9fEsiFOKG7uU Z7Tlm2LUAUdbBDtS3YozqCEgoNj08irCMgtdfyOuJgaNjO8cQ2Fl/Ak9TYVcbBmiMVTIjjR8s2Hf YXffT+O/Nf1lPrXJP1+Db8JcOjlneqaeKUQAHuc48vW4eVl6mol8Ssi//d3TXIeOxRhMQHw825EF Y1QWKUBfWWepFmy8qZcEqMwy9zyrU3d1+YWlbsgAEgT2lxW+cge9RHmHwEsnwutbHUUK1qJU/RXa 4m/o56tVEgaeKd0QTYp3eyd9pquTLBk5JytEaZhMMEZpRqccXv8OYnZKZMi/uzsWtVrrAwO+wX+P VNOPh7+YhGYJGfCn83vEGpiBi5qRBg0DGQ4nHxVisyXNF2PkxdYu8DI0fnryDF3EOqiibD9Nn15n Mmu04RTpMRZ29hnZj+XjkUCGCPM71YwRDdn3BF+kEQiSXpOCeT9X8I733jE2a08cxX0tiE63RvMk WFcoRdfjGGJ9kZ5o3dvpwTJpe69WLmR5dOqV2Fr46bmcMwwyGWYrvuKJFHQiyHu1LnO/Q9h/Ezpy GgPJo1Lgkj5PcsaAWGtR0qoQxM1VeN7jO6r+wHqXf/dsJiCdFaUGJ9QsJE/2X7J2fd01Ga682/8T VwljB8Ti6c2GPrHSe35Nd8jzFJPbqqJSHWdR8cNFk5YXSNUqyLqpA+ii79EFzUuz3sa7glpK7Oe4 5WVVOdxqkGH9Se6RQQE5fsSmjUzS1GQVzNqnMX/rT2u15HZUr6ZPNNQvRbZJgZ3GfYMNDrXcFc/a mvq7Fkj+4BpdNoy6gBdO532nefHaUV4H2UV84EV75ZH2MJJB7DZaTDXbGgrW/b64PwkBZ9+y+YRN RqmRcJYSW+tDA2M6qaoegSc/bCem1XSRXXTJ0B6rvG1BQSXs8/sBqZFq1n883l3vTxXvqNcmMEQz y6rUXlNqxl2EmM8XBzQURXmrUEc5oB63M6z+5VZlWEsnx9pFE/VnvhmhmRH+dcuhJS7VlLTz4YXs igYSo5n8Vvw86mRex6N2Ka8H9Wci+ixb/kQKfjOFI/OvPq1FDVVsMFHp+yv+lzy7Tn/FaLPmS/zx ILLZM5N799N1Z8OgB9LVUrkogFO4ILNnLw4/9sxK9Q== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Tb4//Di0thu0ndDXiD1EZAjXW+dggqQr+K8r8OwpLz1aEq/Hxj5XRaJ4B7/wjMenOrnsD6t0vTGh Xt0XEDjeXQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block kSrZDeaxuREa0DUih4yuKYn/X+6u3DE832T/UJPAow1DD3fGNFCB50zKGtF6U7hKlrGB/bBwCgtc GvylREBJOPsksiw4pl+9/dvADRNjL6zQt0EP/muhb5oTnpIbSDpfRpfMhZ5Ge6xePADUScjvmEhl pT4XTK5MX6VlyzSfJt0= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block bLEbMQyL7n47zrfuVbph6qdR2tKuBpBgSgEPQ+Kp2dqpgnxtkQUCKaiHzMskTIB5imN4X/MjXkpe q29YzcR0ekMLpleEsPIYPySxBzFfEN1W2kA1f76e9udkb7Kmr1sjtdQosnbH1f2YaYk/ph7tGIR+ wBy9QcERkzOn0cdMvyZgpoi18Y9+tL0zXxZNF0EzlOI893T/s0RgidZvWi27fb+lXjHlQJE7gKqw 8a8QT1XoKSmJYjgtJvypwwriv9on0jKsPoFMFmGxwkfeDmNYOLCy2doiMD7TAU9l2hcxwz3TB2+6 9NpcwIHxFzSn1oLL+Rq4IJeobgNG9ugWAXE6ng== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block C2S7TSEWWzRHhtmVyEnFWL8o0V3lK/cOS2zsyqxdnFhC6apja+BV51fJiU5lp5k8D/0JCm8Szikf GnVwp3BGPHq4Qam3l+WYMCsfWmI/JKjHT/LN/PXuooDfuX7bReyhNUqmndX8Zwxymva12LWaz0dM Z6y8kjyhicNcjhQMFbk= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block LfVE57PY89RfOE2/uEzSNZQkSi5GtpOe2B+5uwZTuAVrEqGR8u8CelUdIJCFXBlUFifWyRF3Y8de x5CWIDvOkUOJj2F6WqNTC7lhymhQ1nsCkBY1pGjyRHk2abSO8la2EX1USiLozr/2ubNU1tDAqyig lqp9ZSCqeFZRzipRBKUqqbIkOXo7abosXih/T/a6AdGlgF51Uby8hoZ3lhqTBImtCZQCeJAnc+3A UQmymYtB9dHSITo9c3yWXTYuqIS6wjHFD6y4MUHnMg6B8vuzPP8VTCYOlxmlQu94Ai3MSDHbiKcH LAOF6XB8VW0hUmK1fSMx0OTwVCDIWzEmeFbnBA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 221248) `protect data_block le0JNHFXKCFWx4ExbGDzw9RYMg5QRTAfPwMXAT9zSZXIfZ7W4XUFq+X5q3J6KViIYNGr9Xp0yVlL jnKkVRF2m843rhlnoeQRApekTr2zi+PiD+LzIYPDMxo4R+kvBMdg/Hnundk/h2drbL4l7g8u9lPy KJ3Wd89SGxgwkVA6HaxBBs1eQOujlATMbQ0qt4BeVmsYDWg5IY0CuneGrgPFXqgVfSCn4E2P0pdW tdyOWpaFrvyV3mtMhP8YLlZq6mA4uSKL6L+Rip7aX22iP2WNmEXbML89u6ERcg90bp6NutYRSmdM SiXlvuSodFrARRZd34Zjm5rUYK+svz08XNfNoljuKXCrDbwZbZylrAhNkpL0PnQKnIcnSALLMpRq HftgOc3zVwdvMUfTpwP3a75L00qYsC98gCnOfBj+px8uQpPyuuVklNVHqTB9Nfqo1bPS8yq9qLQB 91ia5XqSEU80LjJOy8QWVppq/Ip9O4wHGcPA7OzSC3t5bU1lrc+mZRhn94Le4UdeuQ1YTku6R/aG DtAG77vKXQg7OqUBwIJZTiz/x7Cppvk4ZnEL2yj8YZ5LhmFBFkXlZh0LB0Os9m32WG0j02Q4suy/ LOqW/QTQ0+IKfujem9GgbCWroP3m1BeTDEX8un8AlN7CHODlrGHzSNMqhPC7Eu05P2br9bLEAI92 Lu3xPyHZbmdUFQArEvAigC5hI8LVtoQPN82C8qkJR7fTrULWGkFTfxcnz6ATFgdacu6eJNmPxfPe jKiDhEGiB41x0UzYU6FGA4HGnT1tNDPiB9c87FrEmrhtESLofQQttZkwnL0n4LWNc5WT0xN5Z73U +7dDGVhJ/1D367IaoKzwwT4HFVl03UZheSCTp7yTlUC5QJPrB9uWdR2QuL+xZHpkF+YdOsdH4J9t MZM0eYMwqpEQPzdF35NVbCwal7F8TvjUkY6JRYIpCVKCvuqP9UQUuA6uLCrUbziMQttVibY+4WbS /eZwnMACHhr+wuhaz2MOybAFfd/D0gCdgOcY4kAuI09PSa9lLuRhotw2Z0bfaG7JoINJ4L+m5S1E twFHIkcclEuA8xIgodfjg5IkNkLehWNv9GTz+QgeQ+S/z/DAE4grA999iQfWU1WHCQuC9A80IhF/ elu7U0QSm6NMIqEqlP2vFgUaU90fhzNJM9gg0oGqXv/CvQ57ShNPvGZSR7idjiJnXeLVp91y+P1b xujjy0rnabMoX6+afYnzpuQ/j1utlBV1tLPkkR8SDX2RhecTKKF5Oa6ZlVTz6nSnb4sefaMWUQG2 kbkNjbe1YSDFjK2RptZEXyPiEjf/ISxC4nDP3UxBTBNL6rpb4MYan1QNbL8UmRs3QNYUqNo7K9kG 3/VG24MCJ8wWGj+fGbXjfbPWdHpyiLhNyuE5PREb1hEg4D+SeXb+1UWjd/V09BVUdjaB/2+/CZQr XW07NJUsb4DRjqpTr7XFAZIJHjEj2Sz7siJnZ3+ilI3D63GaBJZwTuW+5xKnxWWagcIloC850Sm/ xXRUpdKjoDAcNfBRsG2jxHmDm+MBuWAXfFYu4T31uRGT4OtVlPBALIk43X+xE/1KESz8Qjp97HGf 3lCXaGjHxXaHUB1CkxuAA5IyfruowGlhIuFF47+MlRtDOEJabCFtoK7TXkAyAWH5qB3Df4ogvEjO 5fBUNcCA6Q6meIWQqSoelRUu+PXyeX3PxJEj3lnFGe7A6H0J/PP2sK9RLhtNgw8twyFMABMfOZL7 LCSUusli/4iRcgHQ+4qRmfCw+p7HCI3zhOvaRD8enRRfoqZOZNwcm7aIlI0cq82hB3TxKUfaBwmV A0BByGsuyzh79BCijwJuXapwK5xLxLku4EX54MdFtmsvag8Kk70JmjLq1kMeTGp1U3NKpgBKx1lD F6BA23elwiPIsVaaYsk/TTIS7Zfy74v2J/wI8crQRrRgxKqWKYGKNL1AXU04KT9wdWHSQM6hMu+S lHFLtCWAukjYYIufb3X9WLkfRblNiWSMm5W2Kb7nnHqtTDFTi8XYbgU2pnArHuex4pH7DpGv8kr3 4uDfVeINHdk5uisqFJv5dP1rFHLbWKdOUzntLGGJK0mFbL6HZGoOR/QZvLU8srXuX+FbLUAfp17Y sspWLtJ2McptHy6RpDfqwZDCUE0iLPLBv0uhq1vupOXdyhVvytKeYHHXITDxg+jxHXcXZEUN/ysv VlWA4n6iPUbA1fmhfZtCfxfC73F7hCmMxjPPYxEfz8xoiktUAvJ7ShexEs9tXv6C349SG7oT91xF RB942dXfTWOdVKTr9k1uXTdx8PU38NAuanpBlGIOrhm4EY26XRE6Pp6KZWsUOpmmgOR1u8bpSOpu MUyKLCkMSHG1+G+qVeShHNcYs2mpFI1j6iZIEE1S/GSXrJny4fYEgsx3oKCDKhmou/y2iokbHjrp lU0fz2SL7YiLV7c/GV0HXCSVeLl8aeS0HMtHp564P4i1PAqkcgAIjarJh6OvWXq4QhNY3GTlztn1 CYx1ZbcrM+tzxQSJJsFDCnmG8OaI7Y2o9UOf162p/p5zk1jzUW99s/f/z76zvJVD8DiCoImnl4HZ Y7lO1gsblHVNgdNAtZ3F5sBVo40VlYza9pVN0vN0siYxVNFwuNkf9DlvIKgQz1cKcKw42KPYwQqo 6YHZ7HEGnwHxMVuRurTmtKmTExhzEyRpiaGhH0kuczvPn7lqzxUPdhIQWTtRThsnsE9+RtynT/v1 b8ZZJgEA3r2FT+mBjFCyZmhwvaKcJSMlfB9COZyOVAc/QEZylHfGrf5LlQr3cprQ73koCZdWVtB+ GVg3wsE+H7PCgGVOjhMRsFZKsKhGBTxoCyJdukt3QRDxVE4p1NpK5P+izOp5pADRSiGuPwK+Sko6 HW7D/yuM5pmzsGCHW8PuPnf6ZPr2yStUZJm6VeAr0lPYClAskx27/BWXz0Za4yWNotY8d9qAQLGd vq7eGbhiTLqieRzdOt2q7j6Xd73PIwixwj5Vucy3iGMc/Grz2VrAZ19BZG/U4/duAXUr0x1QH3qp 5EFUbDC07UU1wPJQ/lCYM7kwZ1kOjQgswsUXCH/8jYqKeFp971cx0IpIMmD5VB5IyOKS7x0I4oC4 oUAB0HX13orxFZ3GKhjelsfdd6TeLjeodef+TRC6r0HLtXJQoaneYN8qldar12LhcFvmb+BHW6Ax T2tRSmqmYOvrlytNctOPwYbKbvLaZms49wEPs+9qscj0ybNJjdMKAV4lOTCAFwYGwa8KYzdcB767 uHB+CwSbpglyaL7Lqw34JH/w3DTP1KWM0nrxMUWB2Zdmb2qzU5dL2EjL/sHAheNwQ5EgGFMGcoDI gyCUShQcSdfKedH/NZXVuFvKmy5VO4hxMiZ1gowb7KX3UaJLVBBxZyRZmUlDDUc7fRtCOSPtykiC 1klh5i12jf+pDboh8Bm3iZgqdga6PSU+T9o5IFon4zMZd6fwJy49k0YpJ887YU5yAGOlcxdA4sk3 PdQ3SKbU9MY0zH1f8wv4URGBYyrAAV4xVnK4VIbzMylOytzHKWi0BqpX6zIOPKQ1T+REJrjnj9rv WuxlZ++IlCm8a9fnyKPL4dkaM1rYF9Zxmg0xj9vVlh/0IaP0mrRaYV4H0/WI0aOMOoMl+JUWRpfN /4kGAV9znc5QW9pevc79sl6psk+lc2DQe17bF+VVY/KoNTMcaOy4kD9OxGSQOBVPsXm3nG/E/DtF qHDSk/yZHxwClJ7TXWxKotXnsJw/9zt8XykT82Vm78lqFTMyF24NohPaoYE4EKRAZheBBHe8o9AQ DJ+wUuw1QARL/ZOZBVJ+jT79NB/RBZrmEXyKughiIkltYa/FCFmPTHV/ZWAr/W+fM5Ew3GnrA8I7 oeARyuRoWgxiNz2mUC5RRFbMlVhTzIExmc1ScS8yU6njaMtAlrBM0EY/WeUyu3oDTYEhtiNox9Uq scYivXfqKI/mHNeMuJoLA7EMVfDAHaoHeAL2MIHOcMdKYjOFA8jizEbJMxGPXdjNTq24fYGMWweA sx0DvhYt1fZHVUFtgrFZqEhLr9ao0p+e2EFSgVGR5tm/KzYXlSW4uWDzynEn8uezidSYY2WO73PA AIaiZ+is0riAI0TnNJ0UEgf22yoOvh7sCJsEMrkI250nGxxySuVmkVGdwMXFMuF03MY3Iv+L9hBM vGBd4TPBtGLodEAsQLjljO/Ln+T2tps4Y12cMFUienDY8JXIG33DEz2jTIIBx+ZXTkT03pVGrj7w c8AexyebpQaoZ7lQm5A8UjUckO3VQKkn+iNyMSO2E+N/Agh8QxL2IcM/ADRYlQ7fQa02LxuAppt+ cRW86xDh74h7GPkzWrOJFryxQJU5vMVN9aAcPeYdr8ySxDwT9y3jC/2GdCH2/K44Q7q/no0IcU4e cal9FF1gqfWijsr0O7vCQEQK+pmipP9XNbK9aVUaUGFpdxlhTNE2zyumc8yOTPEigF55sG/r4gYk nwYpqE9QOpC2n35vfa+8jmZsl8+LRV1ClMaRg68XHLPeJSY3TRsl9H42n4MvNcjIgztjyG0mgcq3 BxgkHZO+OBMCNYki6b/HUH9ZhIpIsvGLnUzrBGrRkZaQJ20Yht69H5iw7I5XtHe2DOSz3P+g+qEx EpDkw1sFRyWg5GDse+rGqcyp9334f6S7m9DUdttZFDgik4yoiK65Q6h+QIWxHsxV5OarhfaZDp0a sEd5XP/Xl3eueqKIsgK4zNfUAOrA/GPLABZKlvbSf3GNXKbmFjW8V+HFQAs/X24Mg8y8FqgxPn73 kuPGw/TSMwyi4GZ9bkJWvTn+9GuPya+mjk5oW1QOevgfAWAkna6y+yD8DrEIr/d/7N/gahNPR6zt Xwcq8wy6dp9wUqdbgm/eBsXdcuOPddLPop0XAxomP/MiqkJBbKDvUk+SoSGz+ltSsQ6alxJVYbEq iGJo/aCUaAewDzxyLSFnwUS48/1UMGE/GBlvaqLyh8QWrtRVSrpVfCdRbwMlG7gPoCX37y9ZLeHZ vjPoigV5/04lzBHU3gR96cMXZiIuNKFUl05GqDrOWwzlX7HTlLu34V0T3AeoS8yaln+XLCiHw3QL FL1a8sx3P9p3TREqXGkkUWC1GAJcCOXDs7+FG7ZtvNSiAZUouTwbGdKutAm3gWERcNBW5UKCGUEP V+tIcRLrYwv1hLFhZi2MgM1Fzp5PYRzOflPuspcwveWTtRAdcGA9J6fOXhzrMyk98kIptVnuVT0r FqWkkiDAlxx6dv3UdqVzru6a6dJIX0mF+nKM6vfBKaAvDthXuZhwR05J7fSZmAgZ7FShpYZdPpto IYRzOXDmK4+RVbJWW3OP5RYt/L22IJZl4Tb99FySzZApCsG2eFa/+19zY4B+/7z6ZHaHBzFbvoei 22oKoROvfAi3DKZbsBmru2W1/QV7IDk7X/pLCrej/ndIp7ecMAOtYux/ivqhv/HHtQxs6q5sc8/E dotStqCiuGcJ3MtmTV5g2run2Ew5jqJma6yGtQ8jatqjnvcVV5Ww07vKFKVMRvoP1c5WuRvte03z d9pZqPcy38HiN/sNGGCvIYFxZfqlnUob+l79A7pbZdV+iFiENDTzIbopYwtH81elrdXheOut8Umk bpWJpmpdss9Tn4/e3Ouaa4MHoDlCZeqC0YDVwSC46BK13ofuJlRsay36gOsonN2L8JbReWp1G9Vb nbVNWfNGzfI5Ph39v4BqHWTHmkOqfZyeWLXvi4N4W7zFc/AtzjghEE2WpI2qCai3OkWCX53IV6rU Of/7+7+m+DZ8ZgY8YHOSRh09FiuiZyqdyNqk613EslZEGq9I6+Vc9+foqPJy4WQMrB4t9DIJIXL1 YTyJufPDQeZ6v7sdro+gj754YwqxLwUBp+iGT9vyBKwVM5Y2AXJb8qGaQUUrtc5UBPBeOE+ujWqD i/S1XiDSnbcI0z9AjGSNfzwZ7fXJ9kZ3zeZWOA3GUBJ6VnSJMw+40agvksY8R3aM0x2VYm9t4adY soq8l6CnYOp30AtFx37IhQX4jdd2ymsm+hdDh62F5LLcx07dT+94/38BHiyF3uSz/0Ju6jNXBqpy IYrjastoruBjCarRUq75LguowvPDilITxFe6lSbRDeSmdUqX7HeAVQWkLcGQCdesdINTYohhL8sT LuWsXAUylaOwGcj5C6oZNkkaVWC9u3Pdgs5UgcoqCXY4uZF36Xcj70yAMcEjZx9f5prrok6JxffQ E0GHekv3VYrs8n4DltpVN7Hf0sB9ddu2adjhX8i3uvyiVcudtpZnLRvFcTEy8fcBNgng7+1mgPew 1KAosXeUTd5H9t+qtXBQ3Cpj6v0eLXV/jBJ60NpPFGLuCTWks3CVROQCaVoyDhMmr60JigPNuo7j i8cOrijMf9+te6MzWJbFHzRUM6e7e4smDRmMOPowr7w5suKvabRCDtBHjWRxr+JY0IH3kQkbLV/h aBPgu1Usw3gTGYyTZZ61ITzNiFgRDFDDyfg6Xq9jH1Zgd50i8h7AvA2XdHk5K7CaQ62OkkrnyxHL 6m9RiPYoRvzmK54erT3xd5aRnoHwZ24cH95ecHUk7oQ2E8M7YkpaS6syR1iQo0GE8VWHqnnCUyi9 CsfgckJa+ASmnW678MPRSzdL7Tukjdimk1ugIr7oYt31I0+Y4K0xaQ+sX5gKTUxzFrbTjoShqT6x QjWXr4Bx1/yc7GKhsnc7NtTbGYm/WlJVINiTOSTgoWgnsKOpiMjA+F27WiQ0IJJ111tDYmS86/Sh GGU/8Y4WOvKeHd84bBM5ZAkd8ozXnvWpfY9aqxeTqAv+IPaMtgLTKYfQA/o4sBnvZjxqWSLN2BOS k9wOkptFzNfnGDKRP4dgedSHvjkHiJku0JOsUeJ+Mlc+zCRUTBtvWG9BI7ma9HRdJpfosvAN+7eX r2SDw11B3/5n2GBhjW2yPlKCk0azGFBlqhH8DLiKr6h5+emVKBo48324PdteDlUcx6HjwZXQJ/1y KM7B8dyzr0hP67fRBYtwpOqJ/2WBD3LSTvWudtfoeM/hFs1hHY6e+h8hotY/mdPa8G8eBVF4yFFz txjP384XT+3RAH4iprboGXhNGGpEOl0ZqF/LMmGG7YgCmXZzmGHobGZEiDUj8S1lBKW9T70aTRRd hqmjucvbmPNjDM7pcPA4SccZKpJC/iYvDo1lkOvehZHtVVHhyAXFnOYDdGpCNBZkszI/hdI9LDQD WM4tc+gD5U5Am5WqykzQ1HIkpt+KYMA0jXuPN/VZ3ZdDrHYWw2k0kT++L+bhWsJom64pZ8weIlhU o+g8HRUeIlAx3Zmt4Lgnduko4vseTOM6iXi9HdE0KEq9r1cq7/4QxtaqyldwEwns2+/hBtOhJ84Q 1SzQu7PllGKvn1TvofuEe5KGt2bGeB97lvu1/hAmC7vFAd1ofmj3w+isPxGDDMKCnw0eE8ZRMZJb 10DO1KMjU3DE+iNSCmS0s3Z2QxcZKBgsmNja1J6Hhx3nJHiq4ypn5KDzQYO9AW1rWYTp8JkhYO1M H/AH3pdAdXbQub6hgqAZpStjaysyH3jLI95Pib9bPr+G9nSuQbslCqaeZHNECeVx4b8Sb6C9dCYw 4RlTEk8UmipB49l9iJS4UEJBZRbS/R2+nYTSu8o/KlP2qQ6nhx+dYb0r++b2F3QXY72h2f+/+YsI d4+3yjj1oR9SMwq6mt/fhVzLk58MCLRu7/2c0AlzNE8ImsiLYGio6OBCDkK/cmFbWZo2l1Qj++OU 8OtbxLrmWdtjfoY86H6n5oulQOhE8MxaOuODJrz19pxAVGEE6e4nZiBwwAqmGVfUlxItOay1AcaG xZ43Owdq4B65cpkdX+oVhW2UnBr2Cgqa3hDnkE0L2sn5JJtzGki/1RPpgu3ZM1VIjl5A2Uo9ctqQ eThWMC0juNiO6RH2NwNIRIoJRFe6/t5E4mqR16YGWte3stzCtc0z175sWkazFQAW5bJl3TQDHeR8 PHOAoNpG7R+nlzD+pN80DkHIA7PaHBK2T8g5Kbyp5OA/0unzlNRjv2iBV7cI94NSqz+Nrqn+9psN FdvTifyPOy/RDAhmaJnn0qghEgBWryz5rN9/1aGqCJV2uklSNVaed+a/PkdhOkN+uuuyOIiM/KkI aLBew1O12ksssRDYTS07m4KwvqFeXakTA4DJzIKHFaZeKwUemvCoxRMCJ2exXvE2NO/GsfMGbar8 BXa3KNJuXX9AREEOgOHvKPJiE6tutQGzNe3ltVWPTByglHAbTrqWwgn+WCIbjEccUxnRPyZD0ZIq 8eWY3d2BxYP6S6GAP9NvejnUhq3SizeTlHNTXOuP59ZYPT4N/Mos5w+C9mjT4cTftjEnweOqRhvV Gd3vb6DA8PES/br2TyhlXFfo+eBrrPRdTX5XKFwzqUbSrz+z98qo/92PwbnzwS7fYIEy3R1D0rrZ Tw8AjPm9+3M5lHGQMwglNST68rwWepTwQH+OoYjrqVhEl5DaACVtCQgNNRL6Zw/PPzW7scLQloBR mn2gMxDH/15Ukm6d7fdVkJSD0Q7kYwnBc62b4Pq3agcBJrjCVNTRN7+qDeY8l7GP5J+og7MrQjzc n6a6Cc43irL6WKSBffZWk4z4gDWZnTQlrwS8IbMbUNfl8JLUxVLm8fKBDdv/KqUyagyo7L21y8jQ p0fUN/9NFfiHdHFdwSK+lwWFems19bqLYExSfUnVUy7MExYHzOrf54+OvLcR0D4mEqK4m+3LvZLt Kd22ZgT6L28+3dvNWOQaf9OjFeiw8DmEZafUA3JdJCNmcUcir2XOgMaqWxSbkCRCoK8GtPhUkSgj GOtSWuTWuEmw/IDUqyozCSgrOKHmj71bjhbaFtnPFAtSjDkpzDgXJ0J60kofAQDrOiRv8Qo5rCQ7 Od9OCCUTVyKsxKVg3ZGAESyUwYvh/0nbaJgGwiL7NaFmaP0h0WdmxJajEhYr1srtrbicbdRETMxk /e0iT5LKsqaJkJLcJjwtVRyIQsAu8mRcr28Q6ek11o6Fd0H474E/2OSFB7+2tqFb/JrXjnumdTEI y41vzn7rK7tBX7K/AAnvEqki/kiJE6w9KsqLjamAcHyt97QfPn+TmjZjZ+PaCw5w8LtgXQ8/vLFr bPV6hdkzoVKv9Vd7rj7wajxrYoc1Y25WGvaxBqYcWf4EWo42y1YRih1xQS61v2BPcNMZYK+mmspo 3vf/lL9uAzvSg1czwMFWvp2XVDuYuP75Z+qtO0hhPjIqfuOlSHPFW6c/T7gwjLzbR88b1QEvhg+n SZMlOtAKiAnAC0xaRSG9T8qHMDHoZ5Ba0LJYtgQE4dwnloqzh1AZdje52tXgh9dkRvf5JRr0x44J QBayBq4iL9z3vsCoo3vHP+LDrGzLtncOeTXHZ3/EeHZYA9dHlZiz+d4VRbHQjm7WF4XD+IxK5uba kXRmt/oJ641gmL4hYTtXhc8iVTl4nuRj+9weAZ+9+wo+9qzS19RBSf+L2VIuXvHe+WBXAewEmq6Z DiZmi+6X9IjGTKzJBXO4URDvTjc4kzqzFpU0d5YUrtVb0V8yRoGxVWK4N8PU2VSgp8wElNUlbiyq wEXCm9Sroyil4GuFk4j7RvgqotPDBqED4Koo3h6TkPKwk0LBfu9LPXuZyI1RkEx4QS0eBVsNfmBe E4FuiJXcRtazejxn9Nq7FhYdk3dIK2mU+bh/N/iW/lMQ8c/NL/CnUR1EukMf3bMPwYvqeGUfiiDW uzzA0jlmRpdCF3DnS6hvRfqzrYfe4UBKIktb+6Z3Rm6P7KPgbAyF9JqvzrNBAbuam/nQlooNd/JS 8t8BNWd1sFuen/OwDFLJoemJAeHoNvYyYYctqhVoQM1fSEk810RjtDtlYr+KCE0L6uywz6Lpw6gJ gYdGnEBAoXAkT7Aqfd1U5t/KeCP3lyYkhNRNA9zr+EEKnjT70/veHqSk6+6Ku3EYn1yRxA28yZcf xTAjuAgT1tR+LLQ5nqvUvSTS++upTL0qvxGK3TvQLi66OA1HokReoV7gFj3Hsa+SkLo66vNaYnoV 9NqzGwSKs5JjdCMRHuayjwc9zn4eWH2EjKKdI4oAI9g2gGfkNT2RtrwG5OMisL8IKVASw7/iwGC+ zrTWUK0HwW2z56M2sv9IEMo/jWuWGKoejFfZL6MWt3tmAbSF9I3Ey7EkTPsK34ChDuhJwgKu8OPo AJ/77TDnmFpDgR6CG7fOUHri2E1IQtPYjKNTqDAyeB8oE4LhsEck3Fswv9jOYCo34Pe/GZtcMzDI cCu+T8cuVdfO/KXR9OSdg+gIjNG/Zyr6Ep58j2RrGGY5sXGOSDQD+vct2aF3tC6kdUcic6kZ8TZ+ 63tEYwWX1RWWYIdIQY4gEuOcyu3fGzGDHZSV6j7VkWNcmXUqbLmC8an/LVUxVs/wDmUVKXYNt5fj 4fcfe0PIJfxDAHUdY2oNWa4J3V//stHEVZ5P/oQs1Nnfp8kRN5KaDfJi6RyXgV4YE69GoCj7c1w1 5HZlljfA+IUl6YCE/UhEWWHDpyscYqFK1+EzSI9uZLIMI2GY13TXRjex4JOXt8kxsCgD35WJUEFH 6y+qCDZ4wJ/OnhbMUC/vkCbcKYAlw5yNCCrJe4jwbQROLEhasCNuHjBIzh9PWMa3yAO9bgznbTdf SQvqSJjXpVB94E1MmYs7A+p502lleY78nCzrvV6fhOJhKf7+w95vvgOzvwIyxMRnH2AOEv1GKhql 5Xck+Y9Ma8gj/1ESMcogNCe5Fgj0WbN1vX6rr48aEw8NA85dJ+RVbVtzm5CBmXg4J4Tg7lwLaJ/M elJW53sAbiM1p6mfMbgKQA+apXbfav2a4XomUepTopOejXpP7yLbZltVgxBWOt2Br9LM9korOr3R BrD7sreyfnn+1dy+52iY0mO5qA55xLDe/TQBQVVfugpdds5KHiaJCAyO275bZc/KUlZlvhF7Z3/t ywIk+PkcuZ/GB0oXX2M9EjVZVvjHiWFsxZo6bhwvOyacCPd1KAqBzrraI0D1+o9JsY25iwr7Dshn xbjGB5Hz9Vos86lzbAvrR3f52qYDdbKpX7Xb/2BgaJZWqVL0wvUOtHbF/1mrkNxKNmtyFvn8KNO0 aHMoE8N6ipkEfTvRoQ+4ljCe0pAVpeHONAkmV44dfev8FWpOTUaTBKBSOjJ/B6X7A8y+uxb4gSTr dVKQBZMxxPp6ziUPdn9lAs4dv12i6dbhbSmdTQDlnTZQjoqUQjWQtwPyF6cA7WG5FwsaCEslBFjq su0Zb0W4lArxHKNWYjw+T6Pe5opJCKBNvHnw9tVW05+nia/Ee0DVf1n3VGq69jBhMzKJX2OieVRv j1IgXpGChyN/OYiZYgJHx6q5GoK1D/9q43Ezo4t+1YO2MSpaJaaeBeNgYagFyh1lKShJ1x7zfEuj PeZmagjfPNc5GGZfDZNgB6617ZydUrmpBQ14BbNsPjqFmqOa5WuxWiPnYp03ZUxlak5D0R+fpj54 vtAaMSEX8dfmCiXErjVI8SAcEbucdI9GjVmM2RN3jtLJxGnAUI4KsXwgIJo0inkVyxyXKU+fZXez eln1Qn4r0CmaUHs8BQopF/AlBDzYu//RHu71BSHKQ8leckYkAl5Lqk65C/WCyeu90j9sgXLqdCQa SxB/d0OSwJaJNpTF/FYOsA9DkFtONFLAoE16Lq15SyyqToBvwn6qbaIxvHqHTw6gKGWxOJzz9Ka1 jAkZDJKecPu7RtVa4yxMXRtb+1moyD+o6XOe+KHUhwcAklQ4o2btH3LjoDVTZ2NJ55fkFci6F9Vq D0YuQcU+GHNDYUMUoNBVlrKnhRnNZcPAbCpHAclFohTsO/gMjPN7rx9jT3loE3OPpQS/faPB8a3H GeFBpPy5G6fC0ofyP+fUlLVvXUVyv++djzF7NXTWjME31mWRFOWr3eFQ48btGybt5rLME4+i8Lkq Yh9dnAh9mwQvDKhoYBJzH6JSi78V2Mz1FxdLhB0ybdDlaRP/D0r00fEDanZCF6swrqRrqhgoerk1 2VOyNlCBkx3wo5kpSc3Cv6qaJ0cYpwmktfonTLXSMwsmI4ALLpOPjKJ/2BpIk4T7rpyLEGcNtlX/ UBtKwBu7mj1kJxLkRGZUMuA+P4b5JmoJ+3VQ+mZoXt8FQbKGAHjN+PTjzI8J/UWU7lSYK4rKxQJ7 QxypXOzn4rRJCrw0Km5ANvqK8D1lPTRGXFDqelaqfZYxID1nUr0fF1yefSXh3CJ0FVxOGAHB84mK kjKUc+K6zJs2EgvXQnBG4D4N1QtRCT/YMdbDzG/wQCxFf8kgAvGUI+FpvIuMqR1HiBW8faDQ+9Cb juKW1gyZNK9kjnvzsj3ig8AzNSs5Jdn8nmuhTqJ+lOe/a3ILNriNU87idCMUbCr9RC7PKdyJd11v Z3rPOPFVvSYfqai0xY/IwrRSWpCc4+rnJr1Tpui1SN1cux/0Nafi5DkGYwNoQOAmyTgwfmNCuWlV /VT1vNIyQYynw1+BCLebd7g6188zucj6GuiSV2ELSjELwKtlgmGt/G3Hm5vbTO3dsu+m6ot6Vxhf luxwtmyIGgDEuv5i5rZV8kcegXvZXKpuPYMCI4TZqUpVljqnEk1fcqCa5jCBukKcQHCLnCvLhk9y HiX8KEwjCTkdhPQ7tbxpVDqKr5iVbnfjUdUSTsvkn9TCCUeeBuLhi2osD4BuVO/Pktx8ZH1AEXOK oKovGNaAwTl6h59wPUYvR4rkYPWYfxXWCtt0O55GYRJEJtPbqkWPqxYGAVbpDC2VNQRkuSpuKpX2 ZOkbhflWkdsGU7S2t1bVvU+qfCxvuw4inOwMuUbo+VjJEPIyAp+S5J4sFp9KcaYeniV6DgmYo9Cz juF1ytJpzBrsgmGyQ4wI/3kumtsYSYfAo1Tris4CSUA6afte5MmoFkBftTdXF2COuW8PqgsZMDVA obi4MTTMB92jQv1W0fPqLxv00owvI27ombykLrkvBdohU+RzqveenBEImZ5VH8399EMlP9UaLbWP jqRbajlselmWXWiL5WtURyqJ/QetGpzwXLaqvt4ndwyHiaY+VMNDstXH7jo8NaUAntmPjUfozw46 7bHtO+oEAqLY00nAnOxLUVqhdxCE6xKaoSCMCTHoc3/gcyBPnDklNoRgowAvDOVZ4US0A74qgCTX NOliRClPqYP/g7Ol71TRObhzNdhamIU/wmZdtGCUffkTwSIr0mXmCzHA7yNUJn0NvtFA1AnU753W J6VciA7tGyoa2pCU35prNrIC4X8+Us3tovbkIYu3jL/5IRt+VzzmAmmDueMactMjiPIKRD9hne52 ESvJyTVyHMnlgmgvTZut+dGe6jIMEaQT96BQKUAsPqv19v5UR8tua6FMrcsAfOICyl5oFYv7Femu B50uWEKjWhnDwt+m7Wy6AIJ+9x/yCtAxRYgY5cAUEb0YQzIe5tljZdlHru0GI3QeTXWfVfUQFuG9 ZsKe54uwxgOnJBBWxz+qPQXTccX7l0K8dah6bXQYv7kp1mYhg8gPMsYHK01SSIB9eQj/vVWhWgwm ktC6mTYeQ3OIp8Ze+aqUTqk1uXTCQO+EfvkidDBza3OaOlYqaN1U4MvnJBp06hMIAd/QNWfJov+L G+d0xsu8OEKIDzclnNvxrYVCf2wm1lJMHfTMzCYBz8C5ZaP2Wed/3jDp8GBHgsyWNIeCrHIzXRHI WmKcIZnekIP3twMbTGU0uhKWeHZAFQH96xID0wzLCcZLfGXoPxw3Z5OqSdPuTD8SxmC0McmyAz7i TvieQXRic44GX7wgaP/bsWaXaoZ9E9MGTZ4FTi9X3xXMJy9XyBblGSTZWfkUDIlfntkqulfD2ruz 8XF0E6Bd+HNYecyc+kfncs1eCWXXmUyFjRPZr7czlu9vZwHIMJ1UmrZlf7GqQl0Eeg/+344KFRzp HEFOdxUBAFnAwnUH6tkfGgxhcN6beit6hztSgRy6MPNx8LHt14itOE98LeX0/rTFLQJUfwzTYZS8 O+XRiPSHhplN40JV81HVG4/mKTlTozBivLwyDFq2Mn2XRCBXC0j75DcJGo1nked24C+IlFlKckT7 tCR0mXrJXB+MS9274Ox36HUrsR06eail23dx2SP/KmUakzy4k1QuAyPJRIn2CcDLg6R2lgPFfnzc zuXun8gQeptV4BIN8RzTcSF0hSr+fwnFsEol3fpLCuv2YCQUictAHNlBSRzo+S4B5bIEeALTgpr5 HMr/xmR0ZW7oHxcT3p0UcptH33+z71GjZs12Pf89EKpzyfBhp77yQjOr/QPmS1whFTIgBrWcBk9a O2iB62ZwVemuwvslyDJUmHs/3uELpwrXN2IemNKi+p2VHlUqfNSlux900e3rXHk6RoM29S5v1URE mhcU9wNbAB1XsCbscOcUikttaLPqwS8Jprfdun4eMuLkiyKxuz40YBYG1LElY9FI5VBkCrCTpnhL M2VRMYNusg9QQ1mwzJnlODh/3sAD0iJ2A9W805pYWX1GNnkM6sLfrzS2hCaB6Cy+ZKG45IPV5jUe rX9svHXBuHtyifcXkMj5yclFvK9kgjtIaJLXOKA14sPtoRszRzgMTlGJDWAKskeDTMVJWzz0QVq6 MNzJ2R1WfjvOEae+mTHWK94YSJn2uUQOK9H3QazWYy7nmss8zo3A1hRAyvUU3JJWuHok9x0vFl9M JUEQL2jIy2ptv+6DybrA77vxkyoYUlWvEl7BIKbdDq1TBYjYyukLyjDZK4HFChsn+XmaOWwG3RgL WA6dNemlZReFKGNABnskQkdaTV0pctDTboASGs5nhSJkuhI6B+iBDQ/KNDybrp+hhgGhLPExhaIF R+PbTI6A8uUVuz6KF1RCpw52+T9/GfBqojc2DTy0aLrquwz15gbsvKyNPw+My+ytBLE1/WvZushn bxRl72l0rFF1YAgzmQX4dScjticUIEUi5vGOnKHuA1shdW6ir8qCD/QedcrUWmtEUhszz2hVqhzk 2CLDou0ObFaJA8qB7rIE/0CfediOZkm5h9PV5khhVdxvCUfyRtRbs/0aBMdwHHXzqQ6NobsFSWqP N4V9sPymrIvYzLyHFU9rU2fc1gG/KKmlTvHxhhv0tRffyZGmJfAQki0+NTTV/1dQCPMUeaxmphh2 q9FOsLsM8vYligi/v6Ekl5AQLzBfhLkjzcfzkdky3/kcV8ogB33Lco5iobLUFxSTPq9PH0n/mNHl Uh3Ix0cwFfOCjTJMIQLG1mtCJnpe7ydOvM9hdgbk2p3XYUZDgrCjXdD6emWxak8m5UsCZYZEnnw7 Mpx17Guq85y9U/PGsC6b0G4KpxBuft9ECeGB/SRzqrMJFc6OwOF6H++kNNO1e72v4NtJIJ4YH5zG yGZKLD4HhXl5e/lUb3fJp8Zc3zIreIEQ5cFBxBsnavYgfSvU8ODCJt0rFH639NIRGMcaAPIMfwRU NdwRWF+RwYpzDmwt32f6a90dip10C/8px7Oll2svDR7IKdrlOlAvTPQhVPsBwRRtgMfZlJVSJ+Jg cR/D6oKn0PefCAgGVeLsX2X0exGifOleA7s7sZhZ9PtBckAP4DlTavvGsFVNzQLS6BtFaQOdxYsQ iVIA9Zsco7FIWUgR0e7Th8X4pfavXtOxjh/SdN7PAACpXCw0aTYh+lK4615FrvHHWLGVbqRbYjp/ n+mOQ2uEI4yaBG3OnVSu8pDjHXYJV7sFgfblNmyv6KmrNLDVQNmg94dYRFR+UIwrBxRINz4P7P9u 7AHpCODAlrytK0b1M4aeSUXytvTs7w7HvOM0fM1591J1qh/RvJiN3MVqdc7BU0Den9tNsG3XLEZ4 3UV1cK9LyYD6aMafJRboC0HkK21/MOhC7zvhumBErOownPQZzLR41W/hMp0IQeruvWkQFlETdLCD Y4F8w5OKM9ldzGzkfmxh2urnY6/EQ++s+g+C9YuyKksDRcP7eeAKL1kwPj5QyNQ57r4/OkGJ6Ucx dWsOAtsFMV0PM2LcizPudtxyQnWdlvct3gWdMwdJRzjFHld8p/fD4079Ji8lFWP3pBZA8xQZTjEu 7OjBa4WO/kzaUOKY+JvOYa2kpJspAsCM29M0gsILxtP43Ar1YPNQFVqChLi8uNR+mUDzfr3CH+k3 vuC4RkDY6gH9pmDuJgUx5OjYeadC9SP0rfiVibdcvzN46chEymDMugQZeJEuTcPh2zwtx6pvj3+9 9YepBS24K4jO7IPUMQnTlFBWkhqfRjbY8JGx4kW3BVV6ZJpngPwXOd4PczPHqJFVeXZl8VlKQuKH PtJyfI7+ZlLQY9zTXFcZ4tNHI7xp5LkB8UXAv1fF/BAeDN7jyxS5PFQl1It7J6UTm4+OUt1Un9E5 1IPToG+69HnBfl2WMQbrPt4rgSFGLRNSVSbYo8kv+394/78TGd09I4fuKqd/sKd0pwTdLLNGvVB/ D7H9JeH1uF3KJAWzAwUpzNN3XAZbjKaom52zW+uuvl6hvyqjUUhd/Gj69hBa84FbWrBLN68zUUvc 8EK30crOc+W0uj78ck3J4Q/wl2bbd01NnlbP8MbIjq8Kb6urVWixsvHeIvvXYf6rGQtLRHanXFKU hiuJTSA7Mj9mrPrnG95K/YhOQJ6IINmE6/PzeEKbNnaMYaR0fboTZrrQQGjuZQ5fQ+pawj7m0uOm KZxnPt1Ed0XIwCw8eqkQyE9Wh0N48kD3E8GCO4DK6jjziQo9OYx7TIRQwzjNAZdbPAfLDH0tI7Yu HPTh8D1i+VFS0NQDpS5ji+9M3O7oQJwDIKsOkq3DkCyV9n8IQed3tFAM7Kb647HyatsoAEF27PdG w4JJYPnsvEPZQXVDBnq3mHzxpIAnya8KiuZfAI9NmgnZhOqsgLeYeY5SVU1GVjjnQnXd/sOifi+y 8JM9Z9xDFY5ytsjUlOcCrHUfxl5QxKg/MUtY/whIYS1vR0hjfpjiSWexgqhelkzI7UQm52eFBGLH jV80xRe8BXH0QIys+4DeZ9SjG0F/cGnXuxqQ5jLGnGFsxHXhc84ROhiiVG8iogaPKwQbNO5W7q0S dnohfnubYaUAZpYeokMAojiS7OYL/5lA0/tYSVZdicGfQLsDXtXJGr01IKY2/yG4LrubZlEII+NY h5cNbc0lg04xd+Gf2p+ZTk9seOy+cUwkO9b3TeltR0b9uyftbs38YRU4SvgyZFLejxSCl8U9+6xo CtwLdPdjn9A/MgA4M3s4VI1xK+xHKArUsTTH/aG94MwWg+dsdFLdREwruVTKitNWzVKVQSt/fBot zleopER/TPNCRNYQZJ10iagxAeBR6V4KICUTt9W3mhc5/cRC+bE3rb6DIh+OjdidbDylCiW6a888 0UBW30VlrzUTPkzemqLqYmucp/n6/eeTQuisse/yMHk+Et0uiw6GimMz88b9eW/sLsDc+WCyA9Sm yZdCvIWgXsLsDzDAahgkGmpTUEEWgKkftmQEdNyeyBsc8XxvllibJHKPSsz5USYHsmVHLlh9PSXR oC3eo2lu6x1FWPtLJwwJV3MNVqcl7bIH3i37Z8D4BYviSwizbq3nIEgjZM+VXO1a8WNt9iaYETCS GeYEyQLo5At8fe+mF7xSlMlagtL67bK1S+uu7fL9Ub2laHp0YK/djf109ia4GCAJIU/YuA9fgHaF NUePhR1fJJVcW1/ysLl2oE92nar4GWcaV9yfXpGYQLo8PH2+3RJLSx0ffe8UJVRO0VGeRa92pdRO ARJ72xS/ebz84R6pLxficOCeEWwyHVHScYWfudZUcxv9NTRVeweNGR7t5GM3KlG7+u9GI3jPsGwk oAYj78tYbq7WAOgQoNBGk1I30oL4xyyD2szR3f0dLSm5A5I7UMGonS45ZL0xwZhxEon4MKxBg9vm rZLmKQS57QXPV/FY8ezurTz9msSWZfmAF5NqV3JqBrYvMKZTd+XH4d0ciZgNHoiiCVie4IrQj/L9 hK/Xzu9y5QyyydRaQNfny5wKM2T6q64Q6+iWGOJr5YBg23TvQRMCDsJbipiudKu64iXdP313DNRk rtmmJZdsEBWQdy5wkd4djjYH48OCNaSuLXtH8MTgTK4RiWEpIWYt8d21Krn/asCmf6fAFGTxvzOk EDcv8b0Nmv7ujaIvA01ShAK6rzBB4sHAyR+tJ8DgpOs1wLmcIazwL1CEM6yy1SQFKr5YtG5k10GR gepdMqFlMTuwdRfdyZZihkBlDTw3jIgpyeaalXCpmo0MKHrEUHE6p+8XuOyqj0tzj2Dmt7F+ia9Z +qCjsvdaylMFM8bFIOHBoVZzaWavqthrwNyURtbahd1enSMISxml5+CMCJRIo0+IcUqeeAHJMYol 3n+iBX5od99rnGQQiotF1vu42SiQoJsYiOMdBdMfuvk9IyGjSqi/j3RhB+sntFqKYZrylnZ/Ybah 41VdLHw3iRC/JCTGSkAszGr/rKg6bfDJ29nYRtP4wrB3Bl6Ot99ZxfWevCVF0FBn4TWQqYrOyCFa 0DU+MOIQwBx1OzpempYmQTspA4jg4ZdiG07qv7poMKABhqc2xncpUlZQXSm1KyCR/tsnBVX1lYbV pjWW2hu+kPHJGcbzzCCtv4mtuFM6tBa2yfg0/kh7cJgjeG1lxX5bMONnHUxsh9rHDsXyMkPesMW1 +yP8yv5JJ4Mae+BKIf6uP1LjveZ74mji4rmtv+bf6dtLkc6+pox5zFB4KfUA9/q544tQwUYYZx5J sSwDyFfrq2lSMdrfr5Qdvldk8ICGLBWBlv62H+z2OpMU7C/HZiRnBrGnm4qFnXXow+vO59S+lJq6 gCYgOHNSVDWXT71/Co0dxwBVAU/McNs+drtMJJKMwT9st+/Xled4gutbRvbpaRFFMFsGhQNcd/ES prAkaDzEASt9Q39ppatsJjOr0grEypDipL1eFVHo7nW8nyeCVaYA8iZE+uO6J4nhiaj6yz8tTfVi 3a5ZNMluK6K61DvBTiqiagJ7kohOFDowYmuVM0QlppmfvQCcd1w1zO/dfUFS+XJfGF5upYRDnqw5 sHkb4riJZ/w2g2lfri/IJwM2ctrM1yvWgQA6CkBRNGYmeXnK/qiuBAUsTKRhOiRaZnAQdufigmwu Ivceg/9u3Dm/gh9n6XUh0hHltQ3cIfBeIPv0L795MTxOjC6Kwn0/5hnoZSpqNtMTO5b33TXdXBjt qNpmLHJCQb25LKiUcCZ22aF5yfISeG5rsFQ/xL8cdn6roqJRLzgBgS7P4bSbmp3tqyWfKfXvMeCn jXy1K6S7RAOuP98M5FYYjyfW49d10wFJ9zfmfNHlZYfsKwEL7CGzk0guXIfkM5+Nabu271kICI+G bpG/1MGbE/8fAkinMMuRMCUx8x2bYoMyoQs47UH3NsWEp8tmdfPQ/kBcH5CfsPWWP/QgWy3j4qTJ ThbCxf42hc8I49oKOkX0dN2qsOMHZJZJMAKoWEiBNerVSN5QGLhai7OsBX7HvpB2h+MbR0dl5OBG Cagz7PiUYXrrIyjaMaRXgiLDjex/OUhu2lqLhT7Ss4S7BnMPCZemkvbPq8zbgcUTLvalyJW5bA5b quwLsgjWIFGCYgwvOg/nNJcVUI7Akj4LCkHt9TnuiyHwQDOEMiU8VJazQvnumuxmpvyV6qi1Td+D bFX7J3PKMtzXbvPht7THtdxrGqyw8dEMivTZw1IIJfnJKEPVi2/24s8jUqtaDXMOHWdBqMBC7EMW J+XRIejDd63h+deGYUyiTwtMuvVIJyEm9BuTZtMFOAyxhSlxBuq9cJiERAKj5vpYzVvuUjNHkGGp iZPAj8ozMW6rUtQfIYPJCRk4G4Tep9gxIrDja5SvnEPK5Gi6kWUvfl45YjICYAnIuW494DVEleRa c2fhA/oOA1AOTGbUeCkxN6HL6J4xnvF8ttskakdhNJrWB5cUBw45s9EHG1e0A7vtKuph/usodtY5 KsZ9b9htpEHFetXnqLKq0mJNoTEaog2csUa9MbTIGyKVjpl2w+ku3i/GNo872Rj3KG3jUTfL7TeS cZcG+cursbTXr970qqLKzLt9L5LeHLwgKMsgOVACaFWuscpbRWq4T/X5HnCaETw+q665g+TkPPS8 rKgW6N7PkqVhVCkc+jJCQCWDdbd8E3gjqQnmWETDQHhc6wF7LeJdkO0iEwg1QzCTedCeXu/FOG8h QxGH0MZkziXUpPLw/jK5sDYOUaaDviL1c+7hnGgtOcz4j8RZivZEzLzypwS2PuaWE79vTjlX/yFi EAYmEx7Acm5ypgxAW9xSJQSbOiIodBF4Dteb1HQoV+7/6D4fcV3cvuGFhbPN88D3fPedevv58Tqg OJza1RJ+9Sp3rV+aRChBdfZxdRuuLjYtvZ7bTMMIj3R8SnK5PcJuSUa4VrX05EEv76dU95DeHGw1 XcU/QMtzHGMXKlY9LDcoW7l6t0X1gPC7R7M7t/bhTtYiNg3/nHOJx15ybjBWbZJ5a6J8lpEJYOag qMOz4ruNGfV1ODoH38ihxt7q49lA5kVlZcZedrshOscCI2EoNCiZY4VZsP3z6pUnkBougCnSVG+P U60TO7BID13lzryDlEDCrXASdlL0xCtaBQzykhxVfyrVP7bRXo0hZl3o0OOm6eFCSDBf+JEKhaSA RfgLp1IGmJ5SIV3aAK45uZzgb0cB2da3KqJFJoeV1pjkw1f0nj5Cg5VtnMBG0CrOziMM7ML8KFK3 hd6pKUTyHOJteXNWhBvCVlEMJjyKTLBRJllJlUl8Hufr2dugPg/Q89NbOsyeqqRNnbu9XqJXZHC4 3cT0bvJCNmFoYL5O88ioNiiujxArSNEkqp9/9qAjRzMY7jO8ytCNQy6ChT1JC15ryR6d9XcZfOTg FYhIhGnn1l4WdNYP8UKSz70gYAO4X6TucxKhRDmNnN0DvNg4lTqAGp7XYiPoMV3IfoCdnhBR47uj NTkZ0yKEwewV4TcVIKiFTRd7BghAwO9bfPofi5YfCITjLDHVxHoDGU6yIRTeNvXS9N+OoTShjCsE T6ioAM0oDL9cOdnRuv8u60LuuJlVgbBK2/lHdjaazqnO3yW/P3yFQBF+5ZYQPKZWgeB6h9lRqd4w gsmv9HqzXeesW+F5M+JAQU4eUmv+eEhiRZDj5FG168I78Gns329M4Vj2qsFV3xXLAOtglnAuIM7l 9LFtldGUdgVPNTaoL9ovpAQ0+b31NeAoRbQKABEjHu8kygFcyPSKmyYMs07m1mhFxE1w9gyBKtlw A5BHUmCm1ua1ZAQNB/TMRgHNvqlKkKTmRl7+L+b68mvQlU1teGbrGIEOJV4BmNys8/+AMwxa9lPM h7+lDx5O8Ei+shGvxtwPEdySNc5S3cU6yxAXZz6oWvRsyDPCkkHGg1CjgfriaubdP44vv2ev9lS+ JbFWl1gNHx1rn6HFTDxhlnQWk3xAXksfU2jaqs1azyrBrpH5Wdw/Hs6J7Aisl72/X3pokrtunRam 1LQ1KydmuVVsbrKNROB+5v3EEMWdwYU8WMedAGxnUUQRppylHbDqFaKTr+KylQOj6VWiGAxEy6YV bqnu2LoFMFJl7//uuRIFuyfEkfJo/q/rTsnItbG+dUWbyZGiXz0uzEKmBahD2xbOIuYphg3GoNdO 1nNEkmlP8HMiBAejtJ0AEz68eSY6npo/T3rFc7i9mrmVfj6olv6g5yOm5KtLruW7XxiBZf7WyEO7 rwv7G6ClNMYyMQqUweAwhIedJHs4y47F8aU28T/bUfoP/ooS8ncMQ/kOLPQsKqLi/0iv0dYlR1SY hezVfxcNxm++eOjObArWlK8zCVYmMs/Iexuf6dVzhUAqBVxbuhEhioyShbDNWGzch97JemPTzdCa mtAhwTJP7Zaa0MdsjbYOm+DVfQxNVmtMWQJjcekFphweyhg/oO/a3hSnFgn+xtO1i8m7WlYlyfc8 1808n896iPKsTp3oNaxAcVw35gzco35harMaVhXx7z316dle/fpfx/wwO+8lMRRiNUjVI4W3TcbZ Uhevp3P9dDUHD2RW/WgJg50X1QIAvDME0j/My5eZB6XRVBFwXm/PTirPqtHr1LQEaKSL7CitI3la 50x5jJIvjjdieRXad/oFpbudsmt+DRpwHQfnaGm0peXsqkGFlMS2a/7LRJGlxogcmnZJU4cvcI7k AtbxdB2WmghIq+xKM5pGE9Q4fNNj6O/7QB+bucD2Joh4KXRJybWWwA31o37Zo6p42C4mqruAGU+L 9GPmYkW3Nn7QvYC9LpWtStZ5uhOihInjmpnDvk5OKKGVREsNcKMI+OFlcG3VN8C49WU95vggLthz sms8zWOWGt6DT46bHkmvAKHrEMmC2v2CUWfBPz106BH4Pq41v+aSF7SV6NtyxRAxB+h5SwfCUHRY 5sWG1g0Z8S0bOfk9JXiWK9NfWqVrdmdLHLh9edQAY5icNjUrxMa2AXyIyVak26QKz6ldHfdDtZme C6SAoGyfbwYwRlBjTcckhIP5hLY2ZJRyEpaTm4N1+jt5wsQ02kpHnT0KhG9qwL5Kvgt9jhM/BmGs b0Dh5OhtNCsx3RILG23RUUQXuGC6Ga69YGSvjr5qjOMuRBu/TMpRB6J4QQxyue+37yfqhU3xdNqG VJP2lnDHJ0KpnYdlDaXRwDCgB/paYexJh0c4ncuSa5WdEc12aZN5jCWGw8/McXL6jxXhfl2wFRhj wh5lVSEgekJJ8lJleZiclh5vG31A67QVTeQ7SLBkt5CsUkKABOTnDaUibG0nWj2xRvr19OW/xO3v ZurQLUTLz3IELABUZSaqOSd8L6Aqka5rKaXV5UQ6cS6z1azz9WLUuaYOmDGi8y02fxWeQHZkau4N yB1bRT5jQrsCg7nB03qcXV8ekzf46VANmMRaqhNVow+mOmwtocFS94EQ3hu6+oFxDm6En7h4Rolw /314rd9NIzkyW8AZTY94WWG5Zf7s0N5MEyJOwvC5+58NWJNTAE7W5X2VzywwrPoRoWu1IbJcoRFR 1n7xM31uIgRXQuTf1U96MoxurqDJBuAhUhy3OhNhh0IpvD90Us+5/R8UQ1wvScRLIgyK0IThfCpt rbzYD3tfeGWfmoZzg6FhuAOIrb9+AlwrmTnKGb1H6Ib6IgNfir3Uz5/tKts+f+2eL/GP0oI7r3Ma lF5XaK+Wvd/axvTd8s5n2l+hYSnLzNAMGClhR/8Ixx3+ckW8a7fVOAqUPuNynoly2QpuyfZUGVNy uS4tHkOy7gkZv1JlGvZR08fy3bcES1p2wSw/Zmqw8IuBGpF8KL2J2GfFFcTv/NXTXJp5yCyBD3Kn JLAP7rUBPEFau3wOgpoBl+FUILlJQQr6bCsRdaelK0B7Nm6Dw8qsM8wOtFxBda9C/sWIXFTDSzFM 0FwPGpA2T7XqxNe08/38HW3fND6RuVhErtFPxknND9Yz+Ua6BktEBj8nIZwWWZjAR6tNDuI0Ms89 np5p3k8FXDkz5aZv/N8jsAdevs/rdZG9zBbEVasSnkAbaAa5V1SBAsoC+pOj2+BI0Qsxcofg7CCw yYroig12Ofy6+ulSiufxjL0z7Wf6xwZkp3Om2Kpo2gVndfeGs0VBfvYNfeB8dV1ebs4ErlRazaoY HnKuh6ACj1o429iYip4Pk1JLoLa+L1Z6WJwDkoEsuTi7bEQgb6bHE+49/EiFZskpT5Yek2nvmWbV 7wrAR3FpO+rOh1iB+jnFftuxLCNq3rHXEshlQJ+7L0DNzMBhMFGJgTHEiCHIG/U4vqd9JTzP2Enp JH38vAdSnY1Rt5T40WhgXcZYbbz33WBf1GTZjpVuVN5epyPczapjBcVmfZkoRO8j6je79aqYnNiZ t/QKbszVthq578pMblTc1tOqRV5jWmzoM+Oa6fV9RhLECdkyOrDEW+6SAi1hhM0xYJYP+5V570QO BEXhmEmYbfvDq6cpYglBPRqpRB5GKxRzqTN4mV0kiEDdBgpTyQBlJ+pvUMxazfZnhvjS9dXvne7R IJOFEDi6jTdA/u81BtzB9xYTj7fW5jFHb3/3TCWytEdxmPDbaR5wj0AmwDnzV/2kkqAMl4qH1lkA gsU9/81wvLPruoDhqHp3ddAHD5GyE3KaLzctAoB0jOxm0UK8u5x0HlNeN6jAwG/ZcOkaZRCSnM0r L8Szq6rDQtLzPn06B/1V35ChiYYJGG3IDqR3qmYOSKyWp46vy2G7ha3xfT1FbsgPhG0jgd1l5lcL QNME8WOCr1/l8RxJbSJC7K0jZmyil05Zpz1MJ0QhTHc+mpvvS4TflAPkwIB9J7Oe+oOnoKGDMEsa NKpim+/PiCsis9AEuEBsUm/7A8AgXIwYh+2V/bIF3MLw/YAO8az9c1drpmz01pqJ3uJBWTwLlQ3i H+sc53Fbc8+UavLP8jzcwPwcLZs/L45Jjh8jqZoNQs6OIMbM6Ld1tLcyTSlZNXuqtZQVtw44WWTF KapceNVnyg67Q4uSgqAgQc92X1h74eLKf7OEAfYTUtfa0mMpyJvi6MqXgo0fjJeGkgwS976Q18II WGGJU6GV9Xtq6bBDkheeU72yxSFtwhIm7uZctMlndX9KkCICgkw9jMdvjyKYAn91/ZI4Kkl/d9Oo BOHCDpYl8YouqLjDyrsU4FoIHCKbKrhCrg2OfPOSHMTjSMzKakNebkL7Cm1Te1hkqmIFZaEpIjyb YjXLANuUo2TuuvbLUO3XSCIgXsZ0+hCNXL4nOunUY97bfbNC6StXsBLQCPOFMT/YLQf9LcMUTO8n cdBGJzXIGpjbjd4v1QecAlx1peADmSScYMpVvEqMIE+hBm3/dpjCcuElU0MpBBjJ1Q1obtrAdgnD j3UeKXZ8QquGtSGzLX+8Og6pzIBIdlCduTvQY5U7gBT/50V4CjUfLVjoCiMEML8z6cLfBQ8+u/EO 2h0LjCNtfKvUxUq6lxnmHaBROP9yvkHFJ7P9lt7phh+/zzVj08vq4n6GC3cPbzJpARvgP0kOK3f3 po+4lJ6qLpRTJcqFwANvE9/PMMjzpC5yqr2Hui9Taqph1o1bpIQSY8q/h7qwyQxVzPLz0k/mRMAh 3UTxMsayXUIIQVDabdOxu3XAiI9OnKw7XoYLPwfnDCJnvn5Ya3UBjpMcVx6YdwJ5JWbYNOnplyxL Whtb59A8B3Kt161vpFaMqeaqsmACvMWGu84J0j99sokZ5SRRJcZUoECsXq4HjIc7Vg9mA12+hoBq wIQwVe/DvbB7EATLm0XdnKUtIEa5ruIdm2ftPttuOU91ygLUTchxDtER8MB2lPg7PmpIF9Kd4ple 6XGV4VbaexgfVSixyDGshzH49Hlk8IgUbUaghmkKRFhIoxNeokC8GJ5us8ixhi5jrPMxV0VnpJlz Vw1FhBLPJBR/J3tVLIrhc/rTQ8Vy5xFyLLMJmq5wSeAWFMdtAUKlROQv0PQUPOZYjzHELQlO9bIK oRGE3TOMU7X64L8mnrMy6I8LNLianoNuSrxcUtjd4qB+RLk7HQTspItHe+dzWIHitv5mxnDBB/hX 7mORp4l0i5mtIogE40ydTOfvwLH7tl9u2yfpsuiqsjOBbnSXwdUShTbQIPxzVsOgGxVCmI+o8UMw 0oG3UuMCNJV9tX5QkofJzuC1K8nSrXJOARmC5jHUsy41AA2DB3oRAneOpWxfNxAAOKZ9hGMZ3XMi aPPfxjdAgtd4vnZj/vjbB7J0ToLwiKRJuMFpLJrKenxstfxFvxqpO855ARv61H0JptqHxWZJcNvi mXIsHhQwT7ueMd30DKsX9nnUk+qkRIEck+UXEFRxcAVb5f+rTO9dPFfbKObKaVaZjgQ1c5MS/IBY LRwjlgLVpBQNaaYR6hC5wnRfmuV18qHLi/a8/AK4STykEbxOKdchZlfmjmjtq3Mayx3N47zbYRHz NnJtQbkBr7I6WZ6h7EG2KlZmlbbvV3SNO0QItPB1FEjXvBiyqzdODouukMG7+7RXNjc0nH6LfNaz 2bfB23IqvRuXb6VWvz5y1GzTX3T2hEybwxXxbZ5Ml60IlI1n5enKib1GDOFj1z8BMSo9qQ6uC+Hv wz/mQ9v9VGOJMr77Pe4x3Cr+B+rQlW8ojSvz/PvDzUO+c1Y+t5sM+Wb8ID0rhEdrpF0b582x7ZvN aQ8K3LznppTCLdBCmtem9gGQAnQQSwUbYYTYoLD/Ni9bm2cmPYEMjhsOicJwE1mWc72SGNzSVf+D jMoKDKtClcbjJxbXRDbiLFinFKnFHxN8jFzmJCN/JYAG5BoZ42dvfHZDQ42/y/YnbIPtK/JGvIF/ ph8XRZiZJa8x05GLcTjGPtplr2eg3QrsrhN2AJqteK/oLGvvFm4N8LfXstsTxmfY7uDJyGJKqri2 +1GgPhiWl5urqxlgTQlFizl8A10VmGWM8xHmaF0v9l9htxzqEbytmK6y19O7ZBClR6W22pbWSOku 4E5boe6xR+xot0Cph++LzVub4WKtIMSzLQatCMxVmTRrlSrL/mJrfRQKVJzQIg3bzv3l4tPO/r+z ca/3R7pWNBSOBuVHH9/Ne658gL9EEiCz13XbYtKsXURroVr9gswDZU7EQl51y9cAsiPK4pLcnMq7 NsDHqyyZ9wsAxKa8xZEbLsG2klJyaAvUGOK0WIlSUvZWwKzFupy5DQsRlPCI+pr9tNcFYZlhkB1u LRu4CldBmvBuGpY/N9v1pKnziYcV5WOfXowGnXHwq8VBNukSIcaKBsna/t0+2/eEPO0Y0H8sbFYL qUTHs7AfgxwLCO0Lgo1uDHnBaR5ZoSYVbWkRg8HuTDqHbJORO18+41ZEzA8Xr2p2ImfDcw1Ll/po TamhIRMdP+nU7tQmfb0TAl4tomP3LPX4DePVUWM3ZoqXeZZPoK0iRYp74IMaYUvjDUjfdk7Sdp9Y YyWm5wu5/jbBjJCYi3vdo6+Oq0lTg+7MVLaWX7b7QyKCqHWfXNSq5t5h2WFtLfPuhBYDKBMKp9R8 VkNFbrUHb5fLZXh76lULNG8+iyUp7w5ocjGUMd3Qb5GneNezUZMW8aA679kA4MythYaebuMFdLA2 yj3kdqPvoR8x9h6asEYzhsLjSx6ntMCE9QZLa7WuFrpfaiQg5WBrALEKI/eZfsFE9ZzrRBlawTxM FxC34+A8ytOsJnjJiRxpb8yqxr6abmDT9uspf2cnnvoSPO8RqIr35sRjRqj5436DFYbB6s6JTkzw Vvb2h5BNJwVowTBdc6VJBMwf+jUBxqiYzwkoKIGxbLv8dhuHfulMeehq53/D4vJET4A/SrtEccNT PbizTCUykvw6EHvv1DUHcP4NRIDCFIT11zT1ito5NEW+MCeVdMfM7BAqXlv6HXRHTTN6j3JCh71M KxRqv4XrJkh2QmAv+9HPPuWyH3NuuEdvelwzT8waqQBnHvN7RMwFQYZ0jPDZOnA9TCxXaEk248/x BCeNgT+lbtcX96wDC7xHxzQYEu9uojms0p5RfXVtGlM0QUI6/69vhMXhdnw+2NzzusraQOnFia08 L876/uTToqrWi8VM+b/6jiXdeb29uOyArdyTAAKH67oDtaUyKSMoRazCda3z5K4svg7Hk/WfzfbB Ysas5p672oFGc3UGF6EoPVQv470m02H5lT7bXk/ZEVq4wObMh3hwv1TdP0BZgndFiry3BlIwG3ZG X7yYOE+PyEO/VuLqkRpn7yC4/Mg/prLdH9aG4AFiHNhpmGHZPz5sP9YIkE8nPO55L7WyjihJ+K4R fXxAkAL+CyqLX3TCwKkS4jlHZC3+AZNSGavw9trbCj77YWTKyBSkIfp4282Vnc7XWcxcYjI5AfVD FLCljnJ/3ESBEMzH49DYwtIqI/sCZ88mtLssWlpYuT1uOU+TF5hp7wOlArG6Vj1FTOz9mQVKXlWb W259Om/BU/vMQx8GRSPLR5kGGUhSad75dtumoeDAMu6+IbkqqltPohsgGmB+Vqd45szsKsHGzoWg cixkp59bURusyGUUg6sxUei/aO7HPZ6Yq87ELRO9Jzo3XFIDXkdAiijna7HTyoQyMlGCa9FV3Sdk ivhzZPWLtCt09WjJGQjUvhO9FK/UVSrESUUeKRWdYmyanUJpcP7CRDKtzFxwd0OqInl2s3v/hny+ qR8l6hrGEY8oNZTF1xFpxBeCAA7HnrWeMLsTEwF8vZAGLfBkd0FFGE/6o76z75JSMO6x7pSugz51 O97v0/zjrTgj3Y/ztztJOlVEIw5lbD9bzSO5GKK51TEG2k0hD/AWX9kB1kqPlNvRfLBIWsfw2key JUUOvvaq/61NAldFYC52xIa9ydB70fMxKga16Tl5a+LM/J8M7Yavd/aVMRWjn1JdVnZFZV/qacnW 7eaXt3NpyBR67++xmCRKUSA8empfICfaebzDtA+NEr3+JI4UwrqTIIIfR/FWgZABKr0yePcJbnC8 s06JZzJQKsdCvl9GBdAdNofmo2O45CmxOR8IgL5EkT74qTyomiSPSJHcysUeORLInXjcquUfDlWC M0CgkfKM0VDUCITrJJd1+ohzGA8egVQSUQxcJdDrgjeHd/F/VMLwbb/BXDTm88X9DvhntINvG7kI aXi7XMX9JThYxlaMEiEEMCyUbTRvFghueZFWVYAuQNqN3ZxoBjes8xdJtnJaVxChPILkszMDks+c fM3IP0ft6OeX10BwfSpMfncOmnomEIIPAlvbM0CSVZe+tqi0Dm9SF78zhfMKnKfs4kQiTCKjxKPb 9qilov7HaNVAJtUn38c6Y14fZarbTKw+RROp19LHypi3EKF6U2/MpmbhoPDQiS/P6RC2KLf1ibU1 qX9vFhIJwnhK1cCDf4Bi7b5wPdDEE9AumFLY++YYIDOVuwBta+EYp7dU3+MGnXYl8MJgYKv9qJ3Z BLBjZsE4MEjqMyK/RBUThVrvJbBwH7sDIfy9eHSmlMimexjg441V76QaBtYAr8WfYjuZlMQAtI32 9GeG4WRXdZrX8TkPJtiD+j+K6gtdLR7GOfTUeRBehz6kBXAwRdyt95yz1I+aVbE1xkSx7foTjTtS aaTaXZ1EIbr9Uq3j2DCbZiSF9ZIKv3AcLJsrHYl5oC1iJTUtnI118aWjZ3V6Atku3s+pt4FOzCti 54Bk21FftABbTTWMonoGxMPcoDXS8b/jjjZ3rw6ijpCKp1vk/wnlBja8Z7Z2Cc1QXXGwmZ39obYb txvUfgDKFxaHrFS+Y0bDQbc33A211yKAPdRBOHVuo7KbP9pC0VMGBHyeEmFmOu+L5hGCE+nG7uN2 8nyQxq77LafJvcAFmQ+PPCfdxWmhBHbj/B+dJKoboq3xV5zwFUVHppX/HfpmI2MRtzX5+BVdRwKx wMEnblL+a6aIY3goWt+WekWiAdcoz8uv4NRjamDHF52/EDqUcv2WVOJY31nHmCVkh7VlIkZYKOtV /289C8go3dQBFSUv7kwKC5nKXxSCXuTYs8b2q9/HYzW9hYeSFcPv7HF7DR8lcQhweo1aXp3ESxFv e5aWTieF2rv1JlOIHv6J6kOkO/hRPWGSaU2VFaUc4Jd5UU4nhxRjxaL363o7aDN5g6AO3ZBAmlAY /kQjzl/2Olvd+npdSLkbW9KJOlqFcLy1En+jM+Cme3pumVTuAvzGRnFBPmwpkWqqzIEgzqma9w1I 762tDTt+nPTHFCxWjM3TY79/lSCX/NubJaxbX51VYdz1ZN5oxi/75BZteWfApSNExeqpDBPWGpS/ VX3e1gW3Pr30j4mnoBuMSmqQlQ13L0WqTodixkHTY0Sd62ZtRw8nGI6uZvYvvS7gd66tvql7jJjU HH7STDPaY55NND1rHmwcShVlUCeG05jcRJ3cS8a3lT6dYMHXIUNecOGULYoAfWSooS6PSQ+AS9hj ePT/ZvJA3Hys6SWqWYchgnYs6+12Ec3nGdmr/8fTQTSv7KnG/CWRJ2lLIMv1jRA65LxWLak0Zeol EyqUbTz8tSHcVd7D0CsELGSE7EwVVDWIqz1arHnyUznudjYsQPrrtqRPTNqJoCWKmjlcAeElR/KD 7p15+PkAXGQU1IDj7QhyKMf/nvm6vWSKU+HkrujRAkAgjuwd92opSx/9KgTdtaap7GF2iFLRu3Ec 9pMr6ByY1K3oH37V/lNcSBxhSzOYqaylA80EnXO+vMIwZFTBuuf0lrGgD9nqIpn7lpXiAWDOVziy UcML8PyuFHkl7Cyu9aQ46V/AuBTYwwvb8/Hae4Gj6sEQ8O+COQWppakpbDXjl3TUWv8/nT8qB0id uV8wIEAXZJdS/Plj3K9RsrAM5lnB3+/Dajn1zmTHUBnbN6hOp10ofDHfyljH2caZNvXLvzLHRzHE fczvBsl/Pee/QvJQIEnEnKZ7bZmWGgwZoiF5rpMGHYPvnhVkz+nJ7tJq53Qmypfil6CgJ7DDBBOl 26gdpcwz0zfGVJpam1GSvE8vB9vBzdy2MF3XNDL0VVqXe8uk3RqXAa56iKNgkwJ/BfCKxriYOeiZ noPeQ+qyvsx29OJvkiuy/5S4y7pRKAScFUGxe3p3ioSH2s+IVQ3pwkPMR8S1Ipe9ShP2jGUhASPP ENslEBVtO22OgG9BwUgY1IRtPxcuvg1dn4f0bnjZdOSnNGqy8cFmfVoN7JiZLF/jYDAYUbGuJJHw AtMxNJsZfBkwPhmlG9ZhLwiGgg25YZbqvIlJk35gS6swmKGwD96UHYbqh4F2eqsFSnGeVGey7rPh Q4q88X6C2DcabrvqgLlodVL3xi+z7wYnbdclXwgXmYTmaScro496H9fCn+ODTTemjzOT5oj7AEyW FCG9awTvdhrbn0K02Ac+PwqcCp8co1eU/6Ltf8sMUhAOC/ilxGzSA/KW3HxV0mA0sCJJPw5f8Bos oj0+5YMutMlD/+vnGQBlujKZXQXCULzTZHbQJcC9W1zWOdY1Ei8OZTFH2Hix0000uMqoQCelV5kX qZMcHIWcFNlHoJgT2DeH5DpW6F8B0brjEZG8Q9YI39WSYV3zB6vnNLMU3dmPNCQgb6VF4OwZW5KT Kg4C13/6V2MkY6+CYzr/elPFL9y+EvH50ThGM4c6ngPMjtHjM7Bn2OrlNwWLwapvJDfOMcahg3D7 GZzXINWziYV5ycmLeZk4BZ6KI4gju9nWofO4YgMsyZgVQf6DyW/J4JKDa0YNSIoas9VxBeKlslUw mf39XoGOYFGOxyY6yBwrecK8PvhVXTv6oZ/IpcvMMsCIOPhj6BGYB3cY4gRPg2FFjFxCo6QsZFdL Ho3296S5xiVgp3DVffaGdduGOP46ZMvC6HP/KNkX7uoVMCSJficM5hybVWSPJ9iNOlb6uW1VX/WI XjTXudGE0s+ZuFeZzm8lWFqEDBqTxen+dCak7OXn4zBm/XW2X0tQe5o8ypRN4kArve/jWXHKYL+p Qmzg4pGMwp3wqsaZfEB28Qa7f0gRFoRNTy3FgUSIqiM4kXdzpoz+BLMnW6b7o+EjJFWO8nrgCv2R yyY/zuVFppDwfDUrHML4Mck43xshIBFlIX8ThGSsq2RgQJdV2lp5fYUk9DV+C6RysbnnrS6heKqY DxdhrAnf88oE3vRKsznq9SF3iXPGFHynjw6A7dXCySE/b3Y2/gp/G6rJHTcjrA02m6d7orNV68gd NBdZ7Dr2zsVPjKE/hec1IzyeOKDIn7JumzkmwHjCfVY3SmkoSeHJCtW8RqY+ieXTx4O9HlvOI75H XwXlNFAgbs22F9OShb18gj+Re+qBHU7IFREwsexZTijhIExQ8CVuaB2qxYiOs/AHJyAMwtS3lFcx pNPTyRu5hfAr3VrxFB9lQcOOQfJSyBq9myzZVcVWbby+QuqB4/RRYrHE9pSukg+cYuFX3L1mo+ks vkS51s/IPTO4zWfQaY5jFaMVPPoA+P7m1yq1YZJGFvhyJZngdLhKANF3vbA+m2l5BS1KavFWcSu4 HbdnCV58ZTG3rDqEIRSdEv9TUdBBQ1YZCnNtAE+C/mNNl0xaqttWTvwy8yN+Fi4xmhr7DwV0RlMk 0qUJxPX9xPcdMW/aWqalvnQdEdGmfaZwLc/zGFaa8PAHnwQZRnSznN4pCAgEQzWGMivGUMJgPYMk /zL1iZUqqYJjVhh2zDup/D3GkklbknpN/vemuP5oamJwsOEiVn2TR4WmWprOzvr7U/w+Btgrw+jR 7V/EZyvPqS26+56BMF2PqjRL/x1mDKb3ErItRKnMAjX+8P3SCn+roQPDtGSyIqO+M6XOLGx/9WWW VUVG9a1yLv+sml+VLdcn/96u4DLab4250lTw07dD8+Uc0LwqYVjzK2Zet28ttSfj+FyckYYMaPwG mmAMdP15c+snOsZ2ZYGItm8fgkExpVQZjgyGOU5a4xLSfjbRNzLn6lSl4Yyg5qPsWoOPwY7UwvCZ SMo1ioOulAEuVjYFp2RmmdiSgpoc6JNEh8Tz6xbXFP0xTCjpjIvP05u6DzFHxIGXdZDJYplsgcMA nFS8W6j5qqmwDAKeTtyArH4mSvin+P28vo7ZJe3qI+Z8B+mA8Hpa0lJbILixHoDeWmWYZE1zyuL9 qCZjopGhbDz9Xsfwc5P187SY5mj5cIzynwRxTJ1snCrGdG6gBWOc6a9+J322Tl7Gup88EPOTjg7L tS7b/HlUDygAkcTcG0m8N5t8NaRwJEz8Yq+K3r65KRkTJm8UsMp21hPOXxBqLcEQeqSzuAO4gc6G dcy9AsuKjZdlUZO4LhmTag9gyZco8Mio7mzWah8O96mbmSvP7+ijFhdjsyxE+K24tqgQk/TKhMSw DiGGLIVUz82VHEQ/E9HWrj17Pfbwrbh9CbYEjfuvDGx5FC7dqiByCxPzpxhTrAuUfXZh7tlaphZH 94xyRUnGti5wJVIaQ8n4HQ2OMhrZHTmNjECS8D6Me/3wIJJtjCErPN4WuzzisR7HDVknI/waENRc PJH9jRn3Te+jpYv/WWCilPAQTRSHxpRer+Kc6TwlsD4EGOkbtkauNSvYKU4cXxWd1qmnUVc9ZWlN cZcrUKLGxp41dYlfOl6oA+iyfuEKs+DtEcXVRtXtT3IaeTU1ioqL79fwTBdaHqCX59JUYG6QA/My QOzr+oVeX4Ged/xYmK58FYecdI6s88don0vL51pb5ejR1xZr9WEu9D5CQSfnKpVxyeLlVNuL4oh4 cCZBsENnx6E9YPy3ZLUS8QEGe+F4W/qr63aJJVePtYaBUvFe1BRNOe2QsNs5Q9CWNH/EQ/+qwWhT DjSaV0ukC+o9E1ClDT3hHpjaWGnXyDBWhnwgD+hbfjAf1ICtQ4K66l9asEwVPObGkzwBWNkP6AN5 fvB9dko+zcWrEM6u7A3CIXVV3v69KFVVYV5adBiVwga8TbG/6a77PrMYgaxVFadfLGZHI4E1LHV9 4Glm5mrUE2KLmE/eKnQzs3URN8qTjATy2G0CgQLvwegwcPl456CMg+F3biGDRcMQSuF2ykOoWuEO lg/hjjOBQFdDOPDQZysvUKDTUsFRbkx83h6U9Ns3tb4TU5FLSzrQK5NDQbKLg5j9ZIiADM6gufZ8 7uP7UC60sLuhNWRmcAZYHqS3BRCIt8hDVKudhPaADRG1Cw/P+aiujKhAzhyuanTkShO1XKy9axGz s9AsPjp6+cu5Bj2l+kc0BjNBJzlXN1u89vQxPPIhRcSk2tz9/hfpJc44VoKJA6F5zBIL7T4crIjq ke4j62z2nRA4mzJ7XIr5K5xjT9nyg4VB6lg7EKTKNfRlZtwI62edaobIL/AgpKbMShhHtW+iOL9f cHmIM+4tcX0Kd5tNGsfUDuIpbaHttwmrrtB9KQbz8ZQnFMi32nvGd0uD9HFZFb8wMPleq2Bt3mCL 0huGcZgvmsaSLqpJIJz9lsSOUCFJVS8sshrTUaY2shUi7do/yABzltvpdukBD+bdyoz2+qDgv/ye gz6l+CaB93kwn+BG71UpGm8WO4sqp7mtAM94NjyOvO4IVXEsOElr+GTw3wjRlmSqf4oiKUsewUpf RglLD+Fv4tipRYYMSPJrNgJJOy5q7BeO08CUxxXrWRaDcyfZb0AnJ4gJ2327luGwwuQlPAUP4OtI sHr7lesUs2kav1O3rq1MtBtGAEPqApT9BLHdYcTKaV32IbkJ4b/G8Hi9+yR6WF3BbhKrh3/kSAOo alxPyiIo7eNnsrm+7qfE1k47K4jmEC8OUCrjX86S27DKP0nj/7cFb9VlIOgre9fZ0cW0nY53PCOd Prdu8qY0faa9IuvTeyOqEBSCVr2HcgagdklKClwkjO0v+ziseWnLjNDV7PBAbirOwLfveQpjJGRg vVx/8vEUnBhbuRqQRPH/tLdQYr7Ba+VGqIukWMseJt8NMFnriN4AZE8cucpp9VCaZaXmtWQK+jmW 6KfT4UcB9LLspaAurneC51o5sXi2Js9Bfv1ryAogTQq47s9R8uiVCBOvvnbiY/RcIru3v8k1qy6C nTR2XjH10ocWa84cTj2Xly36F+fNhEDywFqh85wGebVvfznwyizikjJdofhdQd35yeR/OYzpF4z5 OcaoZLoCaGWKfWpVLMycaNo+BIIFqelyC6FYsMzqxWq6ubhrFZSMze2N+SU0AYS9KJgFuFg1LvRt RxuQFEKNDAMhASjuxowG/SCc89iMYXIqsFzFCYfEAlGJtaXZBeXghrKoLDFYJAJn3WfA6P17bVFr CnTr4M9PXapBRXFSIr8covRHCrM4pr26soGGlIUNhdZ9AJCjujyb1Kwdo4H3W0M7CSbdnAhakLFL GRoISJpFHVMc74GlfdjehdME7RR6Bofk+KiJvfkkFBJBr3qLwFcXc1KTaFIKIzWNS5RcXPGcmXV2 /LfCuA/63cXgm/VF9CdzxDBt4mtIOhKSHu6JCGeWsKc/hnmnd1d4vrqhMZO2lQFaSHjcaZJjvAuD sLW54qu+endglyiDl50dgEXdH4vW0dH8+/RmBvQHX+qmXHXJDJLCsJk5oQW992b1Ri+Sx8WuEV2c YVvb40ijvawaQa0t+i8FOtOf1nh3Qxog7YiKby4NRy0sOt5XVUu9D8Dl+0LgXr/H/roRS7OP5hSr 5pyeQmvGmjuHZ9aTO2Cxs6ii0oF8e1unVUVZmFl7UyO9MCuXegQVySaRGjrpCJMhfNVtYjmoa9bD g1dfMRIOgme04QOqhKzKdsEZghAuxLGDlQImUG4niI6VPjpAp7JqEHEK8PSQ0DZlQwqmSGUJMfL8 UmA440RZNLv7d1sm70xkM2wVnUxXNCzvhpQrUlveuRsmWOiBcNxdPG0ABzVU0naAuVvRg0i5SJlU m8CMoG9ns9i7MP9AiTbPXvd0sk6UmOGaJZHkZwlexUXBJRcNS64yrCBf845xlPbe5dd8CQWwpjfb o3Ca7NbkvFzRi/M+YBBuy213QQQdKPZShW81M6zcn/T9Dlv3Kgxyp1+74+yuEVB1U7sh1k4WMKZT 326uQPbMGqSr0kg9A+Y3RwWa7zHk55EPYkvZYZ3+1IFp9aXcaXgKN0lQoH3n3k7ywUpUJrv0kXyO o6Ib58kTzTGqtkLMGk8ygDRx9SaVPRKjKvjDQE7iwDgVh3hteqH9Q4TKX44+P6JD04e6toWRFQjE uEQ86M548cNreNFaMA2RDzGb7cDneu+vyumFKd6VDZoyYxJ7BFiR6rj/STYrHphQbt+rYgzvv/gN TR0SrdljMzsuJd1WiWWQzJ8shG3Hy3hRRPPT7EaVYGnaKU9i8J6hxsGPtePENOExlnVo9vuoMA21 t6+9JZxw5rnnbNAESi0V4xq17/wSzadU5rFBZZPbR7rjVubV3h3L6ZT+0RiTwPZbw19p+o6YRRlw Q6d0m+endLg93N96qhLCblLW0VANmeSo0JAMWKB098s7NiNaINTUZ330x/7X6QViFSAm8Iwji71j 8aZ2WWlcyKIZm4QioeGyVdB1luDe7PkrbI/L+WyUZU9OwvMiNWH/FfSFAcRQ05pihO1fyl/ssBuH u6fBeCsK4NUeuo1vzPfdvDh1WLP+qZUEPiQevLHEkW+G77wNtRLefv4XXceQjxHlSN9yzI/Qw5XU 4nnRjq9LVdjGw50JtGl3+rmVAkjIWJNSDWLKeeqjWGvt6txP/WdlD/mPJMKvzckr0uZdypOGpxtz X0ICLQGSbams3c6gheybD8pJW1WmEiMBnsPyhonHDFhD7JHI0NXCAEaTN3/zpMg1sW2PyhIc+J6k wrZNiiU9Ute+cv7stlzUgDbliUBogvPdq82CIAX8qo3JmcRjaNDP5BBdS9waEH5fo+XOBDiaDTAw CtTjo1VI487Fl3k6srqAx9TttngGqxfH0k9gIgDVhEEMrqtq+XK1Ik+YRvCSo1WPFc0Uh//LZy/m HmKBMTseFqkk4SGmmyeLDS9xCzXv9dfWr86WGkSaCrL2tjv9mUnkLhomfBmM7o2oVuz/MPiHZg/v ZIT/BaBJdVXNpLRB8tmt7VQjO71bBAZaXv7FcXzGIoBX1kYixMyfrb6324kZyyISX/3IhowLg63N vVBpJMHPNnOp140YSXrDnDZ5Lh6J7ITc5ao/PYtt6ipOCiVjhRjimyeFmilL0plT+EBADFuqXb8Y t2gCwr5TGLr6FB9avzSjO4W2Xj7EeKQ79wKt6VQYbMz0e7UfHso+T/sUIz7WRaRXO/GMt2ZMI5x+ +WH6p42mB/TKqM5iHOMTsc29ljJb/8sh9c9ECJbeJfcm9+lJHSZdH/P9C6dysLxdU47DvFUpWYfw zgw8+UdJjFkI4cK/Arxq1Iq3Lw+bRGILwCj+UOEfTelZcNolY9qkns9PlZ5CZ4GTxreTfbELVRnn nosnQAQnJJeIRPtpzhmINNm/ylfnE3GwzD5U5JTq6cORl7X5Unr+pefGmijQsdObqi4Vw7zK5wZR lL6ZudEDi+QVDESdFaEQdebsWWWDHkUutYDKcYfQoyaYPejXjJbtLIRQU/W4nILo9x0kGeC/P+G4 ReN9gofhulKw8K88MZHjnFxp4D9f7JZEeXY/50DgCaFo8ynXT43Oudgd2qVwf7xgpWc6G/c/vtDA /5A5RmL/GNBli1SWihlI061C9i6ufboTWgvLV87jH/ymmma/iAhbvYJwFT/uAZN9WnS7MGNXzpwX TGJfAxG3wGbQX4l2E4oiFRT4STNFnxNvmp6FDqXpjbhJ6s3LHTXXTNhlUp8g+oJG4slpfGJ5B+SW ZSBL/xTu5s6Q+Pr5I7xc+3dUzJsLs2YRGl8gI0+16Lmu/UCKZW7DZpbiWNwyJEY/s5vSdcYIPch+ g9j7Hjb+x7llMQfhJsmmII+gSCUqTaSL8AFjuifxXeWWXQ/Np6o0pp17znKRgEdIuLRaflg37eWf brzwgBT5jUVdtdGAMqfFSVt/Qez7jLaVZrAONij5veKKYznmYCGZkxcnYpnxTyK7mxAxv09oZ7pr fpD04IA/sZsYvtwBM89aK72SRv7M0pFfjirD1meEawgigUEsI0cgElbqYf88dzhzjtz6tllYxDW/ FxclQzOVJWea1rNIynSbYgRn/OumA/jV4exjxiooNKh5CXCuIGBkn+cbcKt6j5MwHt27jaAsx5K0 0C3IE+UV0tOw7zssV2Yws3wGvLxcttd7Ei3bnhW7GjwG2sdR/oNK6rbVD0Mve2Ymm5RpuKn1bAuF muY8PaCAh1i+bFhJklNFMVt0xcZNpX9YBb9baUOTgYGoYjMIVpFn+vKX5gasu0cKT3f5xjPp+S56 4x3PUQj9BfQx/zS8TrBQUXYL2WPm8XhIidEgcwT8jjNtQm9J9HqJsUljZkt7qcRkqdIIS1KHAJk7 izmhguDfQBRPZZjmCckflxrFH0rql6NGEG4BOqYZrpGTRGkUYQoyjRlb9MCVGjeznA9+GjIaKA0C i46LJvXszYM+M79attQ8BKXqUzjc9CSZCvNiyb49i0RyGLv5xrYjllxpQ09qX2omwsttnhIUG8G4 zA9o51KPe4vERA/1VDQXdWEOpwg6wu2Tq4pLho2Y8r7lK7yULR7SPu29y/yYIjs+kIMR0gO1oKI4 /IV89oqNg8n1XUcdmpOk7Tbind0QG1IaCc/ei3eaYwm5RmjJgtAmpPSOEaVBoa1ZJ/a4UBjd5t2c jC+bPLOPorJIXh2hnmhg0aEqs1Xzr0qiEShkp80gaeIp6uXnaBC0u8DgnSrnyom/Z2aS5XDLtWOA mQAU8WbndDiYM+1F8wsFmgU0MIFFlwquZ4Hm/tDqwgrZBKwRgqj8lgil0okKKaLUZqNiRpxFtkuI bbJVyBo3w6vwAlnfbz4GAPAGbZrLqG+3EeZyodYf8mNTHQWfuj8l7nvp0veRe/KxLlUSHEtbNy6Q z42MXeEpwZooUKbqv0qg48P2EvTwcUuXp1PTFkOH45ab4T91O5bWgfycXZCI9g5voPakcmKxst0o Vp5wJRdg4wH9D72FSur30kxNFuOsY3yASHTBaAfwgYnPRvRO+KnONd9WJngk9OhTrKRHeJ6PObNB PT93+epER/fVjO7GpE1M6pTlXZDhg7tucygf1OMTU3BA9J139JaJ7uXa5gHCwvZeHFTFqH2aIU+i RFS0WJLknPoCS0AXxdSgXy+nMizjmVLSvSIoGT21psHwftX0PRPUegwdMx+cgiyc9jw+ZAYP/1M5 EZ6aDNDVJ3reFU5W/N1oAS1wcPRBvxCBNRtCJv+FOVvZM2NP3k/a9gBE8iRgkosfauZHVXAlb+Rp t/RVVY8MzljyxJN6qqkiEawq1BdzM2R6EI9BjuAmBOJZlAkEQFvRx1CMDR8fq+fKPKTsbrgiKzKG iZYJRuTTGCygetqHk0d/MSh/xoyRhA5340f9IRNVZ6bjhWrhYF2my/mi1xiaayxFCsMgqB8BUYIj p4Dve7zpaAEksi1Qut0b9Ubf9A9SndpPq+pzF43SbkOzHXFeJfwnrlHysB+yGDPmc0RnFgPsYrBK Ft7po4MRQ99BYM+3p4z+XVS60IlmWYBhmMQraBTCK+G7merIvzVM64M+pq5yqCwPhfKwtnxGYGol gSUqlqPXNjS0nahPIFIpRVNJxrxU5HLXxtr6gOpI5pM7kgXJ5uZqa4TYDFBXoSL2rN7c6ELGjzRm koHo82ivORFqRl4024492ysV/Huv8zW5CWR5FjEOezAIVJ2lwa9HfeNXCiyV5QmKeVXAPyCmtrSu kwI6K+TbODtG4rSlIHuFG8XLMW1XCwL/5JYz9d54J3nGl7FDNahnnSN9kauEMksjPYhihwJKgLUM ofOdvD7dFPzoUCbXMuwMcUt/D0CfFIJ3KnpGtphYoypN205O8r4kGUryHxgbtf4MVg4AFL6liPqv zCmCngQVmqJWVCgv0xriCzI+VhynMepsMVHfhVqFfkg4rTXzGROO/QDJeGQ/eCfS5kn3jPa/M9TE 3wHXzZXqbTapplnSv2tzfpWT4XXaNOyWcFs/UlDXcoGQwoWkGKN6tH9aOUrV8Bl6yTBd+ZS60YFg mmwTo3peyEFn+16yAvTg0lqJWZSHeDwWk/f2/67NaYBRQbBK/G3tD5165RTBEDOD2WudH/A4DIff aiKQUGbdvOa6zyxUtbjfPjV/4iIFaautr49QQzl18TQFSRTVIbgPlpttTPrdsRijtkMdL69/IKeh VlBvejn4iJNIwvyRVWrTM0Xur2dm1t+DP9kzDppU5dnkxsKIp/UxGjWTjqDB1HOT2NH/jO0JL6fp 6ClZbY+RVzMxIW6KGB1uh1GhgJVUcFO+guI2kTvkmvEfILI/Cmz5hPhSZXxOYkcbC5LBaaR2l2TX Mt5MOoVrXZIzZb8Qz+DWKqbvyVO75EF7LC45E8uhBIKIWPbEl8VZ13A2wDjIl+ey3TmyCQSASNwa UXAaNZVHhVEYYsD1hdv4mGBo/480VxUtIxVxNVtqJLUcO+TVYADo2qui10tSzqVpYJlPE3FUd9fd ZMKJOv0L6Lv83hL0WHnqEWojML/skFJN81fYKk5jvwGbiEIjs+5iTEkIt8HFVGrpLrgK216SQKSf h/tCtBLaLSCAwvMam/O26kfqhi8h8nog5p2Gi4O3vMkroW/+6MKDXLLppEnWyXW6l7N3LXoRBfYM 4qWA0S9jzNBFEpOktZQB9hUsj6Yyc//s/HRZ4juMlmidNCSYMnrRJ+jDs2V7qLMdvJA/PuWdQWCn WhjXCIQw5PfUVf7E1PsqXMQ4YajW7gUfO9QsO3xbm14WO+NO5pqjOBHr4DDZI3sTL5B0bfIC/TcD FAJbi41SipAxj7Kh/Coyfs1qNauC7du89XQDJqTp57NelrJKfICdZKEWbtrQpJozDQnNCIOImS8F lP/+LDOtqi6XclKqPhUaPhiO7EpS129CnSCDNxW0bRIwR9csHjrY9+S2CQfyOBxrlLZO0ZhRZX6x jLAIl6bfWYHee2osrANnNZtnLTBmAFSkDPFCGvuU66HYN4McXfj9ihz+2cl4wRbn0DKuSEyRFId8 vDRSYciChj5K7rQTB8TeUHKG+JxMWvX9uuvkqwGVK+Xu/2YPXNCUEgC0woWHdXQjNFzlJjL5yl0y FckeZhJBR8eH41FuYKfIfVbZi+lSU/Kyt3KNxSuImt+SvBIX7nUUNxZhjPUQSNfo9C1CSZSkSLmI q53XInl1h6iMHBxabfyWRinCYHxCJrF+pr++iO+3k+WG79MaedkofePSZLOzA0Y3qlXqmU9NDVWn z+rPpv9f5o7LHTtxjIGb/IS/YknOubihLBhu1G3Us1IsQ/n5sDwB2aaM2Y77xivZ3SBDKa5HfKZ5 yi4d2eBDovL8iQ0xmegFHOZMiEaiqg1wzH5FQvGB8PLtCheyJXdv9q+XIHO3PR/Avukc5C7cl1LN 6QiQZSBpSNKw0XOfmS7QMyfqZe+KhpDM20tAw6yLATBfq/ZxMUEU0/XwtYWGDV/SUBeArgAjq2eI zZ/cUMlaguEHvEgY+v6cSeA0GsVw6tGYEkaTBOXVLqkOVQ0g6WgOYjGogUC7Uzari318XJ5sxVqK 5XFwcDdAQeXhlZD4mnb+qYFRe/mN9ReD2SgzyNvmkn1qG/GkasM1RAUQsBXVfBE43szNWy01PH3W 4ioQZxCKMq/6TM7nGV+S2BNU8aYzwq1YkgvD19IvIlKyfeXrECqKw/XIHvdBrr64hoU4kJr5Lw+G AbDuCa9PWiCidYTCvVRcLodMroksmcsOpnXpFz9kpaLvjNLV2aBAJGDtATwSwEYdohDqRuuFvyrI bDr9XG2WP3rH9qPDR1iviMJfZZTSOuzqvz2O5cOQpfQppbuMXI358Ch5kGcfwFiNEfu6HL8DItc9 32+pAibimKp8yDQl12QWdaSXctxzCF64t4PXX33qKx6fnwO61vDjtIBS8gDQLt5+hcwpRhx/NW6D +hE6/iZBLj8WuX5wk+12Pa4CuKHa7EAqzUGZvRnMwRBcTJKqHJNdNL2/3RGdr271T0WwS6hPk8t1 XRS3Z3GGZsqslU5vPDZLKjeQBGlVFKKU6NQbotKjD81Bj+Lx2BA3BpEwixg4WrcSkvUCBJdAtkYw w06xNbIjaUX+iAm/dLIRvQie4PuALAZd2aVUx+L+jSonGAI4rfAbyndvxRZ+ki23KOkgaSDVbyOw dKaVHs6Y2VHe9ArT5sxi/oS/EqE5M0L1gKUY51b0iJfDFvWCPdGncRJTG6b0kohYo934IjHyb/dE EkINHD7sfrh0ZsH/gLrGWIQy1VHTWlgHNotnvzixMDgF7PyzYGJeSVnKsdMSMb5TJufhwF/kMkMB fP2ATMJLJl8BcCLWqnBbU7KxxI0pLLdSHP9ZyDMivwHtOj6Af3tVlTK2un+TMQin/6t1dD7uwWbQ o5zVu6Ko6uwNqMkDpH66+A2YKFVFgFhX4BxdiX+ks6EAWTlFHazqEHOuYYQlnamwJkn3cYmT2UuU iyDjLZlwEHq9gPjgcofgaYMuBVtLUaQsr+1qnj2KEesqhYdqWxJAJcXKmSgdAxLkZhMAz+5qdAU+ cyt/VAAlRH3uLqFctcv+LyIZ9kJYykV1EAQ5PLWaQp/r2DVBpojFyFY4jra950P4tRtkpfI+MBWB iSqnhtIp4Hj2iAuoNW7//SF1Z4mzdeeynWT/EuLjIK9o4X+tgI3OQHdhb79dKGYbK+VrmX3gSkQM xN1iQQGTbwJ6risUVTZWXofPaWtYelU3H3SngrfuqvvBh+QYw64tQH18qh1UBkVmfkF7JRR7MGK6 qkZ701DVLBkMYJdHtzfXECoKFVDx5vtwdKKv2gERrc6Elmz64P+Sc9IIoUOV0XcGwD+AKCw6TAv7 cI9ZVUDgc6BDSz4sQ3XMDlyzFZxabObxqZ5nHHCDKYxl6F7Q6GEbjW9ZphkLgUh3kNrCTr5gTo7g s1tQCZyGMOQd+axSFmDaHueIZ/kqJm2dp0rRz6cuaMhRv+P86D559eQDY8VTTtD2ghPGv2vPB1Zi LwgnPB1wDmGr+XT+3bwYpk39bjjunPiBC2EJGaBGpRTMZLbQ8FjUCY8YAavtntbJjCkDQNqSRRQa 71xhI2lheLAU0SsDhRWHRBrSQo9VHtGvD1CGv8w/JCyfhcPpXoWTxeoaW6Q/1bAmbP4uTM2ysH3Q AaXhyFFfYSGFLFVMrJL03bgDvKSZGQnRq8eoTS8uvUMdRSivBf07udKt8PpNxrkuaIA1AvoYts9o 63a6Gdz2LomuLEPGdH1/N+0vzDIukLQu7UQUWlefCPE+v83TFQV10FYu2t8huj4ZOsEpnCVU9JH8 paX397LODWbXcX5E5K0nOatfzip/3zO25mycA1Vi4IxYwB68gcP6k8KBGtPpBOpimkCBXJr0L1hw AcM8Q6TqDKExqFJZdWabS3vsZsUfb9LYMcRnQMEWdi8EHOR+ZfrgvjyUh1x0Gi8wwm/L6MFNd9bC OGXg/zgzToQS9Lm9XflJnv9o4RiEQxvGdFdG3q0IrhUU9JZvxD+x26uJwPQ/qPhI6zJssr+tWdyn AHkjc/ZXbyjbYiJ7CZL4VRTIBO/O4uTVeDDsUrHgdUydymiis6S3uXnPK9h5YFdh3GjHjkYEDBIy HykgmGW/68EVEk4wUN/bRzjgfIt0YpY1m3hB6WJYkuk0RMppF19ahTG9PYStB4vjI5Tpja7kXOf8 ML5zUw9cef3abBVIpKFZ7iAkvpj95avoOzK3VKIqJgrSwkwJHCNxCiPPpTJ2vuyLkSx4iwdussUi k2sUyDRAtschB+njzdmxira5McXvqVG5WPiLG3+2qx432daKgHg/6f9yXpOn0wNL+YVt5lEKSW2Y Xp2KhWpS9qvx9WbUOAb3xVzY8VivGuPoB/GhIiRsSwq85lc2y8AXnNYDa7BzW7oJznyxJwxWo3FU 6R+wMJPPdW5b8/0OPVSBHrlsGmDfxbwBq45xMSR1tD6UdOO5pmgU+zx853SKuoewojChnCKo+1s2 2kfVNWAXxGS4l7JuatywzAGzVGFaRo+sRUdCDkXcyaJjXtkmSwqG7DShqnuQ9qCdi1dH0cGztlR2 ADTyV5ZnY5JN3rI+yE0d1lCWimHYhpRwMiR2ezByGwUyYWrL6AUXfbCzPS+v7qDjQFIopqgUbF2F nHtv5pU53lmmr1065OVyJTzRGKgbSlXmb+zc/aKI3B+cHfZXTEHBHa+z3jJGu0AzRsRKioMBmSls LhP5kM5ratGH4FALP0r1z8aFr7Wd40c032tyDq8LS+yywyF2VRrtC4a2RLNzGKyXR95LVdlGsSyW TmJz21Csl6/lNZ8cMF8uYI9xPcdRe5l7CQLrfEt4jPZtA0nw9QwqGTkYhbAbOKBuOwZB+WGgVVaq N1Jqp068VSY99JT+jIu2iJOSkrYwcWsITSpFQ5u+rvBr1rMihQ124Is5/d+NgEsaDmfrZNEBtv4k U2hwI9nTNK0z6yw3pZayKq35FHakupBMJqRX19jfhq4hXIegdIBnmnGLusws/Xhden4O7xdj75Jp Hndw6d9AxsGNfMjmuMANOeJwXhJ3RRVerhoIlPT0GVPgIF8m05pY9/VZ7l3LC4JScgthAQNwTAtk FFr0KiuNnc9vdMW8O86zHjwJQOh37axsg38wtjcJTkBGYQytfctx9x7717ME3fSk8ao76PFqJgcE yY1eVW5JcLYYCMzKhobyNd5gE/6It4NwbMYZ5bWBrQ+4BDMMIU8OFP9gbwkzzqjpK+MJxwzcjLm2 sVcGbq3tG1zGFJayjUHEv4zrUrdBEooJxKVPItqlu9W3VU1mB+UjVtoXjfwzbFQdo3aBSe4HljAK ThbHpdXOAmLtCmvbqemKMKrQ8Z3tideZU5PNVNbkshjHhx0s/dodjPDl/oLbxrKxDZtRm3E21rnR f29HBSGf3Pu8xMCJAyd50i+kAFGb9Aut3UAR1EcT6BSIvMt0GmK9KqS4GRhT68067D8RaOgNeq92 sJFMS/filTCUekx0deuhgrajo3qGZd6mC0QuXYVvtDPIdY1YiCXpCvHIaU/+tByslGNI33TrCJmb OyXR9YhA0LNPzoJZV3BmMGeUahJjaSC4aA3QKfpsRIXbaV41uuiTWkBz+TahC11WiQSkt6KXA/OW ABJ37yNqv2Nde3pnap+d74hAD44E50xTAvEg2V/vylg/TimU0aO9nsB/P0vonKtsl4RV0UkLcf2j SZuD/8axrRP2HhfHwJzrbdQZLKXkrvx2He4hcL04uu0gCTyQLxBDXx0TltS+tbAvRAXoDZWiJIaO U/XTuh8WxntlLCmMjuvqbRwupZhBrWDVfoKuZVKD+Zz3cPHuB3eUybPvbSO1D9NVwpp+Ewud6oZM 9mO++H8SeUEp3+PNqX/vI6JTm/cSjkXjbx6zOpzJtWbZ2BfQBBcDMbkaGXJ5nvZ4bmw2ORcWm8xm UmCWh62uS5WiL0qAay7cVVFC9iodzJ6CvD9pHRTfnlXv6MPFhrKBlASPDubHrdkQf2GuSAiPLnc7 ssj/GBcm+1Kd00v/e9iFgPVVnOfDlKZW2dBQ1zZau4+LhcZy3kc2k9AwVZuYZlmUXuiQfJy6EOfr vp+c+SeGOE6eGa1Loff9CiC/ArtDHfB+DACQVC/3QFIBBscNv4/Z3z/ftykouam5lAG7d1G7/CF8 AmHn5z7QfnjgN40iOkuVeesbqs+gmgbjRmmOizH+bS9VLoAPU/5qqRYHU1tOD3bVtgjFykdPxkOM 6nydYg+W3DSz2I8Fxtn4OrZtpGokMJ3s+SCQvRMMtxBDYregO24xJ+peSL1y0uxf+lBLOtNJjhLY thWO6jTZNa3p3XQOnfE5WMYq6eHeaSlUyCpbM3Vh0mZVugGwtxaQ5xr2QJTjxxtep0wS3eibZ3+n YK3Y0+5F4uAOzl20YUy46LBcPvn7vjCTY2/UbyTcEN8OcqRXGo8yBzuZJbW+mnyFB2BQWI1miNUA LjIUa1zm0iHAXLfoRP7TT3lcPpqy9nkErm6d0EnxjAjpIDxF5SHJj5sGd19rOMxQ+KfrjRY6tc+V l73xbld21ZdgPpcI/d9kd6bO8dowKke6r8SeYAYe0t4tCYMcgi3MVbmyVJi741QLRezkslvkMDDm ByHjHV48zbceUcr5R4CAxr7KbBOzlbCJFCgAitRBfPDlCyhh48wA/lF6mMFJlOyKKMnNZ4kh5oGr vapUDuvX2or7vWcgTOJeAv/q25a9WwLNNO3e4dfRfKxfQ0bK8ZedpeqV+mPwhZ6efPd8uE+7tIsm 4N55SH8ukj+X65J/X7QyR/fcxCW7XS4bmFPBCsjsV+1kLdit+ICQOs6B4iOMxm3JKQhzNK2KY0Ch G+CoICM6XuMhIhPYxoIfFUCBQDrPCobJtNCTjgKMoQa8hnesvYtnGHrOZQRNtW/CLe5GeTZBpE4l dr+o0L54H8KjRVz4Y8KCPn9AR8yFJx1wRRtmpdCqSdzn3XgSQSuiyg1qqs9lEYKjekVKDihA0hCO 92FOT94NjbLg1aoW12La4WYTYsZQn0cMWtFMCWCI0LxYEHc0TMwX4rtwepQhmtbnq2ctJ3QmAYAv VfJX3Vf5DZxShhJ/js77MXuboE3SCidH/XH3ki+Cr5GRA0JnjveZhGKQLx9lta5G7/JAdDc1A7e9 1QZ1sAZziTAHGuIjk/HxbXjgEFvxqAI+0MZf3PKNsAIDeys05HIV2uN2wW2VdpyiPYoEk7FrTHKm BDp3gLGipLOmAfqpzOm2MWSZxamTuDuelrn+TLglthexqXb/FYSPztl4FmtqguwizSKwA/z+bzCy ZzkCdmSInAu9BJ3sURFUrz7d6Ljf8D5n5lKD8TsLs82pdnYivwSYVQQQ4bEJwJatKN/Mvrz+Apmi CV9GyCg9ctbheOsyDuYc6qq21nSxiGS4iIHppXlcGzGFuS6H/5vAo/b85mEBfYqiirY/J4xY/GLf n3kkOAQw+2YguqqivrN6mKnxBTgiSZj8L7NERepje1BlZ7f4o/PE6tEPVou6fz8H+giuUH3fmx8S KBcrTvId4/N0O13VNntYQK2E19J8jo9b5tth+k+55muSLSCezAm6/wsMI7yWy1tKMDA9JamiHB9W 4JGZ8yy2SSvXlY7u9jrpEFa9YKDoaghFpxVGjrSl2fj/x0mr+1Mg1BDtKsj/FE6XJjinQU6LCAWc GxjxrMApH3oQLitNZdO6bMGra4Dntna3mQigAwRS0+HHq3IX7uCHn5aek/t3byd8B5uS0nrIcM8b cMCktbIz1jnFXMn8o3Jxqj50GqPVwVglGJJt5DnjQBSEvv2efSdlxzRMnnydcVJAKd9Emtz6EHfO TQZFiU/9X3aTas3Wgwd+thcRlitrr9rWhC50x1Rgv9KskQLQ+iNshBNwjSTM/hTO9ZTKkNHZK6zO 204JNa0WaDRP4Gg5fYNyXprgDEW2r01dObf6yd3BFCpirqo3RVqIUOCuf7H+LGlNbxwb+0hmAT6u mtpxDSqwmT3Wndiwn8wmMkq6OVEBVQEY4a7hV+x0OW2mh5l3TupDODsFQIjI+vv96bSm1ymXJ4kJ XS7ZouIq+xfB36H8Wu75gyq2uGlALggQQwtaBEXzuOd84cP1RHBW43sXGGcrvxUZxDl/2GXbuLUF FdnVUgSwnEaLOAZNcwbmAgzxiLJpufrYKvQ/7P0jpOgO7XSzzBSF+oNAr3+NqCo4HysxWVon1XbP 5Trtbi6lsc0kywYa4FSd2ii6j+VGOwEZ9AsAcf7eR7hL8cE6f3O9b0ejzrFbT1A5RtRBC98mM/D6 6RC4P0dr4XL+SjHY8g/aXfIAmfvQU8kaUfRHVDQWlA0pZWukuMYgVr6JK3XnZqJFibsekcngQi1G velyqP5rAkLpHvBq11jF5qCCNbN1pxjhzZIP+QcnHjk2vq/mywuZafwY7t6Y//g3VdeEmClnAk5W eXQB9oLexswfpcK52wJbUsyxkJ79JieQpcBqzwSfbxqGtGYS1pgGBjT6mxr7aC4fcC8eD6xTMYj4 q9p2XA5U04rCr99np8cC7yeETgL4n8X+1UGIeE1DaQiklpPqqK+dxExcHQ3jZHl/XjAKqAHcoUjT jCFMNGb3qKAw67iBYaa12/C9XQ2mc5uMvWNM2I5NbEwDg0lqp0kFjKUYKGbtprR2ROvB0cFw257k lHmi93ND2HMp3BzqfKXEWf4yO6xpon5ZtWf7drl3LcswktVwzNGisOIN6eeYssRE6lkyJSxQs7rl Gx885IHkxJUTah+MSIYmj7IJKbcY4eDK2vfIMKC/cneG6yULMCkZPZ5KnuEuZA3agN2HMK6HZEk2 lWFvURC8W8Qw/KcsHrtgPKpiLpAostCAu1wByJ1JS2Uw/MCDSK3KDdq3NwPtjU+1nieejbrSh7dB WBaLFzIJC2+b2F3K+0DgtCQ5ERPFieo8p45pPwarCU22IAO0stdEijv/faZK+3WJCVRdckMKfQiI NCOZQOQAilYGnhQR+Ro49Vsf/yWWcuvh2xWIOCLy61gS1eGysW5fe651DsWnZXaHJGpiqisxZCst BnruuzJkoD9yLk/vM+cbdv5xRzyV394OGGVa2rSL/vuJwFfEyxz14FXkBRUaOXn2rN0GyREOne2I 0GIBJtgZ6TIP3uDPSQJe3ugR9cBeJEAAKUk+sMfCiUb4aSk84lcF7ZNmEnv7Ppg+5UIyfm5sosaJ 02/2xuuR4IaNg+O/smVDWMtke3LVOMIZLzABVor3UBNW6LKWzFuluwBSNazsY4UUs2pRIkaDjapx bUhY8FmdvaTqTue53CMw2x4dNYXvf3br4GbD92K+COkX36atWDXfrMz2gb7uYKJqF6e/0jdLlNYr Hny0PNetI8tKMby4eZSZ11zccoAv3ixOVMCrkG68FeETmJ4P7Hh8CGOA4jCA7F0f+0XyJcRc3W3Y BaAKjAZKFizd7O2DYAW+Fn/+toJXijWyF5LQ0ieyu3A3bL2nQnXvo0ld6NDKNLF+hC1zMEjwoUQW lhRxCsLQwgtazJ3ykUrdxwfwzplGrsLwAJAK/fumuIRxv6jHNlUYu3Lq4CMRXZFZqgwYawbmms2y I7r7s76uvhCa+31UbWy5vbxRMw5qcvUytoguceB+HPxBLs0MhV87cPqSeBujfXKbACDWUV4i5LiD wBuQU116rR42TDR/MU1iRoXAJyTbrcH36hVJbSoM3sJ7l/YTSNqp8ih9poIcIH3OWLbJ8r+FW89N OGSCLc+jbez3Ri5bBBG3dnMcu0WVN+EmnUmqnzcVkHs2WL7HHpcEt4coeyzh5h3HDxku7o6ZNoPO 8Siw06mk91aVGYF4MNsltifPa04jLl/4kaYjMaIo4jJbHp/jKe3fzE5qY3yX/XXACicTYgslMcgg Khf0CR+bRG1gi2T41GzBTMjQHK38MAJhWhWTTvlXi9k9f6fkO7/wRUxqhWysTi2ihptsSDqbjCEb RhMXm7S4BRvGyJsoT+FHMvmsJydH3K10RQpx6pWZy3qzsnU5lFkRbvQweaD6LvCfnqpISMuACDid pExW/XLGVwKgFXLQTf4S+uXlvXnXPN6ZKnBFGUkbCpclSofcrfmyTP/0t9XBay2ocqKzMVnK2xDZ /+rbOWzPKsw9ho6tIdQgpunf7Zpvh9DP8Wh3ye94ifkmcUu4m10gYeCE6IKqQhe+LRryoP9Vm4m0 VNfPH2bcqE839DaJEayijnbhLK6jMZhQ36+bE6T63W3NSs2lgn7iAKeu7ILydCECN/cDjdsSgRnn THBvzkEfgv2tYyXI8L+hRC5QwhWzlE1CDLwjxLikJVM7ZiHqjJQNCKyGGoPsAE/s3LNYcrYwmudu RhawHfVnLBOU4NocROTMNnanxt8MbPp3T73Ozzf5l+S67qENtOoochdPQhnNQjhKkIm5jL3NFVTi Vg6GxPeWuX/bVQlBFNXnvdGKh+VvF+QKQ1andpkK8gC1MPh1HmGaY+jXbFg3Jnk7Iz4o4jHf/Hv3 zeGvHxYStPQ79SyxDMLNs6O4E5aCw1PN6kOZYmmGIuMa0bHHpE4Yp5ZGTsWqqFM/8KyFfH9Tnagy f9OUBhZnLpTYSOopXJTtl9TVvO/i4SBkB46SW5y8m/WRxXL7GkYLx6yPUSmkghdaUhXQ3x9B0oQc qlNIKutjfJ5oyOpx8wR4a6+igxcfL1nF7lnMsZWiP/VE8UJz19uiyT5epLBme/2Esz9q0b8tiS0x YoIgBwAXZ+mQSqlmGLERIfTL38sjW/nBgnhTvMwDMKRdzu3pKbIO0sf4MCraSpo7VZSSUQXmV3L7 gIvTdI+eO5Xrht5r3HfAT4DxTxDmD76gwG97Re891sEjW3EbcC3chZCgOtpLEv+tHcTOtN0FHt3e ej4n75GnmlqX2bo6QLoeqZhsWL5XuSrBn3RWx9uqDyuJLNy6FO8ZKkyjtg7qkz09VhKLGIvcqa2I VzLSSs9nT947ErNmeiKG6FXfEkGHKTOQ8/VPEfFiOlpPJPYbrcpBaCW+m5dG7+3WzlID7g4rf8lY +WdxmqQ0ReWt7dPhCWUKeuHcTqCY/c7lpVtoeToSdiGPLY+MIHMxUGxG9jALY4qdZEL8IoNheSwl o97HXemPM3kb5+vrydd16QpWKlkNJx38s/bM+tBUNt6fO6QThKPv5jJpEOtb30SJcqPJ4dhIDwhy V6J7KOKFZktxKZ6aXJn+tsXRou3FhU8N3CuVgbd8Ri/ESAOu409NszbgbdESSVHMGkElyukMBQGW HYXEFmK8o0DMWGQVfkfQIWxMzHH2k2mvZsVG+z2bAIgVMO44Q/25myj+xJpvClaQy63fWfFB+7/W mDA4NQFx6deHPHZmnudt3yq7BpuKVZPA5e32zA5SV2RXN/EG5GugykuMsztOlgsLBhlWaZ8DKXM7 b8gz2l29U4ZtIRnrPsWYBV7KMmXZB9ZgcWLY5H/dUG760yfqqiplfwOYCJR/pwJGPlwPDdVKHa6N lNqS8ougZBY0EUrK1IjUlKm/QMCmrk/asX1rIYfJ9qcxUBsC0XWTB6bDW8bs941Y6zJ31j3fuf80 gmj0Xz46ZFWSn0wQlY4jB/w6ZMb1ofm/hNhLfXtqI9t5uBiSXI8tGKkx8ZUYC53RnthltXiDFhsg YA08lp95NTSe6zahfEdzuuEqj1tU6EsCKySWY8VnY0P5AIMXS1+pScEXoTwXnr77141EPo0JI6ho emwiNrB6isS+Qprz6kskbLegmqYWzcEr+Mx8C5ifQI0fdoRmMGV+veVsFXJgswegTwI0iBewuBlV R7JiHZ6szAG763JLfq5YYlqMvPreb5XtLpupeBDpHFQj47UgeDcO40CSoun9Owi0E+L72uODtjxp pkkj/hgjDK9QaTjrVTLPGnR3FG5InrS49CYQFApEv8Z/dv1pQw0yOT8xHsb8Brkisjx2s9TdWR/2 F9VPP2aTkHZOC/frm5tQuTcEbOfp0gtYM4mYUL6zp/mrIUIqyOmGOUylgcQ98WaZ2kMHdGolykzt iPubp0jW2dcjfEQ4WKWV/lBVgUiq/dh06G8n25ApoJLHoJhZ+q9pzUAe07Nly64Jrve9/QnpLzrR HagZfyvV1moNXAJHUrQ6KBDWONH13P/Pu4BYPopr+k3QN7oymXc1BGEK5O4hyiFvmJnNgU9yQpDd pw/P5Xcz6j4MWgdYdWegjb4n/omfuOXOJL62iExhMzPTaDuo3FItFBAAsO/qWLMTKlwUsmCq2Try iDZSIZEdPu0/ndZp5U9dnp9TtqQsrRzW0wYdl1mrq8zjfZyRRCYwRyY6CBmKaUulE1RMl+SzvWO+ zqhi7euFj5SZdi11uUHY29Qtng0ea5z86/xT0cJJMwLgLAGDcw/rlobzxoefhD+c+4OnOmOFpvgm 58nPPM21Y6GJgGXnhjI01Yf0da2ZdVqEhTNmaDsnPttgQCkHLp6GFF1PIC9twb4tJIN7JLsE+SC+ 4/KflLXLnepH0lNk6dyBZq9hPW9wM81g/44veK6no7iknPIXvnqyw3+h4d1I645P9DBvo70ZA9yu uKeof9NHGr/p2gTzYUhnXhvIr9VWNolN1ybxjkkX5/5prr8WE7NlPHKPlB4A+RDSdFFswc/buOsw 9LGYbVCQy7JUFcLLD5N72/lEtKxrxd6XnPIP9Z08mFs0K4FegxzX++r8JgNuyEVYyAVWkXYnqQT+ qiwiomdurQ1nTnydFantl5aZskKBcDPZIZqgmlEXWiVa69oaqQeotRCtHNhH/GkUSzkJpsu9Kp6f P63Cu3VlEaznkeaaGiBsRywhYUy8VPM+QLbgAn/HC7Zs/5huvdJZkj6Da/Oi2rOjHND8vgkjMRnT NULdRI0rJs+aW5qNrruh4kwPYtxBXvXeYw4traGP6PyaRe1y5KH+btPCLzbFnoDmPjUASFtRufim tBwzEsO4XaBt8FN2jWoFMMYjG+CDPOsLmsM2tyEPHbmwskxTmZsCr9IEO5RECwgtoPonCa5dIl5L WRdIURiswy29nttFRcTv6/glzHGMW1pxEqUYX3jbw9LSGStX/BwyKvoIhZ2CyEsLr89uLvqfVaXQ e63Lfqmw1C2bp+6NRzz+Q8f2UF+cLnqmI6y5yKKiifyfWOWH6kMvq87UdvoMDDiVn0bKWxPXGAPf F0X0rYulvw2+Lzt3WNi0yU3FWK8kWJWrTeNM4f6PRkOBknwye/mGQqDb5pg3T9GcVq1pFeUDUMTm wEPWOBqSFF1BtgpzuleGxOldfNs7MUU1XOPBHrD4/ewq6DgkEQAK4FLUgelr3J+lWoRIGIk6SEzZ g+qjLXOMSO4GZl1VvQM44ER1BSyurBxEDlmdXxkXd6zwX27ft+IfW8P2opk5qLcBXGAB60DkO2RG LSpHJ70ZbcHHnRncYoPGzLKlm0asAzvh9RDW0QrFkqRZlJCxeY/YWkzZVSEpF2RVI8BLSqI4ZWU+ 9gE41eSFPgBMjet0oI73R6GABdFPo2Bgq0RvNWhB+aXngXKEz6UAZLKvRNDNYtvL6plyRnUioo2M fbAIHtLU4h8l6SR/MdYM/ftlfM38UoMSs9cUL2/OUUVZxqdrjO5JTdTBBQkPDEbIWhw22y2NdWi/ qGLi+XTs+pWu4c8iSztcaxFDDjEze2vKqbMWSR2OJubpv3Lzi95bRG7MY6d8Ga7XZZuWxMkMn94c 9xuphL0Y5CJ9KdUPp0EoSD/ezijpm5bVLcsJ4JJWUMAuuAKp2xUzSSzpsD43dh87yo093HPoe7DX 5yR9FTPl825lYNenr4QM8HspmhoA896w9Ctje6Xdl4XjgBp6zD9tifRSHc99mKhhk06mo1QeseWW /jGKQpuqLL4v9p57XsE7QohiNJ0SUIfS/HnY/Fc3YwCumWqPloqM6y3J9M3jlzOMyVhzcQX3jyjr dbK+pgF0jI/XlV/9MfIKlb1rfgDhwsJswqGa/Q8IbPvBJnNVP3khOWkmeqCy8lIzJebYp0dhnFCr guabVEFNx2K3snqW+ACo4SFiMrpg0JMpiXLzZeDhHNA40/UjKts7yhblXaVMqYOAQdRbba3Fxp4c 3Y6or/zV/wwwZSXYzONF9FMZMNgFgCo0nRI3B6OMFPGvCrLsxiRdzfBP8DhFO1wFw7A969TCgZyM ssZUj8RCqyAdN06L0w9SqVTPltD1rZmgzRB93BcLPRkQuYQt0tR1Xc2LfqHbiKMU20FiT7BIRPvq vOeYQbFTMiXwgao1ZM0amYlQBKElDCQTPp0kVcTIY9oY/W9Fuf/F6F99obdi/lPZgLA5+BMKBNTv KEOBJUb2mz4fphZau34GGtguNoXCAjFlZHViShxHM3mo9/sfQv7hTuxJjWAT2Ihq32xaNmRc+Vg+ yalPrUCICmk/tpk1mNtBHUGap27nHLvw3Zx/GRmgJTU7yXzT/CAXPhqz+b5zTkyQhxLkaUTCng++ n0Tv4fJJMmR0Fk2amhK03ZRfdkrZKNit4eYTpaNUrcZ2SGhSQtVM0GcU438GSFbe+vB9VARqoSU6 Cvhw6sgvMBw2N99bTlB2hN0hOFaqVRn+jkFQbfzKuyBYDQ32Sdkvl4OdDlMCN9nsx8pgQnVXYgN/ dbIyCPJtLdzPOd9LgyYyU0P8+12UYRQJut6hLUuIDR6mb1GZb89IZWvFu2AQGdIojOH3YjhzzOfo 2Eo2EConlOiRHeMALayOpthPqwUHLYPTdy1fWraKU2/CsohiKlx26jNWF0FZFyQaM2a8Z0v42lZc 2sCowQIZ0fDokFIoKRoj7qg14BDMw6GRossSdBuMJBg23uOyapYfk7GQTrrP4yNNUVIL/aOZwmqB /TtbfqwUW9NfEbucYxxykqHnJACDplp9c/27myvIVFny7DTONGRiMBB/6wJRECDbZ6MjNbIffrne fvHhcYLMtIwKXTtvU8FzGpX4F50cYa+J1mmzCruMvNa/wQh+cco8fBl0m7XM7mY353brbtNab8pE X9uzZ3gtmO3fDAeXukiCTlVxBPTNZGW0J6j+81y3dggeHt9F8NFjkRMF1z2oHTW0nc14wzTbO8Xz NU0bLm5YbAG2WH4i94rcsZEvzEOMVfrqe+Z9/I+Ez3jh6HCydg3gDe2fdcGmk9CavWTxxRI+9Xt7 +gjtFvbsYkkLKqdAuOn0Mkr+04TbMFQkbYN1SgYKP9DT+kL6sdhH8sLe4Zk9XWJW0J5fhwK6ZMwx 3AGA3y35fcH829nfv7ehSIsvTnLTsMlIb7AkIOM5VEMhONq+BI/FgIgE155D08lW+pxUWGDKi2Mh WzShuZRcTdRmHQY7cTEb3Ve3eDpAqK+n5xBTPS9Cym0gHpGF4d/ri6yj+T2+P6xahoWVvVDYhMCe K2p4WBWiqutjUaIdFBFRuFfFz3zM+hcP9k/KGPXOAU8EYwASW8CLRIK3PC5QvNC9GasO8gYtm34S tkX9LD3g6Q0XGXSL1yDX9H7bP/zJkosQHaTv2k1epaAt62fL4f+l88b7DcYOJefHVE3KOvMUvloE AwUwPmlxZwmTHlCnM+TCpMDohoU1sGFz/wVSnn99gsHId+5vJ+7csx/Ch0TUoNGi36fdN2y+kGnM dJ4gCYry1IJzS2F3sAonojk+pXYeqVZ77nhAWfkY0zlWGy6JugQR8DQMwSAj1j3xi5XSi8ITMX+f 8IymbkxMKzmbSKCVdDizzry6L05DFmDc3ZCqWR2+CjH969dtZZhWesfil12ue+k4RkclNupxy7Jj bfT/9fStBuSfM8OITL6bF2hbyJecP3Q5bsgjrRYLk+Y6N4DWsLaASH+WEW8/AG4XbXT2dyKCTRs5 2XFCSS5ZWNcqKcqY8c1RlzKJylP6wED6ZjccDydeH8TatlgeQea9SDh3zoqCxmeDg2wSIwBMM6Lb 5VPpc49vNpeouwI5gld7GEBvkOhJuc7XM9q5dKAJyeRQdRERSnIRx77LP2Z9On2pBxG7gOWynYAE XaOUmXTdDLxpioJUaSCBK6eQIjzwmo63qHhgkEVloo7hNDyUxtg0wC0ryc9JiSPrsNM3KjkLt6w1 RsgUSDfinE5QWi7xH3r6EZS+1b/A6x5hkwfRhBYDs13Tv3iBmLtK4h1pWPYgbaJod/mMrkoxDMlP +FgMX7ecuOrUHDxoopUUQ7HcSamfgh04+Zh19k9YgLZR8GPKdyi8Py3Kw9pWayAoFbQ1kOXeftyj LfoFrML2w0emXW6geLtVi0FY9S19p8WkF8jsSdyk7lpNAVJC6+fPwg6uw11ehUqnbPXVus/+snVe wHmxO/C3ccvt4o1w7u7c9hdw06YhJMNUtZOTcweA/9VJI1o6SnVXtiuZ3A+IouL2tpBOl/jr5wov JOtAQ/u5EFdwNqZBfGhZ0OWEIXJmxo4bX5LqjQRLTErvZ/e84mShnHbIR64Urx0z4IfQo61P8bfU Fip0F8YkATjZq3mj5wd3h1IEOcgv0/VgSoHaVX1+PLtuwMXhgO9r5ruOE/UwjsBW1IR8AIOXSHF+ cR+QLuLJAyXk0n3bfB+9KjaWRtqGKyx26QSuxcUCczSaxnkvn/6OcuUNx+bgb+S1Ac9MauLZ3JeZ FaBgDA6kAcK3T1RDSs9M3DVn3fliWtOeGh1u50TXqV1jR+aOw+c3SaToX1D+zesD3gi7yPhIIpAN qjwlb9TkXcT5yx7zRwpSp6WqwwystLdObDYMLvMhv+XRuBnhj8ptCLOB1qL5E8L1kCQ2goCx/nSw MipO2Nm2ZPvk08IqbMexIl+OhMTdKFKD0G4Mc5dk70zbJDFVu/zjvlCA9FFo7JJqZKJfTuq/skEY YR4rz8eGmiJv2pBQ+tjGjQl7yG5zaXASVJng3Tms6vsDhC+g7xVy7Q4ZOErIhr0vz4299yyfj2Ko n4IjaMlJW+q32T2eruy/RvCXUr2xqc5/WqZzr5IfWU3GqLVHhKVKh+dkZgqAoe+5RJnf0y9p6iRy SW3tD4dgwog+EkbV5/X76f4xpIPCSZEzqwpJ1lTVEYOKNeoDQHA26wJ9UPIkhpCaGjilYphdgKDP XY2//ghVGQoBPKiOp/tjWBeVpaqTIOb7QQLiiMWxCdBWZocysGIPfJ4uYE4GrXGCrDbkDLhG6Vy8 qOsQiqciSSlBDEYhV58PZI613bfVB/dwVUNt6V+xTsOQFdubgQ1uE/Flg/msgkexmX+/YY1UpxI2 cbkNDiCESruf9VfrTMJIukkX/99uCgB9yinzUvD2MnGVUiEfIhWCUfBVCq+kIlci8QBbA6NXJQfl 0/mPH2x1oLsVe21DasCsWLumX3CHHTN6a3v8zEYQssvbWS2XohZb5uSR0YvRLa9wrLzycWpkSNXq tGJpdIx5FzlaV3Q/E7OSDnQAuj1SS5T0YOTghd2B89+LZ+RpI3YfcX2gPHDNXJMqbgulQ/bhmNsr vSM7vr0ZAzm9AgobpKmte2/LkWgTDkQemQrouWkfuLlD5wHAsys1YoCOS/onOhqBz/IURrqwog18 nY3Bls/yWcdZU5Imym5V9+yO8SoJ9bbyG265mWr6P1QmeT4GXhcyF7I6tUWBXkaLM0EcIRS6J8Ko nEIGKKVCmqds9zYo0whjWObtb9ssrsahaarZ0iPql8jLpCWYnsCCqyz5zOnjNZ+qn+KRRWHOIL3q aHuwMzfGxAsafq+fcsQmi13hxTcn/yC1Ymh4HeLCLgAhwTyVJvkLmgh9P3nY0o1O9KPBFtufFW7J DbdwZlqXJTa2M9IrHMzdMLt/iiQlK03uEs8B3vwMI1PV5PTMmybiwlYI9RJE9HNft3nbe54NCxP3 it+BbSWkDxM/AEg+S2S9n7czm0aF/T9iAxXu28BEcBFHkQP2B2/Ly79MP2L+E+Rvi35RfkyOZd/9 /t5AOpv9hM4h5SeEHX3stzWQwKs7RSvQI7jkFPgeszEpsm94CyjkWz0sto2d7TYWAprU0d3PlYll JJF78JWZzFuMjzrlDqUE/LPhnM/6pPXZHggbbC4JZBLmPmOwseVdXOjPqTeYzq3jJ8d/GFPV4iUP xXDGN9rbOLEksTavvslWztlL/gBzAR3/r2LcBHSNdaTtJOBXwGRWEaHhU6Kx3EL5W0fEG+6ZuOBM hAXRqY5cBO+8yJQ0HXdogwzjM/2T9cPzoNVqBqH+CxmBiUb/ejfEve3CKZZLLkg7ysc/6xSDzxSA OsQ6NIaFGeD1uwE5lqZl6+O4XnRz4ALLgcEcvxWRD9OU0Imbvxkvi9SHUXAQbZQ97Fm1a3qY+cRp 8LLe92DFhKXs+0ONH785Za+YxsdAJxdOq9iWmXMuzQlBSSpoT6lk/Hv5WRZLaT6Ou7+1/vtPZa3V sWlerTjwZNwApxlZqvuGduFMG1DX7nxkHU3mRGWsvJpGNL+XNrwWzA6hXvepDOtgRJ/FVbQ9hIFo k13MVqfB0eOi7Qg5U6mkjUCPtVvMedZCqkW472YvHlwNKmWrvBAXRDK1oXetazbcY18Clo+jkDD4 UMqTXJDKktb1DgCTWPhPfMdmwVw6iOvNfGe2lx8g0ZoIp9nu3MmW4c201WJKFEal/Gsilb9gJgms 5hslIsXoerG81exUqOvdWgdiH1GHMcGDXyas3zbRj75+ClYNDcAFZP7b6jSc+Jtx+XFE6zcU+uMx iTo0DJ61iRvPq8EtNbXrjDnLz1aJK2LEvfG3uDyET+bn3KoiLDSIAXDgS9GTXy0uk9OxKj/8FpVT Jhos5QKF0Z3gqtDHA6tzXKazjiRr0kbCNbECGi9/KWhYeVe1r5QGMbIW8lwLxhI5b5sdrR0LIyN5 KjukcYfFUSmuYTezBleCA5A/iikS1M9TUvP5kf/NLiF/qVrcrGg2TWQnmh38we4GEx01MYHmFd1M x0H7jYzJPY91vk6u8aZFkmC/V5qWdScNUegE2p3kyb7X7icrNKZF3lAf9j0LKKFgH3JW+1LYnoiD AuRp6gYzIoXTV/fHVbuac+/YZev3u644lzCwG+wthqN2uLoygpm4NxbJEU10YSu66IMOmW9AwaT4 v9WzVF6pKSxxKzUOt0a7f+jtdux6YOjgFms/i3Rs2VFYfH4g58yI527ptJ4iDP6hykwi20zcLis4 SjibtaUagnPd+ZF7eCmnEMbRbTPes2Uz5imSTLvJ3k6qdizBMlZ4G/NMADGZ4TKekBWSZAhcTOik pgcjLi7yfXyPXZgOFMLVyt2z0qNbO2zLD+sd1bLXtBF/mNrSDeros1ZA+LGyzZ6aRV//u+jsq86T v/0icd4kJxo4V1BodRe5jBoixkmEvIX8GQS+ye+s7FoDF9VtCXAuAYvIKHLyj36z5nydiWBR3NiS JHRYEjEml1hnkrPVsir1JVS71WgnypfCkxU19lonh4CcWrWfVDzzBXq0CU5RssEdze7a9xML3rfq JG2cfYuo8ss3lSueTvG18QIakmEgG36bIZJmvkIRB9pgJffQplA7b8peyaBjGFzrHruzjkiZ1XDD 3xwsX2g7vc9cGRLuDrhV6chmg77peNey3o2d5fU++LmONXRmv062TJ8iA+EeBM7sxAChXaDTvIuY nepDBdpxvMl09vxFcSjls0H0XunP3Fscx5aaXz3QtolhfdR+GT5zoZgFcBxogJCCzAM4lHJCLJjF iKQq6QynsWlNNWXOCdFkSMzWZaqkOSoavV/xjqR4YYrUac3r5IwmLH89BqLx0W7Aerz/Rejwrzvo y97CnbAcvGG2UoXD7Ys4N2khtJULvp5KiF1bcDYqN4+cgklTiABEaY1T6WZdSJ7lm0A6HjamXH+1 /jNBeI7m3l9vbSpqjSM/WAUO3aXle2DgYUWr9EIeJTr0VNC/N6FK56zzx7v5VDXl9XRvB1dnHsSG /xJgzSaDhIvpVW3YUaiVCBy+wj/r9f1s12jLK8QtHE56hV3tryAHiBUcmIhHf3P5aWHL4pjeHKky 3cB55GhJhAlBCWN7VAa5J+2okmbUWV8zQQ5Sq0WdZSYMU20hSRhWNq1CLiv9ptYwaQ0HTTkXKTu1 5HlgtYZLPXg0N9NhmU+ZAglXivGoYNqJ5d9GA1uYLiHQ5dTloGOPXU7fhAAbRffK9lxRIxcBWPpR NA12IFndPvusZSCZuR1mqMqS83Dkv4BiFNSK9ISqxWpwUUtd/j0XaATkE14qKi9aYDCeANQEmtaR yUs5wlhc6ODR5NDqpMBSzhzdc02arYJofZmAre76OvNqFqOeNHU49TDVU35fs5SznZn1uigHROfz t0XG/dZ/YnsMb7QIYjJvJFft9ugpehzpAqF1SLUCTKmQT8z0qBotqh0F6HoBTc9T8X7hAlZovJ91 DMsvwCLWMPWv2gqWZqcmHIyDNPCuKG3E5QfljpBm8C68MSGCs9vWQr4RW2qUW2cNl3Oo0JYjerz1 rsEdzPfisRioYMySZHgHmMWrlL79whLuuSXBTDYjmXyb7kbKTCsTpdmUptXUqgc3yIGQ/FvbRLyc y0JiQpj95jGDpdQw/ohvIUE6Xf7g3di5GtndVCwEgyjK2OvCJLujca8dAHtwl2G6rtNqBFPcGo+M QutYHXEGbbFo+exv9c9MP4BQHKJ/cFYQi32yDe4SYPgyxg/aYvgozV9AYJ0JrxKOzFqnySlvdH9S NML3DFLxTVitA5FtAZsa56ajynBxZS0pTboMzAPPVQhVAISuUr0l2JmLKQwaMHwLnsOfmchdKJAE j5tXN6S9exOHa4eTyFriJFWNwxE6uYyIh4xblRr8Rs5uw4TOhgKPcrmo2pJy/PjIhoyR2M3uu4yg 5meGuogk+seK4IqbjW9z1NPlJ+/MTI1ScVx7cnTcVwpeisyzFK5ssiYbKMVLmz4tOZDIJItUnXIy RR2gP8Uw9ayY5KB1bgQiGIbNx79wvaXlmfnkpniyzf6n896lgVeZGkHwwPA0DiPySu50UO5NA78r hjJqV205wak2gf1sPW6sR1uOOJcu4jkKoOmcFjnIKfKkygRMeIYSzASdRETnxEY5XGFdHjcvfzmY AzFXNzkvOyLgkF1mSGbCU/IXedrRUNYEcPd8B8Z0sSCVfCkZUH7lBIaWotV7KqHTnwGAaiC4Zfpm MYBAOEV7MHv1d2pHXi4gJs1ylvCyO1yfwZtYJLs5Bp3/0CHdEUmAPRRBdK4rpk9CROdgkX9apf5r s2z2yxKIDHszTJR47BNqJEXemm1U+cWqL894DNBNuENAIH2xjNGVX7pmW5BEBiYkil5nMZfPTp2u sHEObxTLGAeieEAtmkPQRaZilK2569AOrAgG3Od4eQWTCGvWnaVxobMlshcUhDGPFVUc98nEOts0 jjSWofcXJjZzYVmI40lc08XCRGkYMhGzrx8+2rNqm3Smd3cXSAtARGpfS8H+ndZ+X8XmZvVtZGXS kcrZJBEyAzK0x9B+dM/naIsxVEknhySrJt3pf795kap8I80MY6RZDTKsjon34ujjpSmSlTpUnD+t MpmuS5Ypr/wBUpvXi3cc1nhfYhpUZA7/Z2K/C9zKTs1nNkzafZCo2cYS3TlCimgTlUGFmFQqcCLn 2wJSaVvhlpIakXpS54Lb+90m2lleoKA0wS92vno7iNL1iVq0R5Ycqu4pp5hZsQhBbzv3BhKchAGZ lG2UVHjFcyoMAn7zuetcaQcx3GXPRQ+ehDiGGmbXC+Hw0t8K8PayGMIm37P1eG5xiTXXy4qYBhV9 KQc0cOBq1TxVexsDiKOrM712mkIe9evfe5jfPfELPQL2cUz+bjyYu0+yvYp8xOnSLBrtrCiLbiqe OG0SIBspaqqnLm8y/qUi7ghHnNGr1P+ZSIbqk8VmzhcCRm3/dI9RAQI+KT2opFmCrgu1E45YjuWL MSP5kVCCYrvTy/0uZZ+fIhDU8v2oFmLnAg9I66a9uNeT5dm5PXwvGGvMpPQ5/ycK5/lSKuzpUXDr BXX0KjyMRImlRyHTBxoYV/s8t8tMKe6NydNaXb4FcB465dS3ToIQHoQCpPj3qq1l4S2HlQiUjMUV x+eE+Jy2XkXUgYFXEedh6QOdRH9XplBLjvSkqghJOrX2VgNQR8hHPsdRDh+GGESEmwec1lmuqSRG X8S+IgtNg4yHjoWn+UHdw4UwYqVHVW0g9zudM0v+9cS9tjghbWwqkAmuP02fJ4GgEgU5QVtTlewc kzBkqArr9uQq3C9SI3J/0qnr8PeBTHw4bfkwmk+/sE6zX9r61snKM6zO2yqap4RY5YXSCuGlIEfe bAeRHrfVtz7RgER60XGIhlWHlo1I9T5Uf5g5MftdpL5TPiDCArlmfZo5WaWsZd4QvuIG96JYLGAY URbD3MU8IOX/cJ359xpXEGDSRhquyFKjhZGKdStzhcj+cMuiPp16vNauAeHPmMpm+IFW6hrbTKS9 IjbRM0OfAEzJf0XygHTK/3gDHuKLXwKExLUHbrJoQV7JU7ajbfQL6wX38sQV8GfRas2DkmxYKW0K EYFaWRqDK91w1HNNBjMmuekImhqSh2BrU9G1H3E1sh3dV6JhIH8bVYgtop/7VFnY7mLPDKOvJFu5 GGXH3APuFBLtzR9HIqntU7e5Dl+q9mVULLn4SJ84kSMStvsUb0WPbvDbisu2WjsAVXZ2bC9QeX5H JJTpav5ogaecVFryO1/LSSUGx8QG7/I3LgXTY4HNmC3zlvuZbs/Qh95oDjx4wm/rRGATUhhhJbw2 MO277K+sdyWsRytpS5E5zZyixlBj9RwfxrZIha7/qkpvKYTDTMmYoS5eCb/5sPgZ5miHRH8C/1Di 8otUlodqdr1GykChbXscLbtOSFc56DpjoK7Zr9ew38gwAaGsjGvkJlc0Os4WF5N5OKY+HdefDQ2r FN9pdGMk7Uq+KJjg/zQnQK4FneMYeEeQs3K0sNtWgn9Vu9PalEvK4p1mOOYKaW8Hd9ME21R9OGgM Ve7WJvYsQlNpg5cAdZc6urTzdlM+u68dMkLvxwvCbJdZJV0jEd5TkuJDg2A1vNa2UQ7mQQNecIPi 9//+hME2OJZLp0AOfrK4/XS+QhtkgoE5r7SuICbhagFWE26jQVzMkS12SzBsXqF81Uy8+oBn71tH CwjmvkWAU5unyt1OuCW4AcgIMkw+igpWEUp7gvhLZ37LgY4usezyolucIHgRk9+8bVhQRclqXlWH Tmx4ybPYCHZ45MiAGWzaWN4FiFigYPXHoqSYbLlyt+/wzPxCkY2n2piWEDCThtgf293pQT+lqUbG KZq/z8KDqTyafzzIYwqkL5rFmRbDGJ8SSpyViilFsrsK1M8rgLkxAe71al2Oy+QCapLo43UvjaWo c03lS+Nx6QK0GYPkFfsarE+swukHwtfU4UDpv+omQ7pOh3lmdT5sPV7L6dk2r5rDp2A/GUnygm4a hsh8Bve7yqqBPvpQksPSUi6PLdXOPkxU5cksmne/BFg44WcFsHvv88US8xJi9K6XcmmeFAYm2JAy 8XRa8PcFsd2XNoDWASEbkgfmyD9A2DRS8iArzIItCCj8ZJiRT/TNPzrUT3NIq4oHev7r1XPfdjMg p9mDxqLRlmA2KWppqPRF+h843eVaq7Nk1t03e85NCauEo/pEurJV+OFYGTC1cIKStCg1wXmcDkbS GnKpmd5Jd4tcgjpHvVYfA93sPkCS3Rng9D7cG98zxnR/qcCxSS1NfBSUeRSQfVusl4U16dfhrEnK UvA+JCW0CSGOCMEZVeLqhjviI5VaIik3LN5SFXP2Bs6gNM+Rrw93dL5t7RsL0i2jTPn9QTewhK2W H+BreK+MdjySLDYfXiHPvpm7lz5eI31HgGnM/WooV2v1dIqjS1GD5F+Qjal4csDMS+bVt4Eg9k4H ne4qwx2k7geiuIHBcl5qsKGj+eW679EASk3PAbYLBiDolV1H9kf5unysTuWOIUUnJ2LiFbE2ci83 IsBI2GdZlQsJEYZveBI1EWBPoHUrIxO03bSnRPHLvS2MfjuP2swvVqDFbcFsBYno0ZfmbCNNGl+C 9wb7m0Pou+vSx8tt23kKxr3CGXiUXipnEUgxY5I35R4yaTR6xDtZnYhze0HyamGFeIeBnXRfd/OS iQ7GA78GzmcifrV78E6BaBat2eSpxsiOlgrLUNZgX7rtPy/EfpJZHoPOf1mJw8MzarqD0NexmIQf bOLGCjt6GzoOHT253+Z9mIiXafgFvoED7y0uTO3ZHr6ljlfDqJHW8BxBmADuIG1hmWm5xHDUrsDD xq6wNNttFPz82l+xjgIZV7G8wls7hJMFSVCr9g5QzblsLBlH4iGfB5nG0/knMfwlKh3fbmMr6RGf YfDigZLvBTDY26GY1nFJGVUKd31le3dyAmbuhGigi/G9s5Qy8kydtpBW7Sm+LWuxKsfhjzqq4Mvh wDNP5fOD/s5+d35TgWggzvtuclUm9djQlwnSjF/voQpORbTBHECmENFZBdGVMauHF9jpUoxVlroY HjpcfLwINWgy0ZcMtIZthk8cVQkgdqgG2BipDyNtzzTq8EAV0XtbOzzWF2xPMvHyinopjVM5syNp m0epTFPIu8l/LaZpwX9MngZx14hgrI67+lFKDE1qzyT7+rrVV1BvYdoJndHs0OIZIqp1iBPPFNXI yM/aBTRnaG6y1Xbri5OchtRCZfmXXgfWzxIS3iYbx7Vgb2paIYfeKGFkeNNz7UVJA+QnXDfOZhE1 o1JExamBa+ec8xMTfdTEo8+jbjNRvoQwKWwMqnpT0ZMYPhyxUNv1YSiJLzm1QTV8wZgqSKb0ByhZ 9UZ4cnCB+4fGJJXjE8EaRcURSrZ6z3TR7aAHANB3FW8Vdg7dEYxkQuiWf03Fpcjg9k15AJafq3Du 8g/aoHSRR0cchV2W99vaU/1ODvos3IzTzVdHtbSm8gAQqyh8YlbGslTRPxu35Ty/r8vdCmTxV+as nVT80qlYjRa+3ZfDUIvpN0PDLSxyS0HntdNdwtkysWfpD2q7yqgE857BB5aVZJeuDbGlZqhDWPYb ZryEDnlriZ2HBcRHzz+BPb2N0Esgd7oQktY9EuriSaMoYY6SssZSPppH8XDVehOgbx5W1vj2zg/V guS/Rxj+cLtdEkKFEY3GvHi8RLrzmp0vGnJ+qhYFi8FkIdWzZkLvz4mFzAowvxnJTj+Dziyx/4gv Y+OYY8/VVo9ZvGliOL1aI254dRXtMAYwOufHXA4jLMDBng3Pb4w7MX5q8skx7HuAJA6BDgXT4egb 1V4IHFlDovPODNwSy9U5rQ6LKRLwtBkCZGq6Y4fkGJUC676wwGJ3CDmEif0Y5xfVMiYPHzeWvmRg dBolCRBYMt3u5MAfX0Edw/AmN3VUCc1OEO0Grsp5MtqYGrRr4B9rmAa+dpmwzWKLAkizR/B/5HNJ 6jg9rBKJoUFmrQahhtg8mTspJYwk29X7WPRi0fLmINrZ6beIlEt7VDb7UooyDfC6Koy2viW4H19f +NMam2FlvgH8DYZSCVwei+nZaGcQK/oMZUmMauHbGc7Eya/2N58nb4McG1FunmUQP68Oj9jcJS8W 3YAga69cIM2ncyDDRO+R7ioYk8dDHaywXut6c7ooYRauuBNQ2K1gnJ7rjistsrCu7B1kpmJcC9Kw GSh9tbN1w130ovICHAJNv3usIlXyOgVo89gpkSWLSCm/9MIkk9BG4iI+1vmOBXEbbxGtcUNqDAOQ 7D3De+Dlsv15VpQJpPWGwKODNTz1fORz8Yi2PrwmKHuwBHkyDhkhoxOOOlVip/ckwqf03n3P2UAE /1y6sn3T+xxIY/nDhpv81aWPF5t2oTL/gV6/pRX1A5YZLIztV3OJE8csn+/8K5NdwuSzAXWKzQQl 8sRuIhCg2GXtWpVwmoH0j2svX4gf8IfUI8acrQH2dB+GCe+l08b/rm15muTMl2MYNHqkEId1XVq9 ff9pULf6uiLApg8VzR8KE3E5yl5RGV7Lsg9nT3mnRkrrzXJ013ppX6BwKTMecoY2KxfUl98o+23y kPCTDbhKjrQW6GPTITn3PMlDf6WGGDnXedORrRYYvSFvdd3GayJLru8CN1i6xhyQJMRZxFVv3UQQ lrHvG1cSYnkKc7MUwb6JpAbWpQD7e2W8SZxKWgNK/RcvwoRJ4BlcFCOevXIYzlA828kZPFoqGfN8 TSHhvSwg1EBS7OnLf9u+HD20APx3PmR8kG/Zc23B0SgzmhN1iPSdUJyAaITmPUUkxB+G4pw8mCUk 0lAeGflx3ANXJQcU+ZLc9DKdv3XFxmoLKMWcjMeSzf8z259dbhe/FfNWLX/qpQmCEcZOySpu4H73 cH3rtHMVH6LsODnrxx30U24YPZtB07A8fWobiUKun+HlxRaIrQi8Ly3ycgYiddeJ1QyCE19GRhGr jbJ94rT1KPi6y7MPJ1xIylhu1uSLjn7DqtrQolCR7YNfxmcDJW3hfQwdTXhiUDY7U68RzWdFULlJ M9335PtsFU1d8IuDHzAiJXP58x3BCxO4o4ptz/s77ALP4ii5gFH8bnVSd2CKfnTDDV90eo/gBryJ tm87hnex7nlVDE86Y1Y9xyCXDdShf8JAx9OaVlW+g2GgwDTfyF7ZyI+otO3Ea/l7mEggcAYQKQH5 5MaVJCy1koB/kXXgWy2/NDPzZ9ymB8yFKOjOMaIhg1ipzf1rX7g9H0AcuFfTkiGH9do4nSsiJZEi bR7/Z3Mvx7ZCtf94fEbNYPF1zEyNL1i9XkYVnalRNmnOu+nrDdupl4H35lPwnYnYHHEaqCgezEdX dwuksFdFxi92aYdiBmcXDaZFrz2Y0PF+RHuPcA54cohoR0qPhXv3CtfeG39SvyzXTZ5ZOxFy2RcL DteKdHB/XMgh4SxtUXNEYFTclkyrbOO+kOoHkI3wA7Wnfou9YRvTido1mRv9se2yGtWrVfxLryyG ZcQ30RqeWyEbKGedoOBwrd/aLzqNdKS/Gg9HB4kSNIXOSO/xdZZsSU/TDTPZR5Ru1jy4ma4tt2Ak mK+fpWrdJ68MOCpMr5Eb+Kgob1aeYgI9gjuLKGegjPACibKjfIMon1t7ACwUzV3CnePHIkUHA9T1 Z9byIQj1RO872gnNJ/Z0TrCdz0GHOxSUd0bv4QXB7CE5ozvAYay5Qa1r9nbWcclBvInN/j5d1Ccc Bp2nkJB8sy/fF5bTOP1aGuAQAtXrIpyHa40yMw9+DsJs2ZQXW8Wvx212WNxFCNeELAeRVw3SnjvJ FQhqr0MkOVk85UAFpGAQ9wlmOMzZVveS/Vi6q8baKis799jMDg7PmMay62LQexKFQAkFkh3Wgzqf Ndh4y7XVphwitvyBlqXqSJO9eneJwtVdiFcSDpMnghTOT3Lb/OxkxdNdZP1Kzr/5gtJeXV0NXacy OWLLHhuCPXm6YHUsxyDTjbjPqxgHexrn2hyl3CiYaLd6F9Ce5AXQhMu9avQ2GVt2JlQfpcwJZ7ZP xHYW0PaLGEvYphy8jNBJ+2NuDMV5szhe1fSvWkzrtrqX0EONLmqhMrMY1pokLsAORv9ArxlKetkd IqVWrHJ0s4VaZmFefslMDAybL9NDAr9H+nV/ojSLdracGAPdHCEU7igf/wZd8mROROiQ9BJ0naIz ktlf1dkAhN2WfwfdIaGD2n5QBhn14dBd5jozs3RVM+TGtUeINpB8JZCqIZ+1ftsn2sJg6/7TvaUH yY/Edbdd17+kjBM/ELY+jt+9NYe4O2jOHVrLmLjNsWrfp/ZmmaU8UfL/EpnZMS9AC4DDLSUM9/2S GAVMffcQiBVhg76fJbY8LiW72jHOnzdRdkZqH1/Ml0mt0ukhbmjHroF4rU4FsgYntpfpe2eNucE1 3qsym3yE3d6j1d828UDJ4YhL4PDRmPqF7EY2JZbEudCgx9ibdBEVu7Hsz6C5/K/9BZ+Bfo3GZoa/ 4yP9w3ukark+txggiqDfvjxZgnyXFLdDGYYy6N2AdZX3hBQR4Zg+FlnJ9qi1xXw86VbcNogrNQAF NxKj9FceO5AKSUyb3IhiU9Zhc5cHk01CsZyPZnyF3RZGM0Lvqmqjg3giXQZIX/MsK9A2attlLt86 w3iLSp66hAUIxiBLue8nQy10+0AoFn6iCpb3sQb3GbR1RAD78fjxiou0nRqA5qi/aatUzKDtRtMS DdBSWgz6QG6JBd6SXIHX37v+88dJ0G0iYdkPDa3KnSxpzkLFHYR6jaWw+BhjWFWdnLxNbxzB2MzT FASnD+937OvjP21LTNBK/LDRpn9KHqcAaTSHU7caXwj9+5f4t4Nr6s46hLTcp2uuRTFDYLjj0pYt Pih+5DXixIxE22V1yf1S0+o6H10LOr8SESL84UqDIQXiFWScmFmlH4x+g+DV6sk+rOQdhShJO16S RqB2hATmw0nCiofmUb9fINbaE5fGzTHxv6voV3cn7cAHBGJhdOwYV+B/RVg6EKGSfYeXTV+WTkLH +l981TgQlJ6pEQ24aZtmAMA68aBiHQsUV0Pxv/l5HvXUx9I3IuRn3/xPT8lRBzt6Dk2joUPI8I0d uQOlChU7yiGvpgQkQH9dPMJOyZKYBlJoredOvr9L5M6+u5pvksO2DU/NFKCn85sEDlvO1rQobERW aTKpwCsudbfRtmphc1E1hbyrPvcaPbqIV9p+FL6GsZbabWAVCwSSNNe1pSZowoC3V+P/CxKwPO0Z ugQP820O8qwtZ6YrU8Di7BTEgGQOaMNj7MZalXlshSkMykrREeX1VKnKQmXEK20XI1fSvSaKko8Q OOFLpDTDSl5vN33iIjfgdWfUAHdl850ktncIhkLa5xxMeb1o2pqYbMtVDFA4cNOpglkhkL2++u4l n521MoJCQzykkFKNUIpcvrKkGWl2N8zN1QWa73kBk4GtVk3+cRmMGLQTVh3wPyHmGDYR35g4Xw5l mruSFB8AJGXeXHZc+sSfP4Th8OdTu93Fk5nubTjdykArsVBp1fY/wPyBGSLjlI4ljyfvlMFOelHx 1n3d47GKspJCmiCfgdAp1SzkhVLU7uUhCZw66My47TvzS9cOYFSNdjXM/Ho2tYUMRuEK92FnFCEn GnFcrCG+RpFmsRzvAxrU2HyegJ/r3Lzj0ydq4mthe3Yym4yXmPPaAaB3QaEtVJRCghYSMRo3zBA6 3XYGsUVNtehwlpXpXZZ8NqZhgJgxXlHtODgG4QIgBBLJdq5zOc15VF0wkqzVMSLYfk81mobQqVez bO9WhgWU3n6VQPbi4m7sHuWFNi5/+mpe1QYdi7cNtpJaCqFfyQbMEJrXCmBdThu5LVU5fpw0MYO7 OzCgSnnR7MqaYlcFa/q0uB+yU/8lgvomW93dvk63GhMdDXvAY8smTLxKoRHI3yrXurW+E6jqsqsH cAorTx3pML8MKqsXFOgCHKLWFQ9E5BbibcfHtnmbtByY1l+8Mvk+poRZEDY8M7K0bIyR/CuydBXN HJj1uo8Skxr+x213bCjqY8OkaKkybjPbplVyF/TJy0fTmTQMHn8Lv1FtDKwl6x8phSfr4motGK/w +3NRs5YiMseKGJ/f6xi/EPERIW4E5Y7cyP5g1PWwP4kS2fgzJUiEVjJLX81DnBOQcdS67edkRSS4 X/saSjjApgkTNYesQRIcWtY3jF5kWDK7EjpiJnBFs0v+RD2/OSLQdzT9N89Qdbx73zbFTlEgHvnL pWpB7R1wz/EkE9Hf9+63aITerhpU6PmC/okHtuDFezwC8+BtwCeo18EoI11TtpKqbqJjDSx3riVd NBKjxh4CZ5lOL9r5FTzVxlxSAPkclEFCB4F8nNRPl+csOS/kc+K+4fUNpIUrvzREvD/Fqc/abApJ KK6PdKKv7XZ1Gp0oEquMg44EIeZ4bc4Og1epqc4SmBLB7fH08xnt8+k1V12jY0B/96Qd3sxytZos cTWI9FBFv6KVXhxk7jxGJdd+FNpYq+seHCrzWTnWoCxDeqyD6Kc21U1SYLotiaATaFUYEI6/fLpz FQxLYWQ9eNa/lNwsSqBZYxjD9ApnoIQKrgI1c8csbQHyWTsudkoXnctYMpdQYRkf2v/M6o8E7C2N wybz/Tzuz7jMkic08KFeaghqIsFsJzDxIrvwXe2e16zA+h42sUfmSo5A1wMH/Tq85hei4It1Eqdo 4yOPxmTmUVBE8JmBlf3RIbyBsWjzMQg7Sh6X5SzvASgZfQT36IcAHL0nL/6nrlOxnlNWwPvMPGNt E08RJNSPk6vZL2o3psbb0Kbggdc55nXj7R76LTfqjdbyKJyvno1QwUY4CkMHpIus8l2xHismE49D zTngQcwC0MHWIglqoj/qZCS82t7FC/uEQiwpCQuqGOjZFu03/Qg0B/Jrz6a+QLPA4KUGX2klxZiW GBXQSsgl67O3dOWj6/uft3tqCVJqHvNDHZmjHZkAZsB7NX8aa3OnZowoGo2G6Ih+HYqOjBMjQtSz YvxDkXouf2unlVa2LpsEitczjuIE79OlpKGr3HY4UjAy2LF48g9SWSl3xiC+b96mSVwwa2+x5OuW f1BJywBkoPg6Gyiv0ONlX4mvhEFt6K0lOvQPOUjVRL3TUpvUyLl5AS7h2qJox3avTh5GGVpOhvzy 2jliWwiADg0D8YU3LeItoI13rfw4a3Wiu/cTVLRRSYmA8zC+ll4SKrWy3O7EHcsTBtyo2X72yREP vtOVs+rMco2t6yvMlGxtGhPWsHwwt+UDHV0gV0A4dURp51DykzmvOXyocBTt81twb5qD4FInUTq/ JHIbfSX5hkrInaPwkfgt0yOzkTkUIvREK+bfwLbCpnIW6ghdei4EbeCAqnqrHiD33TUzpRqhWX9G RAx0LxgMslVl6mSV/wfU0LUSDH5VZUtsxo0nUohyWW8Ja7q6nNDfaMVE+6BNDndNEFUJGR8SjHuw OAwOUTSV29trGcy9IuI1aXQUt4Uu1LZRLRu7058rWTze7zrAe/ALDWWxIcdQZPjnmRLWI6J1Yh2s 2Qrdk01KueXuqCXLvwoWbHhqD3TDw3zgUbulXdIsaFiKCqPRo+2+pVR5tzSVtYc6WC6CXZq7JeDU oobBXsE/hIeY3XDAb3jzR3ZW0jhU8zPJm/QjJZjQsz2h3jvxrng6SWHePBuOaHK8ANIb8DGlBxbm Qokxb3JLyaZdATFE+DkWt9mwS2uOqU95SCZWk3kMwgZttEiL4iYxxP9AZFM9RXb/v6k8ClmKJsaz 0E3YG+0eoF6UfFu5xfmmxKQXFfaBtxRlwrq0d71xMILwtUv5Hg097y7J/v41gIypTgRwNiTGDAtP XKj9+bdHq4YaMliuZwzwQUaWcu0ZEr6o9O1U2uWV0+Q6Qt0YW3wjcFu8Hp8wRvwypJfJ+JvmZedq /j2bf9gc+EXUYuslz2MnO1gNQbDIdzhvjmAS5ZLs0PZXthDca1IU1y05gRIo+76oWkEDHuOHLUXC MvguqXNNe8jn+QJuhOkNihLB7mN6ysPVk/MB1+aQdIkkIKwx/bst7XuMBdYAmlEinaS90UOq49G/ 2fAMMeQz0oQNdPoxH4l1DKDqTFJy8AGQLaPyWDzIq3egr8SlLrrzfs6YP/h59MbkuvyvLO/46C6G lEF1qbFCCAEDDu+O9mgpS5zk4IvqYPgowwOnTSHiWwloMncQ6oDExYwmXkUENSNd6c/G3JMaVBWe pBQux91lPxoF1mVxIwMh8dru5x7bo5knGqcXC0Nju2G4LvO/Aq1Q4oCmjSUN+Y5b/A0HIbJFdVr0 13MOch8vClLiu7MP/4vCDnJ8X8KIdKSp9WTk93y1wwhFA+UHL62gDIgs8HZ2DEgZfXvmjJs4I36a B1LtFl9Hj5IbRGcK8uwabBtvt+2JmUnT6ePxrU96un8azrLwvRzabVOnTDCxdG8VtxIt5jBzEYzo 4ilY0aHtI5iktV0hme6TexVxNvE+vpeCwNt5apyVKxajGXPTvvmStyymTigeyTOaAFzuJFOocv6F eIO4HgygNf+XYiINHN7QmxhFGN2bRH6hyFRD0qwPj4zmiK13NBipJFXWTTgIuFNChMCooTn7xbov 5CX0IRErmRfgPpFMNWlvwiyvZ1cuumNftFHl2aNDJAijH2mYB+McUHjvfEXq+solwJSxqxb2cZWc UnBM8AWxZKJbb0hoyjSAA/6gqxB9IFSmNEGtp+u2sK4nf6ESqMcITHTmih46gRF3K9wkCFx3hCbN SPkpr2JpxTnngS1Zk8CJeBNzHpP83H3QrcriKQ0YWGxsLj0t/sjEAvNhO8IBuQjO+IeR/FaozcWz wFMM23Q2OH4h129aKJJ1IwI4ufoz6jkWE24YPISO/1lvm/1MM3jmvzHX40lHMyYM2CuO5OiHlKBb SL6AsdhGPVABihAtqgUj4W4Du7h61TBExivi5eOj1U2zzmkHSWGkrqN/zJTiUiTDK3CC9sGlkE07 8IzMGn25S0ZRVjByKPe5gZE84X3jmG2gPPEye0gdyGSwFZHqm2QHBwDQyETotF5Bm0+sKhBLbJsw 17TwSDfn1j/1L73AnvdJCtSkyjAa6u75OzUVYehBp5eSNkvtlxpc+1NnIImob2D0xNG8Sdcq3BUu aFVBjxGnsx8S4M2LeMXkso74DS/4aBa332+hHoG7Y2IP/r3RbDY3ymrFsvpz2hEe7zXAuq79EEKS KbD9ibO9mBxe9KTxoqOg/lyjdIlHgsV0GD3aojGUsWqDX5u8Fu7W9F50qLwU3Exzq0ilkBxEoXm6 m9hY42aLNtiM/onoq8bojKROidqb8lUvaWLmJ7UoE6MjJvSJIZalAh7aBpuX7X6DsoMgRaWeM4Op apPLDbZHPPHrK4MSSqZ370v7H7Xx4Z/OwaDwk11q3ZrlvvvtupwwBjR1XZddkQ/ww0sk9usDuNSt 0FBv1Jcvwwt4eGA6+P8H4w4EPNxObi1fLg3OZ1PLr1koLkhKgPsXe43OiKVb/B5jNH8sb9Eynm32 USGLm++LkDFjpaXe7qVvJc+pRXwpKIunHh2kWmSlBg7WTOdrPdmbMGeqGC9YYMYNIVA9r1BuFepW 7Ud3CgSsmUNwKdWfuIsxNoJ/nXV94fMzOyhzmDsjQXlIDXm371nCi4WtoqwLgfm4GUJxHicQga6Z 7KXehKon6uFaobhhwSlIH5urry2RIncho02JdPUJecRHDiJVfi9Rcr5lFNHJpEa/Ga983Qxhhokt BY936bpvL4ljLOVyX5K+hqaPQU0JIYmCcE6XxmhPC5+8qHmgSzZnwDoyeOypCPLcMhAUZ8dEUyZr LmVBO+rjiR/FwcYY2UaP1pv2GWa9Ww+ADE+1/X8VM34YxueLLavdaZZtCTXiq/UyqIZ84UoTr9by 46+Zpu6jSH/D4u6F/yeJ9jE2TR3+WYrRWe2bV1YLtuGadN9s8a6ON/ZGSQUIaqIlrt/N374z5rdA X4PcAXZUDd1T9WASH/0dEs4Mkuf6PgCu3hCbRabXSstylUZ3l4fBaXD38HayZZXYuJj/ZIHM8/UN v5jcpwmogarQn7U9tkfqhKxcSzV2ORDEjjg0VP/sxU0IQmJ/y4ZQzrCmd+JhlynrvARzxpFzDgw+ +nOe+9a2vQhUNG+i1GQrH7jFrgiJnaXz9Q1R6gATDrpG9vDlBoy1FNkUkIKWTaLDUPme5eVhJVa5 AsPTenI24pyJHLIt4v7I0Pg9lVnfziEIH1hlazVeqd6o81tDMCtIP4w4Ea34nMjdXhw6qf55QQCv ykQgvyJRevZUrGUZDdIfAcKrupyvfG9bPDwnQo8tXLJD1l8QuQIPrxwtyshN1mYbgcghqYmL/Inc pzHg6UFw9HUCY1nnQrLevQ0Ej+aIBbvtdA4uogpDtFDZplVt1VwXqsNk9WzEPn49XcQfiPcMFZbb CHPVI8gyNUsJVQKHtTt1em9YKP8OG+ZyT4i5yuysVigS/hFDt7k2xzli7eFiCCGNYqamf5qyc3Dk RskBxqbnpYCW7YwtgUvLn8M0DbsxT6mTgGnXqceGZ9tYNoCb5n3Rn2vnO1wBpRzDJlgCfM+UBlCT q0x9xBN1sJDkquu6bK/5nsxC+imI3a+4zP7rp2wapf/YmIxGm8j6YcrQmJ6P+WwJU2kyXYPVyx69 zr0ODrk2x6HZxohIHb7kwzBp6Do4Qe2igNGbe2tQuXUsxGm0sjjW+L4buc3dmxh4guhQSuHgq0TV jHl9CT+dqLuf283z3xPyWRKzC0DsZYTwMejPbtlw5MoxmZ+6RtDaEb7qXGO0qnMwgPHCQH2imw1h mQJxBGUy/M/q6mfP/38XDH9xU8ahRXskE+oWARZ5s0SX11s83Ju7DShKwIbQnoAw4TqIT8PX2Ysc WYgM4s5wu+tjjkfrVth0Nz8Dz24R5CoW82L8JSEpFya0BxgoB1KldMsEb9raYe/TrsHsn7ZmR+yZ FpHQTHUUgrAj5LohoKW/Wgb+V1cype6viuv6x9YOI236BKIFm/gZOF56+9JvVfIpNgrqZJU2Iey0 fRPWEdSqPZBlZzOcfJdEK0DiLhVM1QodE67xUhTgTgHhMNcAM9BVOSNK6HPSfL/tpMCL1k1kZKbB WrcLEV9dklm8PoCILlaK6K0AXW2PP+0i5X+DX7+eF7UR3W5/eiXAOTdWcDL7yEd5Yma3cTCbHIQo YaD8anThcl8fnRR0MADudMZkgG42IFTcLKLx1xJAB4ayQ1rqDFnoPEFg43uFh5hNw840r7qyASdH USRiq8lZszc9LUWHeVHdHvNqEPMhqYJOMcY/bC1F1fiSmKwg3QpDKJsDkRglcolA1NQcRF45GMwa hMt70IltMfjRevbnX5v51vNdwbk9X0Lveg/m521vAULM2yMf/cLn3lAHJx7qqfKXoTgSGyoLJwqI kc5/5pc0WHa5nHpMZJ4eQyD3iKXFCkRlH/t1J5d3060Oe9zpSsuJmLgE9jsawRbgemrex+TC1q1h aAl7ETSeGtnkiAbJ8JqGPCOCEDvGkOYfGPraSxUG0zRzKzmrJ35t3pDtRgr//FMMAM+76DR0Izu6 hbALzBui3OyTIqrx7GRMKPk5ZUZP2EkiV9/+x0TkjSlHzGkE4XvuNZ5zUAmXPHk3BwZuzx0oT3kc mb0ZVDe9xP58SqrrFb87Q04dkN7H8/s0XKlnzND6GmASmUh7H9KZ39zWsinJgQasvh8Xx5G3GnsG Qb3O2W9JB10hiSpG6+O4UAxJPB59Vp9bqtN49lC2Vmww5SsZjJ/QPumPv4uAVouqwIu5qCH8Jk22 jG3z5wokX0F1V13ls8RdyjWMdFYScHykCoxO22CP9qF1yjnoHJrnOn8LHSBvXqCZ2jmBHTipprmn Q1GGtxAnc9dRrf9XvCuOrNRi8grLNfGG9QSq+MRJUgwuzzBHvIPtI2pSftSfozMFlW/fpl0Xsnae ImQildVml8WqZnapdd3wAbQ719uWiq4MqhOm4MhMuTK3EzQkNu/mJFbgFU4ybv41GKtHwsN8p/K4 Xsjx1BdqiLDF38Q1s7Hn5g4tsxsWTgHG+Wl36UfCuBIKZjZMKEykrbBZ/CG7qsRF2CIpagXgSUI+ ybfuVDAKerkT6WxMfJdb6WiQ5Eb1HfOQBTMvg/smz4pMcqxTAeiNpPnisTig1RckU/3ZfKKPHm+E 2+7zAw4ELFCIVc2Nqx2nQkH4QQZySNJ/jorDhkn5RDDICEFzt4SbeweM1oqtSqViuL/zJuCe7VsJ 6HUYSpDRZRUI+LtK7qFABf4GXQ4FLmQOhq0QaK7b2ykqCsaHiTjppdK8rirOVSMnVoak4SXSXqGa xxFSFeROmb42iV9JwuWH7DbsduwUD3jKGLUovkM+5NucygiAbs9Ybwncm0LTfkkcXsR6XD1JukIN JJnFqCCLoAtrjFElkU281zu2TDmQuToF9u8lapiVHun2UL8C8X6sCk83NdHzF4UWmtEjh8UWtEQR aEAZXArDmrFu6jeDaS3cqCq8BOr4+9uqRcE/E5fcFl6CPw0/TxG759m6pCb3Y2RfFfsnWV9PvciU BoDNYpLEXO22Z1obkrOuhpX2qLzFHCmScVjb7tu70PP6yRdHi6NvrxEo2yDQsmONFW/5hL0MCS7o vRGGsk/o/d+PLee29r6hbdVG9s+/OJF0gB4e6u35lMdc2Yr1Z8AnJDL1w4d8mCZz41TWm1K0mm95 X3dod0TFCDx2FN9kwfV6XPcHdRkYpLYnWKTVi5yV/6tCnaGPIyNl47xFJB6FixmGYfQqyhVPa6V5 Owz5C1bIirKzrerR2/7Dn+9YXxO2URd55sNM2QckheCRytzO6912Kw7Ay+C4cCHp/fxc75/7W/kP wLSCSZb/uVHgyTr5JM5LTfjyUgApaSefc13jOdq2SPIOAx4pOxCKpx/5jBub8Au2Y0LP0rmx8rU0 nGWT8bFcRnQebrkTQiHRZJazZwfRh4MZcw7XyKB8JfWkFWnVzCDa92pIuiPf3l6ZeJU6gCxI0jxm 12R94jjkdNAv3ePwqeWS24A9pqmo6Laa74zZ7/svJrx0ehuRK0GR6tk3K4vApIg2yGpnsO9wl2R3 AxaqUEbLNiFO4PXzvSFmaJ4iu3rmmziNXp8Wl+hbCP/hE/wJnFu+3UTqUBdiEcSUeWKdz93NXRhq 7cpRm6ZFuzbmEpHEoL1YcSDPFZIP45S3ZkUEn1yT2pUtV/YlssWYhCBUe3XrNTXA/J0zdTsBDRuk OnkrZj6FcdSKs3Uqih+6Q8gwNzbmQb2IRKArYyr+EHCiIFvRXR9iGlKK0+5LzqkJSoBKugZueL4Q so6i7HwDU23XUReBuHiUCxAn4Y6kyVhwOTmcW1OHOokkN7J6RDU8YNUkuB0XgLv2mpJgkCVZeN8b Z11IW5XDk/tW3JqULt2//N07zDZ78llCvu+0jU9hfb0NYxBQBeupbABb1ytfotymiIBkNRMigsOh LcHbDhunYgH/VRzr6QA06dxlWiaPhU3WlOWbCNKajRmJrENCObfJZcPR9Pg6n2RrfABFq/Wytc2D pxAeDF0ENNAn72260gA+gSoSSDlY/v64WWxceitg1MYY1bPyLF0QQCZOJXsPSaPkAl7XTisYNgVt EmuSWYdPcYZWlHSDcrAksf1j9/CPeK3NUbjECyU4izYndwRmFM0WzaiNILmNZhj293GnHtPdo/t9 AA+W2/z8QrGwMdBAOeE3mjtlAPNupllxDRUJmgohdBebG6Y5PW/LktJZFkQyk/DRMfkZ9Wlu6OSf mvsC09SEd3AxRLwo9qhqKkgdKiBKhTy/qRscuPgY1sFWfVSfwh1d+HWb6RlPYHT/JlKOQeVR5q/P avR9E49BAoDfJIb+Pjvb6W5wsUffW1U1hHlvzR/glP73No0nMUssTujjPic364VPvN9khxg/3dD9 APLZ3nPW5cf4X/iuBosOzr0Uh/RdQwbmRUxbbo6f2OeeB92UYSl5I9Vi5y37hO2r+LazIJr316GA 5KEN8EIfsaoIhjmXqmwV1Cp2cRvG6xsOYZ9nFu075u3fW4mfPr6mk8w6T95huLhP0/zcJBTsUJ1Y M+YKDiPJNQ5RSxQp7faIjdSUVVn4tQMkF7+iYLIQBBya/qBwG80XYj8pAzK7h7GJRCZ5sjNYgCbT uZ81gplm4fqKWRF57LzL/QpdxFiom8QBvg1wDvrXe5NGn3gwE90hUilFHg+qwrclml7KSW30xB/h NrZ0GyHtBVZLAr+K6tuZHAmHbC3rAUG7pkXxrOA3LWEw0RU6uelthAx1W4FMauxPXDdETxJ1xmSH OEdvkyjIm5PhO6Lw2vIbyAVScEHWnx0ZrF60IIF9M22vuh5UlzLJQZM/KA5UhZ6MFixRGlQeWIUu rKBbWa6pnP7vS1GZwye1WQB1EzTOJMoBFmmTTj3t4sh0Ybq6lNXCQw+zauSnS12irt6UcTuGOURq R42zX/8jAOvXEDvBsThxGK/gJyPSE7WAW1u5Kg9+ZNceH3tsdeYNdvH5mof7jx1mcHkAE4jl4CBd L/mNBUArlDrvvdvxahjkHPGkBRpvd8Yi+2HX4iLRSFSNI1ZLrvCKzlqpeHVDPwXjFZ9VKgE2tToO LqZYyUg/tsKyTYzp4z2HAXOTLaKwP2zTTIYAu/Zd6otmWoDBnWVH0R8RzdjQJXRJWvypvPcQPiek BrqNlVObXFJjPawihEEVsYZ8/C/tcEfq1tS/YbRF0xks55Rim69oRO3lbda7nfAs7LJNjhFroKP+ a5ldsRBfc4fdH0fwJ2OWRxy/FzyOoQiHrdlORfeu9GgHuYVDqBk9yhGJysinaCpOfi9TM/9x3QZM Y7E+3YLlLunxPgQuokwP6CS1Pz3MnEVxKPKBnqwBwoFRkD6mLzmSb29df7QZ4+CW7MXUSL0S0WKV +3d2Sb6k7A0aOQiGGLB7FiwNMa/9gBoINYruoqWVP8H8nD4RokBTW2Wkr0JG3fpD6xUbFcJ4MTPc H9LJRc08KOB6WFfQvbV3O1tyGz9ZPa3WTcbewbc4N6eyw6Q4pxIUNDcMVLxKbD9kJw7cJqppMdT2 LljF7mRKb9iC8pdNXp6fXM+XK+OWB6/pJnu9dlfW8uKOjT4MaOnTDn1cQA7/r6/saHiVVdQHk+B5 c1ctJkc8pShQdQo56Zrq2O+s8Qost9Y6M0P7USac9QNgB6mFcDKtUDDFNiSqEL5avYKJ3CSNxvgX bu9k3QfCCdAPT0xZzJZnvxNSEbKyds4Z85Sgvk+zXnOLAXkLrmzMjEj2vtNALMCXkVFnMoTHvxoL AvF7PnD78PSqFRwLwibV7JWz7Q4zv4h9RyJY9E4XthAARlcrAOx1gSJwlkNRheWGiAmtSsMARDF8 PzgJ8LvAunxl46fKd4txprrDZkO7VqRoHC8MGK2V2BFC6MpV7SLjWzu1gX5z4m+hL5kfnEbwlMsh EtzCnBkvDvFck01xiW2Ma+jENaKwGqtk7xXTjHDC55WMut7zwsol+zNYvltZq54Awn0NnF+09vVL vTBhSLjWQYX2iYwQnUhmJ7fITRQDRF0uHev3EIIHRBDfm8HncmTateVnZbtzVdSm4Xf2naE0ucWJ 9T7ENwNjxpY+FlwLkreNJUyFrkcG2BEaUGDddUFwl5UE2huKGM8bU4pWC7s4zqG3PGPMrSL+VXtV Rl/btEFvUjtgPeK97zWcaGJxxtw0xVzfomZp1iG1O+j6SirmpvTK1tDwP3a/ki1ORCVm/cVm+DRW 6D2jWAmWvnCCivW8aCGIv0gyyVJZmXPmHpAMFLvsbOvylrWxAgOdQFFnCYQbmXA2YqmS+dwtqPnm 2nW2/2cr08KjET29UmT4lpsE9NWyBFdXaZhd1Xs07oJpC9qn4aBh4PXPhFS1K0kWzdHQE/gywXUS qtpBjNRaElq+RulXsH+nTDi+B5Za8Csm8ch5Xn0UBED0eey2OOQhgP3RhuhNJQO84HzK8C8c8NOd caDdGQiDA4ganeVQoiK26Z8ONOFdyK9fN5PNFmLwRkogbM4mogoWTG00okZsKQpJ6RMWIMsCcxTs uihcu5H9Z6TOlwh0MStv+/iaVTa4b7T8Kztp0GAhBLhR59ac5Wb1rckscQF05kaIqgofuOToLCan rX2j90QRySt6vYyBcVdcuSpEivtqU2jqBoqffX4Nhtr+WMtmfV9R86l4i2MZv7xZiABe9P+gb73w OAuxjcBomaGCkJFe3HyXheZsTzN3mAZd6Y96/Op0yG7LVEDDQZEpNE3k0p0rYomk2nPosspSa8vv 5RDHhqyFtGUx8F4dMpiSYxsDpfeT1lCMma5jlx9VtKW77oU0x/Vjtcf5zQKivhF223BbdApTn0le 9Na5ejUq6mqW24Bc9fXVym/undoY2lM+qIphATgrnpkgiMfQwpoi5V/AIzcTK9I07d6deoNZr6gJ cAp+dvbC4FY3jkbB2KyjUhsMzY+cgxQTaMcUAm3NTJ18YnvbR+7zmlPW8GcYVPhnK4WU8HNLTIaV THBK1DliS9GPZ9tpVXz8I5YM10PwT68iVCZdh4b+T9fhrvcZCtxJreB7Z0iv8N6gVkQu4Z/kqtoH HUzmaFHgVNrmeEJPtmlMVcsWuGBJUtHtXqj/yHWc6Ef0fvElSXpzPNiv/pBZtaFT3+ECqGZzIHPE hSQnU+exK5RMPtpaNBdL/TrRcQ5puWlgkB1TsQycwIuekw18tPHHd1H67KzZcEutimTaOuexgbEo Mmuv7GxIlunNaDIhLW5p5TfuyyMd5tQSWkAWuKmDN8vfcwHUOby1FndUSCKsfxSLG2zbdKS6T7ix yugLHnZqsrTIKa/CaLSa8iTT28F7Gri0t8k8vQ7tGjFfmnPERZAhJmtDZ6DAETucubgalMsKPnOV J0ioe89VyXaayHYSOCt1Ow92q3ClYk1JMQU6wS5V7WJvrMuYF7N9Q0fAjs6Conui/hcKBrGHazbQ uxT6WapM4bmbJkuA9QIKCOHfWZZyvLrt4PbzD2HeqiTsYg5nknn413/kZG3uVdOpf3cY19ZwFo4/ 2cjbQRFEsJuUaeAnayWRudhwB/k+w6h+1lMPpR7tZyVyXVNgQyX0MAJuNQwIvFWjO7jM1AxzKvX8 SbrOnU65CS5PCaEsCUYcYcXhC6UdknEFYQjRA7pUdb88nGSQzZMBUhlb6Eq/A+puCQAfBOKs0KJY LdeSxNV1W8OF/0JZrbRW/zDsNymly4CwZE+xLQy+mhB5mHuXUBY/ykXs9RXVnFVi1YqQrLkjigek D1EzN14iRezL6hQJfj44ivBEV3f04vRhjSRv5w8uCK+T1BcyjAGqung6uNKOUBishfDUfMsl7201 E5ntDDrcQtfj6hNK/fBHbBvHD5ove/gdJWZIqBBhCeNtjKM694cFyYwq0NKgyEZLsYDDQLzTnu9J FQKoFKadcmQ8XpflADMPx2inchUn52E7m2GiDzK9XiLER4OahjihPWcqokoscYyc06SOhXjJ0bPX 8FvjjXBO8k02pKWheZbICAhw9xjqk3ww8aYa9ZRvkfZSJSiteUkjQjs6dt+Y+GxX6J5qP3yF3Ted d3bwU4xAPG3L3ERiR5PtlqUGMDAJ5API94l6N8wptCCLl8MdDzkqzW+r2AG7d2VJmTIBOL9vU/e8 POwrgdP12eYJZvwy6M5FvtZBNBItzRJr4zPgB+VE/16/hgUIVngI1HSJxbQy5wy8/a7wmc+MeGsJ YY7nIorPfc4A7WmUQVDBVHOcQtT3UutQVwmVEiQM8Von5CGYoiY9OaFl3cDTQNfmLdSGBFgYED16 gzJPzjXW6A9Bb9L9144GDGYvd7Rla5uy2+83Nx2Uvo0jbc2eK96ro06G5c93TG3vYvXpUV7c7tnz FKlHVLil+DG4sKH9NZ6WhDVONL03DOotLHTLEQqAizJUNKm1UY5VZLhU/RAOtQ21UH6YkBVuay/D E+ixlre0tkOswFc/ScDKCBJOdC3kcTqDlnH42CruZkJxvzPtmUvPby/3jrP4ULGZCjembRV4Iyud IJMxvi9rBLbRPDERGC5DzdB15uCG8UrwcURh+6jE9wLbLFxeQuG2hXScdDvvi+naAN0enedVcMFx W05FWmDa8GYhzAZpx+U6B5Zir7dhI9loHhWb3nC0YXFnOkKuqgs5UCjxgrtuMv0QQO9PCZmaBxCu c5UWqd3T9u1NGxFxgsaDZEy7XBCaTGv28Ka5Q1pYc5TISQQz2lDfDMAhi4uTsLEuPJGJ+QfQZ53s wK3Z1fePKhTXyJg1sRITdZxWwyNz3xpB5HkJr/kIYgkrjhVYtOGU/ZG+k0KKwahDVdXWdRXxTr2K qUjXRJsAp5Sjg06fWpKlCD4iE1yjL6Z/xndVBHjxWy2oOJ2KCgLK0sVJMa+fn6Pw3+J/MOWy5l1N NIt46spj9JyRwwD8t8gY7861JcWIzxkeARVBJZENk8owAHHghJkbsDNwNPObu0f43FvU/Xc+61xk +oBUqC6vArUEHKoRFCBxj9P9AvxvpWx0IJni0zo9LXFIq7zi4QiMRL5fi8qH9IG9kmpDwJrRLyq1 Gw5+S5gM/N8cpj3yyjm3jHejcLUs6wsnXuHkLzrdWzVat+jfb3UwKk9yAdlhRVKn79ZzJ5moMOlo NtvhixTcHnux3eVBhoujNhUl7BvI4svxWIFHUlpnInfn9E2nwWv1bNYjTWKoK/vd1gZwNeOuZsLl lCfunNfsFNIkzL2ShzJZE4RkDSFkpQmiHjrdf/KFRfPQN7zuypFApY9H7BFSOoARve0Zly8vb3uc 6LhR2AWRr1yNv3//2mYFh9Ca9ZA4j1wQ21ZmpVK878IPzSJ2E5fSq0uEHZXHrNFYsvbvercFWaLn 3QK4XB0rUwoh0wAykawQfVLfFVnpbWDCbKHK/od8wqIYgIWawmBeNAPyE8K4KBTEHV/GaGOSyakW qLH5o3nCqBvbJMkBLozpdCtFCtTaMht/l8qEO7Qk6xcnWgturSDs177AkxEarfK9HpsmJVHKFOuc HMSQ3Z20IAoZh0bbK/bCFFzJWAc9NUcffVt4ItAo3rekbBf6PNDKeb9OgTUCUwlOGCeQubIK/2vt W4vxKby+3BOKu5OVS5Ffue91pRjxv4HEcZf/ZRardDkd4R9sdZ60R4ML8YfIZAw97TRj+8Di7Yls Kj0EC8DN3lpFrSLn5W2r6PeZqxYK5SRggWBVWja4cLatZi5LGaIdSxDZblXjeArEmiOuBTWh4Koe 2+o3UgMnLLza2Wtvak3JjtVJxjELI9Tl5bDWMScvgkZxGBjvRA1LDsfyDhv4OlyQuenhf8J2U7YD PG8LHvy709m0HB+J1g4Yy8XlfbBtmcdaiaYDbRi1B9KD1GyI4nf5sZpgUs/FaJbVrqJZnfE/nz9n zjXJUBjSou1AnxRK983iRD6VyNVoaUxSssnd66/E9IQ1Ii1TUTOF8hGqKoYwPsjzRNqpnfF5SLjF idSYxO7IE5vEVEKupwZY9qE9Tb5rzIDy/MxnNcudBLac9yFsHBzjOQt96tjcvmPFxm5QCsprrqha Zcoq65mnmBOmWSdQCvlFCsfWm06wxwyf3MDFPVcUf3ctFqOztLd2CA8ZrtDqg14VN72w98idaPQN MfJGk6LdbLLaxvKS5ZsGthxnB6xmusu6qCzdX/ZHmWK9Pn6uFkF5oxVOwQgKWOOHXhMAzMyRESle xUhBII+UkntAPz46Jr9TFvdGQVaNCfi0LJkMWIHw/OaNBV6fcmlG8Tt2DPOk4Zk0Xa0CrwCuXCZz OGDperpvUhl5szx5r6b4lJa8zUhxuN/Sp4Lxa7e1//8gVKQVrPx8fKsZLyNpNLQUpwSgejt7LBNe 2uSElPnqHCPLRS4J0QhyPZCySCGAyo2COYXKB3an5LHTrEWye77wdc3ODwOpMroZS2eonpRe8qPQ EsRwE7NGcEMbAfUYxWRxuhEvQTX9U5tVyxp3m1C/DzW3oTO2ZnLts/l4F5sdmtQiWha4mJzU4oaM /fFim36CfHiNnj6ctpXpxIx/fyhAUCdN53CAggVLH2duHZwnDO8rjE6X0PhvNurfCYu0yrVDasFk DnKtme6eRcnPpq7WAz2wzdDWm1sxL4KD/VZuOZAOcF7/CzoIW5yv4RO+KawErivoXD1MC6WPPV3W 0jSjRk1RoEMgnCmMuhUw+v8wDuYN9c5ZA2iqwm4SR78zoehz1wqgFKE89Zrj3/w9BAcddcNe93mt n/RhXYrkU5jeQUFz9IvIvTpuWqhmwk2lOKF+UUNZzTvgwcNZw4wv8IMiVFCgjsDulOjer+9vWdPU uuq1GJa5JDSQjzOBZCAxOZ+sV4caR9c4r162tmwD3GhoOovwgJnkVepF/L54ioPYy1MwDQkP33ur IWDRzOnNhD9JRdq5leRiT2qeb3m8G1RSBe+XCO7XLGvsfhVhAZ0D704w1WTbbz2X+9NTZnfi3liN Jlc/aP3GnwVf65cUBYlGQXhk8uzUxMykFBBIK4/FTvV7v22rgcHBueVj5u1gZO7ZegdWvyVQrJnk kML7Jjiu0nYLFRq5HeaZgEXl57pIKZNsg/OF3jq1J2NKRcno2DXkcpDf1+j6Z0gQEqo88mcMqa3t AcAstviQXtwO7nxkGRGDXx51k0aEK4s+HvGlTWplB7z1scUrWJYC2/04mhfsNsL6zcmkk4r8IrUY HGqNSoewoHyrZl8AQAIaMhBChBOzJgTKkYFwUTPx3sPwP5ROTNpDofWIAeNHoKyVblpMIbTKq1O7 WtTyEmQx/Rqvb3ZumkJqIviVCiGsEKEZ/3EJjwnsj4mTfFWMgTNrV4LRI5Yvw7Wf970kOg5udGgQ 1sClBXo1l8F//TmG1RWLdge34G0lDMluX7Q4ryeV+9FHp+lm756G+ie9ly00LnYx01dIqIZT354h f9BmMi4WaQAm/MUomsRzk1cKtEbh3xf+8IAInMR+thItBZBHFdcS4BpHAH30ttk+VrBANDlhKzBG AGfw2/Gb5JQKnQB7j8YvsVwzhm0jOvqEYQv7FKEN2U3gjIRmn4lBhuAyC5TCvVzMwAb72VjgEwso 2Vs7lmWkUJya1ddo9bHZNKZ6CCdmBqYbQmDeyNvS1uy2fT5yCx4c9S4FoAaulHbiRlaWjGdgrkCd 2kpjWJwrgYKEfUaL1qD2DxtB9BN9adYHiH59n4hka9G9onDg4yzYtIxPXTHpktE9HTDB9QMHJuoJ 0gIh6UUqVZcc7+F341Cw1bURKku4GNKCw4m2U2E0NG0UUWAoO0S3eaoUnyLSiTz9uqiONfmuRzuB BsouvrZsN1zQ4sce1uexhmkl5N6zJi5luunE3fwjgYWn3yZXO6uttcO8m0sLn2/JBuWdbtyydIwK 6XV5NLarYt+tmaeUgaxpyw97elPlWAAlK+s/rgatLZsDXsqFeyN5KG9rLRn82Rm3KqNsMx7Em+d+ c6p5MR1NAm0mXPFd7jWg3rGtvYHkIp+KSn4TBdsDpK9ayYosCH3uWCRIWKHyrSML1JX8+0lbspQ9 CYeZPBCEOOaXhKNB33GhEU88KEqrC+BctlrObNZQC8axEiFDZn6tHsecuc5DQb4ByeOMGtU1lrro K0WOjBnXifrNsbj42uQ2EVvHv+6jg4Lm0aaZVnEoHcvc+DUieDB3lYzTXFFCdojm42iN3G3eyniY coxeeh4h8gV0LJCCz+oKjI1HZHlgwNjIzuZKs1l/lDkQpFJWApcZ+Odb/zhBeoUC7Wu44WCwV6zS bxWu52My6cPLtc7ssbVDJpa7z3jwvSMnXJsvSqLPFK+s3pb8tQMRJ/qFeNfSKW7GWV1iCA2yPzeO S6qPr+dpOAEMXXJIeNJZ/m9j6Je/Mgp+xSXC4ZIoKmFyH4ODzXB+r32XA373BgS/EUHWHuGa+WqB zB2HrDp1AwJCPalypvyQkagfIhuFF98Tb/UTF+o5jqzb14LVk2rbSgQiCmtcoh75UjWFId/xHajq eZPIxqpJLBCkBhB2hY1wTkMVs/4n2aDnIs+y4bwZ8B2C2sLVE4w5hec3G1gVS+IFW5siygF7c30O hRs8Uw6h0Coj5EsIEH94TjhQSXWKLSgrlWAfGl5Vbr4+jBoMhHUNEV/4hKhYNHQzMfvxaDQMcItc yyDeHqqsOKuShw53zz1fZr+XGXNZhoRDC5TcZR6HPTWc5I58xkMGiAtEaaTCJnoq8UEHZUXTtYKc r5SgvFurj24LL3jnF4sR0XxNrXIxtIkJtnN/zc2GTmjYukRkSVCOCHNlGe0KXDyE+xsVtAnQnjoU ulU5oIrTEu/1vtWjTbqLZFbt5I6lApjKtF/tygPYuZq8cSwPaA2u2PkHhyu99PvrcbYnvY5j3MGD GI46YkFZbVJ+o/wK3cD8alHSLFrMNDBBDoLakdKj7qgzGhUCZhC4CK3mWmElCUGaX/llu5Vpfjht uHOfywT3CXvLAfPLF6lk5ZjYGcb66yNscqxStSeiW9QvsRtBJxTq2ugobqsZQpYFr3g2E9XQBsFj vBdMxs1i5x+ReMaJTwtFoepUzxn2WTCwtPhuaA33ocyAcz8wLdg6yZt7Mc4xmoSYVcjwAIG0ChQ+ ZcmTpTN2LJsjY3+ecEWK4GZpH3EhwFl+j8sIvWyhujEZFSwZoq3B9Mr5WLsIM2uVRdZpljBfE0p5 nCKhIvypc7a6sytbM9Qsu/DHFn0OEYptIjKsnpexY+9Cx0V3HZLAkAePc0grAjNwqSAF8oWKwEMH TEDlsBABF0l4iAcLdJhlhG4l5wgHeJ9rA/yPwS6KQr3q6b2xg1FGvHW+PaqUGto6jyQ62sMUMkyC xH0oG8NzcvH6EyDVSnkdVsDbN6sFPTV5LJnjhUTlt5Km1p+XJXezrZu7xUcTYM824GdeX8owtqMx FkiWrbOKPvlxQ90EfYzyV5xjmLOlUJfX7OaZZuom5xpw7Llg+M49Z8ObkGe5BQjJ3c5nXicqF8Ad +9zt7mX4njcB1AtKic1BirNaRt6oHcU8jXmNZpsHWVe3GzpuMe97Ub9G1rpeyJnH3vZtKDwIFOia I3TlkkugZuyEsLYUbgldZsrWCfCsZ3rp8jA80JBSNb7wTxHFeDNEZg5vuIMSCVU+E5MNpUchyxhH Oqc3zch4+xtEHkno58aBl+E2E4i7HbBYtzU2chdeVm9PkIzQChJTeA9/9mIJnayj0IWLMLwEeArf lpWd6rfYW09K9K8Y4w56oD4NGecPYLP7aHj9e7qZpahLYn0AinVgIMBM0fM6fIed2CZIqLsDBLNB xRwHGpKpp3zw8q0RiX+UvHxKpae9/2DdEcdKgJimqZFsCYeyLErCEQpn504Bkk8cxAuz839xQyCM BY7QU6yTgN248PATYVkMUZ+eYwDtIng3ZRgQ5l66aZNdjccUiv1D7NYiujlOehVmB7tmCrmILbPU RZICv3aIl9/FDLdPUtU7qx3Omz/1Eu1H79ufz5ej3iiAR7jmPcpCYEA7fKEHm7NZE8q6kPoX3Q7Q YTg6tOjGKQXF0exjbYyV3sBOCK0UJxW1ChStu/UQe002r+rJbNZmwr6qybe/141+cRDHdNdeUKdQ ggS0BxV8bGV3TrbrL+fhgJZkCvXphSq50UWrA+GELX7UZKWIleq60mrUoBsiADYeel7g5rCPiRzE VtLf83k9RUTOtsyxSbD3Wd5pzPWuMvmOG4Np/7Yr+fw88DSqxOL4wE1Z+XEm48W9ecxHxfRXmidN hlJtO+FtusZscGRE0vFYiY5ehf40mmQuWs31JbucOVQ63SkJO74YoHfmagRSnFrw2wwfe9B0K5wY MZI+AjmclUQWDwnBDYYZXsp794+Xp0op5mFyDbSVFSkNv1K8dZEM5WuUzU2pNiiuVGV7Vq223AX/ MzDr8za72yJAZqwzmIk8Z9EHk6QtZv4k2Eu5Ptkfk5EJKBzE4+sFVDTWP8abY8g3QahdvJI1/S8n rCCQpdtvJCvKHmAZFzkIFIuXSQNsQ5OJJHN2+of5dQazfswmrthWle3O9nou711WhrrOUtR4rWf5 6Emob698Hlsc8WsVRrZBq9LwDLdLbqYPG51KKUhbLrq4X1LASSq2CST30PnpR6Z62vnOBgWcJ4Z5 Ex7+GT2gRgOkGX1h4bHp8NAk+voUBLMvEItwHTHYsvNlF8cjbe4NLu+0U0Ms7er0JPB7zRMjMUWW AiWEitzVN9h2kBkRsO2CYu3D7N3qIv7nnWSGu1i1mX+DA+RnOcPjzQNZl15jccWK3t27Ehgf8bld CYVv3YZIzJ6Q+STji3xGFyeYtOV5SKCtpkI+tFngVnBWLjpEuo0UtqCOZIfLeaAqJC06PmabBp/x 7w+DxOZNitQuhrDjLs1f+Wq6CkhKzccEsWczlhY0cqNJazSpFFUj1kSTn9+GeLjJbozjPnQlD0xH 72tVMChooGhdbIbK1SSSHJvgzBnliUbdVD0Lkt4z9ntVcabAkhd1GjQO6EvAWSeTYlUoeoMmZo+F TphoubimayWasWRG5erZlNu4mDcSjASpJ4wDRO017tELb4EXobQ/SDMOzK9aHYzr5bYFEbeGUhNS OwTOxEI4JyBykc0YVjfol053PySsMw74bgPB1Mm7+6RFwyQJUWFf7r0EevMgoY1263+BKdi4jRnv oOtGOwqV6zWyNV4kl/fE65yUrPBDVYejGbRPtDd7zGbetIPXmsvY9QFxZroagBT39AFGDAC+/qt5 1nXVgJ9IT5TfecX1h0GCbSnqPuZjH+8zW13iTS6yKkIyiRgjCJMKQ8BgUi2cUleoUoH2CogB2Xjs +NxB7+UVVeW/CpCO8iWpqihU4JC5teH/pfH1kEXmQ5ZP8W38dL9+6Ei56ZRI3jneKqMJ1bu2AVHv d81lEgpOvbfbK72zcaFdZGBK2BLniXKadAXp414BCCryj/Bsd2GgAP0F1p5ruY80m1gnwby70Rm6 n9MYDftwm7GlBzfjFa977Sizgp8u0B1Rz5jD2y4Esobz3/Lh/gJumOBpFcesodmQPP6MmOqpI+5X tvz/J7oNE/sCSRymPffTblmycAQMMiShIigpiEn1Rni/1njqTKP3CRrS2b7moyRUf5SoKDyYwSwe CWReHY1ptKQSTv8EV41nzYitOuXpJ/NC9J0fkR6z3NSk8JaBISZ0yIT8ou2tQH08a1qtu+Rfr0qr lQ6Ynj2piRS1kKHJ4nbLVKievonA7ShSlQKrk1buVQoLeJOgpOft11KLBRTeiDx2ciYuE5Ozvacm QK76B77TYjQS1k4jDE5R5nHZ3pgBS0TpCYFf0MUiNWaipm45tFFxmA5at4TcLJa2PzSSrvAG70xy EqT1zy1aiSUDN/32r9bzbiqB0VU3WAujM23E9UyJ/8j9PN+vD5OE0F3oQTnRGJ6Uf1u3P94khVt3 6luixf5eOgB8EGYs2NWC9X5cOseCpt40aJ+JPmj+w9UWoAfEoxLsCLnc/QVnyfI5Tt2yQTPKghtI N1kISX5GRTN9qobb/hAwLvAG/miXlyGdgVVtCqSYypR/K3Bv7Dkder31RqvOd6Z+kMCZA5OkXJyy iMktRdXRks11OGfPa+dKsu8WjNS9789Ke0fFPkTJVRnCrVwsHoHnziU0Qr2BJC8hvFhjZSnPTlyK PNaTHbhya5kl73wDp88yJNkfXjI0oOA9AECGVACMOVVDB5tV5MtgzlnJlEw+2zmHh2Yjd4JZVtIn 069q59ZzEcMEjoiuTJ8hwxPfI9eUCBDi4qk5XB+c4ZMmtnhylyhfQvG2B4dCav1I1bEQY/dxxCge 98uS4r+aQxTrH6f1rpunJWkiBJANjS1JEda+m+e9DuM8lK4tu9tDavBJANDcBN4mQc7o3BQcOeNO 5ins2sevQK1IDrMiDQxQmuNsGeT0FWt1S/MSU5cRjgTt4t5RJ43FLtfoFVBo3d5uE0VgufESH3ps 0nUZbPVkZLb7g3YFo+wF5QQF8XMHc/S+6sZkdQx1dcdmC+mEsCvKNmPm1p2k5HxtImUdKZ0+O+Rl iLe140e1+JH0fw7IwV3ivle5MkLEczy6RreTq9c/mUDtJOOXa58DIT32fEaFVvjpj0IKkY6J8rdS 9itrzkP+4OHFmFDCr93RYCRHMSZ+JjyjpYU1+ryv/Qoz5TyXnmNg5bPnwkfCLy9O+01jpFDj++4t bS4J33I+Ipco+5+2CtMKSxH4gIqQ3Te+KkfNE0mmUFUR0WB8FaJbeEjbHHJjRqYVT05MdQjCj98b KAe0SLti0kqLbxGNgCaLX4tEln0VEMbcUaHUcWyAhIOZ5uzbf6ze+uCZKbA2C+PWS8PSEDhalDG3 9XHUvWP+KXi2AFjuhPzQLcCjQVxMjvjwnzmTHkjIcout7lcgN/sWtYrMY1xphZ1hFNoA4aOf+qaZ e3gBmyZGk15/T7rWn+fkxsJnfMe2e/QhVmBljEoqdnXEwrp35c5lFHol7JMg2UMvD7Qa/qWZtrF+ LqVd/FZE6q/lP4lyHFSpbl/P0/j9VLl+NKc2n9f7/AFH9Dk+5FRksmJA8taHQCRwsJ83wCYo9U4p Ll8LjHhWbW+HBbVbob6z2JEd/WvVle97kP4GoLpVFQs5YzV+WyIYmCAvYinvBbF5xY3hGgGur4M4 9pGyqj8fnWyADuR+igiKQC82xzMNMAue1jSpLhRP2IYq5shXQdZh3rl0HgsHQ613UMRKTUBk7MQM 2IvgIxgLj++lmwQFQphczBpK7oXXIs5LtsXqUUAD6lQzT/O7XSmMgHxR2VhYr4LYfcx9mv9JxWW0 womrm8Gt+o+x0RmqUBq1RtTCMTeLaJD7cJWcAsu0/tjO6VuZfQ4bV8MTOtehFk2WsMNu2MRUigCX Bmb65wFfkWSPW09xV3sgE8ExIiMDPxb19J2cY6DvYRwVe2rEl6jKnhmfJ4CzO1jouxm9yoKuSze4 wDAjHJzytVZA6wt/wfAIDOeh65o4tqNtBxMLPy0UTmiCDsG3dX9XBubr3NcXDtkjEVzimL2kgnKL yN/4cf3tZZYnO1KulmMNdivfBGazMGuzeUVoo29xRXHJfEBHbWTHSJ+32AZYAR/OAeTcAq2mIjoG OhyqFfRYLGrtko+MJNA5BftAxTVB9AM32WcYQZloBLbEujHc/1QMFJdtG5/GXynQLnyec3+hRI86 ludfcDxFMD/P2vFbW4sZwWaSlaaWYzPx4XUDAZx7zgg06j0FEfcYqovZvuBmX8+EfUfWoSxr0i2y 16pBg9QSHJ10cM7MpJ38Rlt25OBAhtOA0YmGl0eSXH3MSGBPd4Miz6aq5FQCgNYOixFAKpir/kOy dyjbHfuBOFVxeG8vXZndXuDtupV4jPnL09pbQjpptIWSE2IqC7OzJf77UdO82ZGApjqiFCzOd4R7 q8zP1onFNNhGGqJlstT/5GUJOfcm9axH33i9rJY7ULOe0mHvt52T98L+DIniVEbE5//Y5jTrXmki xAV4t44dMLd1uuiYLDk3AwwdFqwHeEkwAlMz8Ls9ztf7nt5aQXFD94Rzry0gySC324VesqT4N56Y 6Q9uH7TYnf1IC8+y9YFxuec5GjO7U1UwlULpKwMnrEiOzh0Ac7yThtdwb2jl4fuEEZ+Ii/jSonEA irFkNAz24ZmCb617DX0qhfKaP5Jj4oU65i94sicljdUB/mIhX8uVKWniWiXrXRHlayXwtBQCNt6v X4X0aou/9vWACP/m1/bPY/YB3geEPdzEQ9KaPheOHdDZbyrTtj2T4Dni8c2jGh/AJxpmH8paCFyK uqMqPeDElRZ7P3xD8tI2QzFZ3sap0MJ6ZgyTB0W9uA6g40e6wlYIqMvNU4pwGMaEIgUsAFa3FKP+ 5JpM0I0zTI33E9c3jNpUYtehPGr1ZLCWlz9sI9PVt6d0Pf74Vi60GaVzm4YRWtGsatP7K9FbXDAW yaawcGGi7xWaoExYUCiEMpt7KlqneoZNkzKP71P2Qxr4cjsmN325Dd3GgOYtI/oWT4qu6/8qP9V6 w+X8g+HLtflfhvaq3X4i7ctSf5igdLfKHCF9xlffuXl+5HrG2tFZ50Ic/76YTyPfdQTUcdSjkhfQ 4lgDL1A2V9ltK0paRNwjrOuxHcqX5qkDfnpPl4zU5mrG9oDoLAFTFPPTIngoqqvLO2JrS4Y1nWYI 1kfoV4FXuZDj5V6bDmtBNzjAuJaiELx+fY6BGflSQuq6aclESj/DIvd2RyiYuESiS/oAkSnmM2JO dBcqZ9IU2CGQT8tlum87W1mcMlrKIzarM0ARD5eqPhZvf6kZBrsywnqz+TFmkNaOLrSPXMUcb1zQ NazrXY8fai4CZL+lNlXl+/vCjo3w5yq69cQXyoPfv106yzPl45oaNjXe4yKa6dYLZrZBGO9zSFu9 quLSPRqgRN4Bnv0myXtAEDvYkAkJcS1g9rG2R/ttkrA0frfN8hrMtRVJ+ox+u4wcE2nLRC23tg/0 9TZjo0vg8gGEOcgxR6lam5BtlrJPeESJCHU6tU118pjOPDWcOtDf659RTfan7hkCzgjqB6miiXxq pY23kcZbWy193Q1+kIGiHZTfi703H6CigjiHQQ5Q5P4DHfx9VR+Esih/qB/2Rwwlc0mc5VEhIL4E LulBIz59lM+XCO/n3PeQQlIxlMixHPDQ1JdDwBYVN2qmAxS4heLmddjL0GPca106mYBz7C9DD+Cs s4RpiIv1co2ZXInK3mUNT1WDwo1eNIH9zCqpP6SaS1n4FUEcTcSuW8dShdv/GFFkSlUQ6FjLMXS9 b1u2YQe0gZ78PorbH12ZGBy0k/h3kqlGY7/LSzSG4qSGRnZHMB8Ci07vxVmS1f54ehyNGyByU8CE dakAfw5TeA5MD2XeuzQ6+OJC0BZboKz6SjvPK6aSlrp3ipavg2wzvbS9KzqBccV3cPl14nMSB1Zn qHynhrRUkfFbPCntmSyBhRiuQr9hpPIkssrWmprDkcp23+kFhTie1tHheYWvrlPFQ2Geuzv48Dnv o5Csybg3qKuhhA2cjthIWvsV99Z3Dj29FiuuTje2WIXKqpAsLuhtyEA9+odKAOuwXmjd+NJ2B0iQ AjN/MhQ+ZM3hPMfDjFDwJ6s+yeOZN9+MbEorMeQdFKc+hLdlYmlmPk6Mtc7eI5uvii3Kt3871jdE lU12z5nlELTMQuPQtcD/qqvVbV1/c2ph5kYW0HD7w/1s/YNYTrgfMP2gum2EneYmKnatBuNZj14M eytTfhlUwIQp+1hiSZz0eOlci/EzuPsiMDcl1Vn30BW9Es4iF9FkCZwOtR7fK1eOgvZkITeDWWPc k5cnagoD4NBD4lD0tyMTn8deLDIMjm3yzN+5QIBvm6gmKQ+UXIi8rXSO+fO3lEcYScBfF2BtLQNf YHeL7VVtnb/5Mc8nKvRndLp0Z7NCM/v4CVj6kec9PyFhMASKlVw3sZF3YXU+rO2j4Z0PyeMdjwcf zjtts8WUNngttI43VHBZ52HvOq0oACgh/zjt/i+1oYJr4liLolSNLl4gYHJ5zhHg6NhAk6cvYKXs sJl2ntk5iMjMiqkbX7hDr90+3ROctBZ96+5YE85VYkVJDs0aFRZzrBia9kSP+y8KtxQu2X1kEXaB s2EpiubOaAXuL+j9hG9rAp7wOufA+egXFS30FF1HzWl/0c0P6jUSQgM8XTK5P3HybrKbSELj1dnL c4FKvNOyZUw+Rukgn35XSZcwdzSUrAsMDz2HdbZfnh3copv2DcjKpZA43kOC3DC2asCoCLb50F8m b05FyAo/4oa+NjENLONMaCGpFSSOe4rkQqDIsLVByf3lX+RvtV9oY/uvuoyaqVaeUcRqkuf5jTPS CaCpv3w8hwz6gIDfGJKtiBZ8XhyQgStMYb25UMGpiVKvzyPkeAvXnugRIIcczYRWpym3dvKI8vTS bgbvjscimBDhiwlqX8/0eT9Qytv0EeecMYztn6RuDEWfb3TxwngpIisWlzRHHHy4HE8qja+H4Dng s0DwkbqkKwrHvTuRw5qJNTp2qk/pte+Gmv4mk5YHXh/szVJWdQ+MJZjCft1NLvS3IDhqrWZmbJyS IzW1e92OV3K1blyHew+aW7Svig3diuMkzVajvYBfcJAJPcW3VyRZlYMHrn6coL7cnkDQ0Onb1QrD ZcL3Injr9VwtLLzB31cTU8091d7a++Lrw6v+6OP/BLwqs4gMIX0k4HgaJLoCppHbXGpFn3vs6Nfd 4x5ehNyScHU5ce4N0+Ar4OSaYxnSBbKPp3xvPgZ1IjV5sbNMVAguJ6fxuHdpZkIasN9kGsR5iydc SSUO3lrlzOrzbFhAjyrZA+CxB5t5rRWGXhwl9UKN+QQ1afLAUwy/30paVJOzTkzhkCtaurt3ldIh I/0270cJZIakX/mvGwf6pNSkMrqmaRxm3ziH6wbc8ZOMtVO/8bSsXj7vsFX6u5xFIl2iNrnSbebV MDP8NDxggWOmVdw1RNjmf61hEBjx1j6CU9wGgp7kZa73OPNYZ+ES6cgcO5qcAyTsQFKSKPqOuFSh 0jLTPT/RHhZzLM6u6Hi45PXOcH8f4fVYTzbyfEgHVNMqnHrzmOux4L+5p6DLvEDC5nwHGsDLCaD6 aMV/TMcPSIUqRGPj0WjKwQEx7tn6XQnsE8/3UfH20wifaeSEK92pvOArFHdwowcHfwi/VgnycRJC /xi77CRoT3o61iZLoV1dLX/BEwebHGJaj3bRpwN/EjUKSo6wUA90fuVvd8nDsW0n2wGmCKo3qwmj q7kEAGiNECOVvsmljG4129B/rj3El8XebLZDNyWPJFrQvk/Zq0bJYHX0gE76kSdhpAkLnpxMPnNZ W06ZCmRULldW8JyX02Vd4Vv9nfWxXehe6kTTF4jdWSZpsk9APFlFwXWieE+mZ2ik7ObIGYIpO9MH thH4KREX004h9JjIAxrVqHmMk98RM+6+WzZGC2yBe3tpNr/GeJPDVds9C1mGX9wyv7bcwrRA/Fqh 4mcmJ4SVSgduYbq8OYutBWNVLUz4ACydiu+1oRzo1PoTrac6KbFyCpthOvkaoMT8Y7IutgL3Dnb+ 1n12YlmiMJdtaTkVczuI5/e4QMBSf+ofKSpXG77kwsSdVbKYkzt3nO2gBgSS5hNCWZFHOLrtH+v/ jdUPpi+BngKxrORMriKF8tQswo81EIgGoVelWuMdH8DlYO5yZ1OjaEqPWYFsUqPNplVjqZEuikcF 5V4ArQasKz8TnaFQCg9MBeZc4QB6+pfkO2NoJpuasEEKKGlDhIzCPxXJsU6hUgUBYMQb9EePn/lb pCLx7+hTqVR7/TbOTvEKO+D1Fjs2tg5k85Gv3hXzXEm/8li1Br5GutIJg/8CVcKn5PehVW1PY636 EndUtWPD0jSXpM76Y1UhCQDIq+BbpTCU2w/jy8/nEpM5V9yrAGqTwpXXv4C3nPAcrxtPTSa+FKGd IcOmSUFR1/2PrxtcmabwLBAK5IeeWLcSsK8/jx++lD9nQWvUn46QGBDLdaT+FCqdr96ixWouNFmk mS48kakstPWrUsK2SJyHw4erHVH6E7JrTupSqxyMiT1wfozawSd3fAoy4P4BR/U2hsVkcyHEt/Io +HW9bmRYuIr5clc6o1axsQO7j5YYQ8FhkuN0YvQAUqMJKTpPzq2+gCfpVV23Lxt0GMxn5qJ5ZgRt lsI2Rq2zqFttkz45ugvQTbKJIrN+r27ODlOmnoHIZ4xuIJdOZ6KiomL+GZwmldXhQhIYbu8I7Xw/ JHtYWfWX773uTpWCi2uQnE4VCxRIAtc3cv82G5osVjtQ41imJ4uxg/yEPkQLlJDcdcrft6NTJ6a9 +Y0tOd7I+oUN4LGqZXQsrMg9R78UwpejADCRnyXPQUD6ZL7MLePfvLb1iw+OBzz39qu8R1y4dGux UbHuCu3SztloSQ0D+NhrNqYErKKEMxfual7QWYwN+5rvMG92d6TYcLEjCNRHjqyzr7enhT8OXRde EEHrQB8UCR66NlrJ2kFlsbz3YOaD4Nkj/LMxjy/Hxzdspzf5KoxLh00pYpfZ7TWLs2e+q0sB4m3r QDsy/PkDl2LxfuXjZCqSEwzwj6AcEgcuTnTguKc2PFWAVsLjRqmBrj2VZw82+l2jlPfJXcgabF2/ zQG+hbFHhOcUg4ZLIkvVGS0ip8bIW+mFU2aOXY+n7ySURpJSLjXEONQ86/Lt11PHU7r8pg3U7Yk3 VSqYeRPHLssGhyPqVSD/pvKk89pwX0tjLQdGAR+lvmx/9s66GvKXor2sLhLS52OvC3cvr5UMDWD1 wErUjqlEPLoQp4xN3JG3ybvntc/HdQwA8vR9zj98vDtW7WMMNTRGZBzRzOp5ESzIXynorzp1lmOR hnU4lmxaNtSQBYfiBuldIWUCtKk54ISTIOQ3pSHp4y8JOe57H8Ccrpb+ncFIzHtRNlFcZB9ocY72 2iQ61Up8M8PCcWlalDyVUih6ulWmLgsi+U80qRnhky2DPt6JlcpJUHYxR8540SJ8esH/6VEpSeSU yWjnUmXwfzllQVoZYMX1CunveuIyO6shJAHrpKwFMpcmInDKoKejVzfXiMToYLe92xERF90BHAlc gqQgnbJwrps/8ELYJLS6H8aD+JQQUtQyWIjSBuJl9e/a+r24nFxQlzyAs511vZRXkmlvXdcgoLH8 gvBGa2n73JJ+sDxVORpqgN5qxBWYe5wSKnX+i2bAeyVKPh7ZvhWqJUCJh1wEUguC5U8d44BT0/L/ PX2w7PmRCD0Q/HZlokOKiDDNxFc1/ineNDbn68CC/OPezGoTKUQ6KzScHC0HH6Zb7JjBmGG1UZF+ Yc5am8xSd65AVB624qch2nazGBAG3dAK62dqYYmsJ6Fz8wzVj5Zh0T+l2LDZR8JZXUtks1x/AdcQ EBZOFxfDUKKH6uDFLOixJKFHjMjcuba9u788LMgOnoVw195zz7f9S1IzQYvU7c/TAkPS6qtvOuBP BBfZX0gU2J4JdMf4zXoF81+bL+eLOL3sAqoGUI1nDoB1MHqDbq9VjiyFQ2yeUYGglc/jkZTRK8Ra 4J/kOMQYq1NKB0F1oCdEQR6WE/7uUrYWvAL2S0KalGooWexdiVb5SbfXSCubTygmRPGm8ZuX7qco TxwqlwdI04s34ZZaDsGgBnMd7kvFNPlbjwFRRu7L41x9uIjyRQ3Lqw6vbGIQmiJv0CPrUmIJzOfz jPDCI3Ru2kHX1rFByOI2wW+XvyM0Fmta1r1EQLwGVCwnJBSo77h60iBB55ECdNz7THADZUpZaX33 HvsA1+KBq3fKkeHfM1boutqb3NT7qYnaYo1miZ7l32e4ON9MSL6CMM56CJ8OMqSW20YpqPLXIbRD nQvNUFWxrQ5XI3JwNYpojplykeTs/ZGL0W0QT7cbWSFqlXuiIVYtng1d4cpEbHjQIAZZpoHBlHXH FhYOJ6mr5hRbVtbKHL08r8uwFpF3MdskJNZy1sguJcSHoF1EzTS3jGz0i1KAp1BpcVB9+5N+VDdw c24fnbddZmz5mV1WM3PlohnKgXNJJ+JlP1QXfwWhlwRRlCcALj8l2QDiS2XsTqRufmdSAnDE88/W gqe6sSt4X3ElBVIpFMyMNN5WfqjSMQc5C3gKoz8dJbXgPjIMcWQRG9sqHjHviw6LZSlp9qDrbgV+ mit1X43YMVZabcjz2ea6Fk08/HU3s1LoFpSjfl55a9y43Frif3VnYRI9ue5TtFS1iK8I5r+CRVhI uTIzsOxSxTH1BXu0KIE55/y9HlUyFZv5JenYTkzbCpCJVX/1oAhGqU3yaGiLDbg9tQ1V7tnbdaNk C4OClissfHURuopaB45v1Smtxt/jeMw2a1wv1Cyq99Z0zcgM2JPfEM6T/g35RtadCVw0IDOL5Czk aRYhf/e2nBA8TFYP3qu49GK+nePQqNyNbcyyaPbik3/4WYI7aAnKjzfNI4E9TFMTDoP0LNqJz/kf R9cmrS+jObiIZ3J3HPD2oKS56FOi+n5oZt77LOu8X+qqBNi9vx9J0DR6pBjg1vc2A9rIiwbHuu+p hv6DYooyouyyCkZ0Y0ZUBdTLnMfFN1q+46WxZMMsZD8eICt6KoRLLlPEXmaPd1HSzwQ9q+zjFh5p x0H9tf2SpwhU4V7ectrMJGXl6jwq773Q9ZtXSeyw0bgNN9mdI25JcEYT/2rPo52G4/jbZ5JCZr7v xD9Vx0AhK7fPLrn7f5hG4zcID0+btFg5b3luUTPUjY/gvSUrUj+31qJN6L5Ouqy0dXvb+jTfmluI FYX+5djQbI7aAK9dkmpu4WnBVrRYJ+lXnhLjSnHnKwrfw3MXbaUnzlfBGZDcHlboYPPSCg7joUcA ScgX5Lh/5w0kfccmmMt0KNlC3y+nQxMlKE2uFJmRuquJgDRGGp7yhX4R3U+bzIP2VM/6AdVXuzvx fuRdajgtNPissr1emsK2SU+LGVrLGc+HPFPOlwWKAHI6/dZ/SAmSRWUa34f7x9R4xtcTPfiSICao 6d1s2KqletRH5DYgUMEriWwzfl/yRzjZppXXMw8gXGJdSrtFnh+N64LaIcI+5zK/B32TIiEPL1IA 0YJtga/Thw5h0KZggLFk5tzG8INLJnggxbUDzmHpXZd2FqdWYeIuKLxnFkX1cYOmXcvuD71VeCzo SSoHh6xQsvmfMFmemb1WAaHFf8DUJJXlWD3jINDRvZuWHGmXpISwxc1CxFg2/pOtpUW/xWsc+Q7+ yaBOSdojiH28TMNpi/SVWbYRa9iCwOZLtqEu3+pUuP1eczwkDwP17FsdA6cpA38skshuC1hmyrlL V064HNBM7abny1xnxXCDOo0j3gPw6FTJZtOGIkqBa383F/3rfhfaG+aVkc8OBBYJzNMr1m2GGQgr ZOo6gGMRdoXupxnLk9kq6ebPbRjh+Zw31Sk016/nUD+86VIL6dHJ6C5McvmOmRocvKmOw+RlmskP QhUJE9++ki2xm32aoG89uog1jyTANemZNuKFVfMiC7plWGQyNh4fmwjrq0tRaNin2/dOSRr0QnlC D8kFuMS9qjv1E3daKdlty9g5AIjadeMczQKCCH6BBVJ2wNaT1rEK0Fs8CySJsSxOkBCe2YeDJsKa CFgaBk9yP/NYIHrpf9+8KCB6t9R+TW7YRomEfusXHEUSUqMMHZMe0Z8sIGGfWcwSWrTJc2/v03C6 bA9wBY6NB375+178QdFFSacnLIxpU3zOTO5ZJWBmBSffvvADacRGyrJV9+CBLtLegHQqw7J4KYF2 ACf9pbCYlX36mb14RPI0UdBh13UX4mO7FrFpokxZ8cXiom2e1L6wDugbQuI17dCXaIz1SvkNUlTn nen0/22NiTmaNec0yxXF/sOkAVkxFsvhZQj/sMDmIb+IW32/XIx5eAlZpExNpb6P1g+YQIOtXEO6 01WtMcYl8feQGy/l5k8Xm6+W7piMY114/erNAEbv78rMTFFkjpoeNjNZPO81zBmLvgKnQTMvRtqh 5jZ7L5/HyTPayfyUZqEVnJh9WvADAk01croRNn2pKm9/MDJFtdRHuAGLJg29C8+A0XHc0/x1MlLX 24Rel0Iz5hA4kudjxrWJFSJFs2TTBP9YwjlM4/fUWHWLdBE/dPwFjnEpl2Emi7hTAeF+Mpig49jY LqS7GqvRmKvPYHujtpcEIgoQT16NxMXhxWETqSx2S9b4bk3WWiXzHNmrw4LY7VqadX1XEyYqSAi/ t56SJbcwPX3ZBNsbblvFqjg4O/CjIxxxj/0i0++f8HI2EFjiwp1jiHd6QOiHLnMxzhAKElH7kVJv v7UKO4hY2dDEobsV8+150nX9vCVq91+Xs+uBzGNv4vsrkRjJdt0e6FiOCOTasWqtOXgGVdT4BOSz eWtUcygVlWDlnwA5wCwksYUcQgvYJ9VSd+F51HpNYuptg4d2KxvhPJATT9b9xstzOhTcxaq3xRpy +2JTL1PwopAWQj3bkI4VXZat3iRzx0AlTdFB8tBZksWmpoQ/UMjuCoadVs38+a8uwB6/7okodS97 q5k7wLrPAD2/qlzqtHxYg2lay27Tg1XbAhWkCNN5jCvegxPuGsyaM6FaxQGW1lrmlxL+RuDRWqGl 9xz1rmVMfGj6jUAtRzXDEhSDjdBcgSGPGpJJPe6VWQf9hqqdotqHzA2bcEXXVCy0TsA+n5W094wV +adTGXUyJvc0pYFBOL4gR6TfghR5MDH7vK1OSQT0EWMqgQvTuEC5z0kSeQboUl3tFesRseMjG/DP k1Xk2FMyQtcjyk+t02OBGYs7Jl3yghfObWZfDU4t/FZoBx32XuYvESEnhApvSNv+DJhWp1jtQpDu LVWUJH9zAABjF826Kot3oerwiKoEyxDsnKSvFP7Bb3CoFXXwZerF37vSVAX4DtQ/Dyznu/L+NRTA ogqlm6exhtH4FVDScGONGIuGQ/TE4O4MxY5uv+o2X/r4I6kV5VF7ikR+V2B+r42qYpd94dAiJ2Sn C8pRGOojd7v5hvUz4aJEXqLZFhQin0TAGLkvB84FWa+S9G358CoYviMtWcwCggkgjMuAI8TEQohS tkL0mJFveDHJSO5wHJvkhi40SVWVcQHlsaldBTNbsUhSqSeNKNbPXZ97+KKfNpOWSY+xCsXGZfkz QTTtiR7Uzmpm8PpqxCS1CkjttcdUS39SRVwBV6e12p/Sj5yVitKoLlAeqI0DljBxjC2WQbdTijGc JhfI+5rRdZ2ObqFEu1ySbuAsY9ITHHW/6wIgkbPEUaJM1mGsObikDZNTg7GdSxV0LeLxXkwWg0fe wCKBlXkzhLEP5OcwhVDK69Zt2KFve8KEZtSBqaHq/jsJs+t/HcW3AmPy80ZxMHZxkdul+UjCdi6G O7K8Cw8CHTbNeXUOevTB+httgaCvllAa6NAE2bA/Weh8J01VikGZ0r6AXYFCeBtsGxZ28AMq/djt yw8sAd1ryjKsQN1BM/SfUj7kFtOVc2JeUpeD5lOslVTic+HWk9lL1G4kB1Ttnykm12YC1Ar9uvkV Wi9nsBV48bxcR5qtdMJs140pkZpY6b7oUSRmt/xt91xu+PaLJr6oNGIbpojK4KAz0RkQ3cf/etTw gNdn/j5Si4owFQR1BFm8aYpZ+eRUJqR91fyeCVh81SIm/D6Ge/zOYpTOxmrhtJ67PDfy7j+4MdXQ EihzMIZb0JNM1oLD7SZO7PTVoPcM2/X+00OPmxoDPH5d/Q4eXkD7XtAQQRE8eZfyxkmnPx/XE1Z7 23RYZzCMJfdy8GiUAi00yBShXz7NcQSyeOOD/jzE6U6NYpyvwIiSqGIbpk0T7048F5Xg1/PvkweP /uSnaaL64ZjqYe6LM1bCBrYEXeD7LrY3PRvn0dwaRaSINf46j4hbaI5av9bijrrO1fg6m2BFapui 8WQ8r7R3sEnghlIQVVEHRwJ9Q97IEiiQYGGMNSAkCAVUXx2QcXkL/DFUGN9PXuLbvtVE8+2vbAxw BfuONoCVFittX7jFDetbazwDUFa/UxNUzHLX0QbLlA3mOhVtcsaeSAVHxvrrhcvDOz7tBgMUtL2b OJyg4zA/iuEFYDH8kjBKJ2LOPMPsJA/7LNLMdy5NWdokLG2b6BrXp2ZPCvZ7pondjwtqe7GFWjct Pq0UK4qim+Pma8slUAtT3N8KwZa9D+Sz2BlyoAczjYrB42GiS8oF4yHK4p3FAa/6kVckipLJf80W 0NyLPeLDXAUs+pw8ltstTBA+Uwy38Nz1y1zO2mIzHhyVZvVekEfWj7aRdCXcLf30KM7lOXsnr8r7 RTR11Qq6ZZQcAMk3dgUAEWZSCi+5pWA2J7Mdqpf024bkAO8V2N3fSYwIrU1Yleb9jk0n2t9Ytsrr FTunhLT4xlR5zOeJjYVh9vXEL0GVkVvObUhsYRrPHDtjznySLCUEDrxzOKMuqgQK6skTDZ9zAZ/3 tQ7Mki8Xbxhy4VDXO6rSMR0I3MpvcsYcRjISmpzrVRIQAzDQxWwLFWtMLAE3GN09Q3FTPPuFYZko y5j9SpGDRHzmeMCCraALTXI6ifrABrhiOfZ/2whmo7Q31AGnrFDVELv7zh0bf459Z00Ds2FJ2vLW 1hpuvILhMqrbbHUpgtwojoUd6EamofWa4FTF4RQm9kvRL0EfV7Ysse75iuqMCB9N+O6A0gnERkgr AX9Qc0gUQ+QPdN6sZeI12hwIEDyaJdOzKMB65/DkZLd0QOM0d9Qgm3rMc4JTaOZ7k+9NW1xSNFcE WUjcwG57GMjWIOdgt1H+5RVO2HXdhbgESqGe7exv1MYDRyqRFkNWmHwplEIaFE3DTebs+SnCvR5z qmggtjB6K1GTzSFVodxAcwyXTXJVpgJ7P41uE0qi3TIDM0ikjyzovf4FlhQw4aB1sgrZu1jN2EH8 dIYLpWowHn7Km/KftFbQAz2yfGCOhXJtXLh75rqhdictzo5y+cjWfsomirpT36cyIWbPO9yZ1acl w9nYXGoSAABHlA4aSmOhXyFENi9kPXFtSAO/Sp/CvOchmBXpJCHEk5pvrGMSTPxM62bPLPkj8+lg tVWjyaqmncccVSi7pczbzSds3eBxyY8gmzcKwvJD0gs11CdGh6wewIyfUFI4+daqfomg8GIGY1e5 s+WoCyLkMVPu9WiCZQxcOm4+XHu1dDIvUry0zDPQskQeytBdfYHZX8RpnpBUn06STacxCIscQ1AZ dG02UMsMSs9niUZgIjO1l1GkmAHknRUHAEHndNIwch66IgKivWU+j1UKzJkpYGS7RleFJfqqFXp0 rd6c8nbQb9Hs+ikCb/H6QT3n7fNCZUV+DhGwVpwhvZBXJ/rZMqD3TlbSnletE1Fz69dcMAar/guJ 4Byyi+/WAcUEAlQAQuCJXh7emQTI9iYUyWtpeDbbsuZhG+smBAYAL5u4yhbtE4Lss1aoNWidx73a M5Q3TpAAaSWfgDOSDtAUdp7/61KL5k93yXYl7NtXLQpSCkYT+ByM59eKVCvCQvwyqy0mW9Lamxpv EgitBYtaIZ05Qu0pJZ9Nm0eh//rS0lE1fTNvAE+OoUmlo7tA80ngpCuLOeRi5GNESaGdzgTbLQqb /oNEfwS0W2EV+qCoFvVPOgYKoqS1cNONP4bfpdke7oSl09D0WFECpAQsKJJ9Romnn4aGnePyC0Zq 3N9dwD2cEvdmvaXPTPBoGGtb7HhoAHFjBJG2pctr7vaEHaYf7SD/HSJZBSz5i7IK8s1Lb4SBPFhh utrQ+DBm28DwVBp7wvbe3gk1EQkj47SIt1dQIS/GzoUfEvS14zW6uZWHlsU+qTK0PgeU6qX6X8k4 KSLE6E4SO7Rd3Gh9orERr6bgpGyLBRztYZvSusI5UXRiWQLebvzJn2y9XoS2d2JClFvoIG53Vzjo pbRCaaKw/iE9ZpiYB6U2B/wopD9rsT47QtXy24THnYDbC4TAZQi1wqyo9kW5myRZfT1cJriJYY3y g91qy08FmQR5o+r4/IWPcap96Or0pl6bHfua84F0c9c6rT0KBivczurJ/Irzcw8m0I7yE+wmi6pB vGBQXi2Zf23TaJU9kEJdnErGh9G3O2pFVowx0s6Z286PM1JrBDLGfVgUev/BVa5mAV4Q9Usj/nW2 2I7Ow1bsGTGaiYUzwYz8VCXta9/AWGBnIzuX/Fsp+Sc/H71ezzioFu/fb2jk6mWy0M5JtLzvGxu2 82ORrtQ1hWAN2TA4HW3WW4dl49pYmqpYb3296E794nxOVc72H8QHJM2OWgQSEhXX7SHURFdO+TtQ QJfOKsWw56rnJGY71E17HBrjLoeSplUPrvZlNZt7XJ/upsXq5lyTNukXFPatNMSiCVc9LdOqfT94 sbCR29j5qf3Td4X6SHgizlpc9+HZDDdvfLnUB2RDd0bF+0IuFcIEkxv/IiVCD0ABTigkC4kut90C BKCsg+BsFmFnS42ilH0qVzWOBI1koo+/16CTB+DsRmyzIjQde/5XjPGQmpDR5gMYJ20Zh4ZTMr57 wTv+5re6/HUx/yD6xM2BHbyGhB9cEXTwEW+hL43rlcFnvWaKut8ZAx99EQhG/eu58B7Rb+n1Yp0U JkXt+T7iaoNdLFEcIqeh4n4fEyVNmaZ9HA9W1wb45vQz4ccbVBth2PnvyXVXuJPWd0uzs7zkuwjN w0Ast+HAHTw0W3ePXeVimJkC++3d16L34XdlWU7Rok3EScU+kTjoX+6jynz1lwVnPgPNYIdUSaf3 WfHy4WVjEkP8x1ybsoRbWWyZiqAFb6mPTQ0nrrvPltDWZpPTcRSF1AptSJLlEaL9MN8vn3lp95uS bOOP/a0pPYc2BX9onbRAK6kpudcN1+QVB+dcXC+m9V+43I9FbGRscT4jvZba/S90mkvY69RG+rJ/ x5HMUMVuZjjQ0NtBjCv3sHpQ4aO7Y5hAeiaNhSVSxp1a1Uin3WgqFaBp/HxduXvDPoY7jSIBTYFa WmEXKDXmHJ/CjcVfVu5A/jx1MbQgHiaItvyF3NoimXeTPkLbxOJX4E81k0o+d+G3Ka4h9k+LVk37 vOkSxVYALOG4tGMeUtx41+G/WeMHVlguA45E+2HHVFtLMw6KKMmVIHWFosJVDNbma4g5+TGfRvBt rdHCq3wMOibjLZFzFP90p2RE7F/4Nak1PTv/mAHqIk1DlToGCqfoZQCM24XdXX66XDV2FK+nBebU gqvDte9zjHlGox5+6ygQt4jAKodkAGCXQHUT4PZdvnCjuSRwK4V0JFdncGpPp0JKxzd4JRYTiK9W poYcBjNNN/b5Iby8Fkgqv9w/9/mZuI5hC3vvT3YNWht9I7vzONFzVRh/aWb5gOW31fItulvGiuQU Ktma7RtQQoARROlkj4kM6p3mR8ZlhnZ1FPc9wKMg5WqKQuvp0gaZWbYukW/mI5qL12ES8juRa/hT X47vDuV3xwBu+pWyYaq9V8aP3YjIvxZngcEQ7ON9SmdlcijAcBxiLtAVnE3j3EbjnnQl8DW5JkdC MAMwPY0rVRnGp9y2V6ksSfNohBYjGB7nBOXFzP9qmvY3q3y1cKvTYpCBF/zMmH1rThuoC6NdsX9j i1dW5dmEC7TklaxKwk5FR4qGE4Fj/5/yy011h/yDn/L8DTMSQeldcpo5wHrWCi+6w2eCaDq7e5VX b+7Kp2l1aRLUCOZvPzNeIiB2D67lLt1UbjdVGxj/U0RGDsv1dF+YgHpplpebHQZHZ+AYAl4u+my5 hmdQMI6ucg9eHLuATvnnnAShL6TazzKD/6oWzxxkpGkVBGL/cTmbW9zAJQ9wJyGSXFrRkWiGOOUs eMbdDIfPpd8RK+/fNWAudhyKvyWbQJAOJigyzerExA+ynRWAqB63G3tjgU5SAkoOzEs5QkhGzvmI lfSIZKZO13IUgmafLVS5CSppmiTSiLL1k+c1kvsgbbwn0S/QiCQiTfp9aLG0BcC1a1kcAeADXMMl QBaZFgtenCsdtdNxB5MIuZbpA61pryWQJlm/U4rsFPMUoIA/e/ibyY8Jyb9jWT95oDxytqKI1f98 PiDul9fHCIEDZLWwk72dQafM6GJ+6KjJw0pygcZhcqgVvQ39S8zWusoTddOhjEY+JXlhaN303tpJ gbOw5n6MdCgcap1B0E4uM6mlnzziry0bT5j9rKUOmb8lbpDXrrOeYGKe5CP+2Vw/0fPsLVpN2dh9 FSjhyoPCjd/K33TqO5VmRo3oPmtSbAipZcgV4YvwWIe6LKiLwxLxGflYEmBiKQNJFddG0z4wSz6P PhL+zRn/msQWpso9w8BlkY6XPkvAqBKrhoajY8X4Zg5NlDfSjUMdEAvDUh/IHfy7EqqSOJKRu1Ud nyIEd2GbtWQ9AowOsKx1zy61ydlj+YY1IhUixJ4SDpsLBUrV5PqfkS1snLtRoaIbFbSd3MqN7xaZ TvcLR2r6nACO3tNp3DqwnJY/BpEUhUQx4LlsSs3HCiJcBG0JW7Hsp1lol+zXcY3KQsZx8MLg0EaF 5r92EGy796wvxZZT797GCgm9pZ3G5yyaubnOy5cw2ygrNUSzPTTwMBdcspzhOH18lAqoT0JI59tY W+gOnAURUEMBTA4hL93vV++ZtL7wl6zQUlqRjxwDiraJcFc4lXyYv5QcsYv3jE9oSfB+niiH54J+ 0F9bKWCAJM3ShaQfOwe2pr/a3zjwG73KwdupUEwoEUFf3it/pEGF56yLMkqtHze5NfLYZQoFXZDz bV1Xa4QYSTcyTAwaLHdeio9BJEBR/ojXm5jsa2IB7calgVtrWdkRN/9aeIi7vumXkI1xtyYjOwyz nRemq769RZEaB9aamVKkEGPPTYgw/9omoxcpEPDLo6lYu2SIUWE5Zcd0WVy6wpgp8OL5cjO7TxN2 8QwLyqPlcijjiVpjPsCHUi1Vw+TmWy5n+yS4bh0ayS/sydl10+Tj8Fa0qqa37ky/YIWGdDxHK/E8 tfWvcm1Trps6BLQG12eSiixfdVe7PZ51vHFHtr9U3Gh0Wwm160Kj1hs0jjGqoPiP1zpcz/R3dcMS rxpaZaza+Dic4Ey26g5olJT2+WX4YGcH1NR/4AtHaLFcbwizrWO/k8V0Dai9zOo1cC6Wg+nA/73z PI5yMglk7llA+hPpNI+nuBPmNgL24JJ8ipqGRXoA7XNrOphYFuIDB6dDqifTPh33CvwEdC98GKaA XGS/iW4BxbnjVQAAMXRTW3Za4ofTAEF5JdMBWJRCiKDyk3t/DBIMXVsoJTeY1NgltMDvOrXohu6H +LekwL4l8jwUPAEghTWPyrEkD9kdiC9vOh+neKzaRU1ymRXnjs4FsgHo2PpYux0OIbW1kEEwQg4X fNvt84eSkui+HHa/TWxI7c+p0xy0uqtX/+p3JnkcaoTGt4RuTtKUA/Aomtuoo1vFz+yWnTYFCzgQ 4/uWI/+jAIJkRagkT6bbRYBf5K7TruC9KPJa9LSNAB2CXEheSKeZqTNx4IpptqAHAj7fLPL5GNHF f+XxmFmpJDXCpLwoWbovQA4Uy3xh06PnXj9NObGc6xncJet05S4HRXq6rwrQ6DRjzx+TZGyQPDhO +ypM8KwBHbP+1goFdSde3lO/CM2ox8leT1Bl4gQEU9vxMTN6XtiDCIyUOmco8pKmA/SCsY8fVt5O JPRqTQ282yhuRtI3CYfHTv9ZsHdho25Ca/0gYhQ4c12dd3XGqSzVSivCJsLUGQXPVpYKIu25gZQd vfhUNepds3H3os6c+yq+klaxuKH98HsppgA36t9mpWqLavK/pj/Ix5bHikpIomP5swg58GISeHYD P4/pHQ4xSfF+FFtduy24S4T0IhxUEMywdA19FBniSXAk2U5vC6lmdUNd+CTVrxnBIqwOe8HwN7S+ ATfyb1LAz2ootaFXv+8VomUt/usk0u92gq9y+mK130JjCo2WkNZ7Q1Is7+X3C1kzBkRDx068m+xx 94WjZ3rTCegwcxnnmRnUgpmAAJ1bEz8GUzrLRo2ZOsoGWELdio89Xnt5ReRD5dvLtp14UV5a/zrZ zHbrT2QQ5qZ6p8Mrv+AdwXaNCch6ugTXBHq7ZyKM7lk9isstljlJW7f8fOrNyX1hulYJHKCkZjq7 8BR2W8RXWwVC7S2vQRDEA1t3Q56iKuCo23RAPhV8eby2XgpmGYxIhd5OjGhVKALOJXo/LvDJoV8r vEDp4f0UCEOm1+Xf5NQPmYDG9+eyaAIxdPesaqhiHv0xqdcTZzTkfXk8Gv1uR7BZhrBJHs9AqOcD zBxfUX7q4v1f/UxFm/ZxgJirSpfyxaPh64JjnsegWhBWIETE4XFCtraE8kYU/rRlVYGNCikAT3AA X+O9RDoxedw53VN8B4VzFSO/Ef3SMxeAKHpmkTS0owcGulQ1lZzjNW8+E/y+75Cfsldg6YtSu6F7 rC85tmEFAPlE++eO2PjIj1b2M1g4Lw5YoI2qsHku8N4m7AwTB7QDF3GCFcBigtwlG3LI/JeKS+r2 zhFhwbz8VfXng75Wh4CW9GMGa+yYE6JSVhHa9rMUuuiY51hx8yi2BaS4wavfTxG4TKWCAW8BN0RI 4aotAYcKPU3zXmhnfmZ5u4hfDwoQ3YdfM1LWsPggRR60R262yQyLP7L2p6doblHHQBBpOP6Ere+V ITaTRmy25GFU575JZMUxJjzh4FGBbxV2WMmk+idiJnGRrIDHOiZCVIbza6dWPgGO2IPTXPxpSMFD t3oL+xRp0tDlbcizQG9hc0471cdzm6BVT5yGsajQaURSrQT9sYzcI3JXKRg4HrTbVhpvXMCNdfij s3k6aGtkoDvLG5LGjBYKAQL6n2a3mrgzSX8aa3+NGW4dPdGahq/YbCOfT931CjU4tlkrmhlP3Vfd Dpv9Qa556lojaYqpsQFly7Jt5TOT9gKkQYouMBzYUIUsdBxCkHs+kdE/RVH9N9mgDE6pnkP76Ozk LoNd4ZXkuHVB3kRM7TGcTyV6ZtmWOQIVvV+55aA9L+lTa7N8niSeaavQCz8OL8YdQAMDgwk6UCr3 YCO/tgI3ju6rgBwkc97pT4beVGk2XaxvN+0zmkAbeCpRRTgSzfmkePJq2oXV3l3edmaZI7w6H8Nw paR7YUxRZMLOPnLdbuIS47h6iSLkJWvcsFPjGxl5dGtHbB8luvlIq+mv7e3hoPPlrfV0tsyVrP5B iXiOCmh0EwqDmaWTujpv2i4D5sRhzXzDh3+ZaNAUAAbQlm1b6OXL+7WVg71+p/EBel6L5/SYYeDX IEM5pvQnTM7I7lri1EA2JdGT/ZRZ5jlkD50E5i4v7CbzdOC2gWVw5LFRE3DgPg9l3VF0DIw7tVqf FXViqT4EImOVDWnc64C1GZa5T94QY33c6Si4j0xgzi31V40Y73Jg18cCD7IZbQFs6NPpWLD6juzZ yF2jMQevumUMin+1ZfY3e14xdrU6uHP6iZNHZJa6RGeX92OtYC0ERoKk34tvs6vPoegQkWV/OrZu lIc3Z45wWCtPvEL+r4E/wYdtcTsSr7hyGXN114fT0dXpbzb3IIbiPBT7MfF5BkVgwzlxEs/+CeLj xQ6Cnm/0aqicrhOUVdl5se81uQMVbLxl/rPh27o82FeD9InVjRf9rDJ341TyfHrmebzux9lSXppA WhYdwW0iBNJWvhqP5ABcW1+o3e1AOlftULmqPLlFZDrR668Bbv2BDRxeKscjZjFlB7Hn5MQdlGX5 55Z1M8S20wq4wzSXlOjfbIGPr7PaG8ApmTyfPhhemyeOmUsLWdtW3LpNkTLpPu/ceSbwge6Uk+uS cu57c96fBaoNmFGTAzQmMx5+jSs5mG/J4kPU5V9UNRkTs3SjYxTG6ce1+wQ6bPO6BxhvK2n6Z5Un KofSt97BJ6SlgZSpiOCPdYP6oPekJH60NG/xt1k88Lf++TaT1PYwXq5bSGi/mddSUQZMLkwzdsX2 FfiLCbld3jlX2805DQXFZWuCOOybEgI6x3DMg0pYjrtYn4bIoedrufsoBy7PsLnayMc2zmgqtz8C /goamD/U0wjAHumROeCs/snAqamQf+eqWJWYxUrswV1Jhag/Db5tWdbRW/BSq1R3lTJiloz2lG2M c7lRF1Kqr2JaaTcw99ix4TGZ3Eit/Xqg3Kb2qPCYLdRnBteBrwnUJkfgUUGdXc/OaWRlG066DLFL 6uXfBgDWBj4Wt4gKG5X/XNxQeEBD0UW6LdHTQJx1v4cgG/cAWSUEafBo1Iw+/mzlyxCvgUJaiU/f aeM4fjyIIFZ5w7/c4pNx5n2yoxntvZqXhpafHBYy21tAllbw7TSzw1aDJJqZhYa1fE0F52Nfs0YR VGkIJVRRrg8LQ9ZiiOQN1+/yjlDs5exkoMy1vooUX87tWN7aKjldKb1OWsjMHiUhKpqh4uX0Ezqf uW2gZNrJ+sVunA79Ja2aDP1wgCeFCzRTFHCJSoEMfcqfCcOs8W1fDb6F2snL+3NXKM461zVb/hZF RijHOMzmN0PUN9LQKt3Z4ADangR5ahdVYHh7uYrO0lhEQ4ApGEM+hozw89q4BLFU8ZOYZCG0soUO wZEBJ+qZOYx2llA1XjTWHIPr3e8zdkOWNXCUlHO0AGdG2qyBJ3hZpkjHWR364LPpuHa7sLgun0gM MiaQ09Xu/8P4Q3Quis9/wteTVnnFLlvCd0h1SdIsfrIV0E5A/i9K5p9my7uxn2rTqzg7f3tPMjG1 dLpCVG0rKBOQGuZEjgfhY14NARyS/1xt0gCHPyt8jy2aqKq2YbT8wWgdCflpc6k6J3O43BiT/pe5 BDLDL76IvVWwh2OCKrDI+jEjWevTsrc+6KnEQkqkyNfVefzzrqR1uRrG3a2QAIub8dCFUqZyV1G7 y+UfKMXViIGkiOZzj3ujAPSdBxTZLvqtbHrzbiyaS0M5wdxNOnu0JHABSN8Z9VQsTBJmrDJIyC9M LUn1NCkYLfTvjmFugece0ZCCtfrlcOf7ChmrO51HgJfRF8HFGqynoVn/9dOhW6s/QGyqv2Nj22pd U8pykKaj0fLJDi7ijsypOZnnU5xvhEyksR52VCD+tCWvjPmpHx/a4fYttF1tBR9TFCTiZWGJt8Dn K2BrwLXKLXsZXnQiIXzgTXKhBdXPExFAGEZGujG6WhFzWx1tgaeuVFLGOaD1Xq3gccKBId7l+3MT Xyu9i/MVQV9AGqi65bg3Zl14zN9co8NnTNTy6Iair8v0zg4Wk0UoOoJHNZD4oNFiuho+bglSn9Wh MXq4RFtcm0HMbUX1MEdyGkjUZW3UdLHpW489YfubCLRjc+H5Yq30QUHODVHivVN4utS4y4iw7t6G 1W9JdhuiRLqO9mIiCjzrM3+OjDTg7/RljzbK3A7UeE5tOH5zoSJm6gGoi4v4wDi4RO+oDE1FW5n5 /l5sK+Sl/5G4X6Vah07uyQe7haMwVu54Ya+QosYLtMSAGui+0Its7pOC/CLJBv0V0FmnR2ZVz8yE x+LyL81UYYxzut6CvP56+GAUbkCqB0uKHCOs+5BHMVEIy11pDUR4LSiU4RnuFug5sRRQjOZhvDp0 keNO6dkZEyp4qIwMHOelFOb5+qEy7gttvOxkhmTQn7IfQLCHvSK4yWpRKtjrdrPE/r5pum5XRjRA +j0R0ZQQsCpzEoxDY1JTkf5savHpiXdJpceb1OiSQU6pnbwOdqxDYhETUDN1T7f1CRPx7PvNi0KC nDtIqXdNDBPg+1GVHgyknDeNGe/dYD0fBerFu+70dJlHcYw+SDVMUk8wvolMsxzN10S3nm2izMMX 2L+sKvHN+hDtptkwvIIo/J68+KGp5eGHcx7YsRaUsLIMF5oBxJ+88TotfW5xplomV/CaEpFYIA3U MIbc6g0O8vU1aBUtrXkI+vDLtPu72AJwOUalWsPBGuuOuE80QBrN8OVW8t/SvnfKD8DAZBnjJb+F +EnUVVXPCulJmciAUGIybUpPeV92NBYZ2nQLp5D732vr4g90CBAXalmwhdCIL6PgDHIA/lYHmSvV YOcMELmu5ZOmrPy5GF2PsBqvfYgFYBgtDn+8qN6wQcv1zT/v6AyYUrtCZKdR/CHMjESwGS3VL4nB poVtjpbNP6azvOmDKmBeN+DdnwPgd95wtxs4ley1B6cYBbD5uq5MO3y9dIf52wEm9d48Tx0S8V/H NLrrh9iEQtz0HpVCTOFgCA2/QzYWqnMS+B4tT5GVXUXqjeWbBVZVI9ytkaQlotLAhxw5uZT2Lfcp GK6ow7Sx34mVFYnTAAXxhHj4Zp1piOjq3QYpTPYxJ8ms/VrtQFcbysji35ByqJly26DiJhr5D8CC WWQ/GxF/j1x8fiAWzWhhqcvRrb1OmivW2e3/tYipArifYnWT71kPHzjYmnn6sxlTc7GZTH1b3Guf 0Ne+eacCu4jvba4R2mEf9udLaipHcjlS0idrzz2IS5eSc1bd2vhfGAgBR7saRW9puxUwAo8MJabM MYSi2o/DjbXlxmybHNiHRoPuSujtSBfMGwFdzKni7qt2qSnt8Gmw0FLZeImGfb1FhJvPc8AJAGEG cnzXTye0GKdnFK/TMOX/nPV6vD6GosGIR8jfgANuaD+e8r6jLTOzgz6ipdDEY+nSFgDGEblBeh5X WCnsPjz6iY3Tmd6c3zcfL7dD1SOW3FScZnBMzDwJ7YV/ngLbXwfJVO7lGLKu3pPBKzK8kCxPt7k4 E7Iqc/vHKD3T0Q5RKqMVJbJEMR6Oz/tzvWzFYcqEYC9DQxS00XXjGMGSESdli2CPwQhJQlBrqoQ2 ix5Uicyx8aC0BizXSCOvKqx5Ld9a0APDLEw43SN+d9bswj6VdvPZWN2BrgSXnTPCdsS9OtnYkRgP 7ECDgCZqO7EvfazLQJHSDTSE063pkIaa32q4VzWDdlK8KvzkWJ5aF/5aEFbbOg8za1sMKKM3EtIw RYrRDlaXW9iEX3dP3EtKS54gsh/IX96/y0oSRLZ2W2UieTEeuAt5p9QaLSc5wrH8Sdktv5eNoRWs kEjnVoHGb2tpR7L6lUwefrOxSer8plnJVQ05HQVFlOaX/MpyvPEXQYUpPQyhGKHzfGrJLEkECUu/ 8JLyiSlT3vHgDYZC7wfoWmVpPkCesLi7bQNAhSj7xOJKD5iIKqWDKOU6IU8UE2w8nfq28slfTaUS PeswSXHzL+acESzE6qgFcno9qiIvyZj2fF4RskwgElsp/RUe/at91f96a8pjdvElpoekC+j06zid HCxYrdZelXDJeBYWCRCc5MTegPs9NePBZbalIUVw8swtMp3P+DwCxHYAS0c+B8o5q191QvOjkCSe eQr0ABI4PTG9Nda3ET94nkkH2F/HSoWUo211xetODtW4U7y2aKE00UnM3bxihDFO0pvXgKc8Spgv xnf9YNkUkDYoS53O/sfiQekFvkEbKUeNhWx4VrwAnDg9l7/WgwFE0zHQB9wvgIw5gAja0fn634qg 7JBQWJyauogd4lysOlh4H3QC0a7Yp8c4TBNCYNIZ6fsbLoKvq/SWEP2GYj6QAPz9uj4a118aYdRQ mrqUOc1O0t8P9FgQGpTZ+zbufwx5+f4iMK8dhk2mgsxcEIEHt/A4JyPq+yNqvA0MWMZmO3d69d03 vpIHZG9q2rrtuQeTArBOvusPzmrDgPP+TrKx2/UgaxaQiXd2yXwLia6a/1UXTeXngC98ROtj7RVQ NHmMOZj1Ix24nX12fgMto9C6uNVgvZT6RVs4Y5oT9/hhSU9l8dWam3cxDHMrJ7/ozkOt88tBZ4lo fAEQQz7HwhXzCw5hVheB1KY8QQBGU37kFBm8fs5mgT4et/zGQZ4TzGcXkIDZ7buR7kxmQpa5x0pp RphxniUlNmPwNqyhbV5Gjl/0PCGXx0LbsHSIvfYj9ZhN+yIXrSo6vuxXsXXkmiUgLm8DOfjx0OF0 QAjQb80XGzaXPUtSSQQWuRSaLUEB4f+P2v+ptaP1Cbq4V1itjk+euutG3EcIB6u9go/nBGoFgYpu GCoY+DfsXorc9/FsYlFQZTZMRJiQNl9YAwRmiKj3uUdEMYW+AljHnVFWvQIZpcj9oIO/unfX+zwU qGH82Y9d1vk/yIBSCP6laEwps9qebhdq8J7Ee6mczmAD+U4hVJ9nQz2WfZgVCxJ9RI5jur2FaG91 648jP4RY2k9lD3pEEYaq8coXH07RaEbwwcb9iwHkGSZWXHJrAuI8QYMUEqs9rwkWqnSa4PaJiie7 z6S6ZoIjonDIcVT4zHmRkFBdheUVRexn2bOV4cKIwbqetZQ36UWBppS44fD2FXPlQRR4PBeidu61 0d/tYtaUt+FU0Ikex24xOv8JxS/qQ9tELM/gNdGKofMQbY8VeEP/HgnaUd2/NvGbyiENtXr9uD1c ukstGA+ZMuxKxkD+dEbXfrOjYdIpKNpjkKR0jgT0jdtv/JSwX4hg4L4bYcgFzZIh6REn+wmW4i+z KgK4+pRIqp9qySpqQqVesxoSVD9YuQBZkkTb4hPAf40UDyNz6hUt5IWF2azxCMmbsYOCTjWDYVMq HBye257F65e1E+oQpqreEVY+woeH1sFOcA0iGhPE68T8Cvp/R1KplsDKcsIhI9lBIvnbgo12P1Zy r5aM8QcAtRuIWDzbozZzx/hQakZ1ZZX5Q6E3FnQk8YB8gXgzFDkEUPlWcOcmTVGwJ8zBJXiRm3fc qc5UMP1lbNEcpQ45FRWaPrTMpcaTO+Ze+d1OpsINMtAlR6uZc+30zNovv3+zGz7vcAL12vdBMIaq Hk9nrESgF0shsZbGmO8C2L0tNUmxqSTyJjldTOljxkibToKZQl6UJDbi0WI94d7lXmEffO63CYuI 2uOre+5zys+iyhJQePPvfbl8mkhdH9+SWFf80Q9qR3lZKjJwJpXtSuu1LJJedOKeHOB3Yd9nqrlO lU2xagdpHagvDcSYJFVQYCOBWS4dvzlOKhNv4v5L7Qqfl5dfe1MyejtnaqEB+u+/dbZUPullhTq3 YubmpMyApLu0bm2KApbNcQeS70XzHuZBbya3vfHfKmfgnb9N5soGia18ZiTVOU4Z6+Y9LDslwWIz Sl9ZepieYuMGPSaj4N2a9o3g83ObWS2t8fJSnEhCQoXZXCUNbhwk/jhj8pZtTEk7b906WKah8u8h K2q2KO6TVVlJqMtsl/YWVVH2aEkCX3svZMuEpkEYkJRlQ4graMfhOlvNanzt72iv8hwFC1byqBQ3 3RBlfiWNIW8Thn7J/Q7Mp5DEnbrxPOtpgimg27JjbkueLRA8mPoKhLp79/UPotLc73XMTPH+C1uC xJ91YskZamme0GPoXZy8nD/HAk3sI+08Uf2d9aGr9rTCzCwf4hIw9CFJdb3txms8rtzkV8SgsUKN b2WoTzTfcdankOSAHDilraDJJQSBYbN8LFpSnQ/blUx1kcKw6FFo9Qs4sIWImlrkLOTRN+nI0AB0 UerRt5rcEw13f+j6xAZ+nmZAimmnTkAe7+iPVLJhZV2n7AeFayXp1e+7+r/kqnRN/pwnShotYTAd k6vorZ+kMiv0ZosQv0efh/VLo7/iXJUY7lmS62kTRb65JCKIES1p2qxaTFZT7VObiekZuCL9oLv6 v/9wTTVSN7L4HUjGS1YA0yajScMvf1xUFOOc/5YfSzm+QQ/qOHrbYI9xkzvSNZ4CasJ62gWx1c/2 AEWWz5kgEN/BVOpftEiI8iLE2JdMhvukn2s2I2IsW5ASIS3CcadfM8Pz17kHPoYEjIljeflb+JbX uSdB7CzB2qKy6u4QnNRiUQTGUWrisWLpTrVe4g7bIfLUnWHzArkqdUS2JTEDY/Mkdmuig43SUbe9 0D8XiQlC8kf7J5aVOlHxOd6fapLm9ciDyCnprwP1sxRDL/B2RXV1rJRg/c6sXyFAdUm/YDAIT92m EILvbecFXGjxVvwoT6NxT9lDSbcO3aragCiv9tZ5cxAHy/v1K2ys5XSWrMn9LKlY+lLiV0M0aLqo 0nkMdvYXY1mWTXFmcSwP5D0Xez3MiovpcvsWUYGicSFusOQX52vU0liDCwnURyuxSPsbBjZa8+w+ dU1Ct3RzEWD3sbLSLsPSen6/WFkd5Dj65sZrwwQ6FZjr0eiKuTmQ73yPDZy5Wr9jvwBL2ciV3hkB OVqq4xQWYaXPvUP8v2rU2A/57fEidxTWHYT4QjLSbHJjl8q8dyUOKGPsBFKnrEWV53tOcS64eEy3 5jHDxx7bHfaNVVS7mapIGJ8C1utG83TULHlrms0dmnLx9k8AVo1ZkWaPnxADGLmkL4LiQe2MVvxS X/tRETpEZ72Sc+DS5mlp/7sA6gYZ+yxEtfkvM98dQZtsZq0SzupJCU28822c/H5BRFbj8mrc0wzt 2Zu2l1FbDXA5V1glw0kCE3/er5qn0e0VX7z5PDTk17FvMi7oe+7zJHiNDDEdOycJf6d6PBqcC3cK 1jtLnGdyy7T3hak/U0mvwrsUZ+6D3B5JZrdSgtITtNd95b5rP8BdtpzBU2QwgwMtwa2AycBiPSeg AO3amVpT9UKYSDj+2AeP5CcUN7N33sLHg8hhwNoXARJ27SNxYlqm5GAfmMB85vfkBkGM52IS3wbS MwCFHEcjBRkCkpGPSub70pFmSY/aDFPVNX5QXp0xbOYIi7wf89AT1l24mVpo3mmLFAxi2fEpbUIn OL5CCE+LqzPKdZ5fwUME4zHUyqkIqKN95gOTJD06u6yoj/pSpLeqD9VzcwsNVKIktz7iK93zdKSj U7GRIsSCe36xIxum6dpmrq1jI0SJChbZRwu+xxdfzQwj1BajAfn1tW/HnPacHsGnjyxLo2jdY8Nk pLOWksqSfZ0ly87RSiGjLlAc8uW8nSxasyapaFqvyxrubRmnL/zQVnvqXpa37gwyjvtVXBvOhDyt c2RND0GpnI99CNYH8+6AfjjysnRapB1u2xxEG/w7vfINadXOMUOBKJVrxMVDXlmTuLHbwDDrETnT LsPEf3qjo2OtMx+M1Oz24r45iQr7ppg3VmmuwEMWFhnkvAP6H6akthsI0dlCUO3kwM7MfxAIprXW 7H7L3mrgz+W0uJMtpUyoxYbOcVYinUGKT6c9Y8oJPb2C+H4UqExBUW0bKefm4DeYlaWFirhxFfuE PWvvJE0fajbpdLvKj5GapKXvGep6wW+aL5fnlnMxUNmazYB/eh4keFAyLLNd8iorGS0quAAzODKP QQJSH6PLp26fyxx6LNlDcqPC4o9wdSF4HIsgtynpKoFNGS+gq8Kw6tA4iJykp/O2l1DtRdDuJLHt kMILshyDDmAL8bZLaDqBSGVfa2uPNZ6E/hIWdrvEEL2Vjl/U4KEI71ey0Gn5hKPJeaVbEbw+GTMQ BA17ykhJa//GUqgVqCvlGLATYZ9HxAS9lFZ4k0KHMxdD7EISxVs8sx7g3KdPJL5lUgoHf9wxKxTa pKKBE8X3o0OoIJIPKCZtafCvXRATzAj8q1A79kGfghuCaDlOpYBW6yIKx/KbJ8uUEBwCLhH7RNjq 6W4Iuftd4sdfd0ssTmW2OyJTn8BcZvr/cnji0IIqOgp1DFERsKQm/MkE2JHvLljGiV1x8UXDCn5C cwMFD81tFydetMVrHYFhFgmMNAV+/ks1zyHciYhTfsZIGtSAp9EDWUkjRGR9FGUKtIhfP4kXBIb4 G1jGiZkSRRY7Kw3RDEhee08mCRegfo61QSTZYJ1yi/xIr929K6TjfDLzl6IUkphl9YZCjR+b1dMc MMpDMXp+wC/mZ9PrbeWbou1q01VozOIg889wK9OEmW/c2PBvvZR0zqBXmZxxdzHYgEdHN0WFbpzE 9SSo5hBYdNHGDiAKAbWp9NRk9nE8Oage9zcYqrR/I8ckt6Zm+lz7HSSAYL7M0FXhsxabLFcDxFG7 fHq4oC4lCfrAl8oU8OAw8/pSGi5GupglFjBxW7DqeSXYAviconBdEiM8amEO/lx4nKJedyECc48U MRVMb6iGu68pC81kKtyBykjSz45u5+LKb7SRTTHemeKobmH3xeiRQQgB8kNXRTEK6Jpg4fGELumM B4tLQv+bbnKIsFfOGM/+sxVIqn6mRRf/TjVw3HFYlNsguMHGGkOvVxPXL/TP2VU3o/68v9T/AeUV 0uCNWw4t8K4UuAvRE6eNbDMeJvoX2OdyDMBhfuYFra7+q02T4s4gWXgE94jMlcz1xhDJl8I/TrH0 o7V1IZX8/6O65EPkd0P5/XeOIdScBJ0U32WpO65en4BloxdlrKmsVgQ/TL7uvW3jYxjjndcpCqC+ ZXpVMSSPB7bjw+UyT0ydny+eRJxQsYF2os1pPjAlEOIIfrlVvFAHeJqLeQtPAmPUnd5tZIETbYYL B1rvumRDeSKMrQfm9jWhNXW73NkEwwyfnn/H5dUgR+lZbT9gltkrIWrisHbUgKrUcwIHyANkEp07 5n7o7M859fVhR8/oAGbZi7mfvDe72tFVUOE2vNF0rUkO0Snm32oqH/ihX7KH6fmweDPUzdNGRrgp hMIS3khyCD9smuaoRcAzWvZ2FFolDC2dk7wgOeig1e7xxK7mSyChn4OjcGG27lEKdWDB9QvhQdey 9UR00zX28Dvhg5zzjbalsm/1RIBKq5TyiYTkJ+O3GmkFMjIQXHRaGRBWRGRXl/2DGGbEjxPO7xzs xg2pfzwphjP/MtA249zVt895IZ1WhRcNcanchnGyWwjXLTFJ6wF37QCflapOlgD+nMB3n6oTKVHY l8v6V+9GFSyiblWawxXN72D/BBzyY2HPapDaaTSd7QR8sNHl3VAXahWQsHI5DZeVWWtHvL66AGFw C0LsoQhgTp+hQVbSiM3zJHhXRnRToe3JS0WqKwkbk2dDtHHMiPjE/6ZR2Z+2sO+mxXbRVCEWUqxx Pj82bamgvAxa5o/IOXWWj0P4qWz1xAdlGj4QKhSg9TmJKI98x3g9vsZIBec/abeEqlI7Lf7DOHku MWmB7Fkp8pUFnfI0sgMj5MwuxrekgXjk1d+PUVyp1ax7BKm61Eoj10Iw8UFOCvQe4TaX0QyZ02DR okJ3z5WNl37S9e0uapIM5bCfDSdvVyfZ2OCd/5hk0wZe+h3r/I1uHEYMR5Bk5iDYuxpu3/y6k7lk IV4GxFUH2TvyvU1RCKjAjOMq5ryk+F1/2442JshyyjJgK/J0SA3+pnSFIQSuHjZqyH3QJaUB5RQS zZl3lJjju3YzkoDbet6uwFO67Bh9qugCygnLYXCVX5GjYtVi/bqwcR1whtCUesrp6ZWzVBSppqoE VYsZCPAW84gsmmWS8LiBltASkDDzSG0hMClGZG3zA4aymrf3NKBM/CGI1kQVPnqD7wN13/CPUV16 iDgg3eawgRGAPNMSZ+0wWZyhQKd8F2OebvIoNFQCJb+boFOUCeYkWIoK43y0OT21gXDnSU9v2Wxy 80lXS1GS1Y6rpIlHeat8lddqY+pYHkR46Q93rU5hm3Z7hvrDijql9YjyM82tPWAqFcJcGLzr2Tu5 wfKowTVrhNEEmIdUUAFY5XwIVUnX2tHTrgBAVQTqRef3xDK/T9E/dtoBWT+JRz0i/TkMAuLV6OZQ ggBMtltUCTPmzUGfLGbLgpzExEFXyiInIPspnVd+wpkNL/KSVfWsxYbi+YTToOKMWA0Oe+Lz8t11 dUP5EQE71zUn73+qdrOpShDKOd3JN3bnx1cu6HGW2QVYF1K8mHErSYZ42+syIIoMWrz0FR5XmyNo w0qsjd5obgaQHEoyZ5sJ5ndNND2tjx8SbSLRPNbHWQYlvT3++wqX340Zm2oBDlWhP6Zc7+srheBo WQdpZEUewcILLU9YCDU2buTY2va4iVLc+UelNZGdk5HIha1PMDbP17rwahv3vimGfqujSDP8hKBP B4GBqJAY9gE99uojA0cUqln/rnbbX6vPA7MkzwXQAbwlYzSgg7uE1JbqpRvSJvXBb4PW10xDtHUw lWWfyGvBt9Uj2hF9gPTqGKsGbPw2M5CP6yiNa1kYhHksxe7aMGsP6JEoC8kTWVbMfNwG7fJcYZW9 B3/imR67hwTA3C0IOglCQlSJReNJHjFt3rj4rTB+ndglEfBCWpza7owWpIHb4xkkcloueMD8mbVE G36j/sTBM+A2c6ItsgqsH1ThjMJ5+s5XF6SKB6J9GDOv1Mwh5Eu+mSEeHcdcLgdIjjoOq4NGpoTD 0H4pJJvAEFDLeZOqeuOb54ArQsUhEhBpyc7ZGo2DgBGYYpWPXI9m28uAywDSujSWf+aQ+YKcWojb xWX2XqQQHgpoACa3ZcS33u+gze3QPIKd4JcA5p+EZctcJvefb/aIe2Eevxyz0Ks8twqrFul69+BU Lxvuh/zwL9DkLVjIoc3PgaJb99qfEB8lDj+x7npRle5NpZEn6NiOlj2tVyusFZVd31+PNV+mJNb+ QxP9E/enwm9EbtAbZCI6HEehEj4bGcC72Nf/VKpoiCrxr4sbSYL4E6wJFaEipj7TP06h1sI+RMtG QmnxyBOMLwEo65rOVKYOuCX3ydeHkgbKnv2vC91ZE5sPGUP03TgRAr7Mh+jT1SHJYQPHkIJMRwoE wYl8jkxDdaEhny6WEeRq38NF+6vheVA9/9rNsnXdW9wCP2INbGmZkCz1zsGkUso2Lb5q4UeNuHqQ T/HjJ8Ewub4ChF9xOJyuwyE5ePu08ZRIQ3syT3Xzs8lvyXRLXRozNxKDdf90HYLy2UbY802/xNLo wj1e0QLZF/ZKr1W2lHL717z987X2GNBR7DzRug2Ms52VcnVcM5iw/D/rRXnEKAquVUepaurfkSxo qW8S4xGTw4aJeiEG3oXKwt/ST7OwyLqPPejPO8ZrkAdgMwNqGnkC1eN11U4T9y5wMDEoE9xwNxn8 Q89zeZb7YMkkuyI4BFwq5HIFPOwkaFCLzgZO/nrRJ8Yg9i+M9Oqm2ozMgQYDIvpqpUEjYvD7vbWS VjOm9OH4KBrMoJnIoDIzAa7thtu83WXosOc3jkf3N+ltnDyZMTSMlfGHU7yRf9NqI6tLb4sFnVXi F9r4AL4qutAtRvgd76xWcgoMBddL6hYSkXER8yYKL2Q6XtIsiyiR1E1vraS+PJ8tOgcf/+UB7tTo yxHg04dCs7XWdmZjnhAQGIZdItO9w1QukCBKzfW9LayrRVuPJMHMqr4shMftVcbXOGbnBPDCF/u9 agnpyBsDa3exws1MULRv++vt+LZ3AJkr6CaNm4oLx7DqUuzQ+Eqn9SyDhNdp9czlLpUKeaPjtMwX feC52y+TRvyCi0qpcWDBxugll0Mr+muxKO16EnQueRCiqHOQfzdd5rMktBR2KVuRJOVr/tfK4H4e jZR4U7knflq51TxGvyLRaitdysshkJzZP8T/TpVOYwcQGrlRBnbWaItZBlLT+az/kZ2mXLa4SbyH xQbSwMToeuIfu24NnhcRQ3JjnHkta+qDFex6pjEyzXCJ49qoPEe+gAtgxfR2ZYWHLKylslTkv4bs 6BcRnqKml7hZlup2YXk9xATYEBMeXP2rifHOBNAzWOYkd/2zSswHI2iEp62NHqsQPzAb76B2NJIL BEuHvUpHqgpOk6EDTaIJBY3o3zO5ccs0tCVRAbb9R1BI5uaDzmy2CxQ9xH4DM6M4rf6SP/X+TBLD rBq8KTxSqgATi0r4IE2ioanvptY9IU8yHkH5rR0bN2u4NJTRVcgqotBUyPste3jm/gUiEZM6l159 j5spu+JvoI4zFG5xX8WGL5MDOWYj8/6Y184vcwkAH44RIWnkOlYUSqnQjTQ2GpZ/T/NCTOZxsXHH M4KmKX0DXHcEEZn3SnO01Y+EZyo9r1cW5aSMzm4koXW2UzkIpxRZYqwuaWlQZWO+m4y9F/kK6UHI H0bAWfhOG9/Au/eLVt0qZbCFKaaUwZyPJTpAm+07wpoy5KzFndBuF0SK8Ry4Do/oc/PFn1oFTcmz Jv7xw+AmMn6Ba9u2e1Vq+muLcLZg5DZOc8oyf9V2lp5EUFZaNBLm5jECWEhrbmUXTdBfNUz/sAI2 nxzWr7jgdoV5vHm7/P4rPZC/Bd/Go19cHJgCkhz3pqo51hEADq4nOD4RmOy5HGyx80ACoRW0JUKO mJMZVZFMwqv59dWP4O5QG+qwCoe5ThtV7rWaZecRLjPB8qRydqr+OAwx7fQVlexH+PfOj+YzdMR5 MP+cfVJarMCrGTly0AqCXWBZaRXmDuAtqrDQ2MsEmXJGG/zNIDC8BR42pEPFU7NGfaTpNc2Mj82d 0AfyafqEa5NTFhiyAsXOn9/F0nj/euVs2BI0wmh1CYGjFAwQRTsk9Z25Zzc73GZDdfSDh14KA3wH 8vUGIaZGAiYZr1we06PfG5WFQ/CAzs/Ohm7EXOxoXgkd/loCZzpFEZbpPkCCPmqNAvxsikompoXw CQYFjLwCDDqhrG82qLwNs+YUo0xGdTEDTQFhvejAE8nTZegF/5m++aB3vbKWOx+J3eVx6uHr2Qlw FCBR99a3zvZmHyJ9+iZHzqCaGi1fbJIcYs7Iq7jI1GIRGhDe2LGtgVKvtMM8ZABLDMn7UlAmbt1T oHm58PCp2D+EppLJrBZ8kvOIAeLClx7VO7qo6qRjAZlPN1tkLjoF3NvkuGci1j017DVnnweGvrN5 ntvk9dsb/4HhFmS+xzSB2YMOO9KX7w8Ome89jnQQXrlPguEkpGPRIsoBI3QbUzp/zCGKoLx+zOMs jIRwFVayxQnPGCK4LRXQ7ntHENsPBXjJ9Xkrh1WsmWkg3Dt6Cv1IxN1mybtxV6OmOe0V0vFMLN+7 3GSO9GyqIPuG4wMqWFMPp+gs1OCKJyfdaScHmd69PFa9YQk/tdKPUY5NVAuGx1IIu0GZcZu+XowC lQ3PUCTknmN5MpGsko5fElqPGK3BHDk+nVk19Qh+Lat5oBwUQZ603yYNOUkf258KIcJNc1DtOEOk Uewfpdxvqk8BOxYTeu9tJzMPqPvq3KTEtyEtwttkITp8xuTCZEIHkpvWMr1HLZ43LNdbLewVXUtT MNS+KpgjPypOL+Way/JdH2sP91GreAsU1GgDorvwulRE6UcXnkyHQHUHkw1sTMpFMrUit703Zyrm Rv+e2krqSYlNl0YdPLt+NxSgzTCXu1cu6jtnfVl8vaXYg1O6npdcCt7RYgtztLCiSpo8jmvc4641 s/oPWdCXYKssZf0fyqNZe+SWJCXHsXCoUgyKgmWC6X1szsPzenbLPIlRQ22LEhtZS0tmTpor9NQX mAJO4v70vCyG/Po6Rsy3HoPA9jbJ5XmgIJ4YPR1tTgwQ+p78qOLCVfHS5ITrqMEX2BI1Se9r4zUx 5rdwei92u0fLMLHR3Stnyz/hK1Om/1NCMm/D4rSs+DdJ/KzQ7hpmIzzUnRmyOM5AEngid8IIMnrz SAn1Kxw3Cu6RJkMSQuel1pimoVtxFVGmApNkEduoND2Cjfuk/EfRHJkLZ4/3qomtdKuPZVTUlq6v z6qArRjpVAlUy1nDtmDSg/iNbGP7SggzR+Yq4LAcdLZbA4gaIKbS2fbm1Ihg9szXjSvJJoftuZeO C+yHdCwMcHPwVopdSKaa+U+ANpH05Xf4H711HnSAuHV/sUx2YL2gG7+DVrb0l7+V8vwZHFz4b3bH aIp8bBps1oLIuaOew5t+f0WNqpPbLBttb9V5J7yexiz0H/+mJXJviLiirLYonLTxU+wE+iL7lK9s /wRQlH9k9gSeNhtWBr5nj8A0BCSPSTEvMLnftQ2FQ/iD3eN+vZHNMbnLLQ+TdrSKuCNvWKkrhtMo J4JLbG1ItC948GeRaJU7NkSwCIKilRejYE3yFSl5tYmLhE8fsCfNq8YIpeJzrp3YXDYoxcMSUZxy ZfgmsPv0FoJnQBzn7uOTCUaqMAoBEgYXwogpo4fX9ZjQTEYYqiSoozVcsPuay38FVVu90e/FusIQ GylLYj3b8cSj96W3pqhoqZJTIKXGV2wUHV/jnNd92Yqn5sLnU/OenN6hJfYSexgh53GaUONsGx8g Dm5TPVGH/AxTp/lRXtaaEpQRaWNMefZP6vLJqL8nUewPpURb+Lv4mtib17vlZD3291zbWixs7CUL DhliXSAEmAUtncwQHjHbmL/+jJvkBl4zhtEmInT7M0en4L/zj1xmOLVjDh//ycinwThNUwdRpk42 szj8sjiN4EYuPiJ7DpBgKysJ/qrM1IfCbhV36vzwhSveUakEuyQ7YBr7VCXylMqVtO/B+OMXs6mq /D5c6bqfSadRclN3Ga2EuqzdNdjWHt+Ke3qIY+cORU1naV6vp4ZvxRnm+wRs0ny4rzgAawE7K5LA rf9xwqn0KN5pVs+47zfRPpPS/0HLrartA/2d+GR7qdCi8xRlHEvODhfgO8QpqGWztC7Ksynq7fc+ /EBtLuXscQ0P669elhwDcsYhzYmUkgkQ90vlN9yx2W8neZdWX0f7qSZTVxXgp/8fZw8UyYaOgf3k Au7YX/rd3CcpUtTB42uZRI6qMuYYE+5kMQDYORMxF3Od9Y7XdHQvwvFqWVZzMpR0yFohevM0rpdm PMRCR76d/9PXIF98r9nf/nMZ4lMQKd4i3Wk6RkGHF1JXf1wQPehK7iJLHRPuAz1mGGih8mJ0HHPf g5izIPeF5/XGzDW50jV3AD51AxCFZ1bC31fBlmnlQVVNSGShLd7pxOrRCnjwMQdgE0oz24nxbR2Y /0hs6zlrzRiq2qRoWvQeIX5KjHhY+1AAeFIQJ7Ic58PSmppZ0g3eVm1Yxn1gQjFFZhmPYj2g+vd6 vILbRpS9attH0FO4OB6RiougLBL88/DkouQZq4bzSiX5rf2uxgzsDgaGsb2g4q22mdbF9ZHc+/z3 +VRKUKiuLs2nRzUMIRfY4yBc9ipH9WRImezb/KFZcU7VvywhKBu7egr/8jnb0mViS2vBR1PQV7Kk jEuKEyGeSQ41Y0wultIWg0ShoehjjQgwYc7fl3x9Tmwdw97FbNTeJaHOI73Brza0qTVQjMo1d5Mo qxI8ug2XRDU4A1RzilS+5xfX1XV4luqNkWdlZq+aPp7tCI3XD7qe7vZrXkonNT5AncvAeeWp6mBN R3e3UncjeLALd4U/MqaIqJ5XXgu2apOXUy+p+/Wp2QLS4Vgyuki3jjyIuZTB0kr1pMh+6I2l4Lpz Rbv/6Imt2QdpAPxOHuZipwDfCXyNTvZdkhLWpy8/0Z5GPdW26ofRng1o/ZUTbN7o/eSQkr1mYDP+ us3d+RjVwOqG+9x3mwKzoxZqyfMt/eCv/5CRPspOb+Z48u7TfNmQ8dEJHqYFFjsDwZHzetfveSt0 E1a2X6BDQGvyUp6BpNxqIT8ShwSEwfurNg72zJfQiTMjeIQdEO8a8zxOC9uy3UZqxmA2oDe44GqP StsMQmplvB5WBvGIB0IHkXy+MHmbseMcN/oI9Ld8gfV8Dch18y1gb0N9p/Na2u8XGr/ZjkUmZEa3 OG3+NpUW9X2MK4ZfXe7+p29LBeSQrZQBnvNPFp2+2siQCggfsnDkmK32oVctKzjqiIavaQCOhoE6 fkCWp4TG7Ara/PzIZ2c6vSaWPLGr/0pCX/aCnZlB4IlYDN89Rovm1ZUiJuhp7HBiRYd9r8D1mVXT G/2wxZwrGDf5T5nGbEm/6wGj6IAyKY0hNozDplnVcxtZYa80CWxSz1Z2jhPUkotAlCiq0r38yyFa JLJaJQIGivqLcJty3MZp3pVa6SYG2HwaudxFtUWaMFva3yniGYXUA75ebPq6GySH2TEXgWKw2EV0 vKNahNvgP3Jzau1oful2Omyl/FlYaouCORsC6NBG9eyTwB5ZlyAb9T7pf39EoXJ1vakZs7mxG8Rs XQoRbfaOcu3z5xwqxQsgjhhrXewucxrswA5f/kVNLsXgm1YBlkkity78zywd0svai1jDzNZs+WKE nKF2uMGK705+3ylSVd1/LrpTgC7lFg9Mx0x3PX0byEiUuOWHGIIhdlaYNlZT2/9fzJybR9P2ldec KgRkcw2YyWu/zqMbawOfawlRizEn6UUb5ZzD0oI8An4fIoOPEHQCMTaXwUtpwVo3Iv/l1pNOWBrY zJFaZCZcLvrPHx6VwEAlq+yxh41zKegOhKUOBrgN5XFE3qDS2T3lSw3Hc9AQ96TJykEKJb7z6i5r qW+jQATDvJmj/2E5nGZwRyFvUlqFcGeR+fzAvyzF17qp3+HQ2xi2dgnUvrvZZAmSvIABTbNZnCuj kHNYuhtevkPxmx/gAHOOjl+Yu9uKne+OLfjkjUadFSNXWvhBLe1ZGTXs9uqKAj6w56J5lQz/p0mL xQ/qJVeRi6utipyfnj2IgTdNGdSskIVpzLgUyngnit9FRFe/r9Wr6NyX+NEjPPwnDDqBY/2aDgdH PaL0IA5HGAQP9pSJ5Zue2DFr8ySusk5NDlhzYqIQfije5XDiIWPm1sGrs3W2lHNAQ6bIK5Kt5Gvf 5WCuLqgYhcuaKdvD6NIJ1O8Ij+oFYaQNChBVLgq8liYvS5u5jUV21CBlNwBkcdQBvjz+K4Cy/CQQ LzEFAwJ5D2ryatL7WjuoybvMEiUy7VXhuK4Bx45acdqOWjB4DKN6t8A/UoAEpe3wVYP/WNo30Sq7 hb3DML5tIR+F838P40P4pzBr+LbyjwAcHXGOUMQdpHAPFs7ITefHri0dV6mb0o0nrhBpynM+oCKD aSUAVHWxl1b4Oidvh1hvAQpwNf+u3vz587uw4gkWlre99LgQwUCcDo1Z0ibNJfFMVGejr5ZOm3kQ kY6wHwY+IicQdgoEVvyddIAR7NpC2kr1+YcY3Wged4ap+Q/dVPyOqW+imnKy3g0rk4jd2sXMhW2K SIAwtn6Uiq36Csj0JWYdzg38Mhi74zPzYkp5YRm4OPdbzOMjHhL+P8pVS1N6WnSk9+liS+TgIgNj P0B4xQj4YZ6I2QHgVVJDEP+gGKRjnmsLw+kVWAcQ7tUuLxxi++CwV/sFJSAEDaiOBnK2MYEmAU+i 0Eopckx1dlfidSO4UBTRtcUizmVk9MhaXQVeNiHWZ6Dm7ZM94CrkY9cTW9zPwHN6p9SHddn4UWED 5yXOup86LZB5ZCtScZWIsMpYozkMoNXzxpzYmXJZId09tGzGEdda+DpNzxI/FlSO5HqcQJsvBziO tipmWIvpAqBCL7pgD9WL8AGTTrGynp/DkEoxdDb6vi6YBSQWG9ZXI7hvfPZTmt8K5CYPYCog2ueb KIrxxp1esqQypudr/14zje84LfqBwdT3LOJkJFYQ9uy3nCyy/GR6rvr/Wi4Z8LTrLL0mNPCgMT4Q defNJcHXcGn+1cPn8D7KFlrb5h1de28xVrzEtZewbXK5grkcnAk6uFR8OBIht950oiDeZut11pnE CaRqNeSuVAyMVD3koqeY+zPZh5Pn5cWxUuROq5AXXm/V0qDBD4ao6nc/ZAyllvIdIRAbg8rKc2ir JTT8qi3gUk0XoBrqdPzIvL4ti4Ca98R9sIexviPwwtbOtQdF6lZy3hGU8C2r9GVpOgMl15Y1S6qI xy3oNwKALb4Qps9Y/9AkW6ovXO/fz578pls8YF+9VmX9E2VHi+vGd5tZUP9+cIj1nVjDYlq3U6JB OZ+7AIYCEULlZh04rBa+HbzLRoNQTmHfkwaDygQuHPpV6KG1yRKO321eSN7aHNVR9oT9lxNurwRM B4Xj9G0lo/kwBntUBl1+fI2HBfjPz1UJ4MxRD2J4U2O/eQ/NpQJoEcUuvMmGLYyXVZdYtwGUELO9 p0tZq6u0ISOlQJaIzASmWGosgIjjnVbFlzto4kX28Ll01pzS7NHcW2x5SjZUSKyd5KavIaL4w1y5 UJT/xUc/kHfDsR0I+GF2uitFwpz+ogGNmweKedSgmH87P0VFTSDfQ1iLm1hw51AwXY34JMACfJMI PebLj67oepA61ojjKWmovot759BLaPAEMdJULQFF1idFyyPkDlom2P1ERd9ktwgOG+ztEiMB2z3A EOHzUBBSQ6xyYxHdi9glKUOx0l2cNxAEgfHvFBQv435xFcGsNQzngjn1XU3rh7AWxEMwpJHW3cra tfn/qlM7N/s7vms8c8BhpUxBJC0IqpEqteN38zRpnn7O22aqe8vXXSg3FfCoD7w47FWsZIHfuyuC Psyv5rFcuZDfHWinM1qemhYMfuA1yUx9zeAaUZzRpO8MPsiJ/StN9YDRd0mTZzld4sEQyJEFBSS7 EnMoNw8mfvAYOX+nDiUd1HqkZzMdxWWPxSLMfR3ZcLfkcnjyGp55bog/us5GDH5QNw5gl9RmHsdD ot+1cK5JyWNrqkO46FGPi1hzAYPUCaAmXSN2/vo9LYzyQokwN2AWyCoZ7b44xptCoWFXs0numK/j 3jLQgvTnUCu02plwhY08ZEDMvY+P8BjvOC81f/9LbLV2TSjHrtRx8F3BjkQ26ebyU30rUo1seLwN XUhDxodmQM6p6R/osyTQ4xxcid7wgu0mp+qazUcst2VAym99YCsOhiwcw/blOZoUrmPs9/rBudC9 6UJNjLSm4Eolo4hmzDlDT3bJ7fr7loHLlho4q9ClcxyearW3SbIWgcBmynXLR4xyzcdlx3xA/BN1 UHNDzh66tj2kFV4uoHBfRGMQ4TUHmpbOgQuSJpK2LaUceEd2W7SqkgD88PgscrBv0bkLRfFdEJgN 6uiwQtdpRlKR322/fFHUxJFqiDv1pbp/1YXJxMOqm5jvyLmMF0z5vlh92ujmLfyUN7nzp77vSLam xSF4UsCZ8tKnZtI4elndhoB03ogX8gQksQR/NYGeA8Zt7aDqIE48HInC7CKM7Kp5rxcHbJZvp8zM 5qDkS24Ndwvhu7Zhsftm4Vx8bfTwbsru8AzTAFny6lHUeFH/g1sVugich2zJvSCGLmUv4r6LJ23i XuyOsLacF4yVy3IUNBsi7pA6FpmPoT1SOtQX4NKKxrANfWO7FPxIVi8qN3R/djHTWp4LA1m7VvNG a5fdjZkSPLTryWWI5nUxhHFjLwc4dqR8VzsklXFuJXTgE+r5lUYNNKnxrbcy14sxz4ARgw+5f54d v05UE2Om0ObUHQfP9QCqMCeallh/YKq316TQ8AOsbP2WTjOAKv9Jg6lbZ3u5ym5nyEta2zgCMQNI SKHKaVF+PIrgFOHCJac7FxBTr71V5KW5NMw4UPoCbxa9ZDrme84T9ZceyYp6FdUw0ZYbG5jI3Sv6 0nT9GNufjdehuYojb/6xsaK+en+SHwtONhurf1LK207TFIw0/5q/ExM4HJ0z61A/T5CFq0caoVQl fuomni9p3tismQtYNAE7RycJfQZqSeAY5XZ5V30FYkPE+fdEZjTtMtZfAQD5Xe55BNgAOeaBf3jP +mgNQU2OJ4JWtGRl6RkuXf5sECSz4qmnjyZGlzgHFbTxIYul8t5m0WzuR8JMJneRJODydeOONbAh BH/ENH3rFIkaDbIMplrXBsPUkSMD2vSaHJeEa2V+09VJMwjGqP0E37KUMb+pmXBKVDbyTSuBOLPZ q18XWSLqW6QEQcRuteuTkMlF64QkoO89VZrlMw+GuwJQZWbLzP9wmGdIZaZrk5UJtV159V7W8yZP da73C/73emj3Soa6uimkoDUbqeVXOrqn57OqcSJBiuJrj6HdhYTg+Tsj/e2Rj7mO079rOdSpxNum lqZMzPZ74/9j81eplTeGmuS7g1hkbe7Qq/d99qwp2xJe49PndUDPG4mbmeT/RaFUgBFyraNbD4PU FbBjKLcNLGevRIy8eh174dEwp188mjbrVyyBpdmb9vjxBi4RKB2PHfwnB1XCUqRyqconx8TMQL4c hVbdbD9qBhbk8CLNTMzr9NvgrEAkb9oYLe2LwEvwRBeNU4QWIb3B8YaqVSvEobRkXpaIrbZsYCMR MAJ5BaBmuKtDGnlTGR7gKZwSq5StGIlD2wEwUgpI0h8RaCrY6+H6NiaoVQwXq9lP+XxoaS00mv3w N2QgtcS1WUJ6ahJldAtaiq7eE+A4vFUN3/sh08/0UjaIFGLpxznQ08T0OdYUugAPly9NC2xj8DAB deNJU+Fb9zyKKVw3LoM/JhxCQg0jCMcI4iBcqNoyeH7obA8Y8MUNgf7cwpB1Cv6ht3DIC9TtZ5Er Pkt/DUH/4tns3a2t/nA2jVpxvNIEGDnvxwUUMdxTmXW2PiTPJJLG5ew3iInMaLQDk4Sb5i2yMiu+ xeOozQS0FJQSS5rKFdGMGbErC/WddYur/6HWtYLdtyRAc2hTDRTW5lpJyRl8/q/lZcneu3PEn7zc EOOzZeAUUhYg6Z9DyBlGvE42MmyGLovtE3eNiWi4W6TSESRmWKhaw8IQlKPXsdoXv20QVbYt6urr 4Np/RRyKB6NSBeaEaLcXkn6EKGBZxzWl+1VB1MTOEN61rRcDhq5ADLeQkR/l13wesUP6oSX12aNz 7E//h0XnznnzuyH42zMNH4nDfTSOjPztUbJdG+pBd0oLuTJmtBuLnjHuacfLfIeHb7ykXS+eu+50 e/W1kbxLo02uBizrvpnwhx87am00RJ9VwUB356tQF01zQ3jcPXliFCLIph28fQnw09aD3MgVWvNv 17lhbPPC5orgDBkOwBgry1j5zobVU4jnph7CLdkq0U/ij3/0Ah9GxFQfBNiq6b+J7i/iMl1xTPpu m7+BCbsFUaM/p+hi7K7QeFoGe2Bg8A1VjkoFI2RW7GfdtEhPg3h4DrMkN6vRFoVCS2lrEGedztGT q4bA/oO4PztcAPzlvQkvVReO9hIRIOwSYtxK+Et1t6hk2/wTXJRiS+isNf+PZvEoZYnHzcMZMXGK AwqBL0CzsbplDqzYIf/oDBOJcvjvcJsTGxd3sSZcqz+aNo+4N1eXl7KKEJHaD3LqB+fm9dlpxAsA eEbSs2CV5RN6Fe56sqgEtjvNnpkeAkSpjaCPeVmrVTcjtj/lYcR8w19/wb+j1PiUzMNx3EECDWs8 PN+K4qN0PDdSogqlRUli2l+98kw+o/4/8WbpNGpfSIYwBriPq2LZAeoDIeEHTQuwCgVNGNQyFaPw y4RiHwLLOFQoEejkVuAh6ce3YujutF7SGRCH/HOP+wH/PrucAjQZm7eKVUYZlO06Cb5CtMuwrm9i wDGw8E00ahcUrRoXrLC6G+koBAMuU7SmEq0cBnSrfnv0qb6fOJl/4sMTnOo9y8JEcD4YEUiAMYyT 21ZsjXVv4uL9q5u/OPVtKWtUrytPY5n4c//7alVM9oP1WwO/c0IabDBSnFnYH4viio0zhcqqwhlF FxXZjQrP48XAZ9xL+U+Vs3Ya2+EhCdQyn5RFgDYYlntaOiLEUi2D8XlPgwoCH0nzBhYKDpss6RnB q73jqWoo5yl2uqNpY37dAOHX8FF0ZRJexnvmOJMrAiEc3W9HODNj4EGXZ//ECVc1AztEq5GPDyAe qMjOWqUsLx9uq6MGxRsYE8s3f3uy74kZginwbrn74p9/QMwn/0qXu3nNaBrrJlMzUwHlOevx4oQH J7sg1m9lhdaEB7VXZS0ES8eQZ2CoRdxkj3LSTMHAc3ZIeywNqE4WBoYOZYNLBs+SBKx/3rh5YJjo jAGoX6sBze5eU+yR/o4yBdlym6R8HBy3wXT7wB0hQKE28GSmd+Wr8p3FIpVSVK/5X2QPnin6iPGY g4QjpkjHBHoPuSl1WVofOX9U1FcuAAu+m0vm/03d/95tVtvKmADyJjxX6Y0Cbltb417I/PTvO9Mp Rdjpt8Oozxf106xCiwdfniX687suz/kycyOPyrHEVG/9q5Y7MX4wPWKLRJtpWdIp/LhX56r8et9q 4wpzDCsiyFz/WUBur+hyeTO3bCcJqs9T4BBZuhdtYic0SRk9TIfJGkQ2+DTAPPhvOtvwxtTax7vw KeETfGhgL3XZOfndo4QJWpif0JMYZlFyoDLnfP/9+EaEgL9DgUoLvFQhoZREwpQT+DqovIlz1abP izqlFS73KGULU+/M9uCc8c2gh3yckvtrSwjiFtPaU9PpZCNac+J7xnPSLwXw2Qn2i7ylsd6lIErS hWNa8sPjovPbrRil6LZunnO5b7bNr6hTwFDWs/Knj45aahegvUlEY7jD/69Sb2EXVV0glnQHc8BX 6hbqxL75sEQSkMvSBGbGKeYpViKi1th72J4T5V8SnHU7s4AlxS+x/cHXBDifTV/mXX8LkWswTd7h SbJNr/Izf8IOEEsfQabiTlXNuuW55kSbbvp2caXK0Ajwl/y7af/C0WBclKw6MxaTB0CUD+HhwDY2 We2/9JMi5PjnwWs75Zdzfi6yskja62h0CtyR7Bh66loWllVg+ShNn7yf3PaShiS9rGotO6mVNeV8 ORLPianT4PFPurTmCXItGvxbRZx1xFrRgMMBmQRgCU1XtTJi765f1i8kV0Q9xz28AklVfoYS6QrZ GQ5i1p0+QXNOZdDXywYq8+Js/INwb7jeV0L+h+0b+JFdlpz4MhgdJaCpeIWmMqmHkVSy8ZY0/CgY DA+fI888dOIjbghIGqPO/y7cvLZ161ROh8cbZt1EudDE4Sqpp5aw3lKBKc8cxy/YFk+6fNujCcck DGiZ9Nyf6n1IHsoWfdBnbfpzLAY6pKgdpzuF7KDjS9NbiGn9iPIBdnn9M/GQBQaSdAXQvU2NvyTx Tj/C9eTe967anLkEMFr1ScKAYdw+aLNWNl9TvfH2CTyr6uyoPLOIDiUnv2mpfq+/xS/wro9iNwpw 11G9y8FfAbAmc4+r1TawVLrEBLdgInf4vOdFrLsnZNUlvgnJyLIlCjUjMPCPOt5wtIawEU8yyUCH dlbkS48dTRgiW2zUGKGmjydH0A+kesVKODL2BGjREwLXMmiTFBS9CnFaNs0iF6r+nv7V119rIBHJ uTUi2Z8lr5eM0cfIkm3Wz/s6fV9T1Xy8azR7hTe0LHxgQm/J+BCdkEf1o9zYmGx9TrFfPlgPRl2L PkkqeI9cTYYqFM7IzwyQ5gEPbKAvFtiwHhZ+HZ55L08F2tKjzoysJL2WTYVv3HDLnwIudYaSQ9LK nzY2fWDmKp7f1DiLWmIP7OuxVKdsGg6zdNAuGeLWUSjDZQxgFKD4FHFs+jPMhlvx0xoF0hj5TMaE aXv17vF6ixbnvmRAvCeHtrX5DiAHyGy1TUrqiifiDImZ6RbuACvHUg1CRCcZ+lloa9VDkjl0EJb+ hj7BVlPTvh3QPPLWTOE4PHAlirGMGI7gvELKtZiEFSOYSZGD5bf11wgdCrDycH9qHQkFcOE2HI1G M1z6dznD9J/J0Jr+rGyD+Khv4W5KZMfzphfPICBfK2L6aEW1axWwXvoOcrG+JykIiHjHVJZIeCbg vdwqtWxbJKKAnwVc+JkqfRr5e8HlxOHxBMQFEtbajVxLSKuf86OeMlRMEYtsJuspZ95+cJx26K/n izCts+edP7IT8RTqDZvSnK3R14ccVmyANB0PAvB9RP8O5G9Wa4ZtTMYO7pALQlzDBJtONm/h9xVp A32mrtxSOHIZ7u3pRSNGKou9a067qrAwTRe6pp7O/L5+4UkgnYfGIA9jZbzGiArXM4zC30FKkIl8 B+0WS6unBl7cRb4UJH9nGJC1KVhhbTF9CeW3cfy7Qgolx9maCRH64TveEYoWJTauTGXrfnVtxn+9 Z0c65IjkRX5tKuln4wUkubJKX/6r0nSUe7bjbiDlFfYCw3xLJNSkXnEFnTBz8lasoNEmjQVFNP32 oDiBQ/aSYz02nqXIePv8BONWVnMVL4sQAbYsP/giJtp7WOjJ4V06S4pgJO202kSun73Sb4aYZ/aM oAR5Te80EeewdSkdPsGDOwlP3JlOEppMisj36EPCwTiiw7zV/CsvFxZ2v0UqBC0nfg8YS/84FbR9 NhRSIhJC1422Knh8jApb7scaPeP7Y/SruOuMgsArNcHtQi2zMdfo6N7CdHkxP5Duizdi74IjgCtg CpRdyxVxBsZwBwKUbPxLi8MckWamroF3rhx6txN7NFMDX03Y4BVMOt3yPhU+nS9BKReD7slNxkEJ w6F8vqAQLczTtHhkpUoZUTSWJMKNZasS2vYP9WQRGdG1C6G1oI8aZJOAjmXiMnxaAFVRgAUyy/MX p1fjCDlIEoZKIqONw/lDicyYyuQ6DylNKmIdtp5sscH0BobZEws+VAUjA4LEzactigqPxyivuaGL vWbjI11bYwMj9EOekxBc8rLvYxbKZvMiShs+u0Lc0xjqIHLnPpHiN0X0avnUM8NLF5fcZPokQWHx g7Y7QNxguFT9UNiPypuglYvbgHZVCO61gDQ7tcxYSRAFfEeGeWRZyHSTLzGxAZ1vj9HJZF60Jwma fS9a9UR6QHg7aPhlqbchKLkgt7UaMg5M8LmrCK1yjF8wJr/8TddJTECuMH+1wgb6t+vH5bygCTFb VTBObN8zDOtiSXY/Ym4qMlTWujtKC551Cgw7YgC/bucDx6fVoZNRZ5JQAdoe3dTn6639BmJdX7wy QyVAq3gVwNHw99TmjPPsX+YjeB5IYZ3vMhQj4FGXTn3pQeNxKiBl0d9KeRrMUvFnjqZhO42/jnVh raDbI1jIVVXTWT78ph5Iex+ynOmrTzUO1gwuRa/2M/Et9dlNUrovmURRwERAGD7F+kchjgYiRkgW Wt05w/Ic63F12NieDK5ISkJ/60G7To79YZ0CFrFF9NUzPbsn2akCDECbiw5zDs9CQ/jlpFtpH9jY p/FQ6sp5Lho523IIT3Gd3Gjx3AC5BQI6vdTed955ax1ncUo68XKqcYYNmDxWbYT0IAoLasYvg1ws IfsR26PqJV8XppL2Tts0Sw7g5jGrWP0RYnt6GJ8LFrlwIqWOSuOGo5znAkLf3i6dBfy7D4vo38U2 65vTLWxfCN807NanQA91TBalADJs1v4vl64apKFpbB0WxZJgi9ZA1U88UDjxm7LozDh8VoO0XurJ 6ByIYda0c2nNKCbOtrRxae63khg18sLpejcdBl6sG7Q3sstolodQLtjpHs9UycrUZI1LIv/efbS8 wMtnjmhePJk4XhWrn919UhBQ7beabB2067M/UgqAVACPYcAs+LY0EA8YDaJ95C/BJb98+hpAW3FE KHwxpZRXdAdyRUvhIMZ0SihlLDufKNTXXacT3mn+DKm6tk0tfTeNzS0cVHRy622rny3wUjV7pKlG xOIPMYSV7SFlvd9+5tFscHm8dj2z8od+ZsJbOShtfCU9yyV7ja4DliU5G2N1M7VUT3oVuoOK0389 FH9BuKgslTG6tBBiW1ZQB/JemcTmh4ZiajMQkuAunFXOV2TVmcdsTWfjsjwABYEw+m500Bm86vm6 4lW1nGZ2UuzFCAN0dW4B8T7CwIe6D68VfSS+fyTmnqbHmrH9AuWjIPRBOM9QSxAIxXrs4jAr8zTw QNayHasR6PA+VwsVson06g8UOSs7qiN8Jm8H1lnmMsMpI/1wYbOjYwL5kPPqnHTw3YXnlyJwVbFL ylX+EBg4kC/SXvXkTTMYJkzkWKiCjfokfvQeWUaAWR6EI54lXa/Oop/5LRo/cJOuYcb8ZCKfxrz5 XO/i6nJqWLxPoXb6ofVDEchfRliAoBTogCWRG9ZBiVQIV4D2JimvvIEJCqFoIDyLySHIQcNFqDx6 fo997lmBj4F9ZaInme78k3kl9Isouw6BNZ1nrLBfemnjxrXkV36NmRVE2WXQm+/4eCVo0CDkdIgQ nV+23JAE5VZ9qsXLuSbpjh9tF8Y684IsoPuNjllIIlM0eO4Pw5maN0ftHMNEfGmFuJG+gEb4fu+T uEv3qDPR+rT5GvGuFLLC8C8HZflWTrcVT5bvOLdH/OPo6TgUAEOR/ajcHi3kHqBS23nIy2beqay3 crUOIpWU+Ye3xMjlX8l1tTNg1ENwuPeZE4qp9VIp1CAU8mhNHj75zz2ta+CnGNQMv/JYiSr0gVuL 5GYgEWW86hcatP7ZtK38YcJgrNHW2KSN2mz2pudYfsDD6unjkuRNa5gNyqQ/CZi/5P2ll62M6R4p P3uVuj6USe4yWsN63Mk4YubkvWkjiM2AS3ydBpYPITGSgXxJ5D78R/G3vrtIdjgci6el7wUeBBoR RzYt5+e9hfbiZ1+jBItDG71Y1GdwUvG3C68MEY7KaWzPGqEP/L349MzcuWpmcoS6KlMOmt/HRW9H CZzSA2+IzPPf+3A7NffGJxtEArTB4MjkrNb17jIhL8pR9ml0sKhNs0pAxY9EVoagNx0l0u4MO7yl 9qqNQgH1MaFDGBGJiAw7qrLvRa4puPfj1sMPPfZoJ+gY0kGqwbdTLwCZFf9pQDEPYZY2oz5MbPNZ wieCyWIWaqEGQgKOA4jm1l8UcF9LqhSIcjp+1VdRBBV4KVjJJAeuQs6xHY08ncnn/M7BLrMj7N5m tPngsj/e81+ibkTkPFLzgYvT9swwz3Kp5HVNIodRUDyTCh4GVCn6EzPbUFOFiXjMq1LqBrioad3r 3ZZmNhrVMYSX7+MECaDYnz7MnjQef2GsfprDYbWl4A89N9ayMLlCYDdvTi9SSvBSl3jWCJFuDeOw 75tA8DpYEIKyogC39aRZOrsjlcL/VpZvgW16NgHhgGP/KTVDjA/GKyBHvrQ07izOnURq1zzqRWyB GwKKXyDwWHgTyuSu1NDohW65QEbmVgEBqO5tHxoRZ2ypDWmFT6EmRv5kuUiFPUKNOfpcsOx1KrC6 tlf3TuBEL4y9uJnVDf+JvcAielaZlH1UF+hOCs6+xyJ63MjGmLqzd4/r9mWr8rAnnUkogj+hbJOk 3zgDLNe9gpy1PZ7cjEqAccO5xyyRCi78xMoBWc65bVeZxs/JRss3ZzKebIVcvabeDdEjs4jTU0Sy zVwh3TQlJeDF4fKVcFlVxLawlzqgh6BvdVU4FHIXj2Gjcizzo9NdRnqeytnu+3AcjonHZX2DHFg/ syTn8VGym1NXz71g1sSihamDNVl2o8AWY7HURG7yQloQVY7vAvXbfccd2GUgSB7rL0hJxzgz/ki6 hUkiUdjVX3YSVbx6Id8nCBqQfAs/m/p5oEY31Dl+yQbNtzGso9LSirjojSMZgGELjQXmwZ3uq+Ns it6SFRbVtvOa2jOOLORtREmOHur0IjJfdJHx4NzUzlNq6xjLuOh46lN7RnB379PxxsDlnwdEzXg9 NlsSVnI+AXjjYxH0dhujz0T8uJnom/mBWUbzxGedGbZQj4upuCxP7J4C4a27nLfNgeutN0DVGrkc SNywBcVa/E0n9oJC0TNDVQCm3tS9PbgazfKtrucjxlFnVn9lYgeDD7HDc0wZ1lcX1BK7qYwhZ3yh YwiBl+7vlQenI51jPpFe0DEAW8B+hfcbLvdoPQMqNUKuRIOqHMisvwHOLVLDbgGQQS6mJ8UKxe6Y f8pBkHDJ9g2jojmdCOVl3gF9ca9Egg9chQHwqLmSFHb1yuBeL9ELg4YU88kUnueoIJ/uPm7F7D33 TJMEi3/Wkga7Jay2RsSZWwpvZFyZ5DdPk1WoLwuqKEGdZml4ANZESyUh/EGPdHQ6ccwY8auKFqui zQGnGt4mwkSWk1XcxZR6t1br6OH/54YFb6hNSwhftXKcGgZJGWaDxDFJNAJgilOASfG2/j4EfAjc RbGoW94+w7p4bfGcXESfw+cBKdQVY/N6JiGYRYFpGp2RUa6CyHu8ru3ZVnATW2NEzWiLC0qZUJfT yPBPx0W1UARddUaWRGyzjGsjOxgfZ9UYjPXQt0NETUFyCZ33U1Im5ytSKj1WvksIJIbiOGmJbH/q +1jQnoOZmndpkmxmlp0f0D3QB6HuHTf39Ex8oPJPbR1/t9K3Jq4wR8Y45jMbdPkR+4k8vK4/pB+G oDdE1GFHUtADi5VHOeGosUGs03h/aZj4JmXHxoXUnnIDV+fcLHP4eiMc+7jUEXWGU+lO30imMPKo e1g8dwbEm+/RaQD17f+a+9V16I41lBIlZDHAGmKmxV50HWPLMEFSglhecKCjtP5KVVdClqInQn8B KjA5aJjaAZDJs3ip/nbNPeegUQ6QgCfDmoBCy9d1Kvzb5HBxhr0EadUTYbnefbxQtB9a9Ge9EHBt dvee+gVFFd/PBzXFrkFGjg8tR26h0yUpQJd7idWknoaGkhRJybKGyOuu1uv1Qh1EVr7Bt+WIeeaX 0aQ9mjuY2kQMTDHFPtGBlpoakfbmXT9k1fpTH4/qUfgIOkxfZpL+eE6/BG//RQdTH9xB9w+Fw+w+ ERHUAQOxb47fXK9XLiUJq8/NaB4cL+mvhUj6KDMyEWHnCoHAig2kVCdYJc3T4XG1G1NgtV2Uob46 vLbvYS4rZGXMpAI2IIzgdDYIg3+BHCfFGtD/Kl8pzqrILmHNBIRVBaSKK3FGGUSpJgEQASxza/GP FNHY0mFMXdsSST1dMGcRxFjUp6KDzm10BayerpQp2eMynAR02YgEP1eGDmPuGzb68JNCzYdbU+iZ SvRPLjGmUGveqN5RNa6djVR8wBJQb8Fz2+brggAmCYlz43sAtTV+rWXX3Z9oM9r7p0rGkBomiFJH ZA/WDnvmTU7wNAOLahe4gxGjiBxMk6uZnWmqw2BCygqnJOx5NMi2wfG3uqfdpAdaa1pQssA625gY +ziT7KLn7o9ZjtmZBkVf+r148+6EOaraiL99o1i4AXWcooS8bBhiXgCpoR0aWRmWwVgyuDNgnqO2 WhCAMAZ6x61L8Q+njfCcRTuo1ZpH10IzA10YNY6Xzil6OIq7U/up/d2CvDE7zYWLBa0VyRS/0iFK vCXgNlZucSSpW1eh/QFtjDF/ZoZ1jEth/eh9ebrs5NQLuZPEA+jkKi29n0xMXDZ5OdgBqP8Xo++5 fIRWj2cjakmUP0Yy1cXydqSeTjCcStLWxd3jZSQUgmRsrMrBJjpDVUCQMsddn7UOgk/eLq3391AV sezLB81VPfK0m+SA5AlUN26iZ2LMHM+9Gj8VH4Mfdg1wpWp5cX2cgO8Wv3qYzzBktYFD3oGqAMM1 woO9I85zdnz+xas5ZKMCGQTQAJimi9kn0O7f5qZPtYsez5TCTN4a1OBeub+kdPryPMhidGYLnvBB RYbZ48jXOHJKRJvWgZmVkmnQdbUJuhgeUG8iUJEGtCACQPam6nG5vpA/EkF3xW+v/5p1k9ENz66F 0gVuD72TBKtbT8o3V1qE5n3Rdgmh+DaL8UvvvYSDInZaaGM13PWdYoUqJGPDYOYP8qSmvODe97SE ntN9FpruKfOrG8B7JoYihcVRoeOUzeF91meFcDx9ftu6CDS5gisHT++5FAZx5NzBvbpr+vQ3ElRi gC517DWIMGdZfH7uq+2J+jb90TGzNAgiat6rq3q/PvTPMECOLDkKfeupCNHvu+kUPWoBGJ7NMTHf yyPybf0yshC/OvHM0RtHWBynoyeDj4VfMSjsESI/m8i75KaYrvuQ3AJ+EcWL8HNFNwAbjA0Yxyib vJ8uklFRKpNN7AWKW2ND984RGOS2MKO9ouBTMSoN9gzhPRWzTFCQ9fpKcKYiK7uILhu/VmHbl4Oq iAUNJjuA3oCf8G5c+ZkRni9nxUvQDZxWHTpS6gyBe7ejS/o5cv1A+8KQjQSj5NQpXeoqqf7wPH4J oiwWDYyWWaHRsPcgvZHfgZosk46At7MK3Xw9E3WqnjZFRFh1wER1jz90Vm1ZBz8kzGCVRX3n7hF6 jWK9FohRY/t+iHnttEAl6IDQpEgeT4ViCvg6vpzr72NnENk8KImHwHSNZql1y93hz1UHWgeCRMig uPd++P1lNNzey6y919w2b5TqPH6BQ1SAnODNc/Tv3d7spwBgvty9T9b/NMmg6nAIMRwTXuGWDyj4 2UVEqHhu48Xgq3JW7VFf6Znb9K4s/RYaHu9fxsFtoQWd5Jc7ODdpstlkV2MSe/nqfRyMX5kilkhW 6tA/+lhjrKWx89HCSKbd5Loo4LVkORaHpT8qILiINjv3vv7Ezo/vbqaAaToU3CsL8GU8FgIRF80D R4yhawNgskZJigkO5UrtNzly61SwNjko3TyuPbgx2oJNaCw3lA0yr1mVNx1wSptiG8XO+n48YaUq M0aZin/vHUqJ3yFnvELk6Q6Bf2sY5ApXBGUPaV/BB89Ovb8Wfj5IuAH56utAC98+ZmIDZkLiQYX4 f0ULRYkjcql1hO1yXC2tl8iV+uQDqNbTHU5jYUB5VAESuZCLNHB5VkgyD4QrO5VQcvPj1qMAD0LH yvazstVtnUlpU7Pu957oeiLfX6gzCBLVeZwvsT7f3sfnQVc+7rxWYAicFiJ/u8iNN0RbC/AvX05i WY1k1g64BjOY674vI2P5sBAKJUHfOk3RA5V3+nN7bZ7IHOyF29iCJIOao0Vas1/lb+BGeFF1+5W3 Sub+3ywh49Vy5cLh1yOraBI62irLnUZP4+G0Oc1R/d0jEwrPRSFcK/+SO4SvqxvJ1hK+Z83+ptBi 56qUhUIHqLujYxbMccEG4tRONAN0Q4lcPHKEB4xmgJW7GJPT0wVQS+dMQpQ9VdVC9ClvMW1EiWfC DmRqwt+oj+E3OpYmwQVubG7XzUFUU5DdofvILaQ/OVOIwFlu3gVKZv3yJ/it+FZGeXCClTtMGq5x 2X0l7BdB04ddPUVUfu/GDxHBQGa82jWFZF3+5AcGVYSRCYcpl5O3kVPkpiQlwkViaRW7unDqwsVl N7GwxMIYzs/C7sWvXf3bm61VdpDam79GkWWjZtAH8vhU1SQcC5qZD4KdNUD4jg9x6haibgbHDvtn vaHMvzSeR5xmpbLpcNQqFs1NOQXNIATmzccLmgcr71Rlyw6oceYPZBji3ZLLnpwr3bNOTRtjcla2 NZ31r805v1vBmJUnTbe6YrwPGLQ+z4EPGs4aDj8TJRqV6IFqajOz59svqSapVgy1w1YupD0NOrj8 1+g6rA/6novh6dD+rjAga7gTprH3t3S5b/5/AGBpqeuZlkdcmKjsrWCUOYrwnKNRJjN/uRtX2f/4 Kb5qOW9hOG3S1ZIj880B14wzcXmdqFSpgEOOFbZUg79nv/fg0xqBp2qICL+rSby/B6RGzUBTXTfY DtrfO/MfPkRtIbeYp6Vl136ot3Tfk6xt0VTQAtuV/IJcpS1uVC4uvseB4nx32ZAC1JqKNUBiUBSM wOTuuoA88PoUdax6SWMZDxEBVth1RcaWTC+D4lt+KQ6+JArx+aWKLGhmQOinDvNCjLx4NNMDF1ZO /Je/jMknOBf1weCDPzWRQXEQfVlCs/HyBJ5KikBgaD8ffgJpwtdH/B5mrCeETVL77rjXhnK6EH9W dT90QM0J2FoSuVGKkiQ3jpXJ88COo2rxM6ZS777BjfocZDMED2EeuL2xtPmV2E+3kIj9J5C9C/O/ 4OhYv3/tvjKuIR5vwFG+RWXxNXup9IwjG4zZbFQwIzZlXMm1ksnm78O0B9eqC3/S1HRrau8bUr/5 Ci+AQeksgjKm1aZStmIMLS56WHGVWP72Kn7jeWkiuzl9CWuVUrluuepBTGiv29oNyzVCh75yuZZK 4MNS6JxKoYxtM+cbbAdbNdhMnx2o96I8MSRp9v9YqUU+Roru2fLeE800Q9uYAewfxogjc5NI5nTt tSiyK8J9leSxfvXAS/pyNQKYTlc2TtDVQG7FgOMj5vG9wCX4CdawsF28e7F0eIkFIqOjKrvKuaFz VZ4k3ulY9a3ADj+B674VGakP+8iDLb58yE0UVN8Z8t+vU4/+I9bU5TjJ3phTARS748tGWgl1/LHf 5GAH0k9kB3+2k0+ZQ9aGFdIF1l2n/jrNKmHk1kj5t1mAp2NiQytRaPm/JQte65sMnRHEVU4zh39Q FHJLysTTUEeEsSZGQl3hgQ+AcMLZAA1EYzdG1hlpBVyH/Lwr4OcxTnloOB8sTYgWhi7IFlKkZQ9O 6iDKz9+Q01v3nolyUvtfnk8icTD3l+1X1gfFJK83EJCBRkREInBlgackWnRHUd/W7gSZKu2fPKw1 bTVlP+BUJjJIU13UZfMYf1aFaLlEzIKxCD6G8zE9eIzaVaG6BsjNG8RhNN46lX5JcY9sCgry/2fv HW20B5M4uRwZdF01Q2mcDNkpC1bKjCqlJePG/OKJwPQjATdZNH1w8batd7L8NNPOu0nXyV9ggMT+ dniE+/VGZ1PkPwE+8kdheLhmKpbbr2ADzS9qXpAa/p8m7189nmyO9EJhR8B+Xi0EgP08PetNnzd2 /b+phn8dRxQQXRTaLt48scYDpmUByu2lxxZzPTzDe3SNRu2dfBK5tjwoq7EIQwT85ptMXNHh4YEI lTCBktHxsthG2RcHx85XxHfo+pc7NB3G+hV8ywRN/E9j6wEceNqP+jiCidqLpL+B4SlxtQsZMapD Z13k5+lTlNBWgeVicdKQupIYH4EfoGPMO4Q3RFf4jRRyMGeBeBdQYVTJa5nkrf79MFPnQyLW3fzn elAZyb8I3D0aHReHFsqTNfuHAAH3cNk56QXUN+wgXXUj+lcCbGNxIrwYj17VZE3UE6YJGi8YXYbV atPfZHO/emICIZz1f1Jp44FDcPy09l0Fi7qOTblCkKBL7KUvJOtluv6r3VmRwXia71iKgID0PWlH BGy8Sf0oEkIPReopyQTA13ypoBZwQh3wRk/0vEzH6A6HEb/A8dnXIU6SBBmyxhX9GUfvjuebW0Lp j9Mhh/xViDOPeW0lNlpiKZ5SyelEBic/MIvapCcYXIG8M0dL2ta3m+AwqqUwQunrAhUoYaCd6Dor pSg4ef0d0MysXWLsD+Emb0WVPvZ6Fk8o1QrL5ud0jxHzu9HLGw24NsyDOpEd7AMHZ2XEmNmn/Ga2 lwTJjXIKmaqm+m/7XfIdnLgIoQq/w/PANqRrpIbt5iqjtaAW0wuW87dmV1h3kySTfkymSB8HdUOR aRFclbdVwRmZiXJJDBqmPT1AI9QpMHqXE6ez6I7092OJhV0YqKLWyk/EHtIgW0EX4fTGzi6xKiCD jC7GAVneboz/ffpT8+hc9k7jawMwxRTgMzZP7XImTpsXKVMtmvTkGgvuKeAAWPu0bADAltNM/f7+ oHqWZIr8x+tfNdeSqAZsUGyU+tVEpBw6zXgSLbUWRvr4nleageLxq8aBq7rcob7IMIQbNox8RKcT krjYZohYtAeZpQZBizZb1OHWIN/OzmciskO4BODoRd8KlA6n+HOsOBbwBasBjnM3qjnUV6Vt/tHc ZnbiHzcPQWTD/Y5BHGpNSLi4ftXO/gLQsDeiOk8mx4yQavupBdQ/32xlXkLWfZKLsHnfl7zh+0BH 3PXiKg9DQwqS9PFvmq01/dqik+Vx2j2YXSCGQ4aioYMkTx4Niiu8Di85fjVF98iMspwn/VX8YYMm mF++g2va/oNF+KZGpDeL3dCc5uxa8LwbpHEP0JgXiffrYSLPHqfoEmBy8UUvXATRaC5k6fHDNLJz 7D7BtezAOCRLze1C1KiWykhANnfENdE2DFA0vpeDjNlk4tPHeOhpvXoZMEgqTzmprWUXTAugn/4a Sol221aZYXpKKlQTYVojDnpDr5uWcQpadYmdTb8ygUmnsIkf5G5B8qMePeU4ZsopALsS/dqbGwBm q8txbNMu4eQ4ZaHksyH77Rb22lnDCYAMdfRNVkQuJj9nnIzAW7yE07z+kUz5wIaFXz4OzQe8wARZ KPY7/97OT+VvrTgnIAdeGVPRYWOKSTsCwUBZX50JXlSmtkNifZnCAE4wvKW3wZhsY+kj1pxwgZC8 x9SrN1TilrxSCdx8uooJLuCTLDjvwLpbrAVIiupgWsy7T6vcaqxfe0+a1cc7DZm5gqLegbZkylRX tHtkZYpZK8fQ7R5zj8SEGTKppcwRYjdfT8ZZJUGYt6UIUB6JFFm2nAAqF+sEpYUuvVu8Kck6DY3r e6JXteFlv9dc22CZxxYCKpO/y03RJlduYQKjgxysoKWJ+2I2oKPI1x8x4vFUSbahD3SUjn+Y5M6g yVe4hXwvLvYg7o/w4/8NTryJ8L4xYKe5q4MxCdfNLPRiLrVTE5126d0EggXZqmpNdUS8EGhbQxsf Y+FmjQcd7RNsks1DsfImlubgmZVNiqUfa6hQV6W+pyQFJeKCi7bsAIe3lc/Q5tWJwM8a+rpXR+l/ urGSYelSIkbeTcX+2eFWU6jAqvyKOGsHwDqib2TnuUCIgKnT/ibyAR+o9FFNZbIV3cCiUDrfiHVv sTkZu0bos3gb9dO/K94vucd00uM+7DfYyt6C4kXfJDsEsNQvLjESeRvJAlqnnZ3YZglxSR4Ml7HV rmVNdsJeykbFQ4NZDXJ0+Z7Et32kZtkPT/MMzUXPovKU2IxYch2yk1gO5mSeV/Rhxv5zeaFoTlbW xLxoKH65FkOvSQAZrqciztNxhQ9bbCOlNSqA6jSZxA/evUPpSriZLF7pBLTsDlCmvtnYPOPjoGRf YMXFn9Epee1QIEdhIuJMZ1vBGMllqaLuOkL0Vfyay6V1H+1/SZYF7IzRKPsxcl0XPdfi9CbnefJO zeNmZB7mEXD1afrxLBbYUdK6zeJv32r6HNcXX+cQtnhQRx+vgWhnyfh2TlZBQw8773lU7p6DouSx SMY5+7JWNv4plw4MVSc9dXAiz6gwPohSBdLRyYwSrzvpmN6z33dH7vHGxJ8XTtgpJkR3cert9T2A 5Ai0bBy5Qx6fbhXcQe6G22yFBzHK9dsq6wVLfWvj1txFutploWlsaitOMzktp05tL8RazQA/HRBT JvZC64q/TlRm8ZsEC8w4sbeX/Q+/u/uiT764hgIoAmwZHGW50/wKMTAROKmjai0c+VwkSIPUuapX 5M1Xc8MarQuR03PuLr62KOAXEt/NrkyBQVIJU1p5XnGNhrfbU4zOMwpr5pznW+W2ZXLOFg01KaPJ C+It12ldql9ls4zJ1p9GCRAZRIXjOrrVdvmz4iKT43BwwgSPQnxrn8vHT4EsrJfoj5xcVe6A0iUJ fIvKU5hfV8gkmmkVWrSSmfDZsRH7hKhci5RWBC6bxezfKWK4ulPrSEvJ0hi8q6X9vxOxa5uIOmmg x+XiJX39FulCSW7YXX78dthqfumcUV7fzCHhvP/32ZfU/7z/p49ulRDr7LhEXK4s+QZpjMzmdKen lgaBSZLb16KxkUnWs0xezo2VYPwGxxGQ4m//m88ZTbg9aAvE77nZJDL/TR2wSI08Vp7I8yqLiQS/ EbTYt69QtWWhedyvU8/FsjXPvY1bZMcSrYTeFJ4wosSPSBVHV83yPbnHffzs9M/XnjxRzRjWnaE9 LBpi1cr9S08ehdGESF0H0vnn0+hP6/te9k9HuPHmQCxpG6zTXFbgC5jfS0LuTPzGrFEACRC+l0IM uZjiWeeMKMX248Kxz/hQ4wDQwlUHcQFI/U7MJ6fDvEwXv4u6R/T4qFW/i7UH+M3MLiwkT2i+cTjK RBsFHhl9LGZyYiQctJYos0IR5HjulXxpCx3mlA+kBh8/49m2MqIbrlvXjVbE6vbix/OibVDRb6wP s5IJeXD0GMsQRMtUZQSlExyz1oti2hKBD6pVd1ErP2rdAH5VHF/4hnjrqZK5QR7fZWr1Zb4hLyf7 EvAl6/dqst1bTZjN/iRiaoDquduBU5tFvEkErRD+lLnUSPeiDwONLLcNLDp9KuBAOSr702O4Uv4H Qvhe9OlQPzF1ZVEqp4RRF1d7pjvAHXmaLy3iFHVlF1sSvJLT1y33PI3GljGAsqqi2Zauhj3P5tuy vokNkJaI3RB8Tjmzoa6yqNBskawdIBDszZAg59JEmtJW84k1BoZ0EAuptH7tQ538sZSC01Db/v4s UziXzMtPzbW6qRBfockxPKZgKeSmSb6K2ecx6vl2yZQrT5QsNQxXOMYPqJ3MorX/supYom2Pk9j8 6fO6dT7zbTp/ID3JasbMTFqqcWRbRaj41Ul6b46kx5FeNIzuTwGw3va2nXkndZX7DTMluxPZguuq Xs8YtXyVvE+luGb06ux++zvgEfgxgfDXTiv7H4+4Ir1/Q0EONu4YBaub+cn/UfrXMEM2y7jGBvPP w4IXmtF+oT0JLMpPIwMaFmqQN7Kxs7tdAl2NK2gR2kcWPDnJZoCry3ILohVFtVqHKe65wbMmsjAN Eq+E6OaMp+KHKHfDWLkImqZocsFc+qQARlbUkJ7RMJ6j6U+7PmNtBMgqhDCCJE6XqRN65npmptDT Jj+pKsSqssmfPdwoRJxFp/MW9CdXX/CDf/g3YIGKwoN5NU9mMTIl+7Xjo9y/OAv3Nb2WiaCVutyq t7SR9EqQEnsPpjLdgO93u7OnQfmYPWA5bdFkelMX1aBTAoEZnhg9ZzAITwHgdHCEVKNVvN1Q9QAe NxMZ1bcSdbhxSWixebrExaloUOhlozO//zdA9iLsjhGW0CSfHKjfShFK1pyDrk7Pji3wpCClyOh5 X8KYSV7odZGsLWNGCMhgOp8fAS7jffFLawDMgKp5WHacLPMhrz8F5Oryvgearb/5cG2ltMokT3Mj rVhOMbi1QD/J9BGp1hLTavfKm2PYJj1mRoTFYA6z+4/pGFdRDUE9/R4+49QJJwdYIezWZLOhmdm4 LfN7DxEkVAt7Iv1XTTb5VS5mg6zyhqHqVTyVlMV8FX+hJqL6HO/NE6tEq/vzczy18xFhbqqm753N HMiyEqmThqqWl1e/XBYjjtgKSyjfFSBRWiitEJdbK46otkMbvDPhsJ4PUg6X2v14xtWu7zbOEVd+ 5bK2ndfcELtVMIYaCqW6u0JnJAuAg/XM0eTF6jrNzBrHu7USUjtnYoqb6Rccs6pZlJqNrhd7RFxi jn2u66CjXEdqFxk8v+AdAsGrwxFaPPEGza36pqr8RBFMHWoBXPhZ87gXK2bDBAqae+RiQqLGVKjI 8aeP5aoxEsgZzAhyH2JQoQqq1Aj8+2nkTtzMKwy4GfNay+N4IP4j4eK7GomjPV6B7J8aouoZQ6iY X/tz48tVNYkPA+i91TDKIfQNQN+utsjGzh/hcElriA22CQwFYyTT7S/RdTnQ7L4Qe8YSNf77b8Dq eL7k5hmectMKNPLQSh/RE0Nd+PHYCFENJP2O4HlPjjlZuI8DliO+4dom9ZSynO39TzTXAgrkDNEU d4A9RaDS2zk87HDGpsrfpcvZ0RdflD3tvQ7MoW2WgZHy+/UdDqUvhfMfOGHKRzoIR4Zp1yiH9WZB hh3FnIYXcAiSJEKHD98vYRHiz6AYuuiByjkA2aXghc+AldIKYt/RQdwtNVNpyyBkpKWzf1U+2fCK sMnJkKX1b9pBMxzjnst8lCeeUtnRkzPXHlT0YxgppvSlpj7ghGZZRAMowGONJb6qGzLLZZXunyHH DfR7Ku7VGHRsTALLv4c554r4oA4RpbJwjHW4P8sZy2PUU1n/YUK5LVHY1+uWwGmMt91QnhbbPe71 +v6qGH1d8NxP7OAxaGvwpaHDDKai4pfT3PZs9vpCKGybLElUK9B+7T3dt9kOysaizKbTHZ0bwDtV 6LKO4QYvcozEeqneca45t1rdRQpHj8G+6sLu9rM78gw28FfR3XIjoBbgezHIKacc4XHcdNFDj5Jm l+YjX/ts1PldArqHw8qVnxEx+Czh7gGi2arLeTK+tjr/hpJ/uUbQ64vNuQ6TC3aoawlWfL3jwbIT Z7pY4v1LDa5DSi5wT/zU80JnprWUOb8Sx0HUpfYI50ewI2M4+0BDmRwpkPNNGWBT/Ou7PivlBc4O Q1td0WaWUlMXdrwNx06USuuRKbs4n+5LbldbdHzEyXump9Az8iOhbsI6eoFAJeFsak8dSnhgS1Pp Bah8r5f//CpCH4vaEDoe4KdINT4xump6O4WntiV9VpT5w/zJlgxJb4lW8OwCAn9OL4D2eUj6C/wE 7+AHEvkrtUAx/RVd2pevOW33GV+tPmrMJdWRdbL0biPyT4Nk/Mvn9VOdyi5xukRRX/LlR7r82fvF OzcG9h+MLv7NUOiVwPeF5GTFeHFqd3YlmLsqXOGYU5XK1LbRyh1dt2g6HY6d9X8UXZJlbCCbjZ8P x4rPeqV9VMGLCKFRe9I6FzdWmgRBtQ5o7DyaltJ4/cxUGTjsT8fNSwChXccFyAbMeeGiTbfYNRTx 3jlLFFOXCif3XkGJEEWnciQNq0BB9npUPiukUgM42+/BErx0LVxAQvJwld358VW3CDSsdsBmyJVG lHr8IRQvLbdlB6SCM+fJXOMO+EZGSRF1jdSIN4cJbYKO+yaU7lNiNZk3y8r4a4cREY5VU7XsF2H1 zevnPdc2zRTMfR9q0kmMOOgMGJ3vTjbu1KibILSgxkFcvvsrZYwBD//nuolZdkmQJn2oDqhyhr21 4fcfKtYuHY5Hsos43wR2YLh/S5IGibrVaBUVgOqNrRY3VfuL5ytZjNQLJWRVqOjwLYqsfYkKKC9G iyKd1WHi5N096xHdQbnTiG/ggSMYg5QoAK26AO53ZF5e/ejq9i0AurEHmrX2rzHE5TRnJHM38iSP /4obay4tryNsQQybglyQV1mez6xfQLT4A4yYJYmF93FI1XguV5I/qF9rIn0+rgCg4BEmDNuyQXol W9Bl5k4gIJ336IqvhELkQ4/yLlkuQ/ewsI0ST1DD8PYNlVQZ0qUsx1u8a4oJV7fVrhFna3blmeWk Aeg2GUZYCMDYNCdp+r1t8M5Ay5D/F9mwnVYwQx/Shj/DUtpc2Z/PslBRPj9jFx8QD59CKV16Jsl0 NEftNyzHQV7fpeCGSWPDXEUdiWBOl48jO0ulPKrkz5E4jWMO1oLysQh3btd43OVTfEHfChukDR2+ W7t/d92ttJlQRGEGdqz6G7uQdFiHDif3Ex0S5j8qhKaIF9ZO/WsIxVJ/JLfXZvwu9U9XnAOv5eZT CYaJkv0lptu+e1xNWCLAjp6IMUgqWIbSUy3rbmjXV/Q3Fi5UPBAPUMoyuyICgx7kXF4XD7bt7HWX WwDBVEt5nVcwhFhSCa1RyOaAsB2iOw2qD1DM2p8EZU1CcoJ+9bdeSBL1nkoYDI0EB9yoH0YONwzc Ow083yTYEKt7ARTSPGhX8VJrhM8wLjpoUBQpz6jYkY0I+kc4k42fPVOBirTCS5LjEHkumbXlOktS JLUW6JwPATjt/y00qBr8E+gn/U3wxNd05QQhs1C0Y0v41H7oJuVCU64+LA0MJlDhF4kDEA3LAsVw wK8XMJiB8WTfQGx1H3foCCXWHKFpNtGuYopip4AifFZiBMzmb6mUuF17cZpGy+B5IY224plqSVL1 YyiD9nZGgJelIccNKxLae+U6Gr4O+iDZHLPrtUaPu5mc5BbX1rFD4obzXWsB4NYd7F9vUCaecFak Wsqtb/nVrTBZHNSrC7C7yz68/5rl9fUPOQcha+2qYJZ3ZOuDPD60oPuuUZW5REjLAjBU8un4Izc5 OuFCFPu7wPpmer+Zr8l0eWKu1X/wNvjQKAEwufcokl3/wKGjSgTPnkzZ9V95YpdoSfv4yyNquWXM VRrFgomb60mDOioE6JxaR3MQKoGNuYtQQhF4othX9fM7EAygYSey2U7kLykvkyU+b7d8qtoziIMQ +FUBJ7sNNv97DolNP8NZsRaoCH+exNA7PWx85gd7lmXiXkcWI3GjW4gFbd3UWEpqbkh645ZPA8fK 6nVFo5xYFoE3fCkchD8B54TVPaWdYFMGk4gN0JOnFkAa7q0Vef3kmIPVROCAd8aFIdS5gza0LguH cn03YgU15SV0oXwRiTIrrAcAOIRo6aE76bxYKkxDfZi1KtHLjwX/lDcOZCdePexMCvb/rsxIAluU 4U/gXMx5oRGhwBZK3eENnPXJaoniQSZIi2mKIslNw8amp1LcTuOt8EoKp8+CnkcDZfZBCbWXtpi6 UsoUXGno6AKCmRl9vUK/oPFGocVLyBVpKLhsKAPHDPC25zUlrByQvaKWmObrKTjPnEGPDFNhI011 pHjX9DGeoI7hIglzE/aYTLeOtOi4dAmwJ0hfXEKU7mVhqSiFQD7+wZNKkm2/1CPrrL14vY1lQBRX H+UFqEwUpYXa6+fUjCCkj5ghMUI44HbLx5fQLoVSQfBhjh1Lze76t88jEBu8t4GMr68ANIee9dwg jjiF2blaL6HHkEdzIHe9mIJ9BUE4ez1M1x0SWbG3DVNThAXZbJhKl0gIx2AVUo7IfZ/ueU0qqGLV X7ZtsWyXnfRix9+NtOczdyuM0v+QzEwJjzY+Y3xsnRd/mp/OZ0A+rp+ifqIdAM/zqWh4XEUgtN63 6h47e/4qn4h1Kjzf2UWOVmB8nn/X0AkqTuXWI5jUTIemBtGn7YPoEgN8lNFSP9JGzVVMz6clWD6j IXCve07Up6zbE/butA+nT6qmjlOgJUWCuT2V2dHVTbsiyd4NxGu8FLJ/4sVGYcxuZ0CbUBfVf4Kw 4kWgPyBKbuDz1GYIEHhlUcLGkLW3pjxMemQz5mfh4CE/1SU+9Dde4sLm2AEj2CDVTbw6qS/tiVwp wp9BOSggApqDVRjXhHSQaelOAM0w28Wjv9j5pHliICaBWbdRHyZlQldptWujMrilQwCpy8VN6Vxt 9LDm1Ic9lSoMxeqgCxRdcwBhuKvNdNCZGTGlMX0TUdpfB6zZFu3CHk79+MSLUT/bZfErnHl1P1rj cgXzBVhD95IU9YdvsCAXGi7kLOZoiiP91HxseCxIMZiko/xusxQUIi5e1hZn3YdSPEApkX7ZJu0B 707wdVx0fYb/OjKhFOJU1sLThBmsnrLsWVHNDUV4rYg9SJ5/yApYWMzrxwdG/QQkMtdutWrCnL/S bKsx2itjFHRuO8ZKHHYX1kvURu0rqQpxgI16h9Sd08f3zxUMHIUKS4pGL0BWaoG4MmVAtFYiBxMw upDEzazi1UuQgCjbCHtg5oFu5cw4wp50kQjRREzygPSFya40ssvmrvWiCuZ3yF2OQ+k6EhCLewrZ jC7NQTC2XmkZyADqjk9tQ8oTzHiRutI/of+S+wqU+ZTOKbVuBGYl0oNbgP2ySgHW1s38uMwC42nP UMhYZGrlg44gF2DmEbsDDURS8htvBDwtHKd0swyCBdEeCUB6zFWqo7l1fgwIMdEVi9G7e5PrNelP TVOqWUhmLT6dFf8rtt+2NgOPmW0rkSTCEhbb1gy4wLKR4P0xboAVFfX+MouI1TtpMzuWVyddQ7Pk p1McjSPFmvSiXE1aHstA6kuQKQTkJh0Tpz1TJAPhmPCv77uAqCrGjpwMMfdhnjglftUECPPcJX2D cmCymht7UHwNFGGuZigZafciioN8Xs5qLzbq+dyM/PuC06/ctiGVVWvf2nq7BnrT+i0bZuTLEDYc Mql0T/wztEiQcpOgRQ8yqMHQRvBGMxhBPSNwu1iZDqB5CFLMss0yFsKSpoN+CSYyJTu4uV1gxoHZ hzVrB79DmM22rLf0+5fEj0oQtjaySjA2prHG/VCPs6K4nj0vXP2rCho/iMtzfiWwyVW2SF0PAxQt uWaBZif9S/MbSi+sUQ5G6OdkRRoQhiALh/TSsyodhVXTNSS7Di+Z9qGB4Z3P9B+NHGv4PKjwUzNr 9uHbFvsViZ5tYB6m6VX4BOkyJ4wL3e7upn2GPhRRNilM8kVIDjxIpaWPoMPCgsDPw56KlJKEM40J NA1Sa0eQ64ICnkJQZ4od7M09Rky2qEdbUSPgdKmtSu0sAVq6GiTHegYThcKY6VoGl8YxHIBCti4Q T5470B03j4/waSDx/xPY2AQ6fe3tt6ROVAxuWoHFIf6IP5dj84d4Kvf21yYy2NLJHvJp4ldLPIyT cJwC3+uIFggUVbL0TANdcoDIkAgbihEF9/xs1pRVSL7X/EmKq+i1OLZHII1YXEPiuaPG56OHruMk qOeXqJphoAOvRgkLEHC9IZjiiUdlTTN8cDZfv5F/dwCwlQI4OlXezaNuF7i16bZv08EIuUCNDxXL akA91wUYC+TIg1wnpZE/oRuFQOxAN64IeUXef+wBTKjM+LNdZ90uFLmd44ZyT/CfcAdfdPsMbIbL C+Ck+okC4FlnYUJIofZHoBweOkpUJPCdKEZzQFN2TiUzZbm6/hOdWP+IEusKTF8SuJA0apl9EfhO lrVLg3RU1kLaC6A4LhHs2jOGC8jY4FiEc8CymOU4ZoyqVk3U9/8cfcxTDnrPXHdX3WFFk7kCWDKI BCIfaNfPykHE1BBCrELRs2iLJWJqF5d8Go9PFXRVRND5ZGyfP3MXD77cJNDqtE8x4YLcFraLR0Z6 aBuKWTpZGbILmEglPSkYNPPYsdTZCbfiWEu3MLqgUi5Bv1dFUh2czdzoKppZeflus5h6c9BoCROi siQU3f1+p/+6rBjXU32iObD1lH9vtNwpzHKhF4op3VVd3K/9l8EkC7tGmZk/oBzAZLvNlEaDuJzt 4kPjdHYH69Xlw2vYGu2VG73mAAsKx/CoeMYywigydY60FzIaYWZzP9+Hj31eQ3HOtaN1Ap4o6FTz czIGWSP8jUtf4BXLWdf6N0rCzLEhcFota+85vZ9jZN5DNlh2tE/ZoG5HMb02Jf7efTTo+jdNKp2g 7z3YUdX2SBclJt/eK49frpAvDYUPe/6RhrGlKLBqABcdtj4WCX8XpmPDJ9Vk3fuUnvJ6pOkH7EFG v6FvVES26HV0N8yU+jAFlNsneQQp5hUAdkm2pLPyWJ+n4HOwQ7W8fAH10//q39+gelj0PP3STRXY pen0iEz3+ZwW0LQsDLNUvjYtmYsBJBBQac8ciVEDc+FGMbDnaz3r5umh1HgJWXaEUdM59+4wKqI8 21VkNfgtR5zVNRbRmQ6IuXDwyDuQEHCWB5EvKoLWeI9FZUmryUCJUskqenPRelaAR7hvZD9aFxdb 5elamgRhbjmXTdXt31fBFxW96CGx4+HM1GNruWmw+2tjnkVyKvLMUls0+Flumm3ea2o0h2M9B6hq 18cn3x1br6molnbUJnugAKmpG9zQZcE0Qlu1VruEQZ/u+RdtrxERRIM2YGiBjgZZdKKFPwMzPrYF ckKZ93HgjlxUcUW34Fjhy3S5tuygRUHGsuNvVVFQtXCBlWUzpWALgwzJmQIp/JjL/oSvvVU91cwW yYJ4Xbd86uk4NEqyMSgyeiIh3mZeOuK5vSRiI7PUcY2aNjKT2tVh6lwNTKf+iuHvr/hlrtQhbewz ezsUNIH9qjLrBun09mYO0zze9wOqpvB59kiTMPSOvk+ZXYaLsWxQj4O1D5TlgqRyrt3NxTh3EgpL gvKPjBusYO82pX+OsetVgzzRLQxoyDjpb43RRwKksv94+MOcVzMakMO0YhdF9k2p+4i9plMgjmE8 4d6cvOFbl/nphTeTM2z3DgPwMah44OjWfEntPpdCHrxfB1G4FXJyeBjoScfZb5tEz+jvrizFVOzZ FM+EGBIRsOrOktR7S759ldMhJZ/XtWrj8FWW9oi8axhhXgd6+9KBf3jkp48CqWKgm/WqcFW4j56C qTsumtw4mIuPRe1NntxP1/uPrfFzGHT8iH+5+HUb7Co+jvjLB0GwxxL5PFEeiM0XUWjY9swvsPqd wcyyvMQCm9C+iyVu6caD9HT2dwKk/1j+DsskIV1DUq/n+s3fSlyQapwWSjF03cPiwtGWLKRVgwdQ 58ul5Cs2imdPqPTCNWHjJbLwrhnVJIUHy5Z++EGTcCl3QFNcDzAapdiIPE/Y8yxcApQZRPh9sH48 8jIyoUYGYF886Dfxu0o+i4LDRKOEP/q+BBhW/8f6uRykEREOl6vRjxHFwVula/h+bV5KYbQNzMkH kVKUYGRFbWEA085N07YhpLDa3scxv0RM0l1O6ccpdFymxAbGaS1GTjJfIag2Y5ykqtWOjPvlP6oY 520hbnSJoPwlrBkv2piOcEuk16qtLns4wbFcdPIJ9V0eyRCXWoXiAsxy+X7vpgU3PoFubxjuCtK5 Hu1ABiqcLAC7Fu8yH8GfPtwCzj8/jMchxpEYrnypjt5RxJ+b6JDeXduVCZUXnitxRq4gs5eeQ8mI PGapUAEQsSGsnZzhot7UPuZeV4xQzTOY7cpEYhJ/Yc1IT48uxZmXZr9Wy7Ug2SDbbFjjoRbOk1Z6 CXpAFTn/86aviVbDvTw1jAi7nubt7JNuPNb7kaqZY53dbd5jgWb1Xml2bpjhLc/NeR9ik9obMPQJ bxMchum6PreV89J+aTuPGvCXMkQl+7ukipYQFCtLvW8NiBqi4xOpLtyF4OJ284gcQgcMdNWIof7C gKsAPhROchWMPr5PlL/ZpkAW7uGZfouhQ3UMQS4X6ZnjMaFufIr7U/Ds8tZyJ7Bi7Q/ZUuYa7/2Z SxjrMFBf32CQiGIManEh40LMdpLB7Z+jGUdUm+DiPiBpcUay7FGnm75q9qP46XHH5h6Y0OL1bZNR xPrxPyiaqUT7AYNR3LDPKt7Rn2oDxWcAWZnAwNYqoRmmEB8nUjVC8kRjBZowrWoK0heeS3MJ+QMi 4H0UYEmjU5hqlGBMJsYDI6kCwR+D9gojmJKwVro0Rskls4v+LiyegXGVHVPfQ746R3Pu40sLseg5 ox7xsnSF68opIwLy1znvuwzEuQ0pKwG9G/x1FUJTvyg1h5v5q90QkGFf1/fFxvLd+owWzxkiSjlX Z8mRKuLTM2Q+ksabXN4Sv+KhfTBhPzl8DV7A36q6Q+Dvg35SNM68PCoDocbJDa0ZTl60d/tdN/lB /tdl4/kVKffNC5AaWPK+0urJYtm6tH6v4cFGhSAZwAu28HVh0544iMwBWKdWmrbA33xGiY2txVJH EFqaw/0mEo5tKgt1batOuzWHcaR5eu6y32py+MiACCqZddZ99LApB5sWeHeEwVkxdAwN4cip0h62 eXUkVTTakpcXrFzGue/oHqiwijHY6ainBU8NigO5K/COGy5kMpUj+BWEHm+OBdQTfoQwBn6Ce3GD BXHISpfnEKynUQWT54GlXGyqD1qW6NizWpcbvOfNrL7gURTRAAter3FyvlHqmajm23B9tR4Z5bxp cXoItiGj52o4Li2Y/eWO2C1DcKFJOVAL9GyaUXC8S8OX9b3NCOmUSgekJ46hCi9lnH4vaZghgYjP 9YP15hEde1O2Fad2xi8DkmqE0eoEhIADslX9sPsHcSF22FfdM/icWtvvTU9X+inv1tP4CkNMVduV X8PI8hfrAUBYC3kqV6MSAi+2NhokQqdYBu8xi1V9Q2C1JUf5RnH25TzpnJvgchSLcAczLQdHGeeH AFJGoxtErQYxiFC9xGtuHig8Q1AvlAHzs0oFHsYYIVNr1XOfgNHrg4hTFLL4Cjp2LQ9/Ws2rF2hD Ql0oJu8X6jWE5Z1tKYgvkq+8wORJGfPcrNuN4tP/zwmQ0N8nnJwPtHcimOGPPO2P7wwcunTvRGFk Myw71hH5wmeITb7sy64Zpl+/EbpyIRDqVHHPvf8R5MM20YyhYUrJ/1nsmcuL3Gs9Yi72cyby+BFs GBxeGGTISHtHt6E4++bHBbboanzI3GDxT534dAO6YF6Nw3WwOoCC3gfwGOXmzrzadRnkm9PE/D9x vP0FQhivL4e1wfS00kFcz6zCOHTT26Yz1JYctje6VeWMA8RnZOpzmCy0jGdIsTWnRo43HGF8VQUd PG/qgkoRVibtZePwiqFzXdJB/JqUg7pk1eMy5Y4HRuqxqoblBP9oL0qAf9LWD12jJJpdnoCixcEL xPeo3EVSwIml9hh9UJM0Alc7O++OS1jfSBYV5ak+T8XLNAMR8ik4iuD7xoJsOuU4btlx6RWVcGrS Gw8Ps5cdeBoGPRuGcUnJMzk78NYBO/KdZyQ/FaVV9xDcAHdTiLP/PmrUjwgg12m7BorIftqVpXIy odBj70KMnZJ6TMNIAtxsy7hSJD247nTcHp82qXnweI2HIX2rfk6eMFy25yyaaKooCyOQ+ie+Kmv1 w0kOQ6OqZj3liZO7s6vTwWybDz/ItLWLKQA+K7xaq/oQ/lYSEo0aJa4KMcOTnQKxRrpnUQlgfsuQ 9EfwESO4TWCfEmA+pIhVZ5W4EuWZ3kPv+HssZULEIBeF08eSyUztOeb6V0eEgZN7+B+zVIiiHkWA 0rbi9Kv9pY+VJ5qVR0teC5+3cHzyWt4BI4WgGbZR2Cd1YEyrjNNLpyA1sI9ypx3hvJlfKcZSumnh k18Ypo+/dpTzjFmzu/AUh6fTU2yzLo6qwx1a2Mcw3wlPQ+edLMcNuRIX8KtsQnPWYNfeDIGp+WB6 yqGbo31f7LT6NQKYGPebp/n/McuRTO0krHNZeWBS+wAFYCKqQQrL5fY+mSUwCWP/ZlYOYvh1EOLL tYJWGbcozZKd15hNtyDyMbwXiebnr8DntzkIGlQelRo0Q0ELhVp4PhJ0W9bKmcCAiAoAlBTutEig 70yPPmn6s+askI26szjo/x/zrHiZRuQxu0Oe5QthC4tIOc6hR7CZzp/N1v/7EhOWTV2LxeL+vo0j jUzDbHVXkrFS+B4t8RXMSkY1Mpn+0oaaCxdn0QwDWmbswjCv7EVeLLBKs+MWtwXt+X8zXc6+eKxf Yed/fI2qqSJfzv4Uotl180iFfozp8IG7ZrAkvm0WGMmHMa+VLGQmXiqtDZvEL3uUKc1ONllgb+9C gliAk9kXQpHUBKDbPoOPNJqGupTAQnNaPGYzzahDJrRcGXBVskUV01WtB8tZjzHcOnSwOD/hri8G TdIcPnGqYPNvti+Aw3if2GOkDA5pFzkZGaBkqUrbsgMWsBvr7kTu9bLSHGO5GDhqS9Txs+EWwIiG dXP/lttQofLTzNMqmcifkOGfDiop62xx8oP7PlNGpFVH/MONFyup3cPKVP2xjmERIoVySqXiQxNm fvRWJ/j3yRzoTn5kfp6xkwCr9BER3fdbj3qWqhAn4Ql7G32LMCOWHVcgI6m4Gsfk+uU42utN3Mh3 VYfOIJLu3sC3teVK0WGLvb8CH6joDww8F1yHuo6r6MpoZrqbPJD5eN1Dm5+ibRZ56udf37w9Tyy6 X24iFf7YboyA3309VXEtG+rgpiWoUCih/F/DIPjSphfX3UGP0sNTk0RF9gwn0FJTqMh1hGRMaC1S ZVLvvq6eQeUZEE713GXxp/0R0A68himhzn7LKY50X3dntVJu7kqCI1Ck9ps0ui/1JPIK43GtdfGg J5tpsW1OjM6pwwHqecHDWR4g2HjDCq44IsCkgLicQPLIeyLTxEUAHx1QwX7Sr0kxLbQTWTP7Bv1S N6AIEz540sWLh21hAld+QdDAvnNRdoqUCQuVbYYnH38+GfzRNJ4k6/VqkX3WxfYWNxFVBl1D37nN qVDxq+I/GWCokimn0xid4aF/8E3miH3S6sqeBFIBhmI8gs5j9hHhgzOzRQVufjgaMQ8WPSQ8li6B 5eHw7K3CTBN5DHdGKiR3p+A8hRU29aEKLLaBjCS5z+KnneOc67IMNpEGZQXiu7oOn3FSyBvGUxQr zmBb/QyRQ04iEexDccvVHUyCrC6gdMeNF+ANb1FN7mLHJsUd/JChvjUAsdfV32nB43cqxCbDuOXX Z6qzQTU87VfY1n2Bs/LI0ZRQerxV2ao/JGl7rsXHhaexd7c9pQwo9ufH+/l8z4Uhr70YNNoUGh3e sI9JKI4EOlBr5yvr0C0ZUHypYqCSLkaj6y8gv+paZCMRoj0MmbQ37pRvCAFKm0kF3ERgU3BxiMUT icyl1La+JnPBKUhQ7209LfumiRoImz4qBjyk01eSJiwoxfEWdSR6rX1aNxF/KEUgMMA/UzO8+Tdz cyP2RMZ5b/KJqC0CT21s3GdEledJoqB0c0IWJWV35Hxmsi2vZY7w2vkxgtZTWdMon3/SfZEvlFm7 MJPH4KcOAMnGpyAb6qB3J6d/MQ+vQDFU1m5JH9ESlr8XBpC576QBSWlMAvo+IG3jXzpHHoSLRDXM xjnvz79V5sm7rthKGTcPySexavte5LTx3kTz3oPo7FptNVY8K92y3vuY6e2WXl7Rk/gG/g398d1T 3+33mddO3KisuZU8uR4/U+2Cw9Po2PWvWp7uJ7nhJbXxc0Pk0zVuMzwY0KJgbYzhVdmItITLbwVl 225ZmlSNPtByUWQaU16DTKD+QRaj2CGBbbXLeskyQ1UgpDY/pp4IfVYTEb6Ek1hCan8DkhW0YrS0 qX8X7LMbLYPczMltbDdRRZ39M+I7rWY4p/mmhEQapJiyCWv6UMpe92RuI0eRslCkN+Nrvr3QwJWf Bf0TKxkv0PiTBw3iX+HTO8PDqgJ8egDMZQDsXhbeUu3ElZggkkb6GAHwmRQxZxX8JqX1/WfK6Myo cwR2h7q3f2Fad5bE/d8E26zaMT9hP3q+H/GPOEz5Vnam83W2llqR/YAL+DSjfvJV2csGYch49jzz CHBdU9Wvr99s5izFgv/spO5ZqKAa/CxxD1V+aTPlmW0sa7+PBTXSrHqXCJabqU9YQD+X7lTQGoAD LTMih18GfIs1NNVeEENXau0sTEHs4DLUdNIU1NWhygHRz9v59B/g9asi6Iq0945OrLb/TJKsWQHB rfD+xyGgBCxbROtKJOt52H/ylGJF/s0DPCbqI9no0RHZCxcDgnW3vFHDiN/P0VsE4M3dZvd8hMqe oxEWmjQv9BxFKzSTZibzunlpZpHUYs7me1+aUh9MI/moqZmcoZczhwYk91aDHe/Bk45H5REmvzjV rUeMr/TLPI+s5xVqzNYD7QiTN5xHz5nh34/BAoXhV/XL6bvjngL3AUAD9SF9ur3qlNbuT5+e+FFR OzKNW+wp6mnqiLFHXm1Cpf2M8ckbjZEaOOX3EWcyHDxWEZmj2r8jBIJ3lwGLErSBefhdG6X4HzRW U+lvM3Gn2droWa+a3ruw0fGJsFSXGrKCcoxHCB6l3WlPUbbcQ+Z+MLdD3wYTcdmKt6ieaXoTzVTJ /2x0ppB8ZSSwGcezLL7g4qMi+tQIYvsoB59893PX6HMDumDCgHSXupQHFpSVj1gCYxMrxN6RURmw a7Q2/+NR7nAwg+Ur0hLyj7KANHMPeu0Dd1MDGLJ2GHtKubGp/aZcCLjxXVdMCVjPGSWtoWjPWaOx 1/JH0WssSN71VUpXed9djSoSwlbr/vuqjnmx/j3HqiQFJSyPKL4xXQrRjSRMj5Wr4M9/7R9PDgYj C09kIPBHk+KhxnBqio692lg+esPcZxMLtfqC8z2wqbArEqrf7roCj8vvwN0LLQYZvak+Uu80m+n+ TUJgeD538Zx6WJtfQq/Y2lUue0Zv0UUjwE7DzwYPAvg9Swd15jGIEXm0egEs7dvtK4WHUOJRhkko mOuTgNjtQujoSFMElEpMdFaCkcr/9b2WaQhwp6iEbfnP6kKOLNukR1rJ7qunsIPaTkkcg4wXZwHf BHvxo2YWrKA2jNFmQnk0CGtBjWG/993w80ZG+fiZH76y76AzqAJj03ycrNpoCkCqHR+8RSS3Qp4u 7CkIb3VV4oqwtzILpdDMSWvUiG14aYVIsV3tv6mVdirgmQGdPbFqP5PwxB9PrIFwp9wUYJawn4Ky iXVxmnBjyIhky7BfQM1LEXC12n2Ml7h6P0Ev0WxxPj2KJ/qt+JEyP7bRTg+GjIiKZcpYWySZDG0x 0Ja0lMCTxQ78lUlf2k3H3L1LgDv04+p9L+BXpqUfWP+P/k1Goy1kLfNtUFnm3Rvi/gPhjm/5VG9c uPuW/Vvhgcq/oWeBVIucFE6QABRm3sK0FwRexdXJVWpjwf/E8zUTl+lRpbPAp8G+5MSfFenCamKL CxuxU0o/7yvB/zw4dWfATGGlFKJkPBBm6QiiKOU98dxcth682qxAzVNGL4T/6XPvz0JT9YQcg06z mK5NamIslEcQ8dEM8j3dNj7A8LC/JZ6DvvpUpVcttlqW5KnNLiUpeZvDymtxbolEB1cDV4k4+NP3 VSbocOYJWg+IToXO5GMWJ1sC4GDVZFq62APwmmHtXwFEBsHCcVCIQ4/1AVeCRJvELxcW2k9yhofY PEVLB7KcKiEPudwS+G8NTXiZ1bztzXAoivm2y3sGpik54dChvGHd3tEhHk4AXlM386jSxmV+qgTq PIAo8F6eGdYemIrvEt5LZH+dUZemRA464PT2d1UdIWZh8Akr9huEFEf52o5w/tLKiIA0Lmoqkfeq BoIKTF9wP9M5y3hqt4z1+I5nJbk6iZy2luUbqnHe08LQhrljS/dIxP9htZqM7EuG8F4DE3Vp0Inp 18wAHFE4LzQOlsTeT2sJqbfGeY85Fh7svrQsm5Buy+T0qYBVSHyhFfpXSQ7pKkTbRmbp5K2Io4jJ z7KyFN0F9tkNUbacrYYjxvSRjzJHhIFo7pDeIFwZroL1NwVITZgIKz/OHMSAujI5L8gjzoJleegc +ytCHYEcWB914An0IARFF2eaXbT2hxr5Cd9Lk54I5veT4CCQ3IJ3wPX8xsMHC7Q1VNVPA+MNeEAh ivo8FXm3hPSlnXBrb5yJNcNiMF1s8h47i3knwvWTftxRARCJsMaY2tv1og2PgdAmLIUFQ/5T0bBp BaYWAvSkOkGtlf3yDG9r/0dediyVeiJLIt0BZQiHR+oL9XPclqvO02E00ZHvFHmeIrqAAiNEIca2 dysHJluejw14pPwov9vytKMGtTRRctyjv9mTSjk2YVvYnLTpJ/LpLQ12Q4lBUxQjPKm+iJS4DJzJ MxZQkm51uVc+0gYUXtcdClQNHT2fIjmxMcZ7q6nxytgXJ5UjTeoDiRrJ15GRNXXw+HL61DU5lbRm xSD1pK9Iz4YUKcvuJsZk/po5EsFspoYjWamYTBujCA0abj0BbNEeXmFFrImMz6R8vQSKgNc/yejq vQs5A5iop+JMI8R7vOPpaEaNLIvlR29G1kokAjmZ7FPHaCoGoNjXLfOBTWWTF4Wavr31NhW7lOHB aWisZo2PJKiGlTsoaq/pbXpUntNBBffoHrdzYoAnIbvmGtMnv+5uHa/ChmxtMYvbA+FChEKYbAiq YG2O8Vny3uKZXae8e1PvEW29Q9aXU7yFULfNBNk7mK5ig/ot2G39l0C2aBggnufxTNHnRGWjUjzx gPl50qy1D8JAWwNLo7MzASTfcikpPq39WDfPxNZSri4ogiq1moPV4oTmbzG2LkuvrtWLrN5F4EJJ SkzFHUcuIpampj+sVVTAStYxSx9e/WjNrfm3QMnsVdfzX7GKDCBoQJEK+ZtjnaphK0z1ChFxe50Y TIF5KUwtZpDg+P1WrvQbsqJnmUabNlJhPQfBO38qew+P4Px0G7QdCJwerIhJVXkqkIOhoWgptE/6 jO3qcIMkY6TFHmj0QO/zVk3nXQjQ6CJPaxTfddiUlHg2LJSPZDpIOJSwi1O8Xj2kyZyHWmJ1dBdP IsDnXefI5GfVORqE57BDSiNQGzgFPoSTh8YOVmFTi8Vt4Qyfk6X0BzjzS0LY4jmDVGXKIWAZKc7P m3sRNjhfyw1Ec/QINbSj6Rb0KvZq2NN4LJmYU5nBZbpwIYQ7QZhDoddYw4QWGET+bWtLj4PoEXVx NKKHD3mWTmTWMm3PjoUGlJCoQqXrmfFvxr8xFh+Eat38B45MTi3OtmXgCu/9cActRYV1GxVQnwZH wPjyRG+LPjcGAlHUK9qAui3+Op5ShihQXos5/siZzRvtkz3DvuKC2aais+4IPH+HuvvBTYr/dycZ 6sk4u/56hiVztC5CY1RRZv6ESEFegzwV4oZInvyaoW5f3undrcqSyPZez/EODK+B1VqAsrCQ3ov9 hHJK+lw/RWqyKBn9JZ+A2okdjYZWOwLY2QiLnYg+PNDluu6Iv2L+CIhqIUE7et5IXYKcPQDKS0Ud CkIHxfIFjmN7uZb0XjIw5WaZHp9BjWFmNjzQ2bbiQrD2wUBvMkB/uOsJez/n1OUOgTY5WlBb2W9H 8sdgvD/EMfDybQ2hOlEHhTmQIcMpd0ir9fCJ8mZISukKLj6WESQFTymw0g9LqogN0a1kOPmne7UW 02rY/OT6ZgC0NBi3XZ2idw+XHa9F5aM4K9C8yGDOpYDGPQFop/TaUKZcOWmxYc2UecsEcdvchAki hYsGeur4ndReLU7OVKfa+LWzVYWiy6jt4rBQI4mP2frt/3+nLBin/qVQnkDuJn/ZsqaDw4mBHB0w ae9BQN0UABLrtIznZRNRIWbvLOqktpa6F2yYUbaj4vFCCggAsMMxGXVSi55/mi+Z19dQhvRHVhCZ iVUEtNsPE3V5N0zTtCFgyghoeKl1MUfFzbYF+X/q+7AI+qVHvCI0YITV1ewbR3QZYe8RtcbLvUwT SWDymLIJFYLBRGVVbkEe+4py1sycZ+oE2V26E1eAPfqaOMWqrhzfYRxgsHjBL+x31gFBt7D9+Gvh lA9M65qfBnp8zO3Wc8nD0DvAffmoLyJou8li+sN82mNPbr9S5nR7z5X2h6wi8h327mmxyOmevhAW FxeaK4rQTUz25ELDCrAMwDuBJDqmmX14ciAi1imUXdt2s8KAiR+CSFC1fJ2DWV12/UYLLh3fQPos fiQHw1zzqZMfbrurKKmJcO5ouOPDhTnGHQWTUJNEy+LA1uLgqzfTn9MbyceoJxj2qn42SN79XeCR KtiWyyVeCmM6GEIUS3or4VrO3X5/Bl5kBP2yMxTdwCeSTZLFTbJUQ+0PhEc/x3TqT5IpH/UOFote eFNqOsMmZktxA+u+N+1XeOh3AR7HMJEXKDwkT/bCpl0YYGjD0XAP06rc/biJzAGidgQH1A7HEXgJ NUG6SHOwb4YCmJhjqSBiJpDp6AxN7vtqu9Eilf8piLirfOPDb30mMbUuA9LQS5KkOhnuS4zsNAIM jfOM4fLG3QJ6e3xiKOcwal5vzGJNSIAzvm60z11E/QBvJsx+IaviL7JmCPUGSvkRM0Dk9Waxopn+ 8HttFMX9+a91qiYE0wl4hh+hw5Sw8oFHraUuleijjfHHRtwE8hJASXcpe3ST5+51/74loNb36dWg t2DwycUiRZaeGlwRWEbr7HTqmX7GPapnXRGwLCMPneZfRsM5zaZPq4dBxNsZp8CE+xbWS0GnUinK 7yWZMwj1qs5NdS67y6+aUrx7AkHpAlIWmgIridCm6HeqWeBXOS0CLoiFbvbJFAEnhTRYtWeXRf0t y5anESAenLJCFjtrgW3sp2pa+BMjvoguVgdsM/nhm8YZDdaRn40hoqwX5+xSAB5FV7nxjW8+rf6V kJYovIWEZiugsH8EoJCWjmU7YFJKK6j/CKwSVIn+sxXSa5QwHpxMpV5kiRyZaWCMcp0fU8W7fKdY tifiJNhmcC9p37wKW7y5H/pkGWdUH5oulov3lQrru8D/HMkZkrAuMKtqDtKCvlHRzm313E4F0NX2 uVc/qjJRfxqhlLxhB6E7qdCYu1N9sMrgizFNKjAIVtvXFDvqaHf7YegH8blZwF6Q2aiQiqYrJmNo jUAyPqTgE9Q4ZfqXhrOiMgqMzYETgjBECDOUfw+s7ytdFTYoxZyR5DsHhKxehQP8ztbLsCy5CSxc sWanl1N8Zw3A5hVKVsAbQN0sXIIXTObmN0GC1qK4LVkxSPqg02nG6XSYU3a7LfLuOSv1lPDPEvNA n8abI8vFnT51PDyjsxseByMMATIjuUTAq6PAOHe86x8srFuZD9Ixok563JiitnG11lAjaABU29fS 4N/uXtOUwtFizm6WBjse44gsTFZT/0wQvYjIeO21EvaLOjrc1vRzW4dsVP4MeuaGdIN1NMyQvgmu Tte7h++KYKVP+pJ6nOG9bMFDr0mapz8WQYUDNpQNRieLZen69y8qEqJTdpVHa2ZbdZBWywjZbwJ7 NxNO0Xnukj58sbnB3OsGsjXeI0iYLEztQfXhnXb1lMecNM7P7k6w0pz63JZDDroPLsazcUTLUtV3 5P070sFB7WKTLqht7Rskst0qAYqvxvjVgUWkblYdCCBuEnyCEkHBJrI/r2sAvagMQ/HqWfYxOC94 YhM2owvNLLcPU5J85Q5Qo3wEz4bXI/oMj0BAEBMq93DDoYiWDcUY2RS3In6pXPN/sSBJ2Po6TrJN SkA6WejrSOBII8lpaEakYi9irH5H7T/lRDD7ILDQ8UOc5+ox7VUnteYkho+lSSFy3PsPyFtSz9Vd fv0tlpfdDAJe0fbTVE/t8d0qLGqKtbn4f1e6XPC5nXvjYWSXap3erd19f2qVij8eE2C3A7Saq6od QG3wUo6J4ZEM0n+tlhLqeDaITR12FbpdFJIGmnI/hdEb7i+hLT4jIKKvR94gjGuBSFpP0b6jm/QX EtN7h4Vi6uZDRwDhLGp3I8O1fHER22sBQmz3DDevXwIqyy+Zy+a1Vob1CMhzWmqCZW4Tf9W6WD2l iVK6Nz9Eum5b8JaqHF3WFTSF/CfYpOMU+1NXjPY6K9uAWeI9OKe46+x/jvscFFMAoo6aAmFCk5hS bUSy5tpoyzTMz2pYmQwKRmqMsmBCcebydHFRf+9KtEKA4X+uOAMI/l3J/vi9J+PVGCOTWSweFBTI GL7yZPH7ndm5Ig/V11LaLk5Xb7Ksm6B+TllOND0MQT9AoctVP4KaCCjJNHkULgNZ4u04SiGN3yq0 Oi7fXGhY6wNPGrFIkVX13Cvmnv0FRQ0WyDFNy8gqIsFB7KicZTLhWQjt3tymhz2wMB/+WTjMCZk+ YTzVRZt1MUAgqTk6D4uXJUYvN4wrS5nD1Qtde9tHHVpAiJtbi0VbPvDDm84lBR8y/2RZTql/WCQA gZohb//c1dsIZirDsbmtFawTPpl8iyTK3nSV1KcdzLbGqj2y1/QJQtyR4zYdlrt+E5ipPyZeOTjm JHju07ITZiAD71GTNZZIw7jBIdkXpXvnacCVLtgu7+GpdfUMgqSDk9nWlLmb9IjT7bom19CZWz7+ AEv/OBE2Zsi0tBdZORRHjTIQQWvlzDCJ76V7ZBlvSMU2/VIRIRczoeZozIwtgFgRJMRkAE/G2Rbx jhXVhc5FctbBuPf7dZre8ypNaqS4WLW4s0FrJqC7IZIkLw7CJSjRFRILjgnLvrs6zTbrALcjTHeP opGjPH4M5CqWUxTgonZ5byeeXdL6SCO2Kfhphvv8vLTbhYOCC+MGRxb4YjJvnHNx6O+dWQ17qRp2 H+qD7rMwbFnUSEIqIEKVoqKywqVYT4imCNmmgFUMU53hvwnLyzcWRpomn3CY17/VyWwvhoLYWSRB EmlWj/4gbZV58JRN88NIklH/tDKdzn9Y75wA71lLygIKJsZ6GLdsqoUiBC8/hfrUbR2Qdt92zTHV j70BQgurtjAbV0TZI76lGTNZ6sHfSAujzjI3dhdg58+HYI2gWiKM2t5EnFsJNc1Bwu9BPG8eBhwK hLfMpYKB/pR8rllWA7U4BUiabqhoEEKxs1Gh8wosVjNHbyQVK2Rs1N4D776idmyz9XeuXdMyWrdi acrXRH/XmFYEMFYsi7rG8AMNuwoU2rFTgoL6tYCf6ZnVnNeKnbA5n4esk7jDVGL0ZSb9aoqNhlgG Hl2czuPE+9XAgkQsJRcHH8+NaclAFCXl8aQsCgVUS2RezH8yAzJw5pR4+JNnIKtLfe1y69jU0Pde RmyPca+YnNapTuiANtotJhgbvu50Ic+OujNZL2sNXi6ht08SaV5Kh698fUFCVoaLBDk0FnUkJF5B 1xbGyt5FqF2oT32Nc/eRUrCrd9vDZMEHq1uyKBB/UGN2SWdu8gWmgPvFayzcBwaqp3tMuTpGhxgt hTDEbaHNU8rhtsQp59PJmbXIMx/xMav0To3EmqW4uEDi7BoqLGsse02cXibuCr2L/1j893EyBqLQ ZMyUmUwAPdEgIZF3tx4cbBnd0jYeA9rSasY1KSx+FjrJ+c+ALq2KxKRqqOp1X17rKydclyK8Q1W9 3akemGpTkQwAQN0kve13oBDBhr3o4VGwGp1HkSBULvJqaNNwC2llQKUleZIBP5a6gj3dSPFJ0iVk N7jhHZv2yXLNaHolq2vLK2WpnLN/wf6be9iksIZSSpKZ9kArhAO3L3KVIkWQF5fKr+ez58kOrD43 TMTSxbZy7rjQ/RWYHyfQpqj2OzPA6Ur/Gkvj7KGFcgCtyY/d8bxsH5MXL7TlmlJo0JJW3f+YdRUJ tMQihESw+czja8KPIZhhEkNmUi0RineNy+Jb2KwkI8mqs0tawepLqL1p54SkfdoOFMDJyXD2Wyq8 ZUEUShTu+Nwhe6Hiy575gTkFwshdVsUjcEBm0J1Pr2l3S+gBVFnmrJZvalCKgck+KvxsGi8SIrSq 9XiisofCLFag0j3tiaoAaIadRKcvu9nOngkbGuNtTc8izD27wWXSAg+lO9kGr2CDFiRSYIQLWDRJ beJ9ftCWpU44h5oYGsTnnwLSEudIGYaG1KiLputPSyLI7gvH5wvuALZa5TIfQYHA4SMnPNVtJ1n1 Q7YXGhGBHr/3xj6uvCGedY6xw30l1xImlPtlO3viN1FsleU8i7fv3aW9qYy4IjshbHu8fq6tlCz/ wlixaJYk4tm47BlDsJzuzX9p018XcSXIUdog4Qi7xGgmBU2U+Zc4Wj83MOWYCH4luTXYnuSo5SoQ yGhrXKXq4Dqr8CooN63ZgxQH6uuJE/Mb2vaSb1JooLQnB7H3pSFDIPFXbRbCdKq/jnUBWupEiHYD Ve9OPE+c4Bf+7WE2ymLF/FsQVLOcqEtCLDJkONsfknKJCJY4avErlnIHReIb/OM4PG2axD4+7c6O 8k3k9NwFer8McgPh5bX1jPkwWqKgvoZyGOo4M8lcDGSLqs1E7zxMhH61Nvnho3BF71ONtHxF5q4g 4Zn+40PaWgCW4uxnKMMOYdba74MnMoa3ueCrdATzCDFCSF2epShl+owAu12LmV0RZsLyJ66igchF LyI8++nCYf5Fp0WGjX1lDT/drwsQmAHtwbd8mx8tkuq7y+bmBzV9oalY/XGcMXy0fhl9tVZ8eRci g0nh5P6Ylz3wlzFdbAjRvVHy6ymglV+6jnXtFOmUro/zGB98/bKbLe7YHLvZ2TT3BSxZ2fl/lomS QSrb87yEi8hYxk/mXCJ8F8OiPlpgBTfzxtr7ImvhU+9wfc8Qk6vSYUyZCLwkf/RcXOWZfnKm+0Ty hTHEMm8QyHsyYq/75lDv5986oEWrr8MutsfqKICctVxoegMQyTHRBBjU9n+iScPHxjqXfkO5uZZ5 uHSxJQ5FAjakxJCDnh9ubXm/vC/J1KdDVBLs3FDybtyMCmzRuBn0RJW4xPvjMJBSl/tr1/7cZp0H dyglJsggVjTmHwGtWLN5Wusht5W08hWDaoYySPY4UVshsv8y8SFZf/S8mMx6MKogOj4fkkJONT5d f3WxZNGZBVZgFyhv0LQbbEHmjtuGywFKbz6WuLweakePtog43yTLgnfa67OH9BnhmTEAHF2sNjkZ 4QGuWRfLM7WqUa2O/p3+xlpIu+uvZKuSNPseE4M5G+sLYc4Ua+qIJltt3Q/UVUl0K8BxlNl1oK3o nW4QQMkgC83ksfWUIfmz46VoZwZssVSRcobBabL/yYHOjSnajJdemCSnSxXMj26vJWFNFHmzL/Yq hj/hFF6akSX4+gx18vWw43H8zK15fTJ60eC6hVWWyi7e9HJEOo5YBbsfAKbgXghER5hM4+QCEZsy fsAVqul8npJC3PSzi9tYQL2/IHVOmYvslHG3+UgBuO3dOQyxhiHxya4NwwckhZf9B/XshK71txxd x+v/rMLLHnwYTHoaqpXrNDtnYmdURV+WFJxaZYui4Akjh+CGE5JvLHHYuEJ3dbJKhuo3KWaN2HCs Vy96NkbFtSITznEksqet5LNxQeOuqZjz4e51DbsxKiGMnhHgUGOtMAjrgApnEe0jhrXdZYmJ46h+ oqgu0T85/fDmENxpA2bZ40hnE16/M2XBBkz0fp/KSk4mDgQ3Bp66Fc0UUoVqxTkkF2NmmVnbiRtx u2QlfdwVJCctnD12l8/wYjzZLvPqFE8KSF6JoOZS1mFweh6GbIZc/B30OW33MjKikhEyh/zI3voa 9GkBTqNBqQp5of1idsA6Qpr88V71CSEliXYndzg/yrv8pz5kfkp8Gl11bLbbWCCai6dTeBUVF/Fh YTuImFZsb4lvXmGoCUJH/TGvIWmtOtQCA2nXy0atzdLdgqbC0APEwYvWQDoHiplk/RUfix+jyr0t B9Wpelb2VPop/Fj/igznYjc4yzrUNeord03xWeBqYlVT8UX5HUYdrv4/dW97cHvIZsZuiaGl6JFR M7OSeGfiU10R3idz/TAV+tweguJKknJAK3KcyB+mx21OUWZ0n5p4LE8nw07uS35j7sLLV/95PxJw AYld9bHpT7yFNGDTHLU/J3E5f44fD03MPv7ZNse1DWZXW4gcmM5ak7T2mRmg/eBObkIs1cdNs8mY bfdlS9b0iRlm5BjdUSX112LoMq8Z/fGf3/Vz367wKIUWuYSxiUZGqah+RQZPfg68aiHY8q+DadAV W5QFYzwLAM5/7fzsrA9cUHWJy/jd8polvRo/dU1USQSihzj4bmfK3q5E1EUkNpstwgdYRYw8VcDJ YyejxUVEZDTdRL47mgp+E4tyWPs3+HEVY2nQPiksXlD4jcxM/WAaGBtAyC1krWwdaf35520zVcIm fUzM0axkJm6bJdReTngB7tce4jU56tN8m9khtJxNm5VRl88TOGktAew+k4fCTUwe7VjaFIExMraJ xSJ9BfawukEa4/LGKAfkQqxcYcWnU5dfbnDug5SI/7bY4YMxMQ5XulLV9C0HemlLB0S+bRPguWso YeGgil0kOFHLhBQO0h2uzjEMAEIgdkuEnQ6nAVU5AzQqT1ZdogkBkY8xchkmA87eW9yo5dmRz1sj ADK609Uqf2YBKZjkaWniQiOBF3pPd9boIeplbKtrBBY4eFGSrSQkiIvwaVZrMsfg2s4rYp2z7SNr cVrXNtlQTZE7IRq5c7gtKr9NPnyZv8OR4s4fom+6fe5L0ReAz1bXqwIyyviOGB4bpOQ3fqSsuuVM NuQdxdxzhoT7ApO/NzlPs8i86eLAvzQUe7S9KqdLa86KrgVOnFnCCbOqmFWqvmoYic7YOMkDf5Js Oluz++0GavuJJGQnepgbb8kZUlnOBD8xDxvFt+qqzPTTfxGHpxAB0psC9Bz28HZJWRCpgNf3W+BL uGXMed4iII73QUiv6r2LEipOvR8iBsqTFr7wApuZSo4LUdxiyHnNuLRipOTIiyHPdovZODrD4l7j e/G2JMAls3YQIC/Z3tHWWZhpncT/DCrgpfREPz65eck/4KLScUFD31CagsmtitMHt4H9dK+UUSki wJ++MTBFq4ftP6tbD4qFx+ZHzKN7JBImH81icV8E1/cj4YJ+M9AlVjS2/xR1Q3jdgGVz+EtR1kP0 Ynbpp6hKdwJEarcCSe6x1H2HHJxyw+PIEKf9asgbX6RPXrWBT9LMcSJbkXXU7o3IpmJ+ssFWGo9Q rzz6gpzyskZfPVJYeAd0lMu/r+XXoAK0DiLI0X5R2j/yq+Ljnsl0RIbiiS3lhddZBC04iMuyaLzV l8I4NhEzuqGzvDH0UOauEwcEV06Fhag2gvV3YTHZT6ci/b9iNG2hf51fgcBlevuSFoWhZXMRiai8 r5fQZu9Jt1HhNovfum7PiCQtO9I//Qw/M/0HPZHlyJt2xXab+s4FENSszG5DzR1cFxcZmS7pf0hR F9h0CXVhCD7nzdkOf0NUx6PPyfKy7WExdlmgfwnVuJhdyWqX8dHGs20llcjbQjPJbhj5DJvPIkPV wsZzAuhd3JwEhPM/2V47SzRQURXsdHE3HG1mTj6D+kW5wX2re1hLAZBnpkveZmWtVvG2BO8UOGzF aMulXwukeLv34vN7Bn3mxom7wzwxJVue5tcj4Fi+ks7woad+UAJw697ZrMzX68f+dL5qfyDWNuIp 6nsvh6oMwPyORvwzF0/yOXF/hSjagTD1nTc6u1l2A6Yps9TvJ0IAucDWGb7zUPMd1u5cAaxEpQKW BDsrBcpdkAUYgAHJvrO0QvZna0LkY0FVlH+rkJK95FubDY6UTfgRtKAlk7rWXgBWEH2aelK0azVx axDgtZdJIW60f7IhHxcuukQ61EivS0SK6xTZcfxk4pBZw4eQe7ZePUx8oPcY5m/pUxU9xPIF5Skh pH7GAaxbngdSzYg4Ge+eJgU+JRRDfQNpprtUsnMGL9RJNs5bHfNMF8sBBLrsRAFTvA/P/YgCjcrs O7R+5SpACDIKDKwlkPTUetgCMbYayrl+sFtiCAcwXir2PzxdIwp9nf/8a8Vw4f5Y0dNDbzuuJwWp isd8KC9zCusRZfdvEDIlt1hMQsvtJoCU7qT3MPxrxsvItfFN7H3x2/J6/3/PsbydwRZaNS3ITcnb CNXTaXdcS/4UiOpu7EDtX7kN2Za9kovhNN/XIcAnH8KMQdN6zMb67vYA/W9zasBR1IVcOhwjwpNo vdNMq8d8YfosQk/bMzpIXMKhTneKSIHcJN65OPF4MGoV2/AqFexjD5WdFq5k9KWVBE6//4PScH/5 iPswe1XIG6iaYL6hr374eAfUMS//KA7DkuiPzVWKTfuwRCgA/wnZS8/EYFFOSHznkptPt8NOOYm7 r/pvkjimOwTBa/n3wo7MySzD4NewTcD86XIefgNYMvOXRyjjEMeLZbbimghlHVZlFSDPFu2pssn1 UbMiczmLqKLer8Vt215eP7fw+x3tHAeJcCEiI1LkSQ5Vz+tAGP1ZQOucd4rZyES/aj2qIZN43dUk 8cyP3ltfs8HsMB7ctoP8qWZJ5+A1pXozXpB4pR8PryorvhV5VE/zymc3lWO45cW8ujFU9Mgm2eZV O6Ttl5K7HPGN2/L4XX1DlDxv3kbbjF/+5RnR5Me5kEBr3eignV9k2T7J5BTzPYFAcZhv/Cjc7qne rpHvXDvu78/f0FSKHGOz8sFk0h24MP9EZ5x7oUDLcwjdqdjt2qiod3V2fQ9DieATbAzlSUl/B+JU ptx9Wx80E+Mnbi0omU1AorDl8P8EnSjJOUg3EIgySHbolOO8VYxWe0yoGmOUyNvbOgA5xKp2wUj7 lO7hbvCKWMVZEkyuFOl8bTwvCKc1o+lY/bPiTeFcWSZ8o0hgpih/IiICNR3yxSD9HMA1Kp07lYtn brs6L9LnDCxVROFv1VkdkVBYkotdAG526IPii0MM/MRTPjbrM4XcNSHuOGDfc0WGzf4L4TvWEivw NvufqwZuifxuO8B/qPH/4doH7+5z2dEmTzS6MLSs1NbUBgbbw5S7s0OYiwXtWORsAOIeBDNAYKha Lm6cGByLJ2apyWyNnHqFJcz2O8AoFEOZQemaj2/Cb4Ykt/h1i0rIbUrt63ekK6oXK4G2K9xi/Bmi 4eVWHFwkuaGRYK9vwna4hEeCobxXGtcgFIS/8kIBUWIa2Ses8ohRspt5zMCDFJ4B3ctwLMN4nslh +y913OxeaHudTB3BY8SLRim2H3nRjKkx+QpQSAVzuM+4Fog4bPn4VhP9prEejmkIoIl01VjFjZhO YmrGVHH1K742gtZYYCIgdcbj8iooJgW4yrra4AIqB20eyrBdLUuug8ALT7Opo2siDO9a4W0HQZgB aWp9lWA6MVhlAC11sjJTKj/zDqkhzzGlqXnghPiuOebnozJGPHcbV/D9yuLDPdzDzcBqNahSYTq1 QJDxWYuVzOuutYPq8Q2UOyYfDa8bl8YxsghI1ieCKG1RxSZKyzoNLm/lbeiKvfSYdgCIKQ8crp6v 4ZnxyIEb9CtsxnL1FTLS1EefhNfLuCi+ucUyios61QOl94H1p3PyiRWExekBtI/+VvOO1eqKClTT c3HZukxCDpChacv4YFbQvDByBwnd44Ap6EpLtOo5zX8OJHXz7uvlMJTd0UfRgEAXpVzUpwHOtoKs RtxhrKx0H0XWi6aNDeV53DrCWYqbSSaIImS0SrWrLEOCAmR4O6yHbKBAWDbcgMostN+3BLlltceK AvuNHxLi3QAHDJeqmyCyPLwKuDlZ9SmkXJY5fi48ANpqHnboiM3HZRFoE7YNOwMwYrKvGXXBMFti oLa9jwqgMGoK4TmOtVhcMVu1DYBtpFAIcbv5I3zT1m8q5OwfuJClnhzYPnmxkrtYpZSdPYorDc0L egGlPuVke/x9HsiWyzLWwraFuj0/wtZV7FjEAQW9yNRsGv6WmB8RSE2xWNwS7M5yn5JF4Gb2Gq0z QZol42qs5HU02sPthBhojxEaE3dfGJi1dh4fWIw6R2qqex9tycuc2dyzUSAahrQBG8Hi4dt8aT6p 2Wvqm41XvGZ4tDjYy4jGZcocs/jmYOpss45y2mLxc2DhrL5LlO36vZCzwjyYckIdw71LCknboPax j3ftXPsE/NZfOZxePEudTfZscYljQi+wvWcgex3FnWhulxLewVu7HEaFWV95ebKB1L1s+udWiIYk ett7km607q0S1ZhoROBk0b3hFcIGscLm9AdVRgP6HIzxW9uvmW31NFE/yXGF3AednPqDK15w874Q wBWxWkJHfC6kHCjSbyA95ufQXfXRlTaWUfPyID3MBCK+NU4K4TK0SNBwdmfCeW5mm3ykPdGYfq7u NE0zArfICa02gJ335iFIt74Q3DhnZWLtJ/5PasNeuiR72U5sw0OL+372Py1yU2ZZ6czbtvy9vIev S5794ZIIYLa73x/Nrdm8zlamweVxXy9Nq3lz70bitL5CZPGDn5+ikGBQzcZUJPLUFQ/OKLTF88DG 5YD0od9YeFCE3Yp0/7r1Qxd0gIbox+fEtCvK8k9GzamTJ868Uou+Nst0H1euxfrQFzpI6Ps0pCAL wS/0T1GIt6StP8dnUbA7g6zM1yJNkvGwK9LQoQBwcO2DfDnSl6Jr76UgRJIaOB9na/1Fzw7b0nCp uje7s56V/hN1L9S6+yUfMs+INTQPrAtQHJOr2nAbTYyS9Hyrn2YZ+ImJanov71XeurxsXL1lS+kI CqWe+oO9Su0Nf7Zc+jOvSPh/gjbelrdlqmrAAhY0zaJv9MVNgd0nc+72eMuKVn+/8UVBXe/CY/LO nTlnRZZNWyePIxXUGPMEj3EjUPowJzLjUUObT5PB5ijNpJnjTyRaOknFm8hR/er8L9/QeJBxIhqO GH38HGyyGIC9B6jYMR1Dc0V87DFH6QCX2bnCIS8csyEE+pI+EcoHKLI6EqR2KANHbCx9KVOHpuq6 ww5Fmw1wo1DWxGit0bnUhzVh91J08PewNCHj4MkiLpgR0S4e6ZNijdbF0Uwo1uDfuwq7qVhfPtwi AJEE1sraUJtnlvidIoOaj8dEuf/TPRFgtNfXexlOZkWVZb8eTVVMeQYFYQPV4fFA8BJqFcl+EbiN wt1VNdU/xouc1bsDBK8ELVQ1nJf4iOn/md1DFm8uT8MnG/DZX1Ewu7moxxnWepLhPyGXbB/WUahL AQmIJsKhYLQvYdCHzeZsxIzlssUrzFG84SuoKT4WLhm6cYaQJCOmjUToKu7BQPsoW4EHhvzQbtRY U1E/9egbpn8YDS5AjCPXfSIpR4baKCr5GxzMvAlD9zGKrZitIF/jIrwtayllwRkAA46tiT/yPqEy 9cKfNibeFT9GmQo7eYqyzfSVLrJqek94x4xIk+zgh7x7reGHXyUs6Q4BA4VqSx6IOE/1enkGbUhP 5VCFtf4dHso7ldb0hw/ZLOaHLUHtIROZ7qfz2+dSLcvBWuJmH6Cdey5P15Z1Rder6/VxkV7mn4lA iiOVIb97II3AvWHkatGJKVmzYz2P9mcVdhzf1MseLdwSQie3Zb+qkjDMHKi5nY87zyKCEottdiwv x418HLMWZTHce4s2h61Ic2EHP8B0xfwNItQeBa6lYheL9CDEEBJBvPXUqPPEgJuTyTzxAsdM5crY psMd80dJz5J/a1rB0oNeJAqUjKTTy4M6N44Tm0Qyf0TW6HDHL6sQ9tLiSvE0hbkcl0D8fMkIOjH/ 5ZZMJJG0KamAjqrSGMQW7k0DC/1NQIW0sCaCwo9vp/ItMcFM/K6+Dv1ryInOdyJGLMLR5DTDNLRn sWsLr9c1mo56vO+lVcD1KZJjLQ+iyAKkXx3nq+gqIu9SrCGcn3R30ZHLGTTJMX1m/qRuMikeGnjq 08Kcp0ZMb2U0vMxLKz7WtSpEbFdRhLNWuUXFX5hMdDEV7LedY/k+j+eXBJmgMzi432PdoYvS2vtw aWArAZCUVy+mulmlGF8IP+lR+Nle+K9WWmdjfRDDpq3G9diwPfxzPZ9Oh0tfb/atPhg9Nd00YTom 9VXR1aL7510iGzUKhkvr1o5/bSKZBwk81QDz7yLs59v4rFi7ZSSemjFqEsX353I5ziv7xQga9/e1 viYcFRo4kouLPlxmBlPAbAJa3/dsU7ujYo7kmHE8hXolc6VXxLL1t2Eyz1UBy4FtgPf5pgxsfFin 8MIqGheLkY9xJbjUYyG2tThfwO1vVkP5PeqQWNlO3Q2LHdD+OLmDReyPDgqPbwNDjx6uilH//m44 mqIgnZDpjPS4Le7saANtCvKf3ovVsLq8AdwL+F8nl5kq4s4aSEr5Rw2VFqAhFtph93sBUD65Iv9A xprFkt+HQC+lAckREXpjRXE5O2VtyimXsFtzPXEZf2DLa7FS2yXTXLk+pf6JkbtYe+8wg+zGYzM1 cCQfQU6gTHnNxL2yPfyuFCT9bdOvV6UBg6BCTvy4sVa3nzlgaxFduhFkcHkJszj5fxzt8mWZwl5L oGB5DH4udQcusxTnnS5kUXwyvaHZiISho1xcTjdNblSJVICoYuR1X63zyBIijdQrOWeR4zDn5puL DHP5O6qxdnjdDY6chIrM30U5aEDgKFlb9lRRhtlWIsKa0RNEm2vlt/f33X3hccCNQ0gfDAudlQht eHWsk17XVpphmwQdSpod2hVRQ7c21/CwQQWbD9jxER3c8BS7SofMU7Zlo4Py8sQFIR0u9GR2PJy/ UQp2RIZ+Yq28MwrCgFyFCfc909Kt0lkbre4/6sy0bFywDViNI88EUA+KmklNVC1QPrgWxjJ/yvnM ESIbuhG4pKhz7KNElIVeJzcoSfpk5Wnb5jBiAxlU8Z8z/BlH9EINfp0yG7nLDAwrZUDenZuKNz+G nf5nZnjpZ2W0O88ngyJ2+lxN5lW0bZEfYa+5jL32/7yFClF0ejt0J2HDW9pdhL1hlHAgHUn7cPz9 g0qKx/JAn8Wx5esWdoi/sYBXFbvYCWGDn71Nb59pH/vkJmRRZIa1CjZdzj5r4jH+ym51Z2RIGzPH h2VgU+HZs3haNjp3FozgwwQpHrsHUPVjLL3A8z5900DcArecK0DLwVvNsYCfluodFputkrTllE9K 3dPzKLMaWLEi47OSIUDFhN60pDNH8g6Ctp4ElJigHc13HOjTK86TX/o+JcRlJQ3NX6Fvv17Pv6Vg w9Ja2opSyljkwDVQLLl+BLgT0ke6J1L4TciXY8F/O35J+FDgEU4CZVMJJOCPTSsLJHhZ8IuVyL80 7X64XIS/jYNPIIS6C/qsqI7Pp0RbKtvsqP3b3IEbkMpZiyXigWuelFRTCG1PoOf7351Uprb4KIuV bV3eucKB/V6rkP82Ad7EUsNO6cKFh+mPN/zg+vniSMSUWPT6wyKSC1nFzTxSljFwPDvl3d7ybNtY ew+YNtWv1QxoWYCQVFLh7LACi6Aqi2SZI9T6KEZCJdePJwb3YHaDbXf10DV63nFSAkBQ6F7y34wo WqMxW2l1Q+rAshtaT8GK+vYbLaB/gHkI/V7hJL/2zRopFj+H+DcD1zbMro5EEGHxt4Yb83G2Bsup TqYO/xiQ551yEVzQb5fojcHP3CYEp49od9RT+gHnVN4JnaZ36ZbfY5MgIV7NO1Kx3ggE+JyIu5gQ h9ao+WcQ8HxV79dmShy2Bu8Z/BiituNu2XEGAo7rhfamfNVVZEoC3kYP7P6xuycr4/LVHNINXLqS 9GJxJsE9KJg/0PVelacGdgGkgQhuYVzT/f9ut+RSU8w5obA2JvoqbsmWkIvc7t3ttwaAzCydoUZq LMjM2TFlBjbZrZj5ZGfuEIGdz2zWHsy1oR1p9bimjzcWt3DMv2le4N0OKmAEPS1b7pcoBCvOTpA3 z1alCXEe8+0/xEQijEy0xwgojP0L6hzZ2WGCbcrbEY7Jh4PPJ/XSb7F5FWh3gfWWd++V38+rRZ3V rOe7bs4PyIiN45yRySSIHMAvr/+0lbA0P6Czc5voJmXbm2dAIpKNZI8ohG4MMY0fZZ1ZqAEglwz2 a+0VJR29MXl7qmZ3HE4RDludPm0w/lwTdWpKRHHy3x85dTCrmXE9DG2iNVaB62YIRTEQe8Rm5l+e BkQ3zYasAsq07xlCurOk0sO3kN1U5AbZ/Q18xcjXk3wnN+75rHewY89oqOj04DwYTfedXtr0V2Il fSTnvYyOgP56O7LWSdpfisRDCGkZXyXhqMUMCYz/XOybfxVUbBthg7+5SdyKt+9p0D7csw1qeioz RGPuP70J6MOdxTf8N2P7uRmJlghii56oCSswB0mVs/408Li63NL/yUxi7MrhOPLXDSzP3uFLcdqo 3eY43iJAb/ZEpJYVrIxCcsZHJlypBdI6U99nNMPY5eiIiKliSjXAGEm6CY1aocH/xvJQtvRbHE95 wVGy8kMFdygkrUBC38y7gGBVwictA1VNKgsmO3deqYpyV5JS5gdOq85MH+klft98v1yscnb62HNp mR4gvElZnf7m5SszBwXx+WOZPzOeFffVw1djZySSgRjeOUcny4urxSFinreM/yIlI0y3O9q681I1 TwIHSM6YF35VC2i+9nXS4bX3q9eui31MnMPhzCwtqb5A6ormm1RVVdyMCCdzukRuwsEqVe7968kb Kh7pTrzoahjrzY4gUarPn6mbm10ScZKUlcOcMtyZPccdO9YtDz9kBKTf+YPJusrXBfJXxYib3x3Z 7Q6Vqg2akBsf5K5Qz0TUbgxRxyReXRn6arauea1GHDlQYNKC+ebQUR/mkdHw12xADkb59Ezf1wdA 55lzEp4Rt15XYE8qa8wIWLJG/0P1uUNhMqENMFLHwH9IiqZefE9swcNZKgWnIoxXn6a/3cTLdcNt f1cwOEdJzi4kw/Cxy7UJeEr3Qa6mI7wByjg3UMWADQAHA5njWQrlkgd+Y0J0BLiWY8MNpjYriYpF WLrAJcjhM2BXljg3WoRLLUYZVh3HMyZWuSQjVSeHErvnPAAu3hrAdcQ/e11IVwoq9Y7ZTjzqsxbK d+CHR8Gd+c/Rc9tzM9icMLbQHlCjH+UjIlU4Kn9ljSi/jtdJ6LaRmew/UNgb48L+jXkmOwBJagNH 7trVWSEGP8JTr0l4P3mxYuiGySccf480E4+k+9p5Pfl+KBmckKga1K1mD42aR393T8+kBHIc8hqr Y8Zu2zqj/iHYI+zTCPvC9hkj9wmetJYM6nntn7hhqNZW3e4pGRCZruTVdH09K3lIfOUHTrWzu3w2 Ba3CfItLJQz1HKgNgGWKFoFj2cDTpV0E6x+hEsCXwoTPDCKNjMXO/0xwfm7+0fX79DeRYYnU0jb2 HHFJ9K1mNMdggXor1gNMYypioHduH1z5NFvdYpNjQCgKjfmM5BpGo9UETwVkiTGidBI3PXax4i9l jRAWkVvXJIEI0UfzJtI8CczjDZ6V7jL+XC4iSH8AAUSFErH20jp8BESrxvtU6OsE78zii3bhEVY8 YG8eh5epD0wFAOBDSZX4a2XnQNksgtpkURlhU17YysihNKzcjphju6jnxMGGP9Kg7U3/VoUy5npE Yna59+LjwUzuiU9hGr5c+Ddij4nVgLKCmjOC86ThYOg4HRewuiN1oBNRKKN1E3zCb7kngHfZWBP6 NgziZB0CdQk1LiVewzh0A2sr2CU7LzBaYAFU9LKGsYmBZUhe22UzQOXHmnUcPNVhW9qvAa6tgGTJ cB6HdJ4M8F/PCd6eaxzCOekNjjlusA2KS8GFLwmjRX7pSP0wTlhk5gae+PxfdVq+WxePUrY49chm g7o3a5TpXxOMKaRwpBjtBfRlu+HSucGgSzMJJb27PTQQAStoXZkF8p8nYmsNYgE/2zaT/lghHoak ddxJuRU3I6zoj8HfACf0Ktd+wBO6VQH1MUyYhH6omoAg8MoWzN6clBu/gmDIWzAovMbxbxCsR/L/ oxD9+Xz1XT8xxu5aOWPjtvFsdIaRyjTuv8n/O4Roq6pKoaP0a4JeSx5p+emhFlbkuSEU2DU75NYx +N3YT01UwpURwgNjcHRrTjuV2Iz0X144n8PZ3b+11bbOGOtbPkaC1Wof3rqGZtDhcKnlaH08y1su jrQQy8cGIGpSaLgR4zMjWazQZr8xddTgZR12c0Xut+e+Ci5C1ShtGQARqLUNOyFZOzk21kVo/Vag 6BkUVw67AumykAi1QeL/SAvprGrL+N3RxJ5eSJfI+ic2KwXvsaj1rQ8+IbUo0PjGuczpW3/RCBbk mYbpWdfDyG/mTbsAVvCdo8RX0kBDE8zTXRbwpYuwJ3VocesqqTcQRqSGifSsW870IPq4FPb88R1M hRUZowOcd/K3R8gPuczSK9533UX9XkZWMQlqAoXuUvSTYRDBXhkJYCY0U6AiMCI8F7FEwRtlP84h yp3KntFWGuw82pCXqfqG2PYul0q7Jp/bM3gxhip7zUZn4OY33c2tydC1TxGVMe9xGdM2McRSX4cp KHS6jowut1maoJbhxqJsrWSbYaWKIu2EDkWvJr9Ld+ndQLSRGyTa3MFyiIJzGsB6Dj5Ccr9FQE0m pSWMobhylBzg0xp8HdzFJFOGdYaLp3EckFLdqZicx9cge7Io2F9fWfxSpgDaWqaSHbpsLyNr9RNn YZZ+W5xmn/sXvpBST4Idi/mvww/e8L7uj+7BFoqdtAYFB7aQY1d5gswTqvIivL6/crNCNkDQB1iR b8I/5XYK7nZDhRIKgIKBi1FrEZIZhlYojz1UZWKgPr6EY6LHdWxO5UiuGe7ATTbrUNcweQuGSWap IAEh8pHiapDpCJLjP6o12Zp1zkcE5ljRD/KB+L/rZS7DYO/h+bLna5IWNZcOSkdjeeIwIn+Fx2w0 UfpeT1UVCeRLknH2fWhSiOg66ifHewLH0xghAtMAqirlw+RZKKZ+3mh7lv+wy8c4wS+sovdkb4Z+ q4ujIjVp8NEqRJ6CkfNmrv/NfyqmoYLpXT5yOBeDPoC0SPWA20Y2cjVZGi+AufcddVRPTVi+7qN0 wEY+7KIx00PTLo4GzPqWVeh40xNMS7t5d/3fE6dfU8upNZVehSBsaQz5rk2R80iaG/83QemMq6mo rK63vPwdcYjEnHOLwxXP0cDAGccONvrHjePEpTsQKCZZgfXh2/idv/2A4KSPtfjRcerNC5urmcgT ANxycOkHTPj9IaqMrs6zaRScUMmIMXMKK3EXqALZYBf2w9Tjk3xK/uCmdbvJYE9JMnwBhR90zDzg sovKIrwZcueTkfwSeRFUXmybPFivrC5eJzJnJvFLmwdiIgTr6oro1WfsJjyYTEncOvnaP+5Ihsx5 jkH3MmDtfenkjo5xvICc4/1rz1O1KTH0bK3D9tfg5l24aVRMiMFaFbUPdQbhY2yg3P32YJ7PWwlZ 2pg1mm9zeVi3X6BZHFlwQyVpdLFPDieUKOcjyptxrT7sSe9foutl9uWJBnoadkSxDzMpljXaMY/1 3Z4RmTtkuxscUPjsq1pB8tpsawLyNE91tb3w6B0cgPqsLKmAt5AbSMCnZg8p7dcwICVXs3+J+Uer BoXVEskHN3YtFRT0va7v4JOkxV9GZUnn8jYCKlcMpcOnnYdE4eRqkP9tDDftpGSZRZxf0J6FAydN AFIy8ZGvF/nWTEP6Rh2V1k+QOM+J/XPWKy0jcVcWHd4Wphu0w0+72pptxkT5afwtcy5FEa3vmr9Y V31dZYv94Te8vdEGqCOmDIHlTWDZ8ujQDaRGwHWyFR3zXemN9KSUbCA8CZ0Vn9eNigoLHnGd0rC8 8KADKp5Z2mGV7ZzwpvoM5VJu0Uh9NucWC5vq7wJS7UnTEPbCLov68wNTCDSTucjeit7Lvz2HRGJ5 OiUqvhFDanPTzcpsyd4SaIORZ2U8ccNHJ1pxDEo414OY/ngzSNHPpho4OtSyJMGz/fpR8u2GLBhY o2sb6eUyt/tq2uYQGFz+NvxjQ+F2kd4In98KoxNC+tCCz8eEaqIEkdFp1drAUKu1IV4litUCeKHJ INXWI5O9Qn39IXVuGYNR6maQzU6YM7jTUJK6pqih6V1KctWLRavOMdp+GT3hoqcFU2ur0zylqa73 7zigVVunOJPP4JuQ7BnpCSMAHtbijBbQrabbVNF7nYyabq/XPiybs3SeH0L1PAUxKZPhkdFMsLm2 +djvoDw1xb+jev9h+XYM7grjEho2hUp8i4vr9STpW9AWjGG7kqP55bXn2vtwEV+hQ8DX+sugG6Rl fM17i8dDbonuwVG/Au/xyeVOIEp2BYohDni/4I0IlJr+KTzhcgAbtjSzVrlHdfXqLNEjYt9O85he K94SSmOEl8U/5zGZN0t1wtb5+ADWWIk5hEN8nP/CujFw5NIPZh9SxIyBcahqmNgvzlkWAZMfK6WD hGyQfng30vaPgGOJF3yq4r4+PFmXkOQAHtjR/buTWcpg0FscikvCVe9okDsdfCBE+FERbIrvJnKr H1B6W3pa537ljH2n/GB/s2RTiush88V7COO3b7EKBBLqZuWOx7G8YOChLbb6wspN7jcpdEqaOl3s 8S4lXVSRjE9tluMlYp2O6RtP5WxrtYemeuy8znYL9TRF2V5jEYDGBQ46bShSDXVqQsGI8GIuMUoR 5sqJ6V+qvWJF+3JEYKJ9BqQCt6QbHbtOiwDMViOgMuvgl/Y36TAvGRG3dN8QGA+ZhMWnL1En1Vim S2R786MURw3UXZPG21xwes5Nf3iQGufjF4AX7W8J120biXj9tyL3nywMv5lSv/O3EqbnT9olLCjZ 3kSe/5bK6yYAxUFEnSNLzVaM+6vQ1biJTQm9A5hWOHzVIDv3iXfxfv8b9afRdBkpkmrt506F7icM Z7aYdkUeB/S+ZXpm+ndBSjCpYcSI2XsrtRcAMu7Kj8l45RU0szRfqT6z6JzjOVP3Fvexzx8wlXxL IxIh8u1ivHRHTcygEWE+iIMX6rTN9GC0vnzIj8GwlRJe7wQ45SX4Q+VIMHvFKOWaGlM1ewE5AQBf tkLP1rSbKMB38mq3rgwWalZB/5pBhw+3hv2m3d6o2n4yaOXAgH437gpuBVm+c6H2akGBAcreOrNH C3unl5C9Rh/+lSXcewrkKF9w/o3+KbOay9O7HeW4ur9B6TYcN0E9hhr50FMkOwTicdX7uqYvrhtC CET1v7oouQBHmRbNT4AAuFIAfh1Q488H38j/7o8Uu11xnbMQO8eURWM5EQhLuThgCDducXlcXWs9 HIBoIwKfhHDn0NWCqiy2b0l7ZpujhFGiP5BuQZue842adtH8zubGu9ttqDRGiPp5z9qfnnz4pePE wFJ0G8vePXjBbzBMjAVF7KL2TUmJLk5RxmGTxvQOH/Vhy7+Otwi2EL+45gV3n5rc4RS0bLgF5RcE SMCvg4oCuMepPX1Vnz4ucCVwOWO/cRaHyfGwk8GtlpZqaZ/kJYINDigL5EjGGLPL6fuj/fm16WN9 Uv5KwUyVh8pC7popIu6zd0fwFIL+KijRc/tly8c/IbIf9lqiXuBeJRliziigbtGOBxw6DEqcnmbo 3EaZl678gb2tEiZL3/vxBoyLHAr94P1kk8lF3iL+u4uQkqId20JwSl1H3JY7pB0xR2yVsEn1xAgB JYsx8ctEMXmS3odDdpu0djac4RUgh/zWQjpXFCFcmbuXQQ2rf5/b7L+kqVMzuFI92HE38D+gCzRP EdDGBxI12eICigU2HgCaITOTbPOhiMcdAuIAd/9pa3c3liuiieUIp9vm7nERkLEc1dWEFs8laqhk V9gmTChPH1jK8Q7E6lNL1yMWfcJNi7lSQ4EbMFXf2eBOujUgY3+DFs7FdQKI5FLcFGYLzmrAHwLD 3c+UG69NyAhO9x9LD9ue5HsgYzMA4q6bGqQC8+TX8EvXAvrm+fN/ePISMrn7HM2nPiy0X6H/lutg W70XI+Lb1+sbJgXiydYREnYQnNZawxUhI2eb8z9Vx8LtGPhRLgpdfQcSzALwrhEeCTqaFu+Hc5CM /JIn1a5IDGHY9OSmfbKC81K7tDSPywVE9apSIQjVYyzBjwHxRjsYZWFd5nyg3V4IBV8Gyf7UHzvD MSIlMWoJ4Ne7810gVLQh8MQnMfN8YESZTw3c5v5q6Zww6ZTWt92U4voTtTEabd6bxn4Jt3IihrSL 4vots6ehE2PA4c9NC/sh+ZCKwc7NorS8UWVZq3yXuJmv6LAHyMEv2MQjpeGjgvk2cJOH5QDbTwo/ 3CDciMCMW9drXVwgC7USF3JbDcnzGdvraE491ZW3tJabHoSVU03JTC3ZLdw9m1u/qopc2hJPYfiD dllKN7m5rL87vGM4AsH4Ub7Qm5raAUfWDtBDHLyr1qqehOyUcmL8EodqvYxVoD7TOjuVlHCjOF0h hsTNrk1obr9GoSVJ1lfWP5voVXTjQEPxLNw2RG2llL4iu4zz+xkPcL8FJqPlRHA1vC/3ppHIY+TP 07fFZmTBKZft75AGLkvz5zceh+5wK4aI5iYMFHXWRSPkCs9McCKwXukM6WAGxayTu+41h4yH3MpX 7M3+keUiPJrpWQ946kX93iKjeui9YsghVCjWsXmYPMXwXcOf/O3llC1CchvqFhLW4J3KdD3g56gU ivuEFNZyPNevfUejz4yytCqQ4no0/FKxQCfG5WhS17Z1DKt51D4j41I2qP0h6W/ziQns/BgyvmG6 mkVYmCqFrmS8C7Xc713fj0+yupixIx23RlKNR4mGnGz7K6ghsOb1vWv6G/Nq/kgCoXj7+KA3R/qf MbYFLzTj5rRsmepAPfsLKf08BRiLgs9fGpYiifQzSW/C8j7gnSYgH0HIN3DmyHWwUJAo925Qd0f4 zHkO6r1laSqjbiVRRiKUv1628YaJq+/S9+AMSTKIaRDDOGcuz4NE2VzC9v2y/HOP/B/qu2109qID RMvScfJNoLlNMB1Blw0Ce7pOln+tmyRssZ4fG9+eCUydz3RAKbtzziCu4+qHx6SQLT9HniyR0fDh 7CLVG43HFpq/a4y1uyCetuYD2UaJ83jrZ0aOb2oPYKvW8h4yEcWyRwWcEMITXMdiLg6n/eor7HO3 HDQZ9Qgqt7rdJRFAD22+uNHBoAZ+kovuec29Qqr5FqXO/W3mp+DJXmeZt8aWTIdECPrDwY/s8Gtr YN3RAXpgNPVm1p6xIfpDkT19ybOb65VfogC3f6JqpP3ELibPxyGPA+SFEP66abIvq+S6RiT3hsI7 eAnHlB7Q9dIEc8xjAUuZi83hp8/4pctMtEkcBeaX8jcwsGYI5sLuXQj4TUfe2BE1Dugr6uVctVNs 6VvGAR/oMQY+5ateLa+a9pYS7ojADs7d349UCuHj26QTn9AcpT+VUOr5Nrm7gNmGcoNXnavz7FQn 3hpkkfOIZFGpl+CBwsfpBeB4tQbbppDxqAWV6nvbLRba7nxOcekyywekj883+TgTiQGzwZ6sJe7I iT12QlAL+qjN6Ef8D4cvWGTmo505nSZsMF8s4Sj9GGqPvD/p5XIejUcFjCl2DD7yazb7szD1fS+S bc4lAyg9YMxo+buKKod3jiPr2kYhBQomTasVym9vtXggmMU1GdgOh3Ogjor8X63qsSY6FgkvCdtp CCUVpfFOCRG2bmt1R6Z1ZKK150bQ16uIn4sfscVmQNUgsHmdrneAxZlqzwgh/S299Eh7VeyJ2wxv BKK96IS3ri0rJsLXJVaq6Jn75G2N8jB9vyRyStSg+8OntWJXkrw6PZWmMqZEVszCsMZu4uvt4FBF R6fZ0yZTiKXKdGmTJg1VeTbf7sN4k1Ilsyung/KZyhdhqdqJ18v6htF/axgTK3JMPvKgkKueC/ha cutqhLQuPJgyFazNkfuNQvxfiOL/bT5CShCuvZ1jZsDAvW0y1exUlqlUi4IXe/DuraGAbeqRfvVS /fiYpEYPCLyH5/+DUf/tVpZEECNb0/y6m5QZ22QX6pFApzbkvCGq/jYdKNDwLLp1vlWuafXzT76h ku8sbBJmgS/KmxEc2+krJa78lkXe3rqdjbL9I6GFU+CRUO8cbyOjYizIZF4FxqNhirk1hE40hW2K 7n2WQBzz+TQR04y1q45r10eiiM8gJhPlWRidMSjmFmrx0tQXXpej2Wa5PxFI5Lc7a8fRCeX0KQcB yLugsWR4z9SsKTHiDih9iho54gb9qFPgdsa1WoxcjxYakEk17Lbju8o0j5aT4YMOCfmLEjdqISTj /L5pzFRJ9+bA5Jop8/cF4+x+RZ9nztLY2KH+esxH9H77uBSjPcNMxJjJRsp34GxhP2W2rp5YqVXZ rZyvxJM7gsqZDetKmz9sh0oBAnB6WWuNQ4s92P/mDBFC6IgiwxPi5jTPhr+ZRxvAIxGMFK8otC/6 aCqilo1tmfFiYQ6LuEAt/oMwWego7PcJQTdmGk9MLK5Gg/S1bBstTM84MPKap3QL7B+yZ0F9oYC6 ECUr7le2Uzj+e5ulc/4iIEBs7qTdmJDDK8EacrdzRFO4nhzP/vEVIJnPPP5eD++bnft5ZBO4YGNq s1huwo//hZ7RuqyaeMkJV3Me3S0/oX4xQFmC4BcYjIhA3i+TYsOhz4r6kYj/GCFFo8iu5M6Ar5uX ULs9FIWbHitRH39mGV3pWXoFjmcFkIYIPo4FKGshd8PdbzzPEcf9Ni6yXnCMH9H+IgmwWfC5fZtw X4gNsSnbYzLMcN+8AGx83Agr4uBTAEC44B524kt+xVCUKm75rAFZ0UtxxZhwo4v7fp2wzsGDrUSv VClUIVZCq87cIBrOJni7nX9sZGuJ90zZquKNkbRYAjVgGXtydHbdmmx8QrH+3Th4faOeQYFUPXrC oTx9Z/8MH8Oa8nXYfFdh1F0/LtkdfKW2+wIBpE3dv4ewSBsRsYZ0KcAOolxNnQzNQI+G5gTZVUZn oITcHEEyRZUMy6DOCftJtzN3kAkyJbDT+nJTg1woKecPraG9gzLFOF4LmjD8fF2VCAYjCEJVKdR/ mtj2dWD+0cLVfv7TY5Xo6gct8qR2mfyqUnQ76eFNcMghgbtj1/LAyMIBo9l99WByE2NCtfL1iEsZ cFr3h8c2d6+d0qzdT2DPz28uiXJuKL/SRmxMfegAWrzu4XbFuitkGPbt4vb2cCW/ZAFnIPCukVBY tbn8xsklt5ThGxmaY6LnSA3VTT85r28Y3V5PvK2NbhaVEM4bD8BOah02sO4FVbJAutcKv4XTHdjq XWdraZtbJ4PggwfMMVB4Zsx4O0uimNUrMerODasKrRAqE7Oz5/siRkHdgpilzVMMEzzISefUV0BW dgHeOllYPMumVzk+1kbIljJVkm1Z0wr85xBJtMcMCBb4sImO5EspdcZs+lj1mHvLZuhOek3v/pWt FQNc6lcJValgNFT4ssR6DkSb5OqcYaYPKt7tapPJy+ykqRSS/RWvJg4eIcJXAc2k0ThhqzVmM7Vb KSIbnfCmpOi7Yb8A45VtYm4Kv1LlSOj6DNMc541/veLPA55s2YJDRmYiBLV0o405uywIjJhxcPZD nC69UTju8LY7vdVCL/vaoBXiGWPCAEV0953Xqy6S0eaI4JysZaaRyuIN/XumFh4CJA5+55gACwmr xVB8HCTWYQ38tVfpCl5J/Dh3HeptIpbG4VhtULCFCNpcWshEduxMmK4g0GYLCgL4pj3zv9LGW304 AkWQgfpkOuCEgFlP7lN0B75WoN7VQg8rvpXwXwJmT9w8qSJ6hOm/HODlEkisW6ycNe9CBYvFR4Wt 3k8wQYSCl9c0cU8ZZP7+YNuJV+J1fdtSCOtnYDxRAybsUpN01i12roQBYlTNRlZL/e2u/PVyit7v jxEZW0mipOCZaDeMgeWUVoF2wgmxYLB0590TgUh76hIuOgtXtH9l09PI7r/Uq+4/7id/dY9wNg/C Vt5QXixU1tLsVQCDPbJBW75thqegsxt/RmPd5yoJxqlcUDykVNyaVQldUBHf4u/bfKWvxS7pIXDO ai2a+nhZj+iKvrCdaEnQPuFfAYKcTjcl5dpXrHVzmyE41UwXyhf0EMM+RKmBppcqtHa4rE9Ej3aE aqhaE9LhAjyUkKWkUckU6A+qIP5PAhe/KGYGXKOtr1sd+Z4TcGclaGBMvsLI5a6JvioVNfdXL1dT YxxRgxVPyY7EV73DRuHa2CjiiezPvCdKy5es2zUQjvtXVFevuaw+L8b4hb6Ko4BkF2Lv791YDDth uAwwNLUCABQML7zec3GtqLhAptK0+6/Pw4JUxzrk5XTFD0N1SJiVHwHliQ/N8C3pQdLRb3iUfrom WABQXQ2fbMK1h/Do66inaq1FfP6qwc5X1Qev+fGvFb8Zjpq5jij8uG8uY8NTEC75TNNddAGWHgLO AVo+CWE8Dg9XMYGDXE6AZ1v9v7b1lGHDp8d1YakgDemTBi5rDs69XwB8vIgq0WNj4ES6FFa0OcrY +FreADg9trFhx+XEAcRoFbJXfmlsfwRKBPgFoGQkIygHibQU1Y7KXL2SZa9feNR/8/NKrsYKiD6P WCLgZMNQfVHo9MrNJhqmi566zMKG/DM+ODCyLhfLbKmYvPHcvxfXw4DqflWQJmCrYGtYtiYmhY6d IykNTMDQB+Tr8aeou8di+EGTmJPShzE+MwZv0SvXyYJo4j5OyeJ5f00l8Hwj4NfC4DfBrQOrVokA jwX7occr/HkJKmeBuIYnmOs2JiPjFQKE6VMTXfZISNS6SZch8t2G1rCcVxeWYhBBDJ0ZKC6o1grR QHGyLq1fpzln/MalXqrGCHZtHQL2xMY3YxmsEzEyiuauny2UIbG8aAmWiRiUkjvwCfAlSW4p8bSV HEfRPDX+qZbDwi2Q4vPHAA5Udq7n4PAzgtshzq0Slmo4UrLcSQpDElz5mspmXvDcA+4y9zqnn/VU VaYpGoxHNDxhRSs/KsXMc8uVoYNnsD43cY0Cjd9AZRKB3rtp+ESxo1zoK66xW2BaEsNyz9rbl8Qe 3oIl08888Z2e7V6mupuE+1JOU9WxNF7VHaCm148Q5/GQdjmKaETLbOTCT/B+/P9sHKOqRQmdSxDG vLVxyJCuhnEx6nhDfRLetKgV0XSRJ7vZW4CAwN2E8Jm9tHHpz9kkQnPCbxmI9VQ6SGaRBk24pjn0 +xGvYlQLkNs4QlXltJDKcl0Q9fm2/Dz8ahHcMhXoSE65S9F5DTepNIXaOzNT3mjzSsNxabBDkodB hB3q0KNCxKBC2SgI2CiDX2IokugiGWlC2cvOsvBGsJGrzzEgQUSR2ftJ9cRw5t5kFrMAg+F8hibx nWBWpS8X5QLycD0vU48dcf+J7fMVo4uUZYgsJ4fG92i+ClE0yL39urbVf3eXwHKjMlrMfO9x3LJV jgIC5LZYDQ6C2/61QGtHrLhOigodWNHx4gT6PedLjt1QAnJ3l14B/zqmI5FOflTJZHtREht/PNJK oMJsVmog85ynzSrqBxrVaoO3W/ZphHfWL4qJFlqkZ+pvi/jFx7lPs6zD920hb+CS5ZukcBzSam0M BloTfhgv4UkJhtRZPUpioB6roiesYYI1FvR9Pq04ztxmcrAHuYiKMg/d6u8wHA0XGRVd6t5DCD/M 8CyA8EP/8Q5Dqyaz9Sbtvoc2nebio7NbO0VZne0fGPo41TItkGPR9Kiwq0C59soM9Kib/560JUiW e0EFkWlmK9aco5Bkd38xL6dGiBBB8IDfbcWXJbO9WpQhc37K5Ua5mnk5Z5xnwMf6GEtVst5pc04Y tEcYJqDThCn/eCEssw7BhYcEKno0qD0ZuK83Rq8oZr172OcnHnIudWyWFrBJ4J4nmZLl8yViq1ht NagHC2/WOGhNO8zqEQAbEP+3YLb4TruSO5EvtRJfvU4rqYPlZH1pONvYenF5VAfu/91SDTFL8Ql+ RLRVidKhGNDd+EKVGEfbxUtxOj6KPJwL6WrF2DsjINN99MENa9x3fpVUAbd6Yywi9lE4+C6jg3sW CEXeH/+GsAIJ7EnCqemfY/jVOU69oUpXgPxgkbgjK8tJJt21p+QNENBsH46tNpR3l3WzOCPGrNQ1 p0cQ/QlBRsai0vVQ1/1C9mhm5Jcg8O6ZiAVrGg0FCI6DJtEtmuXd35LvxGyZgHCEbK0qFVligIc/ jHbgH4OEDPtayKXVWNcr10RlqE6/ggOVS2QnhjE4dqkutEsY35pY6hk+ueJwrP8avaoqS+pwKHqs y5J06g5HDCctmcS+XEcTDtkOafTVDNEpJj9aKquhNJKaBbQbtlRnX8veH5vYp8q8jyORypaGy+Nm tytZnNwE4+2hsYkBfioPrYlhgVuJthWiF/+nPh6kY709spXAk3nZFMRkg5nDihcMP4Q74CL7boN0 JqM6DjvEKwp4ZknDktW7yngr+iMcuedO/DZFbkvqikB2P6Lzs5ryAFDRsNod8yzj7DzJC5zrEzmx xJBilPy4/v4NmooSmbNDjaArywmpXyK8DXRKG8/7yn2Ggd6v9HSpLxRPtVNv/YVO5GaG1qbmc5Ou njycYfe09D7XcdJkHP5lmTUlaxVIulJI5D+yOcFy0VwV66D4GKXHO6HVDIntO75VoQmnc0Q2gD0B cS55k/3WCVY8ctHezx7AJfEsPcFmsNDBLL7iHXKjTkGuniZ//GO5NKDI7IHF5IIUnO0bURmzJCbq pL2pr6ImFO2O5mytVpq+dIlqWAj2BOHN5d4KE7Xjf7/FwmR8XiSxR15MM6zZCOjk39xis51Iy1d+ f6Uf5vwhbaYnFQa66jsOus2dNfeSiakNuz2f6N0iifTdHr09vZeS6q2pSe0pWQJjMEXNG7UZWZDO RHBc2xOcQn1OQ1cHBtQNKT3W9zPT/5QbkIeA2kuxOBel1aw4NsIr68T5D+qGRbm23fmuoA+jYlSQ 7imtG8YnLnvSJMB3BTcQtDpaWEHrRbWm1WKsTW6Zr1QKG8EOkC4pVpN7csJ1RLK2piO+BVHcYjEH 8RIQmolhIj210iYrkxShkqI6c33SR1ZN4dsFTtEHwM+C2GEaLAHGVQoQvqc5H4wL2DBvMwUURn/Y tRjXvszc/pdEF+8C2V6c4B31w0P657y8nOfPE9xj/pqZHAm2/EXsdI1i9o1j/RAVZlfBJnq740pR T5HOD3J5t0DbkabxHsn+F294sw4IF3V4y1guvke2TmUf4UHcSfbT3Z962lYnXH1B7iIfSForaqen uBndFWVAyIrZvwfOyjNGkc53zntNs3epsuZEi8/DlLgIDeUqwFF3w84Xo6eAl0/dU5l8gNw0o/Do UCH8Y2mqybZ0l6cYRZlF3aLNtPeOSJGAnwA4KnxqQTRnlP5ujTLdfjvSjr74CxM7cDaNGTMv8Hi7 oB3vj5ACOZK7ooaN9L2cnH3Vsm821dvffyinLS8TeEdcePH/aYG+Y6tJptOQH0BeZSfy8RkZwz8B GfDjZqCB5bGOG5SNT88/IGgntTaMRE9La9lJb0FAQaOc9jrjoKYwKL58wNrQCSLtKOISkAKD3BMu iNCF4j+JarbsmWC0aHyINJlh2ATuqCrcs8xdgMW7C5iO1OEu8QRVwi35AHPmpKmDVHNTnYzOhjmL k93GF74qZ9mDJEHM10xVOjQcr1kH5GWujMghld2P0/TJGjsyMeferYNQM7B7XmtwvtdaCKACuYyE k35mBTYPmEYOL5ITHtvu0jgWSz7MHFYUT/40A2uvM4/soFEDWqjDS1kr+zAXD088UJ/a6acTEEs+ 9HN6XvsP+dupQnKeId6FnDQbpV2WcBt9a+lIeHBIpWZjQtZPSeMYrfjgk1N9M/lMbCPizM9FEkWL hd5Tqgyp71qPiB5bv8xgqAMwrmyaBmoWXG0jwAgnNXcxpLqU45vET154RnpjJXp/dTHuPQHYsPX6 t3sfhp+lr/oYSY91nWP3ARd07G37nwcmtKNU+aGdwJuM/EAwXILh21X7RvgCkEk4D9dT9haao30q QwvTdCTt1cqaDTUbyEHbtq0jQpgcGyVD9QrdlO002WHAZTr2wVFtyeHsJ7viiTg0vG03T2UNIeOQ GjOhaiWaeelOM6vb/Pot9b9dH+tgeE9XF3Ue46QXqIqQqZo6mas/J2duidc9LKF0i9vRZ2g4zz6n 6ZtPyuWKtm5X72AxZWzGJ8xvy1kVo9v3Fld8oDek2oUYIuXxe22d16ZPUXnWrcwek1Cf/xcFAjLt pcmT+Lb4mE9W2JrN49uieNTbFtn9eokgPpPl1FIyc/OKbR/DRduPSz2VpM0JfC57OZWTSHVcYEY7 PRrFSb17l3SXaSiCRq+vlW1SPRI+pcs/o5TnoMxufc4NFQJ05DAS3UyTIq7423+PjfpVc6uxrDyj SkB1Ga4OHWknEPECB3vfmY53bfwPMwT1ZDPE78S1poH3P/U90PKXbszP9WQu84OQfqTsaPJckrgW B11xB75bvxC9ef2zleNKnOVJ4YwyZ8NB0VwI+tCpkpatCtU6lwS8AIUogmxbaQymD2GyEZbjdtca Mird+RGM9ysSjsaErjZaK7yarCj2rh8M32YImpPNAJ7+KShB+Ry9oGUIwl0Z3iVSx19K2k18hbHG JAfpEjPuh9/+0VEaw21+E3pZT81/GLIsbtdUUuOGW2MQ1QCcwtNBKb9QOQJdeW6B3P5MbnUf7zoI Jne2WE7T8R4NSn9J0846xajncAwPPloArQl4VnZILA+kDrWb0pRsZ04kvWSbrJRrVByAciUr7zGB blz0LfMRAoepXXkMnDx6EAH5X06FBb2WHKzxmEJGKsoiEu1v1iP/lm0dxR3MeeiAN7YuhXwZbeaQ QXacsDy+8wbxgCfABTmFwaE5G3Vsj2w9kmdBlNwctN4h/q51bQgpfUs57J0UCBaZnknccIP06cik 6q9gYJx+nS4oFVIfme73jNZmyqRpOPZwE5v7OtXAl8BcMEw9HpGuUVI1nDQFDeqCBRXcyoah0xdp ZSQBu5VXW9aQSLrVgdtWsWmpAXkBwRO0cmB3QGcEeODtoanTwMra6A48iI4zmHj0FPGcUJt7kYLm 5vlbMYjpwFnXfm0+oFP0bWbGttBLcKVsuEMsgI1BSXv++AMrP3o7YHhR/A7GlF0uh2NwfBxmO9uF Q4UEKVrXmpjwBLZiOSGRbTaC8DG3PwQOzILHJWu1VQCUeVga1WpRlTAjcKLAj+hue/uKfUi3Yzqo yqcsCr9AyB3Wi6mCo+TwiAw56gBmwlKFs835Iktz2ox/zruIjedRfJ40F4fkua4ANpiEzT5WN1cr AFK+1fODvoeRiLFTNpGuFC/jx4LIJYvPD94WaIN/TFU7i8rH0sR5BrMSTLNKxGb2i40OSzq03dKu eAoPUsFGj9UaFMW9t9qu5US3DWbnv3lV8J/yZeussApaytxsfkteIIN7akTU+Lg5OAwX4bT4I8dD keyl/AmOKmpDN1tizhkQHKqvh3j3QeXMde8I9LsFVM+HNYJs9Y4kGu8zXfalsF7R17mojPQg7y5t 3j3+2Q+ZTxnxP2tDoXxILMtTODsoVq68MbHVgiRfgEDKX8dbC6cEYhyCSPK5+8MP09jq+veXK4n2 anrhPMBR8ZsFFXGqEZYUQ9DLsylDYr2s9C+M4qLlnI9cJRNab7r2bzZMmfxcoCkeWCH4BQVwiDLH DSLoMbc8AeNh/K5q+LbbKXKooh49HMkTOVKJ7On5Zm1upr+JOyooNJ12PyVC4NWo6eS0PFjGr0EZ OwoMClbqmaT+pC5iB/GyN5B4hW8ZwNN3XVNBl0PTzd3WgXfIxNCSBZqyBX1a5lhF0hUUYJVI8+Fx ur+kOS2S/HRl7gM3tEbupg2TEiZHJef5KAZYx9FB1etrZKceX/FPMhSQblKEzK0I8D1S974LKbbO ZMkBKEvkuuwYK19AtbkrtfsWqx+a+PbbGxsQPlAG/IEAXbBvw39HBNvYNTpLAF4qKFAG9W98WLLY Iw3amMRxiAOo0pA94hyP3C6Ge1SV6uxt9cpNNKlSxIfaCwdXoN+rcQgu+uIxG7KEqViUsMZ9ly/U dwpWz8kd21OXTfJJHlUVCWaeGn54hL3F9Unsu0kJOZG/7GJJo9qTKqjuxYsc7X8LlWWbgQaiV6hF rEHHuKZsczuW+pVcK/o5RWhLag/PRtneIA1BoO16lejGo/gBFaXWqXX0zT4RfH+RsQDZPtG0Zper j7Sxjp9v39o4yuQ8jRqD/NW83HYz7lyXjsAJKsiLu+1L6z4esFhb9/5vpkJ+aADdL03ueFeYWd+o IFwZG0zjJbHHf00E7y4Uv1IV4NoqCC06jfDZieCijCpeTiZWoyxF2MciMcTEz2KsS6jF5nLGepAI MYdipExBCxf73M+M82/jo5xf16AV9tAB8VDgnnX7ToRtKMC9F/Bz3sJ0eyM+2yG78s+VzVD+lvHl xToFN4j39tdcR3N4ZNk2tcNBq6f58wSP/+7nlnd+m60SB8fzjG+PVJRKhKOCi6yVcSOSg2fewva3 tXZIC8O0MAmLQ8VVVfjK5an+LsvY6CO8qCYyqpWO0uiFXZLi2FDiBKxp14TTqI8rYs838xKgAUZF LupsSme9M/v8cZVG7SHPecZ8oArpx+a/A+pEng5+P1CdHonsVS0D5Wsl9mT8Qx2Una7Ilm5l/gCf nqDNnRXPYdr9n6YGrAgFWMTMOpz7CECbKy08PmX/FePIeMfxmbGVtK30Qsg9WSHJE9ZQ8GQGGOyH +eQxT6lkgUBcTrAKPPChV+0DkrZkbsrPaY2ClWHho4dbTKjgSBGc7KlY9y4S46fs1V6Rqax5qhQZ QfMiReRyoQKj6FL42j1FP3yfjWZE2XmQ5MSG/bx1i1GJQ9ho9qh7vjSsxXTBi5Qha2E/g2CgQJi+ /C23ODqmbMig0Vwm2qSOrQAfFVX8N3JaqsjvlmNnz3DafqQwDvEs4eFV8wy7lfHSffUk1or5pxzZ OR3DdSCW74+qrOCTbxCH74gIyUzVhbGrvK1Ds5xiWG+N4EUU/qCin7wfk0/IUI1Y3cFqIJ6hEbPN dU3xjyJE7KhbzvcdthkPgB1E1tNRPbN1gAjEHlqbibzmMA0YyuT/M+qXqhnVVrq+nizdf1CMx10m SZ7bwK7qrTBSxU8mBrRQUCc8xDeeg1SkvlllWx+wyr7IdGXyc1am/kT8fvOwK6KhBXfVxXkGIlnk wbYrI2tCyRsBMor1XdirtyeWdTVQwur1smIWVieYyTwVk72/JTT8w/rKjJzqt7Yr4mwMrBQNITuJ /K2CdkH2YjcwGD49Jw3t65vKKr9aT0tYLFwQh9Hwj3dPG7nJ2ntFFa8ffzcEgjwzLsfDntMLGdVP 7dMy/x1TSgVotkXAZcZnpZaXpJmDQs77vk5BvjlNwPhMwPWD9RRJ4pD4y+lzMvtgaBbBpv7UbP6v xAaO9RwM0oBligxJt/fvnEPnFWypYEWXUIUxFqL13JL7CK3hD0gR1f3YXhbNg+drxW1t194MzIKP GIs+rxqpH0+gh9HNTJh+ObOESM9hrjfmCKxmUHN1indFu20FP/1w1A9eUAJcOiYTz3gyr9Ordefk 4af3mRcjCK0WkAhXe6RllrvYgI8d1MUGcguEySpgThgIG4hr3bfIgB1y/gFWLDecoinbxfUIlQmc 07lTFUcdzKTKhm3OgvEL5uyLEJA7xN0sX6p4yk6WwwxjbjgKoTCZS1iSI6UE8Xvfp6B055Vn+hKm 8UlDqb8oJfWwOK/z3El7LrHZekqVLH7eqZfLpYMOT6uMvez7bCQzix1kL8JgxU4tyVGOc24+C91X AqhLIriNU0vvJU3NXxnNkNKeb3pp1gGLJRUtPiFbGDZn3yLKqS1ObnwDW04ZUj2rT0FAZhedmJZg JoCEFiKQEuGiDujNz/4dhoiJ+hBWVIjJqx77/pu8Ew3itspqPBjxm7Xx9zEoS6Gcmr4YWORdlfN3 fF0+L5QIQcfryum2D3ymYoz3bSpZBN2pUP12plmpJOamwdntTQyO2S7Ht0Z0TceJ18D8Xqw9el7z VmC2zjbpsUFhDOGaD3E5nBfP3Z8IqdA6f9dx83b4Ebi+WlTLn4nl7TYbXzb1oVg+B0Gwa6aoAqjT ZXoRxgkGdtMdU+az35kpdeuxY9L88b8/t5rO4MdtF4aDA6JXt4WbLSov7kTsSk9vVkIzqrQjpSCJ tY+lDAj6YGhxkXZbj4i1As9cr/Mfc8AuA4w7V8EDE5cJBk5jZE+pzHHc6TVizQoVRMTolVXfwR4G 702FiI38eiW4xD5zFxUYtFAeuvk4fMDM87FuRxm7pdm1jdWNA2Vemcvi585fj9l1PNwM9V9Qavrw VfzrTH03nnTYfpR2ru4hdYuRSx0pbeCuHYtNIWNSM9QZELR9tjbzY1/H0N92BZBDrlswUTf5X59E bkFNGdtDmtwwfwhs7rUNGDqCsPByo//HDbwXEeL+miMP/H97ZV7bt57srPLeNId5DTWhxs1jAon7 B6Cpjy10c1Wg4YZA8LuamdTt5lT3RhhtDxbxbzZcFtanmU3S7QmQP1xk5wOKlE1qqwBkgZkLKM8x DdUrmUeK/ft1m3Fc41AilMescsJ8hKM+NvaQ7fpsS44vEW0Gx2lv4mqYuiDAPSj/Zsr7aNV4yPt2 voCsfc4KhLlXesFLRZGyrIP9STvBrctoZV33Q3qNC8kgFL5oXTNH/ZbA4QOohYh1kaJwNbFissl8 ANlbB72/57Gp9GDCzP+hwf0Ke7Y+E+GuusTNRrVC+f9yhybp4OunBO+6icuOE/BgLrVXXPH0Qa1J 317NPFtnLeRr7Aw7N+GYoN4j/Rgm39jjtX9wA4nGT3z1QNb7bOfGQ6u84KyaC9wOj2hwU8mRzkA4 lNsU3rcNkO3NfhJ0uSbjhtnpiSnIvbmz8ZuEJgfdsBnCrUBv3Ve8PLZR7yzdtlfrZuk5zy1TOIxF /qRNPSiHOPewqhG/JdqZllP2sduiuEBmwZuE+y4r4gMdO62S3f7FN5AGlp7ULRcBUrp5Js7QIFPD t2a2feugz83kqgnzmzvuAOev3Hf2g503IE+HzDZjCsrCV3QTDipjxwY1XS4XJgkf4nYrsDrkNc51 aOKvuwN7duwBQrjTE5S8Tiax/6sJfzfWID7ppOP7n8I3x/o+fplYPKhEUjT639RnROpQ+aDk98YO 6z7HKAMZZKz66Qd9thVHOUlK4mAjS4GvxXd/PcPLd72i7yb0EM1nGKXkASNm6QbTgiS8v+ijnGBs f8uRGhG2EaWROoAM+5xwqNLBbDnYF8imEvOfKBDBnFHOQ88D2lLrrgbrUj/B7Fv0uair7xoTVRCK jUKAswyEsjYcnPOKcS76rGUgkBd2DdSFsVXKBaW3kB2CR1+mT8EZexJvldjsaQ8T8OF6AWAvBX99 gOVGRa9HpyYQMRTCHVouCem+BnKXrd1dYxdfji/pNLygahh45LYpOCG4l1WXumd0e/hjXS6c+awP +rNZOke/PCnWDc1Z3rFxFVWsX7/lk7cgi0CWUbRtvgRo3UMs4+kn8dpjMWdlgf3/MhjlRLhxVP9t h2OPJjPbnvXttnD16U6ak9ZpuUa34gpxotV3f02Gu/QGJ+00WuZZtLuxNEOygZkWrdcYF2rBAB4l x58s1gtfpYcg7kPfvy97k4V5nVOmeN/h2O0FOKLtAq1TkDEqfOu9iVdea8bDvJcYYRfrMvDt7mLk 0ChvyB1OH8qMjNjycGKZIRZmaXf8mNhf7w6HVO8nOxDU3000RhdSJxsTiF5tUqCIiTlc9ubnkgbP MtwbYKnDE3kxIj8HU96B9CCxzfZPH7HQ0QmVoB3SCiYXqZ4hI/9AqPDievlhiWAocmVzSmJ0tpDs F+jy6gzFbvjesfPSoDwhCqIiPK1j+PEhiPJaiKJPWepdLaXtTKO1uKNM5DfKJLeLQl5w3DvR5sd/ ee/8CnhflPxCDMiUOHO0Ih/lidMg45y/PJYB2TWDAZAYj6GvHVSgYzcXl8dl4p4Sp1uxYFY+VBO5 jdCZs7/CFIXiKgpla7bb8wOZNtJZZqRyzviB5gQv8zX3TCnf3mn7Edrrs1kzkkQpyKLUA8MTsR0Q LamXHVOOKvT/N83NRa0EhSzYs1+bzGlbr9o+StM+6w/yRzYH4xV2LUIH/o9A89kV/qYPEWK+tD+O wK6yOl+C6Jb8oLyCbZzkIRD5sHsx7DQM99V3Iu3rC/D1hhiG6P9EFQgDzq52kFo4kwDWLD3D1oUp 7uAWFfTxo41nEevVfftnQlP5MQaqj8jBne0JP1yx14883S6kC9+pFh/WclxRJn5d/RnmwXgchWDR ZdOZ+Cpp8ZxWwfVb0t+ZZkJuQ5+y4aOi4wouRFeURgBP3V0zvkV2gzFpFvgZ4/5b4P/CsCGk+/Yb u07f/lF+0SSQw8nyoHWVYGZyCTrFx97Bhe5blYSG4+ELNGkzhPHOPFFji90wOMnbz69G+Yy/Nfrx ysU4r72CcnUcvQhUHHcD21mipmJHYxo86BryimiYU6Q4YR+QhIo18TnNZLDJFMaVximQ4gnc8jWT jNiARuf2sEkWtxXRxljZBVWHvYFuamAI93X03/INiGETkdMf+CET3xjsdfJNoFgKlCgPGMbRAhme pMZrijibxZ3R9fr1uJJ6/rE8kWx8wF2FL6b70wBm7VAANplpdypF27g/ysBMtOpyRq6M3r/aIenv 2+EJaUjBT/yDMwHNcGcsqQSancDM5Gg6hNgDAQfqOpJlhnBxzlg7RwJRZZoglYWcHDmcFZQPE5ej iXBgEJuVi2iUY1NAbpzLsl26a9qqOZchIeA5Bhl2JbrU9H1+2IniRP4omgyC4s8ZQY38U7dveU9u rq1JlL8dvsop349MbOePEdgb/g43wn8UenmpxD5DVapm9DiBVMHW0xgHFwfbNcKJqozex505sc0m l248AvT6+c+0BszQyai9EdJdOjB50Ds1DAw1YvVRBK5QtAUbqUGJvJQmLSYySY0XvnlY3nmQWmn2 wsNNgA69A7JVDJ0arURgZ0uOa2rtbIzka2LPrFEIfvVz5q8D5FyMcXsN92o3ZvvzA54ol6igs0uD NcQu8DnMZFTngoqRgs4jlefNeJTUOYFog7c86NvKeCf4yCTrAqVq4IuwL62+3n/E7ev+H9Kae+/p v1w4EmOtDaHGLXwcVPRrsKP6J/lPoCnqj5zxdr10nkiSZvo5viZNCTA6hAukaOosyK2cQL3Ogd/G RS/CcO7dWQlIq9PP2T8ngEhwSIIfuvHGcZnZnWtGIxfmrwIGin0sz5Hd56zQgiz0w+cvHWkNDMh4 abOQhrAj35wSU1/x6/gTBm9YUXNj6BRcPUv8vaFOApxefeZgP5ARWwyaGGH2NUpv3ZM2EX5UPzIz LymB2S9WBt4XqkWGzO02DuvjmyTXjVzQtmSI9A6BMB7bBWJX9TpFnQQvreBxP2oHcpbmaiS7Hz57 Drvv1J6E3riKB8ZWypxuSyGrCRJ5I5dI1SHq6nBptXwCQqbgzBy9ikAkgTDQ0qWF6Zl7M55WhZU5 kYXZxSKIw0l39V0T8gPel1Okt+iGQaoWxzCUR0z7/401knTbIJuLvxd/2lg5LGrfuJcwkaGp5Eb5 mhPAEPCYDB1dzgNpFTvwW3i+hV75KKR4YpbSSj2CEEilX9bTiQrFwxkJEe/0WFdEvyUMDpMdijna Lf2/LKfipCz8Mv74NumI8y4JZQB4xOA/tJRFDrzR6Z+/RaWsSclBTg0dumtk+kHjQEOQM/yLYnwh rO+wqnRDrrtjEfngjDn0HmS7Mv0YUP2vlPNe6PApzIGdYfQkp/QlEzfGSKfdS9oZmPrewKBvumsX Rz98FjHlCXVw/AndVcS0jHlLhyrJXLdYeLLKicKpkV6i+t0s0bDp/vaH5QRiYIiESXJjDNRr04jP krPMLpMFuSPtaT0yA427bGC2W0GHBTr6ElQV00AnhuvJHdnatjQNGSoUmWe+PLXAiUc04AHPZ2fY 8RFfpG0JH6FkZbNC7A3VOyq8DwfcI1+Edr+lN0GXdOv3ICkiOEwGHi+2SsK6OE+bgMPgv21aIOdB DnHeIGIgmULKthlvgxvlHz7z2s88BvmmYrWaFGkA/F8+41xAT0uawRUZKdwblkYQFmIAexMmdEBK dwopC3CzG8KEHkT8LCWABo1gG9dFzs2AfvSr15KsdwamC+3cU712/Vp0G1OZWmnQMms4o066xV8Z bt2MTI6QRcN0cNB6bZLruBdKRO6Q1/SG1OaNto2k7QgCkO/Ch+YAv5iK/X/9ig2qllg3ahjfrqo/ frEG6GjA+rD8Ei9VwbBu//WeVpvrY0UHM1YjDdF+15xnwQNEqOrKQGg/RtOdhAgDymuUVLrXwvOI IPGmv6wzSQbLC7qTuL/hzV+4nWVMwGiVrWUVPB5LibY7QlsFt9IyYkckzcwMyw6jW/nqGy3qOEX0 X1MIJlvo6qTIJepiivv05xCGdYumx6VjjjLfAJa2as03wvrE9VAhJF35pYy8XoBLBQxo78Z9Duaw cT4FzvN9uIIlLfMQMKA6QLsYrELAv+qwl/H7UwK/FNHeza/yg3I5//mSy2GQQLme6Q86kQxhExAq iFUTZ7A3tem69cbCkKauQFg9qI3M9DnwjX6tc73W6cRQNAvUaxcEEs7uTnjRSQ7dYwnu+MmgTA/v LYec68ASFjAg3EQH22qHM3NZ0x5J99+UPFjCSIDrRmc3Cf0ubLnvqbb5c0UvQgApXFuUa0YxN8RY RxJ+EeQT3vNPsG8Vhr4NPEA9T/toINTgdI4sHU8hR7GSyYBmDQHA7uv1c2lPX/fq/3d8aoInPW55 licx+mb/QY9gEuzQ/SCNKvz0NIfHtELGaz6VpQhWXoLy+Os22BhH+MBqVoXyEJ2kqPQQcXrYuWXZ I4x7SEhornjxBBl+3XmpIC4LudKHdRRWi93/cHnRV3f93uTR9SYVHDhNHNcDOHUAmuz/boma6ALJ U2w7PO6ruHQ2yezadzi6ToMSKJf8xYR0cXsBlWmTF4La89VbXMAMBijzkm8LhKZ2PR47og4/s7Ve PLV0mT0wo5W/MQAku08tYL085sZbnxGlxWj6Br/a2qfz4gXURNfa0YDcdMBl6W9M34vzvMJFJZve tKo4hX37YrQ3txFfN1YIHJZmxOApcbLuURsxiWBr+VYAZcirsURpztGuajhsBZplG7tVL7i2uBp/ lR8XQA4mGzAiQI2++yFGus5mPaFSkT4XUMv5AqANAn3iXn168yxsYJA38pOokuMqbh0mZyaxzPdZ TCd4JF6QhFW/DV6y4nyFb52B4lLOCsmT3Bwf45iv0fOOws/2kYP8iwF2RmaOyAs8LG5u7K9mBCbR tzAa7OFBvUEM7PbxR0HrphTNe4fpRe6Kz9ar1GzcOUI+r7J0J8tBDNdn9M9QFxKg33c6cRBza1QW FUQcpZYpuKiQl1L8VlopnilazDdRUO49DRt+kKLoudOR0SjivsXF8bpn31XuSDyw9XP9yLkyiWrC 0f0I5ewwwQDUBZS4sPDuwhhBkX5vsRGmZa5dVdlrdmIMyi0pxYCW6OOB+kOpiQiIFNiq8HXh+O8n 9I5rtU+c53cZYFk46K89qAFCEHEC51IEuksk8pvUveJgUBmbVUhNn22waRitRojcaKS1nRVJKshG VtbnomrJnCn0/s1YhYvISmO+uoEEgi+VuIMjLPD52QpPMIqLc3gKLeZvNwESpdTAZ1A3+QUa9TKY wrH+M187ApfiLjtsLL/GWWcHnVn11eV0sscO1gMVH+5ouyvO0OgbxgOYWn/wojlYhds7JQ22/UKb 8OjMl3DGCLaiO/TGb3tQdgeeRMWU9ThWKHhXKiHADRhGgxE7+JibW9wVp65ItpQDH/FBxCkuw4vV iY8iu+prwdscQi1M4m8QIVar9pMkpuAuy7woVi7g4eNIKuR8E74zXIPPo/OhKpkGKvA9EVBRg9rr PIeIgDyA+ZWVA83vC/Mfi5l4Ey0C2WNH0b6h5tKFajJDEunyPPCi6WAWFN/MNZErYA0DOXcRQNIA 7TfEpSfs69ebZ5ojZRwHUvpLvN4fCre7WeDpVQ8FbkI2rqZ3UO24HLQAe34ohupUikq4NNEm5gMH s1spR7V/kGctN47BIIfURigaQki+Wu+/REEUFp2MAkT854YeUZxJEIr3Ot/fGBPocl6s04quiGnx v+SJH/gkmjqOzX2Nyq5aq5k0XEIUZYgnb8Dt1jBtyey1h29gwH1J7nsVFdqQaEWLsLXQ55FmNLx/ WXEjZCsk3whMHtlDJWv7640qZZGD7UDtYH4i4Vbd4F3vR20QS2RcwtVB0U/ihhycrQ9JiaCW1I4l SXP0oGvDZUbftaJXepW2TQe/nf9IPmx7VUWcFo0XaGhCGWkVklXPhsk6HiNeb+0P6It7lvwcHXWB HrF1CDH2O64uHnch14Nh02tZcJP1Psxlgw7N2ihxFJoRVBXOd0NzMLyM3At5fBFc+yPJmi/pduPe Xruqa5L6WVGMvBkMeBKS6pZObCNKuwhb/8Qg2xyTKG2kXG8TYwS/dwAicBWGnHcNlQYBi28Sni9v PVeMv9/hoXMMnpUQp6GWrnVDcq8wiEtUc3WWtG7gYn33q78YPuZQ+HuJlSMgm1CoerI2Klz2XfNv 8+HpgnTYj5Ds7ePCaW+OlvzkqOx+MqjMj6ufM7QRo3jGo4lo60yoIveH9bixXcy0BiOwNNDwnhJU GZ2exff1mLkJJ/ofIBc0P8QEO2BRf2kImKwU8+71FWn3FNnp0aLOa6M7s9wAXxjrUZViodfo5ABU XDNL6EnL5sDphphHcxES1XdMGkCPzJT8hP1SZfWjEXtzLjD33Zl4NHiS438YzKLbQ9mfGUpHyr8Y aB8z6CNQVBVklcXNJExcqmqVUJi799FJblhlrxu9dbH/LH39qXUpAz8zHo4e9inci0Q7xpyYWXm4 L9LjEBcF9UP3y8WWgfPXpLKbIWvV0BwLAQboBiibnhCEq6/F8h5Xkw+0I6vBgHzJnWrgz/dKe5sl b2ZzXRr+jiHOmWviJVMMLJG48Kgt1qCymTlSBUTK5DfLhB9BJVzHWI7EpTP4GQWdoiXazMxM2uur TuAKegY27Lz5urnRe647BSDzA4jgc9bi/DLMWdGoNFXtbk+syLa3h0jFZmmGBbvkaZ2ZeyRdgU5T wVhLaHYiDMstxxe79tOHu/bwuAYwTXZUJUx0zNhWocRa1HtwOnTc9y4KKFGRE8DvCjOAExn+D547 zCxs0Zn74qn8IPnY2e/EK9hGpaFldBfM6uU1J1B7107o8mx9VVlyo62pocVlzNHkWhl4A3huI3i9 /uJnQnLIxJavDI+MQS2b0OHDEAcIW8MxezFKq48AAmeVm9uX30MIREslRxO3Ru8FudvA++Q1zaLF 7eHhCqlisjeLVg02urWNXUNWVX8ekdac+1BhA7pIsQ/FDL8Wnd1ADNGm+S67N8F699grShdtdSee /PqS6Kciudkthn0ZO2A0x+yYEVr9q+qk/7VrRL7fE5J9P307BoG1vk6GxXldxIbMKOK0sD+KJjxo RVgQYXtvjvoGqUJC4bEJ94oaewjj8TvGn1MnGX1rMZ4I1sHvA5OmrFVgMT4i+Ps0cJFk/E/tL+MY DiDtGub99CvE7xs1WrAXtboOf4NxMwuJjsJadThpii3+kdx8qVt3UXh+DrM8PLRJ4dw1Nyxezi0q ZpvIdJlP5fNdP7DHAudZFCNdWUhZ8CVzpBurQ9RW5Y4clsOW5CGDDoYgBlix+/uGyykWc+n9L43G Lr0erGhvuaqBXH+XIANyCLYrCXnc8eQfVCsucjacnSaCCMTwfY4ArG5AccO7slss03itYXuzLsOh UcGMKhUhjF5qX4wJT/u/9/3HW7cADeg9L+XX62dKMB1vimtgUg1ZI7yYlqMDLuWjzlWI3Rc/yaMP sn8Q/eAam2d6C77mSnUQsLBoFwxDM5AVpDYrSAlKU/Wc5XRh2M/ngoVugrpjlmzlo4Uq4Tuuyg3g ds7lFZXEPTT5zoo/8Ff/nePJN1GRW0YLHm1RrRTV50rfzpBEfbhnL/g+8IEUtKFL7uZNa9tA9c7f OH3xc34tN3NsLfE5ironIj3h57hUDlNDJtchzaFg8yo353y9Zvpzp5epQmlxvOrHz+83zU8Oe2w5 BpTNKFGjfjpxxWVGRYzhLBLCb7MCmsYV4kaX4IDfwNTgjIfWMeb5y/KMOkyY5eVwsZbqwMifmVyT g4R6EekGHBZC+hs3ZWpODUmVnqbysrpkShTK7YYaUBhlm3OExYNoUQ8yhPkehDx9wpqfs6ky/lQP YNtCwZnBqeMll6PE7w9XbNSUiCa0b4AnmoKr+M81z/+bRsmVcB2qRaerscBgVzCXjfP76bNUZYo1 Hv1ykG+LrUnb2KOmf+z/YOs78eQIx9YsaHjeW8zOpj9krgzPZqk5lf0t3Qgx3PG870m4N0NAzgYA nN4rB43+/UIUJjGUYn5y5e2mLhoPND8E4ISG+zASWAX4e5TICDgJqUd0szrw+Js3fRWldmmLWRd0 bJ2Mw7ilYhmaDbNgDnVc7CMHpECVFZxwUXWL8eQ66eNCTRMI184+YUvMbT8pRwTk56odk3A0wQzm 3inkpw+mELtqjWxb6cpArMBY7fiTCg8RO8OBT4qHPDO3FLgGAcppa8mFKEovzZI0kfdLmc7DXvrq Su/s3crAim5J0TUQVpXLEAqWrVdDmi8TaZ9KceZaId1z0uupYTLHI2dCKkYm/I2gHrDXZPFqWsKz kUxgjSkN4HTeuxl92hxwS70//SETGM193jpdviE8/RMyHuIeJIOcU/Vx/rhl5NRIzYQKBVg4Mt9M HRktrsVb9xLI0XyKeONW6Onhelk6LysVwgXGmEgHQYm8QPPOPTPzk1z/jiC7XKY89w7GUcgb5jqY agX4SW4labxrL5NXza6FKIwWGurVn/0ft43ubkM56EoWgpFMl03jnU1mYno8c2QuQfNfxKInRNUd 0yhhHTid/AuwJVSixrF25lJLywQa/Hkmqt39QLh6VPOzYxtSxzmKXxX/bfHxBOoWm7/UNWHcXt0N LXASQLwm1eQ48CGvNG+lUXnpjUkMlFK/oDs0eX2ZslLDl7P6lrNrS7ghFEiVUTixW0RVvUMvrFrX MPM0Tok3tApANMLGUMWZMwawwTCXHQYia2bDah3+SBagpP7CXPKI/MB+bpLYsv1maJiTripmlnMU 7V1W1ugNQqgZzwR/b+rsToIZcRkW7ZyE+3BolUqpq9vFJWEf7/bbIbHE6Kd6S+zebKkhDZPSN34s q9XCNp7tnHVYwL7XFzG3rFm7arbY9fGBxKNPgWVqld+sGiwR5Nvb3X80ASAA2QhSyZ2VWS/PJio5 6ZVVUzdRfYhzS+10B8svcqcLMO+vMRRPp0pPZpo/BbWaZCPUA5j82S7YKztup27SAlhtW61S3Bh4 1Z1+f2Z+kDJ0TApIwqhEy5aXejkl9b0mT4YAm111s+YvBfS55984AQphg4KPHOcGvkoKfVZzphdM qMwQN+aSdbivO8lD6HxM09mRtZv4KFpBtjE+lam/7nF0Y4HT6mpFwC8CvdfOp1laoQ8k0XxXmOA3 atUu4RsJ0mbuMRiOSIGPRBggnFQNEFaOiAugYOs3okYMQ7N2rfqedxPFF0Ou+Oiyuc6/m+eSP9LO 8S0eQwoHclX/wgFvzw6u4DTBzkMGAn1f2UOxFK4F16WgDqlOkpZPb0WW+O/hoYFJEfvW/tBD03Cf y56nSawIoWHCCchDKkoo7TtoXt8ZchTYrnqDD5YJ1G5ACWy7jRaNA84MGvJbyPpVgLBxX5AZeW8J UT2OxEMXW4QkTdEs6gCnoGha3oIUdHrHoqKyEo/krL7M+x8rbRitqbmvPrRN5u2s8YkAMeg55H/8 W7GFP4leFMwMFbdjNqJGmD9hJ5YD0vXLucmlzsplEGc4vjDwROasj4XdAMtpOUJZ6ufIiNOtTOTj tFKBCvyaipNhw3n1msyvy9xmGiX+jFbiATCXoewXGimw5OlA12sYjOWQKd05B8Dm36aQEd2jytt0 9n/lwc4nVRVIlQKK0BP8NB5KFf7G2/jmQ1cEH7zyPfCNIZayKlJNfGsrUqrHjGi+rjRyvnWPlsOI iL479AEhqOOkB5S1hJ9Trrjl0+Sv7zOKlEMKxiMqOfTeUKkO8RsGVNFsUbKTlKRdSC22WAWPFcYa 90/y2ioBmSf1wVvSUxFT22Bbq1BkEMsvXI51vKVAW2lAmgTN/VG7hFIE4yyxARgY8c2HmZhDy5Cq u3XRLpiuFRKTdaHnIO1fOfb3/7UZF19mad0/DT8Jxon1gQEFh/A/N8DgVWnb4IUHcC9tsiMc0cbz jTUaY05iBL8+dehZI/kKaasu+HeaEPki2G27Guqx28BCulqry89+yq4UBwrapyuCH0MSLfYtZjq4 sTVZUxaOqZ6ZjzcR1v3TwvefABD+/P1o4JpyPHxA2lVPmy/pRkBIkPjT/vj/rq3evvUY/NiUfzka grXm6G3cI/NbcaxILSl4HBWZlgJn/oSvtwWrpk7qu0WrFUKrIvs1rpSt1jFl/yJ+mB6uGkrIhGcD vBlROH4wD6CEU49mtGN/aBO59PYzFKhCmrgONfTLkycafQlhsPetGk20trJqcYNiTmPhTLwobQdr Wg3/C5150tFxuNCEJOyP8is8ADOOOKy1cqxPDB5cYBBNuzhLf3K3XL29oMimALzP/BxBtgFwuN67 jK55wVW8TO/SNjUJCpYAefuKBZPKp9pLoA5njeGAM5f9c0vus/Wb6R6v4pO62tehvItn4o8MORRW ZX/9ChkgTJrXgodv7jlI6weOdXsZnsEd6ncsmTGe0nuqc4lYh0sOKN1AdMhCYGpVfunNvHhWRCSO xG6RvvGy5uqcYgamn2+iOspNbG9ORlC93Utl6i2O8yOIa7hEvbYhY5RC4litn0Ld/Yl1dxuYc50T ckNnzdyxTXoZVdCZNxz2ARwXDlvOpYq6ahXljjXMxEmOw2EaEtogDD4zZmkCD7Zh4GOEP8lPO9PM 1FiU8bjwIptDXM9C/Tcw9S8VbNd3C3KfW0IyrIAaJeNMdbO3vsdGOyJp4dq8q7GEPWNmgpDy7/Qi M72Anna1LlvnRTbFD9/UfPBwMoKrGNEmWOiVRi+OpjX3zBydad7DK/i/0fBjcFY+x+CNAsjldGC7 QNkbJAQxcsjXtIMf/ZHiuZ7jnZ9e1kwFCcIeuTvVMhNPJCDdZ7zmUkytqzqKy2lW82ik0HCEPsqd z9isnyJyAx/jdba2rPOEBG5GyHTUBQWVI5bmfPfQ1PFVGvssDCD6l3b267K4SCM7HzxhU9OOPMTk qJUm0oBxNUNqUCnFCugTRPekq3a0e3sBhPV25u+EqY0Bq8GnkALWJ/dMOAi2SOUD78O1Lcq/ED4V tV64c1mjkr5dLTunPrYekq0ls5A4DwZxR+ZPUuGChG6OvY1jfPCo9+Nid6z7E1HgDYrwaPTy/j5K mBI3XebXfgY6mh6v27qBA4FPWrXIDIziVY737VvlW6tU6Cs1sFlE7w0J7d3yH/W3UUQQilZ57Chz Sa9DyPoLQ4MxZiAfS6RZYwOQJA1/QVDq7MLvXjwhCAePkaAIhrHuK4bWzElbRJg9LjIJkYkJ/+kW 5fsfOs4sx9O7U2YoupTz2/Z7JTNnL2+pMQucY7iyxDTcBI7KlgkaPtaz22jk0Vd7lvlfUc7CSg0f y+ijwQbc8sPJxTGbmDnOC1+8yImuc9xjV3zDJN3l7wxFZpU5s9VIb7P70Cib8p0RXNXRuBIZkd9/ SzDzAmj4eJVC72eVJg2B+XNnlVXJHu/F3Wlz6FwUsMJjMSr1t7v9um9wsTyG1WSPwl2SjAjRRE2C ylJxjJ3b6waXHAzMjmwNyIY8f6X+93J0OIkH/hvluMeJqmhGTFrI23diWbLtTFTOJcugaD2cMoFi 80rJ6V8YxWfNKTwMKt0cbH7rf8tCC5sl6RSBHygA2NblpfdYGv4Cr5xKiTzaEqiMXzevkGpVRXfO dbfYPe6KCMKCUkg6HiJmLgowYhC3lcibka3GVHCsQc7+9Oa2BGhhqxUkDVYhtW7Fv8NSeow9zRYx y/foaLCNcjDz3OY0MTFWDY3Efzp4HVWMyDjVMvCh/tV3ijwI26E6ajpQje1RjHzdII/IsKAy+ft4 +P8Vm0EXC1brFMxDPmaxa4QXZ8Gq1P33rZ6YjiLpNbPXY+WkjZyEuhMnYhj/rM2on5MsLWNsPiOl 9IwjDYacnrKurSJjIswIw2YmGt9bB3IB+wCYZmGb1HZ4imMX1V9VWBC5QGgRxFJOWbRk/2eF+mDn tZW6yszkMOebWqtgUZPvPaimxupvPmk4XTglpCxzauGeG0dmNR0dOKlnfTdkcnBovvbGDwOC0228 7IfYEaxWitMHeVfYa25hoDcsXHtPm0RsQ9dSflPvV/cqN2NJcfGBTnO6bQpSXbHDuTR9/BCevbXI Dm/Kpc21EZQ3W4NXjAGu22+xkiGQElxjX91wvMQA5MDxc1kjVFacZpkD7Q42i6iPXMLPFfMrkV34 eZ/ZVRNKKOoMScD7XvcO+bh71/MHin1+JvDLW+xs3Bf6Ca3FCoVwomBH2LSshQKNOiog8H+VgmCT jCvmdAdO0h1xWgr4KwVHos2d3WaJ7eQp44e+eE+f1WRn8ZVj7tZ6MrF/5ExjuyNigh7g1IM5PeQu 23lvL4dRvrFI0BoT5NDOi4F47PhQtmQo9YXaSK11DH+d3lm1Mq7j/00BesYiOGlqqJYWdDE9Zev4 S9xm3IiTOt5Y0ubO4XJFaMTk7WWgPFHkv8l7THzLXMiexL5pkcpXuvTYrmCkds8bSOyp6Gv3Bheh lF+gtLkDPZ02x1CsxVfD2nQoydY/oUPaj+O+288c8ag1JP4QBYXZayYokCzyGkrIzSTYJ17FtNL7 sM83mlyQ7RMoU5SDBicuKKhXe5fSb0lKzSY7CMmDamYhkdVLW7kxH45jCpx0Vd9Onqu6LGHyoUa9 UyW0WeET1xSh6trDO8EHTLP4hJQiwwjrSE91FIU7KJcVa2Pu5oLf2+VOdfrh84xixsEe9pojTVnD /ul+PX+LfGzGIHxy+5QgudgSbPFvDkcaNzWXJBK356kJOpzNMhQwjCB5tUZvePuPdn7Oo63JRjtT sQla86I/N47/YJ/+2fMLXtLv5pC4L5hAfJshmwNGj55YI04eJbdltHLM5iZaGhYH/V1oViTY9TW0 d5BZYUCNzrjNobJe62X6oqMdOL0FwlwW3XmvixO/Ysqs16/9zabo5vqnNezOSQydzdsgvl7dMm+V mlgXkb7YkRbexHX7pY5hwM8CCGlhtZ1rmrc05Tjo8a1uHtle+D8U4v+s/FJuaodjjRbhzjF3pYRi XNt0+CbArp+J2+m+5uYS8T+my64nOli5FN8ggtet/BoSmYr9Hx3HdHCxNnO6OlQNFfJJ94IQC4xe H7hEUptn94C5XWJpMfMerRXCVlxXEbI7u9MHT6Jj1foAq2F72VxC6nxEnIXmHgYdXU2f4dH60Gn+ lRXk06s5BExLu8p6kEieho033R6DZ26VltYRCdLVaxhHfJsvGhx2zEJ2yvoDepE1ncqq9rjuDVpj R3xXv+Ny4ANhNQFFI+PrDrVeSdr6XpDUPky7bX1od6MKBmjUYpM20+RWNb+JlCURMHmrs5c8YGSK Hwxm+Yt5tqbG7k0j+vAXZSSDtXpGC1Nob7B0zHP/BYMiEm3qNonlgsmzrBszX1EJF6Ln0+C6qAtD NygEMCoNhfRvV+K25duvR0LeO9PRDk8vYPXfgklpyOgBD8lSsKZIxJMad+sKO5yGCzmu4S+oKdIK I4Uhlbw8YH3SP7ikULutN/LDy1Xuink4cAmEcHtFLzxHBr+FG81pOcUNDjV0eX5Yp028Zy37vw6Y EUUqYwcz3jcb7bamjyy4igSN12o5ZtNvp4nQG19VIsqFptKTYE549UoGxrb83LFaIfz2QQbq6QGE UPO/UVRR3eUMZjgcHiSgwMYc7YGD3rQrt+wX72PBVNv79XJgz97sBhD+4mNwGBV3Fnxi1WTLLdyY YCp0t4ioLfgWU0gC3zUQd6oJX1ShSmPHE9dv2caqd8ceh06e2Vdg9bgjscnlNqON53h+SSZa7FPw NDS+k9JsRpvAmGyIZHGRM8J+YpPzzaheK3I+QVV9fm9BUv85AZ2iLGi+vsIWyjc+bsgLftHkALMB Wp5xoH313l/nz613uvKmFxXE+aFxPYRyejLoCT+hzbQRqE0b5f8Xc1P8e35ySCD3DiCpDVgFRVgJ E3jiLPf+7DI+OmeJb38atLxKPL1xPk36wuMhuQVrA7VcTxqFl6JU+whmSFCIr/LbmU/12SbfSOSB c4I+/ZYgVbvCc6JgL5Rzja+Yg06l5nb5ZB2vX94YMjM0faaVC7h4hFqQf2JQUFROWf/CwFoaiQYm HvGgEwcHp/krFkGK1Itw1GroS8/9XjNXH33V9dOT9MSmX14BzuPtvWLb9Yk/GHby5/kon2+Qo7+S lfPMnJgSDIwGzRCemkVNUGbz1H9lIX3itGKuZj1UkjezZ0AgQUdcnh9KF/DtxZR2LyJJ6J2Gs7i8 ZrvcN9KqSN/GUJiusleH0aXhirL05ye8QEMY2VGvz7tukAolCdZpF3kGQErKVWnHUC9J4o75hMZP r+YXz8tAB4b62HTGS8OFz2JxsyrdD7cj2Fa4Rn1wybGLGvmFYSZ0cQ+s7Rw92+GsNm69XhPFKfuG rTEMbJsDP70mu+bK+fS5vUa9iRkm1pEXP0l63OdRI5ZSHyB1ZSK7DZ4CYxr/KPjCjKWxPcLeSYUK vFLdshLCcmqsm6MSl9HS4KaBLaBfGha6ipLfrZR357CBrY3Lo5zBiXDdui1LSY5FwWaMjFwRgwvW tNKb6hkKlFwgNZn6xG4l/aj//LdVR8aIQ3R5mi5TMqE32ofn/1ICAw+QzkuwxHDjCR8IsppSsM2m faS2UMVtnv08UBrKES0J0ESUoGm8YZo7Lw1H8LJ0muUe1dnjzhVQX/OlojZGWhoUpz1WynXQXshB GTS2ZJ+MeeNbkPCYf9IVBHiHxu87kjJpymO6F+utNrkrhyrU4D/Jm3M8QiEVJJtYKwnsKonZ6m/Y UOJBuIQv1+gfI6DqOmTMfjkTQ8OK7f1FueXqiHJfY0ea1SoRra62tm2esh26GA0DdNDAFQB2rRlx 1serdvl2Jp3wBAe8Y+jq9KfR1jayTKHw/S3GDBqAUa00c/o+F6igCeG713Xvi2lQWAEuGEMEviMC AbiC3EMLlZsFkzl8kExIxEb5MWT0nB4MKYesIoubgseHNrOXR8Lzs/TLzFC3l/hVx79MbMF+vvun 6B1L2rltDJj+GKxhYnt2TP6z9kJz/tIbMxThPIvUhizej5s1allCzGAlPyttKCrxMuaIaZ938ATW a7RYDvWktNZTseIt5qihhKa0aZXCMWJeLkOlGRJXKz0mHfs2MMGg8ydcRs42Uls7x43A7gPdzxiO XH6Gq1LXAwwek9yKjDN9EpdsLoLE7JirUvT2R8ReStssZMgL4lh6oM1bd/IibGFLt8OrPtpbWujr TStritvC3E9DGFQi5yHHqnIgZQi/tqie1P6fY5tDlnleQtEMi5DoHk9mh9fsb+bI/fQ04BR0Kq4+ 1BFztGLJNJKCF3c1Nm+wldUUs0hOL1EXD9GYXTPV1xw3zaLZrU0iZtmUTRJJzxadD7SBk/ZNjLbI WUm9P/14zfq59gd0zZtIZIkqldDsrEEqYG08g9XgtcAUU0/21emMZuTdw7FRkRsNBjHMbtd8yLjH sce7TKl49aJ3Cb8A80gzutBKGMrNV87j4NvSlzsEsJaixmYF2OBRddzGQ7iPPecQOzg4jZ0qrUkS bBruJGjekcFu984vuh74l3e6mcAHx6B/s2tX3eS1u7Z2jQgGTUZ5a9vEBbInoDJTVUCTHjilBwmn FPoNl/rjEnatWWb0IWOwUYVkWqlaXt84FLDeqqMrJSgEhsmlk++5eNIPmJu7eQXD1VUgROEu2SGk 97uEGRx/Nuk/kWzKDbBmWXT6ScIjPFt6DAhd+DkKd6cw4ROXbH98MUP4yDmsr3J+e+KA5yAKa+5q u6FqetCpr5g3koM8vyEBS6U/IhaD2Z8pXQ0TqPLilY+0JO5urORg0gZHja3aJTWZvHF5sZRoRk6Y MbT/BJV3d9tTarbOqIRGp8OKoleKk8fsKXV4yA6lhpAmxd8khfkySWlDULROZJp9U96KA6B3jFa5 iOFDse0bMa83NNq76Xo9CeK/RmPUgYgHAIcpZ9BqdrCwM+vUct2MhnWfRIdf6eJ3L+oXaogHJPvb XfFeaTn9FB67HxSuE11zTzWpJVnu4fmUGLbv2d/8OEPJT1bdmh7WIx8XWWHF85CTy9+isaCKCuMg g5PjWRbw0KiSuBf8M/5MtBH4ZA6fjWDLX/CPz0Kr494+kWT6Ylr5xD1KNgLv77HFINYSIYVK3qyt H5Et8+EtSzllCSKpGdbiEBTwZntxXWYPa4KSzIxeZDjHa1CSwcPK2vYxUxv9celInO0B3K8gnVTX Gzlr+6h73TrnNOzlUjphhvK+bJ98kpOqmNtvvf8JBpaZb1d/BA+Hjikjrl2VoFXL5BgZhl5F5J8Y k20NX7TwqsBVskglhNhLnwhdzA2hqfMwkT0VOG+/M2MtZdrCpVRWK3UsTp9W2WiB2fG/z5Crkygq nSX5jta5Gx/DiH5rhzEW7C6CJlzv9qq+c5/K0ORrKICl3t5HNRNfhIqNHTt3yJKLl6fEHPAyPiOg jEoxomY4hb8xCsnIEy9TupeGireuVUk2iwce+ekG1UbPpizuUQBjHbPoWuPXYBVaDUeR6hckAOqO d+naM5tMedYVDxzF1kvKM2/XFptiKf6fTR4+R1m1Hfwi6NtUCodxc4rUl7PigsVY5Zbn2jaSEfK/ /oyP5CFaJaAIXG1IIEYqda/lsniNlWBELJnpE37CO49OD49FQ0URGpp0Si/KGGpZ5iR1ScFAMSR1 Kv5qxJqvpL5o38KKgn+oqKb0A1RF1tzQ8Cdd2P5S+GxQ+gBd7lOPHqXY6W3HesB1XZnEqQ/QRLsF QP4jJBNvTnl6tpgqPYfylEk2wEUWqLRv43bUiQbUksBHALdacJLcDfflWQaW22j3Bm7QfeFGeTjp fxQ/HAtmeWbk3Jz5CVHDrTI3SH58ucXpY015F0AM72yZEUkiJe2YE2pf1e08nIA2HHQbGNl0nOJu 5M//M+GXdyiBjrxlGzix7XSTp+A6nCR3Ni/STRXzSqCvBes/u7ljuhbl+D0cSBDDOMqWUyJ++Kx2 mXt68DvRpYG+cfPXzY3fuQi7keZ7jcUGCMsl15jqx+JLwUDdURsGXrT1A4qwZP6UlbX8hDNHAbbj fxkLdzchikSsRqdVgSKfr2O+vE52zfmQt3+uIHEXdmZ16cOuDnNdiDCVbF0ybS0ebHdzaCcRFazM y+sV0emLMxs/h2YSd1dfXQuWxpX+gsaQ/AIChm7HMcEIin5zD+phwRgW2B0Vy6ZWigowqveH1Evx JKKsm/Jxn8I9QsG4fuV3Ua6BFv/M5KHoTvJjIxAGTDF/UhYxrDYLWdULZUUsLEDdnMpt6Y0Zw/CM ShSSdtOL5mY0Y7wTVM7ywdSF91FuLX+L7iZoRc9PGjGMDy7QodfL991OKQXNq3za70EV8WvaGk3P JiBWT0EhMrEhnq5J+LggDqWPX2mTf6FPF8At1/6kxBxtTEcwgA2PASi07EIUHgIUXRfblhrr6nT0 RgF7LV78RxeKHxmICDdQutUVWqg+5Aroj8KnemNrGl+kGzMDHHRAoo9OMphqtWIpDEylaKD+7GYN xhXE9b1MfwkLLZFPG5Db8NUAcMyyT+8BJjSEXBIdC7AIcNh/wXaXLbilc+1bPbFXpkP5/gDFai9v 59vFnexvRyYoXVYQNXfZ/VveUCb5cUJeat+obq+YmCUdBuu5BZ3IuKCI4h4EFge7tqemT2BWUqtO 7JzEZ5UyP2ogo7RV0EnMwI9w8JXQznzzs0d3uixzYf4d30p2ijLYPsTkI/wsYwgbvd6otfOBC/QW SolSmplNDujvcPfBoctSbLjBoPbOTeLSqYqngFZgYPNxXsi/Nh5YLA5di4WT2SgnZILQ44v4eDVS V/wGbjp9bEo8/Obrd7uEqg6cr+13pnBLX8PDhPYZDUqnH09Xr8PiWF4Jq0sINMbRF2IbWdXlD34/ tm/M/U9qmq8rd2SMiw0YaCMFgJH4PaC2x//5mV2mzAlEUHxkp/bC0XD4kOhHb351wGbghIQWKzTV s3BmzJaFxbBCrpHlDo95heYtUDaR+3m65V56XofvGIhXDfFUzdzLc/flYw+jm7hnJLuBO5gSvpT9 kL4teEv1jAgAm3gCW4UZI5fVrNXEeCoEiWIU1hmDDv9J7NC3lpaM8BsKbeuR3Zz+LyuhEhZoW6vN 2s/548PbJKI4EG4KZ0o0yhvaQ0+86B/dX/jVLFqEWrBBhiY3zYhIgHRX8eUstt5yBz6naZMX+swX r2i2cNJlwUXIYfvmVnkQ849rYGFS9LSvR5MOKI+Y2Rhwm85MryGLxY+VjLiKY5HfWRqOp0TJt/kW ylplWpjpuhU4GH29xeD0voDSmAtry48/MAvQCMQgBCQ4nBlMZWvqX35ePwSoQNTpSITNHo+Y9hJf KfUDBq+FYHEi+TlCopmdwp+2zpaZqI8i4gCPV7yHwZWkrq/23YysL5ffpQIsFXO3kQlB01mEySNv 6EcgBm6KWCf0vfC78HcPxXBkJOXyFydHzOBUTR/Os+ByfV+1rXh1cxSyXAP7jRa6Prcm+iMEa/ZX /FSlAYMrbGO3PwIdAf3YE1r01tyfP8dcoOjt8246BZucTnQzBj7eK8Dud2ifRSq2+KLdD6EnYtYF XJKxurPagFGVRSFgFPr623c6cktqrYGnXgG6fIjY871f6mt73wQTiWupe6JI/OTnQM3rc+9Jk9he A5healXx5ZBaFsFjUwm5h/d0ecO4TmIG0HLpI9kgFKaNPiZ7c7gZLBNYMDJzgkfdMd3gYIzTZ/5x LHuhFWes+SJJnMwmoGbKdZRJWKZ1y6ER2nIzHHuCk5pjMbI9qEHhOyxj7WGM2BmRDSeFVU37pcIa kCL4bYAwQxFGpt8o+Cpnb/He3zV2+4+yU2aKJSVIxr+VTE+zW/Odz3s46ZO7EqwXf8UIGTgBn1Pw BhfBKqLpZF81u7XxZDdJSxPTK3oj5n0nFCTAqL03kECqBWWirC4B7AL5bQeP9enk1zF4j8rFRT/N 7Nrz3EP5Tu6oVhKRAqNfXR8vYUWvjFFgaUafN+W9Rz4xFgwVB5F4J1lGJp8Hs8YYovXJGz8TQZNn a76Mr/CQbv9GASn3RlgI9Fv6LpDxA95HPTfPpVQGZ5iqd+e5Qhr4DQfU7WZtvflACyYzavfnWsCg 2MrPmbPgZmYJz2J8QIJhktobdKKI4OQEzuUArj5Cl/n7lVhkkxQNIj4g4qM4rcImA4AT8oeO//EE 1z/R+xOO0cf5BPYMDX/A65bULGDNcVtKCswUmtACty0LHv/CkxWJ8hIzeftaO9ULsXlyGO/LDK7j Z9iB8DmmNvm40haRYWMsDAkcEBDHurm+Bbmts6XrYGxCQF6TNy4Fq8voNFXCMi2JnjHGSCgnpeSa plMvwZl0Wc6ql/sa0IHKK6UJ1cr9PLNIJH+HdaIBApV0XuJJTvP0KC6YjWemUR9KbH7vCO89Fsbf k4L1XyT6hI2RpipbYzD1tbrz+vkJ3cZBaGvakvEupLjt4NxowKbfFMTCHi7eb4VNsn26X94d/lAR QwMGLE7C+M/Hiu5iwIRkk0ej7G3MeV8wv6z/sBCWINVSf2S/tYWq7gtxM34R+J+3WCEnR6XWci/v zKNq2iCAsSamfO/xpkhuiCmOdAv8KKL4YIHlnanAbFNZ0E3h3fq9aozkhMEfMAXtAPHC4E2ZzhQ5 06QiEDmSxWS0+2gdX+hz09skSWDs0TqZN4JE1W0zc3KccMPuuulyXwxtSGHJLXZt5ElF0At/XEod m1ylBvhkU8noUDDR67s6Sd5ryeKQ6+UGBhaNEjSrhOw7bxQY/y8oy8FEESb1GgS9OGng9jJF1fkg HNY+Mm5qr7nNiT+G9TfC4Fk0zNDL5domZ4BIvxwcv/d810O5EvChFH650OfAKr/QfZZtPAjJs3q2 prfQurDM4Ta2rF68682IZuH0jLlOKJCUJTVm/drB6DOxNQR6fZKdHn/OP6IyM4nUhfWhLsHe3KOt 8mY0px/A6dXq+i+ntONaeB48Xztye33Nnupk3Lx2JPbXCG9Wi9OG+JgpxFytQTg3oOEKRG0rNa9e 7Zl05rm7XZ31qDlDivSZ2qzjz7rX7sjknpxxO8a2cBE3nHa2sUYOvJ0v0sR/KEGSxaS6Ax1F4NRu o/tLLrV3Jo/vFN33erR00m91B1NHrbnTOkYt3MYS4S1OaPULwSVyvPWLl5brC9PadYVGWIyJD2ob CV2ocOlA+sjU+/uyThERu7qRclK4nRVXPpCMBEkszWDs0F1HZAWmcgMfpFB/lIqLRkawf7FTUJO0 KFOLRcdsYZK5EA/FJKTkugu0R9vrQuVowRhM5Av3npMzahgrv//pQQgI3cmiYoD4ZF+1pKvOLUgV v4KZa011pPUM8SJemACWNIlcIugBPW7VQnl2br037uPfLq+/9G4ssJhLU973ukt3OKTyOgencu8c r0gyiRBi0N7UxmOCJZ17bmrW5FqcUmqh4lQkLtWhVEnqV1eb6TMMW1szPWdWU+8c5sa5I5/38Vg9 yfsKWgRhNM5C16/K2ojYUYGpzWndY83KFChOYEgpvrW8Shc+ndqzLRi5NKKxMZfZ1d1Wbt46du56 3MuFTwpei4ZqcviMfEKMPzqk82TjcMdpG4tj9xYtd7XsWLdUBPu9WhUxvwLm3oDXe47b6HkasG7L HA+Ko55PT7nf7/3QCP6UHrQI+3gDRXvcDDbCcdPDZ+ssU3O46OXIdTZBX+4t0KxmCOnZM+6KyOZ8 Zs4L4WJuYb3810n3A63UPEYFd+rVoxtQOK0AHyOob4SyRSudBnQZVsJLtRl6305CnxaT+/a7aNkb HqruWbCHvvXkIM/5tSzi0P358Ayh7GrrKdx7RZPoTnG/B3etOgw0LEDSR1YJFmoLMJJ7GRtXvVWc E17x7KIiXSA3mehAw7g7wPgzcAWzrYmpfJoL9J9oEF9qUWpC4SiUpDwY2GLPq8OPcYKZNyrug+dd GwFZPSpCzH9XBXyyEpUz+QIewsj6+nUGe72qvy+cm4DhpCjDleAxxskPblzYMuNb5ey60ZmsoDlQ JtPGqhe2BRde/nUE9p1CVi8I7wV0MF9XwC8yP0sBRJFRJAdhcwEWH4Zak6F4mNns+kQJ0DuajH0D BKZNZCX7jkMZaqKBDr1Koj/uOSj5nm/gWpoqWvLNssXZ76VPrjQCxjVmUNkNsu04h1Rinj3qDOyR 78bXq6rsQy/E70bQo+aU7vVRCzauNcTFWjM+6+DSsZegFJNoFWYu3YgrgdBSsnBTMxN50uSYxd1Q CILY3pC9NIn44PwpyKsVYkseLEvVUVlTJsA6WbeaccPlCtAGp8risnmD/V1Kz3jWy34EY1ASI/q7 C5PWbhnWZtPn+UbBEiZV4/cJ9d9anR0IENAvG/BDK1pi42KeFC93JY2lhT+mPNU8nvU2lmu5mrUB 0ZeAkE1oZ4JxEcmsWGiyGXR9vZTC6uQFIWx25auBlz9Ugvq7Lb1oMwxm3HHbOwBAOWnL0JgIVInh KnIy9EEXh86xu5QWcFm8grZ9xaKdGccfPwY2mLMTBvJVvs4QLftuPSbXyrPd7A54BXtyCaVAqH7z 4XBncrgIytgD+qpRzG9AoFbenV/bvjLFk8cAYCuoGhOBltgJ8fKR0MH1QSDxLZZT/fjdncWhhSvV 5w0TcazMvCXhn3qkGE86dB3bjMUFnSAJVPj+0wLv7zZTNUle2bDUzfgHyEnaxK/k/ZkC6Hiv7N7h FAzA2SzK9gabErMDheXro3s0pqz4YRNR3oif0pJM4nKTWo+tulgoK/A2WvKxnvKrGhklnZdhnPev fUewKgg/fuD5rZ9Qc5qybz8gKiZasq1CihY0PUP5qNC7uSv64yhjGDw/oyXc/8WoW/oeaHWktb3+ vxl4gtB65s6y8dafGwesKdmYah6SY3fS00bbO4ggb+mIxFyIRL/lOJl7nG1I9iTg0mfmEy/bOw+u AKbgoq/xgWKxz/uBHyL2+USww2XZ42FzxN44jM/WZMT5qpdYd0omnea8OvR6LsgqQEEzYt2duWCu 3r9ae9Td0jDWPsMBpGUljpEtTyqubdE5TMFLpQK0OhSpIttsKEyNK7xyvNnkLThkJVv2X6jRRsVv MK49pOpDPbbTI3JJv9r9efIb+H3j4QD3w5nivi8lUmvvq2duSnr3aLz+TmU130vLKUdV4AqlvxE6 zPuxBFRlBMiXyGRkmKm/I+MaJ5JG7LvuFJ1T7GQfrhHk0F7xnYXwYzr0othlUiGtOHO5w4RDmEif TmrR3WUIf117+SCtRUhfpbsdUmumbcsCJsvWZOWBbPjG7n5VKny0smQ0XGBgQx6gNXwc8wSFSI25 D7GSwCJ8Ldu/BjWs0TNmLfilGi5RoREhWgwFqpEGuk4i6pZcrNsQdInR2c96B1dONTfboklKrAD1 +GBFwsNE/dEkCEVWcVGGwXsnwp2dcgG0nKiEcGJTdVK6wxb/YtDtV/ODvBDtLC45eIKUZdHOA0RQ qFEAUT2lIFIbdafDjux4L/mtL3eyhc68S3csn84LAdIvpAFn+ZmIdSQ6UzNpYcjOFPQZQMwY5kKE ZRWWsAZdqNBg4QjGfYFCGDw2/wcf1QXAALLoxZ/6E+Pu3agVO4pIWPGrt8ZNZmfbcaqR2UFu/T5X qoo4UuS9DHvownTze66QgDh+kif6N8tXCc8S4zrJyj14uHW12KAY7hZpkoj1fyRTYeYiJ+yfapGw EGdSmIgqtulu4MdiS40Mh76pln20kHsjQkxHXQxz7cwp6Aee1dLW91/GITu9Lvk8AVOZQ01/xufV t6u7d2HoMmkweZKaYmrZl7SSHfVA2oYx627xLYc0iaH/LvPyECSVVk1pdErOf8Tsf2P7Ar1lisgz kJR2/n/HlzvyHTSt2vctOmXyvqJ1gLrpk/SyKV+wojgnS6ZSALeeISEFHREbmTyuXNxjhti2F46j RLpzFriki7FLSdzqQMCTQJK6kmW3mr+g1SDqDTcf2Px3cl8YpxiltRuJYIV74f/2RfQOWsYLdBLH /VyEJ9S7gsxrWrSi56S1Uha/UzQtAMu6xWXTjzv3RI4uVeXGlUeEACnbGhDwb8YdmbKCCwOpP1w6 F6c0PZt3dwLsckKDpL6urN1zu8QgZjGV/4yM4gmbQHBN4bEdwuVB6FI4v9eAbXgf8un0iebY7m94 Hon2ywXwCpyKQSyAeGRpjCGoAeD6607eWeY/DGHKC0lWtrkBi8wgeO4EjWtdaI5PSA4gk21drUeM nLv51mDShccRUgDkYMSxgAKm6q2VjPRej40aNnbcw8H/eNw4eHV6+/921mDzOIUceVJ35tqeRKIT UuxJAk1qOKrvVZqWx1knAeB5PXrMEJLa+RBr19JPqv1uUid4cii8RN7GiKTPKfCRcm2Sf5i+0Mjx AIAUMVrIjdqs/M38ivAD2OyoLlnUkUtGXb7UZ1yaHVjtgigdFH92WjNyZxRKSsyKMj/8BEhzmo5U 196HX0qglwfFRnFu8lo6Q3Ryw0IQHpyRCoRkXJ/ZF5x9bThsgscwDAg/kcxcVJZkDFryNaJru8dO bUiFGPyizmAp1NuYlUCfuFsZudnjbYr/YcrZ4GTmpTYmdkQUDT1h4rbTahsXuyMuwmVwnnw/2NpZ c2d/X3SzEt3+K/q2TDYdPyqfdvwBlBV831ylOm9TPN28iho+6NUcVbYGUnUYpdHIE1QnWF4OmnG8 UKB1UK/LtKawU1ig0Gs7AfiFgVYuyBx3u4GpwlzB/x/hYg4GVWpQBG7QXQpVL0WS5nexgrn7ik97 Ue46vGHnHsaUDXFidh1VuMEl5foCSi2Yk0Y0XeMHxPCMSbPqWEGcjWVpROlSbrTA3ukMASqgiwpN 3kDbAZCjzgKxlCwrE07DvZPAaxZSyBNRQ0LjVe+7Lr7hMQU3HPcqugamz7FZBeOMuG0oOAc8IRxp lIn09r3lEruDAD5egO/89ezIqeNMmyTOO87z7qWw4ID6Nep6s+xvSg5bF8c4hNC5JYTvX/vtKMg5 7HweJMNdQetQHvd/QcXmAYGIo/qZtwpYSu0IQrubnwbzXR7QWyVrYWJVtGkkxiSsDWDuCqiqZnEJ UZaQonNwEgPW3nCDhTTXeTo7Sp4DZB1Egi2uWwP0J95SeOSHx8b2Auuw/HhVGf0Qq9eg2j8zVgQb 8Qv4uxYSsCZIUcgfGqbFahcIskMoCs4ZvA5UO4irT4LJwjri0BBGqlSaYjt/4YZA4WJaugGIDlrf XVyxS1cAZlSVq1SnLj6khMZacuuTdMeSuU59m2lcuBE+MZpZRpyDKDX4aG1GCz63j2MNIukmFY8B gdizCwOrmWE1P2FyWQpYk41F6cf/hyXyjLD3zfbIdMpcfcxM4H4dhr+6ICgvPkaWR3nmiXtT64Fs oUBgcqlJFZJrk9xhfpKzJUBnKnT1OcVlZvPfgtlL03xm1FQpjUfrqKGTdVVcBQ9gScSZwNehfVAE ZuYzvhXvzXxVV1sFdc+CZ21Wv1GDzqpXJRTLJ4g370c/4++WtR3bAVJ+K8AxwrL6n+I6eNyoyWAJ /V50/AspdW3o4PfPwTL8ntTsxVGsRFBhsSgEXhMExSVfAL3YZIffMmbMO9K8OV7Y7GMhA2BwjpWy JWOCT/waRgDvKjOs7SL42Lskkj9upX1DPRT+rQAQ/miFQFmc89NmWDLp+WDiXGDWPsL/pzWYzeOK LjHGy15fDPpAO0tq2hZkpI+ohIgLgRgjWCilJ+QQt7zM5l5DnWVKGBrTLlHanAk0jmkL9GAgdcmk JB2Wjg9F0YBOJn1mS/AXCPwE617lUHY4YcAMFGzofkeY4vanwC9i0eQhdk5KnGIfHBt5i/J3rSMe PBhBlpcTKaO4XRlN/fSWuYYUoS7ZwvcqfzG5eOm5XIPAWnrnJYzygqZdxa2ycFnGnYrF+Q7Q1l6p NgMcZXS0JcwCcQcT8pSihVQXpHpLUCeRXB9weHfNk2IgmFsbzM/IrHUHQj0h85wpUm9tazG2OwuO QAgreqETahVAYTn7lzcwXDQDGmxX5ATdJY8xiL6HTqste7mYa5kUb49mdM7UPo+irHAB/KEmWacf 1HD9zulMhhm/0hCKGO8iGP9cTBYdMc5a3UIxKDPQF/IRHi24YRWpkMD+KlG4F3XtxP2oi7k46CXu jeqbev7C1OyJlZeqeAcb8PAvJbuZd2usxqUbt8fU1KdGlifuLd2pjNBnl8Op32E8Raw78orQ7yfF dlRzZk6m2VPXG3Bm9g9hx2uijvFb+dOG5Dp0+6Z76CeB4RS/Y8ycUXuLE3dj42pC8zgFRCLJR+d2 6+3Mbe1YCew5BEUtZa24cjS5qMjKO0atniEKwgOkJ+6zzsDwZqZppPAR6sAKh31ApE4PcFzGzoRf djSUSjnysJQg5LPUguiGDbaEIG8IJ1hX2TU8r7lcdnPz1/RryGiq3mjUMZsSoNC8yV2P/NL1+sqv tSt56Gkufs9eg17b6wvO/kFSEdSWLzMepCwhitFoX0M6VBbxXTEBt/Nd2HVHL9jAjxj83y51Zc0Z nm9b4Pdmj4XOXJa08ephgzeMkU+JjL4StbhrK8zijW//1wWjCh2TFSJPj/brcVpCsqtm8Iv2o5JZ BnQyAUd1V8ZfKbS3Owe0nJNCIlFihMe1PHEVdJBvBuTCyK1WbjXgd1/0/CDtL10tJLbuBy92PBgV dWg/Zjxhbn2xs3hQaFe0vTYPwe2PUFfic2uTz/S9QWkxiPh8dpWrIWuFEyl3pcLH8u9f9SNRF7gp iIoKzlLQmpRpUO0IM62E+TsRzNtJd0OJmBm7GiaDsUj0pfMtV4htUqTsyn1Q4hdosQKwHgabLKU8 A7J5vl0Wr4CZ0QrjtZOtedE3Cx4bQFqMBXNbi6Gpb7k5NJkc0KdiAJnz22ePyL0a3zirLP5CyHuN 28SxhHZ2IaMvDbHupG/ysPye6c0mHuFdaZ60bfa6TxLtpdviw7aNh4VbmbdNiJTz6QrpTajhhln9 be4ORykazlEQnnIA3bE5wpcXlZ6RFVdIN17HuutQkrvmjqrtsJemaV6JD+GsPnv55jawlhBhs58s 7tMDQIzPHrNKihXQR8d9MTLv9ePT9VSquEKh29rZGhfnUHliHh6wAY75NUk4BrPmm9J8qJOT5ZVh rM1tEKN24My/Rz2ymG5V47sW1/N+pGKLgqOdbSDLopXyxpEeFs4nCFcxpscVag+Tj9ICadD3debQ ViVdrkn7of8BI++7I/y8QdLeMg4knNTKlFmDUezbc4/BDx7R9zOdfce0QkqrevnDF/C1JQixu4Sj Kfo33cpYv53kMHnrPkgLthjRXnTF9W9aEX37eSEqqhmFyYAkzuyVHxrakQpYrSvNz2aB01HeENvl 1KwtSKSpQeGNgM3+Ur8K9saHVWJclGnsslHm3UpvIC7FmzKmW6HhVwhwM2qDofmhwV0nd8CxGio5 Dvzcppw2OBtb+SdWeU36xLivZB1KzDABqA2VgrjR5ik72SgUHwbURzxIc8QaK/T3T1wrZsvdBT9+ vZTQo37tO5p0cJvGPZVqxQSnklzGk+9asLkknF1UNNTM9tPykIr7/MKPB0ZRYa80skh0JCH+wuj0 CRc3vRsC8IBUMdGN/IOx3jjv7wuvbTbgkmrdZPdOdjEh0K296fdDYRKtMIApRkTNt5NtnG0EN5cP AwidGqr+gMiK3KuG0SO7noQUqWC/8rfz/FD+yv0YqEyfo+1hDDcxsThZHiuUz5V2CDQR+XfCXVc8 AAplR/oMAVTH6L7kW97kvImEtEAzqt+zmKaRfCtfBWw826ckGn2wb9wDHwJvOHX1yV7AQSOno7TG n7caxrCTWzUOsRUK+QV9E7aBKf0Hl52CDyVIPjB3gr4pojGe/U3tDgJBZ44VAmi7JSGC6Nng31S1 aPLtfd1oiJZyrByDKm/bqoOiCAIO8ijKanBEIjFHCRHOLUN4FnQtixhmhI1UPU3Lra4DJXFl3L7r w+8/xtuUDUJ5VMExgQ8I3VPYXG7GL96aSDl4IExiCpLbKClmN7jMZNhlzDxZS2OaRpJvvrFMIrs3 SnOCXx/A4QUoZnIWSIS+O+xnep3Lrz3HJMm78JVQYPQkCZilWZgtK+oopNrnlMTa4C6KtmeoK1ee OrqzKlyW/Xmd6jUMlNhh+k5vqnH0p4xPqbX9iesChqla274klQOeZPEgcTVfzJnjOIDv1x1ynk6X K7VAHEsL2tOj4Vul2SXpPNXGAbXOnJVOFqD0LzJiB3yK/PixeN/JdigfatgHxjUePiJWPcWG6Rk6 hiu2XcMKRViA2+5w8O3TkYpSpnxwN4zBEoohLuQ0svYFPbG9ga4q5wM5y1dcFZivkSBFDZHPohXS tqVRs6VdSiuCTV3Y6kZVlgHsCctCRjjUsefDxL6Ugg/76oTQA6s/wTzyrx3dqEFD5h2Adv6xgkGr IxjeJLbuPtAKVmRv99ra1fyMFZvN+Fhg2iY/QePXgsapky5xpYF7yx26sBN5Y2zIiwzZR/KoMHRQ l6VQeRkMj0UZkI6+Vpe7fTon49o/Z7PKsQJD6h6rJt9Tu2bYTvZG6SBRnTBAFZH26hFeLU0JwelG p48dupa1CY5rZTCYiCtY1lNthwkcgiQGZnX6remuMAS1NnZk5BXGiU7d3dcwJCgJSaHfbERFkBd8 FMwa8TnOY1OzfP7DJFcy5D8TyMqSvJe6V4k85ju4jrhq+DzSuOZUyhdzofTr2FtsBCjlRzVaODkf tQR5jNkTA+A4FBm4nAVHttLKzpzIk0t6AyQc+svlF2JSnwbZNNQNutUhP/SqV848wJIpP6DycMx3 lqlDGBnUPbRATEs80uku1YyaXz0eLxwV0Faemqos7tOgLilAZms6a00JdTZ1gXnbFJL8nCQbX12O Zht2c5eoemJQoTh/FT7ettoONRUEvi9Fai/LOjti80NkNHmMtjU/ZQoptdEcnohANf4hs2h5Wb6c lOvXqNUfItliddzb/4xcwfug3pxeANHLLKXL34XOCg5guLzfCy4/z4tjIuk5F/s8fJsFlacFuLRc IKUAQj2KaKYNoYYfKTNP3LpADM3TxFG/2YL5YNwfd80XR8GnPT1FFviI8Tsv4sAtDR9XaFH695dx uTtd1FyxE2JAeZsL+tR7bx2iBNn0+HNlmN22HTaqCbbEVyXL7vNYUdjDUQvj6Kt2vk8qNzxu6mQn wx2rqglFdH/IotXnMVDhzbdEkQ6QNyV1NaGrMo9+rjTXvowGh+O6otizs04f4y57QpjpMNstpoDE fR0wtSJZjnsdj08nPJa/HqEXoK2XgA1kYWve9fG9HhWDAyUHZKGnsMg9j2jDVrp91+G+5AJnFdOG TV64XPkZpNchswK6inRPXVBjQewSpwT62RAok99jBq3kckGt+LKl68gQcjqqQQ8wqpHW0HNGMH9w iQDdYagoiCfxAeaSWjbSllwrexiu6wbIgEx8a7cfvkdO9RliBpfisJ4ILDAiMC9nhDL6978OAVlb Y93adCi9AXJ41v4bndbwnnqnqiQtoo4BRzmihBoPfE96J7yDctUKpiDyd266kAWmB6xVl8fls2/5 1uUWvxPK7I+U+a/GyI5Jp+CldprS+dqq1UPW42bcjOj7n4fJFiExRqxrgoyOzpk5TnC2FGS16wKg w1yo+L0XmW8T2t0qvUrY26jNWjjHXiDHp0e1aPbNi7DIJVz3nVzyaOemEQ4FSXScYgE7vaJ32Kf+ 1O8eEb172YRh3V+vDjK8DDNs3fiFPHKLZv4IYte1sAqluqV9mvHxOE+U1i8No3OAf1fRvMVc8A8S Bs0j9fRL8a1IJK4St5exny1qs+KVcN57MvJnRwTeWIodcPsV5XzE7HmvcDjnzjYDjjnpjVxsCheZ hnXnGfcnXsHLMJL92jdOSuqxrsqc9Exr96l92O10BifNFZh56QrvIsXU9f39gAC63jtdUcpIkpVX DCA6Db31Zdgkey4UF6lRpOUCSZDOqBknsmjQoxYB9qb7RmlZlpCmLV1ULfcgT6wwmkYWaKr1eTex i/Gi/RFyRdglzTw9Lx/fc5CmbgrZAlJ7KMeh0i7pGjBgEPbbjF69BqBOoLb/144Sq1cXYtZhSoFE eTQD8YaekwzDADHa4nIY1eGNwGtjYq0FNpk2XJT2vfP11tjLF8jQbvcoemGwLmXkimhXD595/pff egbfxUS9Q6O6WEZmKiT6QOaKiTc0kqLj6tKO/aoHeDK6h+h1f4bMIR+u+Dh+VHqpN47ceoE7z4EB tcOcuJSrtjCHmQkJptadiQYMyzBDSC/aJ6Dn2sDG+Za3Xw4WyiK2A+6kQxP9F1SKC95CDPzHGjz8 yav+EVW2JEv7e7/BXTYU4VVbwtcCOHuwbaBDe4mrp+yW+nUknPrGfOyN0KT9r5V5pwQWSxHD510v VBHTvH8YSuNgjG9EbgHBqommFVyzlmr6OB/iWdVzqFcX4zBUNdwQRy8uDbeUsnXHD8oZhkGQlC80 1chLsx15vVEajFcUODwsJhsGA6p4Qsrcek6iP7iYx4PYbE4JhSytz0I6CaedwdCxsSsuEuLaLvwp yyZSUDLDQOOsemr6e28LZ+Hi2nEWPl2MgdeFj0YJfTJ5L4V8HoUE/gRO36YAadNY8c5nbRnHq09o tD332JrDV1KM6xNb7eFTGTC4lsNW3tSReBq0P+VS89ANH5KBHZ9yDaxhGeHE1Yk7vvb1gPUNn4xw 97G6vbJ4C5xaayREk7R89pqY9zyJfRYF1kvLX/R5vmxvZilnPtbzBrH3BSkmtaWz7ZnRbsWEdA1+ 7Qg1mEiIU9CrI2vPyCqVXkZTHSjla+fPBY65wPhE1AZbQAzHHyAiJ5ejNWU26pvgepTRZ7nzwnye vvZsEYPzW5i9cHj2lDBPLl8up97WjMueLLGoun3uZy2bSqVW90sZkvNA6fOsn2KO6G9lSegwxSsb lfYLS2Ugk9FkcPFiJMhIi6CMgTtrT8i3UAAmCMlWy0M4rE2Q8hwu5uU0WI7EdxJIYRLfQ1QTlunS afZKT5Gu0+AIPfI8OmmK/r8qHkygUMMDB2tRkEUAcSQ+CCdliT0I8OTPCR7wFCqUGfnH8/ixdeGa 6z0cqmfr5LV996bLRuzp9dmzI2Rk/qc0UeJl8rETe2NrJuHViL73uCxc83zMOXvcY7aHGxLWNfKJ Eaed5L+xEqlfdXM2n7kj0PRUSM90jLP/XAeRgijAnJdFSlV7Ufbgx1RbAMNa8MlMKdwQO01DBTAO FdMe9EZO+Ys63r11wRb0siYXIO6CT39g7mpjJ7uaDoLqq6368COPJ5xa8SnxYDpMNkOun8pseBoK LfQ6C9n2EeJ8mSW/5zJpOMWVx4HgYI1To/7HZK37qDsdFlod5Z6K0d+D85dNfxIZ3S0M6rUE7jHL bEXM8lFvM6oj4TKtgRfQ40kTjlpkK2gW4zFEfoO/1XorrWKuYP9vlbVtQIZ59xSgg5hnQOc6A5Xz HZEXFsLdRnMqbCPoSud/4s70I/2W9pq/SXx6/7DbS6HbGpWgpe4CCb3+TIZUsc5zgocpaMLYvvpE S1qoUv0JtwzaNSLayH7oXqh1Mk6qNAOolOkw5rxMRvfCAuuDlhHnpfFyq5/lCDyu/ula2dlOp+7E uYNfDxqfjFgnev43Ge7mHsupf5qsYbsAaSYUGu0TYRFav2C/ompdt0HS3NVCyG0iSc/RORlSM/Vx A54m2HG8N1WgOfcx0EVbu+R1gcMUqANesQvvpKtWVOtEHhAsNcKHTrKkqrrKMgWrMwIRP1geu3Eb fU8jlPTtSv1LPUpHdn4R+zcEuObd/fJ9xj1CMDlDU/syUxIQG3xK1GT2cTUfiRH4Jk1NJBUivpmv T/KOYYtqhPXgxAjrEO18E4dpNSa83OwxgIzUuw+40X8mczINx39x0qOGkPNAeBLhEjiDQexoTK8W /Hl691S0PdlS/ZAuYFNJcT6/YYjFNyEg7VIQQXrbjgVZC6X7N5IOjZk1Q7pv+fiHZJDULzCgqB2I ylkddWNC2ieKF2oCX0smN/0a6hrYxv4uJJYA5HeTjnJ9sVYM6ca9vU1e9Sr3X+FJQSJ6zit0gSnt kPJUrDV/z50Hmul6Gq8kDGXWMNYjRwnWa5n2iuANgAGuP42goBW3qefyfmQxRQz7/L7Dm1lQB4n5 ZBjH7OBWWqALqR2+ylVYaNCf4SFUV3CI+3V9d880U22geWFx7/CDyFPH1EOra4B5fqgQEkVAf/Dr uwDzjw8QfAOz+E05Ddj2NhTsasd1xkOJHAPIcYAaAVqO/VY+P/wCfWDHc62Ys7qQZyhHpeQnVlR7 LMqCXOE5nzaBwGiheqdkBqnW5bLWA0q1aKbnzoRMa+GAvLEn9cbkePpPJp0/4ND4qYAxspgR51sv opKYCMyoRc02d6hBjtSKtgJyWEE/JvN/leOZP49Wlp/vnwtyowTJPBQiQodmORNhho9pwn9hV4bN vSbFI2lF4Kls3zkevWrPFpyNopv3xovx4TuYGg8LokSUqRKyV20MFDEwY3D0tCHrGfjsUebDC0hi H3BaqZk6ZeYHKxnaI2B0jg/uNI9lCoGfoGQkr24lq1VF0yUscaaVkGKNLJOQS5H/4Cw4HANpEWFI zk+TNKep6IZjM+CxaoOLmsEQ5zj8IhFmKeR/iWT9oqmhk3TOFIJSo8VMm4WzQxNlZPTYKqX3pjb2 WZHTri84p6G2vAh/bBEa7uOEBycHUj5XiJyRyNcctevzP39D+6VrNReGWEOw2FLNAHbtnCufbE3K ibzOuQOt+/POPOzkutMdHSSADof2iNHDE9Fq9r4/CSGAu88iaxm0UY5YszHVXEWdSphKQAX0q1wf ebCn98Uppo412BgWEPDWyEqEo7DwgBJknCFCqjCIw6gnU9Hh9vPv3tEwSxhNddnhnRg6aqqrjhvN 4NlZRcmvgNtcVQ2FSngd12/obKngQbc/XyTU0hppsS8A0K7OOaGORmb/+kVF7FSDgksJ24n68G73 4ZecNFC6C8LtUIjE81hl6yFQC8QlvvD4PfeSMudtQG//E0P88BUQqjy+SHW1uQxFomkYKng5uDqU 1Wov/9d33LQl/1kGN4hv+DR2/liA3oWMXsZvZV4+a0kSwPq4wFCqRneC5YSkX3FTUBBWxlOaacRw V/YRmJKF8nqyySn4ZvG0fh/XmkPZE+wqFXC5VqYVonw42YR6b000C71OEdTOXDqx+F0UHvPZOdGq b0nf73XsAvDgegSgTL/YPXMDKO5Bze+tXgkhXN14hVAcgK9aIokh3ChAyIhCmLvcNihDhjMoVrnf 7mhH0o4MqzyE3pnXyu5UkiD3OfwQoG94a8tzT460OO/eBtIGssR/hNsvSBrlpXfwhduiV2KUHLbs TkL6brNDDbCPqycG0Gs5j+gpG8AAv8372+a23epDi1AHG8rnoD5dUVeRCvkkM88BaxcB1F9JdjAY H3oxoQc9l56egcBpBdoqRkMD46tM9KFQyTLLuwMeoVFrZ+sV7IH574DgDh7pWvO4dp1HpnNlBBWp raxgphBOhorcmEMZu8czxZBF+DhDv1sOUlKt5XRgfoX5gzUFoFuGgxf/nZp2NidN+tc33xXE2wg7 WiVbPsEGXOZsIxQKtfw+2CLiIAUKseyMeSj9numvHnA/5ROPXksP7efEIhrmTUrkY6xrDlg12zH7 DKL++PM7tp0tum1KZRUBJbhCOX0q5dBNQgdwSyKG8PXSjLmQWrgfIMR7fytoT/5tQCSsuNzhwJrA T1eIkdkef3d7jML4ZPXieWRgFlwEbzKon4WL4US70M4+QcDTKVXQDh8sgwcw9HIasIUcbetbb1Va oxjF8vPP9ZhVaC/mBeHbHLGlDJ/8igsFj4dFSxQKcUGyYS7XKetTAlZfMzJbAE/eoTmfcRSIpotT ZK0RDdKMVUSgdpstIcGkX9f9U98mATAlWD4NlkCSguf0OR1hjIl3D4C8REUwizKJ5uLlhevpldok JJw6j7xCRz10GDQtGPgSJGnw5E8ougzSm+pDdRwfOwgHSuzjR3MCeFPtZx8+PF68Jy8nkqYyyaVc 3jWWjXm0rPF2YZ4iELXwaCIOv6yO36ePYUKq4/7RDV/N6NRHE6vmEczQt6aBl6F0BHSpjK39s3K6 qXO6Dsfj718GtgdPZFoLhnhqVqTsYWGsMl3ryANTEH1hHHmVltWWsrKhKi6sOFc0LZOV+m/o2ofX aUlnZwMtk5Qtsxre0uYC5qDFDH0noyEvw/gw+POBtUMHyKdKdFPWDfdLuuJfWu2NA7C3geNtDgv4 v/qpTgAgiYPxvmB+4UwIjcnXaLTm9gO6+gNcLq5m8zu1JwPGgXibLVi7O92eR0uwsSVthFLrK3TJ He2NxueqwZuQcrzMS61VYyYHBXyIFGfrehCVP3FJXkgE8YHUyGsNRAkWN+4SxCtioPtsjGGyP57X 4+iZH0ESYlmPtPhCW9OuXvH6lzsCPH4EHOhpyZL90fLkXojYOLqudbUFu7H521RI2szd4GAtNIas AJSRiSJZZZW5Bp0aK5Ueyi/g+I0rIbuFPBiKoKMvSpKs29Tqv9cYtS/eDak/YdzGRXNibJo+S32s 68P2Q6EYaIpJnk0v1AjnhReLoEXLOd3Fz/J2EfjLkhhKQ8zj5Gc2kpJTJYTzeeVZRDQlYD7DAiNu kPQGwnfsn8fK/Ad6eQjlbbkMT/8bElatTBNzQLBl73iamyIVfwVAoaEGuI5HJScO+9WX/62YnSRx D2meAsK2qQq1GoP1j3zrcIlPHsWMCloQjI6z4KstSsqJNYL5KIYNLrziIaXBUALG987vkexjTOeq O5ks1kgjUS/KG4RrQ6HP6tmpbNefpKYBwUc65mQYMeWMbTo+ORI0Bn13Osn2V20Aw1HIQjRICDw1 MtGCYbscyvE/EHYY6HQD1yXIWClBCOsywET1asZaJqwSCdbu3RtlfydHOKIsPQ8w/ug2vf0KPwFo r0iIx0cOvwhOa4CKlswrtn2gXAWmg8PBv/0mEXF/hOeCOZMScl377UKlNS9jpEE/4kj+j2PZi5Ex AwWOmEW3WMtPl2zVq5FCnaaVlrx6THAy26kM93eNLkVk7G/B9OySjox+Z04cKxgdSYChl2paeQNc +HSxVYn9Sr2M8cPi+2l5GgENo700kqu6PTNL+ahjmPrdhozCUzuIQ2kqwrP33d+E0VeuGkZ0VciM sWLiCNQGx05aXIlPMGU50iKO5NxYw2HD02Lnff17XZ1/jpQzZ9PrqOhmkG/S9zrqTtSAO2UyVZGL jj2nEUmlhfRMGVMbrzknpbHO24VF480M4iQYPONV2xtFZam39yS6iIF2XBo9ZjAW95SYs6I0dWk1 ddSIM62mdcdTOCDhU/9LCyUkKPiZinbt05mBmk8OG2ONnKg+DuSusuMUavT2zQFVplR6jAoRuRtN ScQZndzIOjMjyb5S8ayhl1XxuVmQMqe6aYqTRKlmvXRPtDw7Ff7o2J9T7lEWMBvJwPVuPXdR2CfV 7G+fC3A/kg2sYCuucUUmJjuFo5WtZrB4UR4oeXPCO7aARXXVKFVR3QEYL+Y6qEbjdo9p+/wQrTit Im+D4czonYC/KsiUV7q9B3rr6u3kIIYXtF6yZUtk/VgdyAimiBFz4C71xjmwvJPk8Z8UpneXfQig NahMjTqST8N1HqeQi8+0lGWoJCqMWtUokQSmYcPMFolI0VDBIXpbVaSHXIkecxr98UIZy5eCe/QQ LnfOd/NcELqDLVFc8xEu1K5Ef0Sx20a5C7sEtGrQfJMGGL7YgtaNc07bJSD/k/p5xqxzwCR/5uy4 Xfh0zGWCoZj4rC3BQnhTxpuL42kCjzoGXz0897DVzig5KK5zQClV8ybPgXQ758bbfEuaQNCr1S8+ PhSOAGjZMctX80zQH/aBDGUbLgu1ELJqrwGIcMuClOVE2+1dASGuL1UVMfVcen1GDR0z+4xiSKMa clM40m5cqjIsNfmCwK2lpRq1l6KZUR5EWp1RCtMqctPv0Bmhz2F91NzWaXd4rso1AnjaecNbSLcD EVISQ3wpiITqMbC/Bc8FW4IFoJE9+zaFgshPBOTiQZvnQFS2HEAfktFs7Y+RlA1ArIl1DwdJa9ck bcrmMrERdMdvLCSFxORC4fzzNQtVEJl0g8NkMv29e0z2QTlfdiKaj3LrHkephJPJcp6z2icJXa8H sxHCNFzbZuw15Cffw1n3jE1iayUTITb+LJUDIcF2VjlRiwAbSJkAy+PyPwwRQJmI4TWjh4FDPzDP Q4V6LL7R7VWzZLhS/+eaIEtS9ZfapPRr9y3xOWJiKBCbgruSyMmsauvGupMV6AwqU82JuifJHXVN Idm6i6FeBFZivXnCxtJe1evrar+Vi919pyYRS47GWuNXfi463B2VOyBxuhl2CHAaKUXdc03y0q5C ZJjPSAGdZ3W89lkumpxSvaie2jfQk0okRPnbokx6Ehp7tPmeV5UZ7/xyQ10ksrL8Qe3TuYMToCwf R1iHN5OdQety7n8x6BWZ7ZiLHflanP3grI+gWDtf0th/c+ulpJDc2EdWrcf9jtS3978qM9wjrI4o 5nI9QuV+KZ+HsyDU6yx7uFD1KNpvHWgoB8n4+Ak3xLcgBSSI+s+eWdMYHASaJ0c+Vai2OW79apdM W6KfAZSpQexzZ+ouMuPaskr93yMAJ2WtO0QZdUYkXKZfSyKu5ztr387racCpGJguqD2SabcvYSOF ap3zTWs4HEIN7QM+wdpH8puTUzSlhYWcKMSB+KIZJiVd1RKCYDl/w5lsdnoWCMai8tmsJSf4NLs1 IgxyefisBKqNUdoPTwwFBkRKXhOejtPDHtMA68uArKr7RQtTLZBjAjXiu7Qkv1OPZWES1UeiN+gV vEVLU7MOkYJLdIW4wPJGYLOztPMaw6GubHakK59PQoSl4GBJIAJ7ARP3+ogXG7vEHXHFLJ9r6+NW Z/0K0e2CaBbudEoxgBhfb/Z3tnr4UeAxiqXn3UYeOfdsWo+itDql2JyIlgQzVe3j2uCKRaPYy7F2 tlM8F/ODlbd/1K458P27qjlhwyyO1JYNnNOJWBdZQgvcCwi43Q7U+vmumEXj93GuL2YAkag6Avdb h+XOvS2Oixis/4x6s8fHmt652M8woY6p9ZY9cW7gYjajNUqyjmJkPAYflK2u8GsQ1k9J/Qwzusqa fUlX5YBHamqBedkOuLReYi9nAnaRrsUNnC5DQE+UuoL+mQnnQd0Rc/SvamJFPILv7nWw8ZIEGAoD XEi/KcJBUdi2IV8bA0Y/zuYROuH9a8pdSheXcwNXSE437hAu3ai3ECfzsFzI/VR1QCNA7pOo3E7+ kfURhVzwxaV8VgEb1ON00n5gcWeQPhuNTluBC2AmJi4uhujlrXvrFeV/vTcep2nzSxLgpIfRvsff MusH+Nvfb8bAnZSZfIgROjQ/96F1S+xvueDu9zVSjZ4wOJgHt24Q5eqORdCxW56f59FCOIFF2hpl fWZUeYfm7FIp2Aie6reOKGwKvog0scGjZdOS3VZGFWYeYeCU0giX5Ko6WDtLhTIM4V1jxU/y2cMi E2CVLaqNty6VGSNDFCuoKaEcnuMYB+00nnKVl1rK39upeOvjTJI24bm6MO9K7RM1l9zhSOCm6AuQ AbyIikzl4mkDTDWNUUc9LG7Oe5S4rZIby0ZwdTOa8nqrBKbbkuwsULQtIXw3vIpSC1d5Ou+V3vND 5d+7DnzPB1tDrIJo5Cpyia3zJstsnIK4UKYAMIo6PvzmXVmh/imiTdRJQA1jVDe2LmkO0k1eto/M bivWcRwHasuR0Ad6qs2v/dKuMi0ye2e3idei4uwPLunLZlldmLIeOUwFUgb/mq70XHsUDxkX9G1r C1hjYRQqtveVNm606PGLkQTVnesI+2xJZn0yhc1s8IOKXqYiTjjSB3IWTD+xIg0CVr9tPuhh/hSX daRM3DAqOYTFns0pWz6Y86+CKC7KZpuRl1nS6+Wh/ufBoclzJL72Od7jFp+6gNCpRiBx1Z3fxyYv bEa2tadyFHlm0tf1N0SGGd7HjPaujZyQwVewbKCSuX+DdNbayHSwbtKRvq4h0nFobdlsxq9k7ZgO 9+R8EUp5hkA1mye5shnDfytvVepIijG+LVPwwA5HPLlDnsf6SeMSGHDUwsYuy5894hWwNBK6tZ6l 8O/XN4AOzjtShLZoHJzaf8b8ia26gTW5ywr1rgcyUln8eldH5VwPG91rdTzkxm8xpB3/eFab2uDx ItK66yV5CEutE1DEZwSDEHmuKpAJ0SDYAmV1qysQx2bC9XPHiM7l0cxsmYYJS42ur3Uc2vOzYfvn c5zlpEe2DfXnD/Aog5lceiT1oza9jGLRc9iF9RVtOGv03pYgAkfpXwo1ziv8JXImHVPxYNsXiEj2 O+xAnP1Zg6wpYUL94HNuBc3eU0NVwOreOF736KntYrb93EWxUZtzgXSfV6ainkY3lyD0DfEGMrLz PDH+vZybHgvqIW9bouMI8aJHYuBtt6qbanEkpcIQWoI618jw+W7zldDBYYQ9iw+a4CyMWzPA1XK9 lsuLEFlu6196q3DAYGAlsiIof04LxGt+tUGfgLuXMBvT9u9oTZM3pn8ShWNTR1pzfnwNQ58QOiMh DNctgcJtQdnq/BLvPu1W10sO/wXjaWc0rB9YHY1D7M0gMmyesWh50BnMx/7bBu0aCdZtYZK8CHn/ eOmokFMur/u1OLn8e2ULYlxQjWFRABTN6K1oRPWAatxHLF8HhqDk6/M/GLN8ulYVx9xVDz2ICLAw Y33JJt7ISbdI/W22Il9a7Jzh2+qbHw504MUX47c26A+G2MyeXv2TFf7fJemSaIniV0JSgr378ABp FRG/zky8dtaw3Y3zDvObGJK5Qz93vA2EieSu48PkQYIeOzon7GH8HvQQMXne6xbhbBB4EDGO9Hh+ NU4w9R+bT8HgZN84pOsRge4jNGZT4Z8DAuv+wcNRn34PSJkzkXbgBy00XVyvtbxSEU2zjJz37l/s En1vrJUDn40eskQO+3YXFYmzXLSageSRD4W6FvH7x6hzgA34B/gLjTFXc0DjDZuJzs1evUJelgH8 1LYrDoXicgPBGPYf/r2O2s5ZZ2UZXubim1+km1U8Eu++5m0AoWDqkdy1PEvjCDVRUVsgQ+UkCqih MiQpVtvE5FLPSPyLfEU6y7VEeiZwC1fvFPJEi7YcC5hiUeWsld5sRX9m2YOqxUhdhEUt6ClU7kwZ 6nONLvOt34sIUa6RgmV0TalwWdK1lmUZISrqJmOUYwS/xdj887adTluChLMf3Shl0LGIBeHcnnng Vo1VXyEoeVCjvhSfAneMwwAatovo0efuRVFCmVSoVqtTNaERLiz18mfkL85iNQC1BL6isspjLON4 xqihfKNvWjCPzOGNuddELtFraYuZkSjE+hQHuC3oV8HtJ/RmsvNWXcEsOUEOLr6DUfHenzXC7VIp +m4qI8alR+ZmbWCkLIJ3lSqKlExApwKdu7UQY03JONgByZzrKS/xWl5187LrJlwrwhQo8tR6FGN6 s+gC0tAMTjoRgXOAguffYMLW2jMkvQ7vjUZ6aisSbcHxw2d1omCLmbhKlu7zsG2Hw+TchkklTg8O k3xOnyURWwIKbMjvrbK65GmPOdEXJFhhOpqBnhAhMZCxGwdzYoVndn7qRsaXfU5vTa3SSAhJA2b6 fyjac9a2/osWxCNQTFqavLoDOzJtWkiUd+9tKILJ/5RZ7QCqJsVCnRpGBt9NYtnuNjAZdW/1a+hI TSxg2yqVraG+06pOWu86bKMqdRdx7s988JEQ3qc2CMag5JnBm8xGoiU4i+4dJBVMNwxMWnlQo9/N 2xMTSnwnC+Rb4bl+BJiItj9oA1fX+g0GbxuLisqBK9moJ3Y1sW3HLgTTPj7eyCIhCqmAyHQ9HzDO DR7KuGXyNhZHY+DGsa2x6HYYiBn/GR1AcPFsxuW689lPZoGEJ79lQf4b+B70rIhaByu4+8LpSREI vk8nNTjIuvAtbFL9p+GqTbMr276n2B04Euw4T8XCmJvvuy+ZpfgiCEuwfVGaVR4a9SW0c5Fn9jjg PwayZlwaMeKom1IUd0gp0NFqpgabt9dQ9Ofu6fxly67x2XJzvLffH9vmagHOKMD3rmkwh8/yiLEK AzYgx7Sg3Ta/SfEgnIFjXDwZ2DoD9xIu2Lv83x/KSoeMLzfpDmITupF3ZMWbLBtRrwshpkO5lkRo 7fyp2f9oxSMKzWLkxvmUVYUdLh7nFkUjDz9HRLHHovMvYKfeC1S18KCJeUPrmf4ejREZSNklIpDo HRP7f30eOUEiyxAVjpavunt0Aq2BWml/ZrFqtBpLeVgCdG9EetakUCACv0qDIkO7Q6RmY2ky8ciS xt7YRNL0g/XBqo86gTKNMkTfTPg+I7tf3LtN2XlYe81ZJSDguRyKX7BBQaw6pbDOzlo+ueZRedQY R13YfYUfCOEVL6VTxrX6SjZYtdsSfXZ4Wy05AHqoCyQTcWeojj4pN/0Q8NCTveNSPPArVKSo+O9E Kf0qr5O7QAqlpLkU32bFBjrgQRYV4h5Ln0pHoaZc65+uuj1Vb2hpmsOWlvQs0QbZM61Ub7mbUvbZ 42vsKFVsRsQACSy+TVU1kJ7ogL3CPuOQXJT5FDsXXOo1H/nLUikboSk5TG1DqIOHiIZ0lw0I2xV0 +O5rys6WcvAebNhgH70Mm9UGaAneihaUY46WfD2Rg4WU4i4z6H6DAtQvtM2lo6xoyhg9nd6JpM2R XEPV1bt4VRc1ypVUadetrMFkBXd7jl6fSW1ehBRE1Yd4/0Ti0ux15hnd+Je+FM24LMYAdesOi7CW HG4pnp9xAjMx8QE1OOTb2BKxMDTcTHVVLiSbMhG7RZkQ4g+pTaTFaoXtksSSci7iqAScEKWK9mqg +XsfCNQN7YV/R3TvySC/KLHQ01ctzRNOsMIcVxdusz24L1aYGbXTGZqcdLt4WsmICbY510m6eNZd bEwo1Asq+PBICbD3z4pDNitdUEq0eFJOjF8pkRhVsbTfUEB3PGm1UEqgpCL7rBebyS76yOpZcoxl RCyMQhAg4cbDOiOpedkd9mD5k9Ft2gZB4SigXTNJGkMkclPhbO7N1n1R0TYX7eT4uN1b+1pecSyt DrAF/+F0tEJRm9QwFjCucxHQhWdAgpWmtmapjj4I6s3hDNt0mLvMAXGD5Re+h/iLy7qqCxnKXMQt iDSzyELTZoo8u3wjNUIYVqxmAA5qdF6zfQeKufmEBDJFEwaNkZHFjgFpAxdkzdWkj2HfYERd2p+2 38Ve+Fj52GxvrQeGph4B6FjVRZwyonjX+Y/Vqv1v6zf5hZm0mmL2hRkMxPjNcOjlI1QTNqilLMJ+ FzmCfdxMWfe3Dlyxcbw9/2AE+m+KomQauErcAPvJIWFUze+nrQgjzBriFoTc5cdMpgkU4pbqBhn0 sKsGQyirrvssZyY7J7yDc17UlnNGTDWAtHUxwChp5X5+v8Nn0sTs1KQg3dZTtVeYcrtAvsfg9sgv /AIELurbL7RT6ged1XEqKqWmbdCGGW9G9p0rnH+6WGN8DQvubFyt7XKb48HYfRYiG8Zcfm8uYx9l nsIVIiz1hmsPZldzOxeQbPE5QjvblSNAhNZB/MJ0x3HkJ4CBj4mU0TMk740IUj1wRrOVsq1DduNA D1WYiGgn/0/5WgV8WqeOQuJN9IhAeN60T56Tlpyuva109YVDGrfpbjRK6yn2ZKnNvwx9QYqRJrcm UWUPea4gl0w9D9psmzJKcRXo7ibhIysl408FzrANsRlfKi50rxVKgBhHEIvZlacoRI+IZzA7eGvK ht6h2vAZoaxFuYERM+cEWN8+qxTuFk1Q4tqP3vQV8Ay+86jQ8LghRRX2JSEMQelG7kHodRTqX026 KmYECg0Xbgrm4gnfQ3q1Vby+T2/HuRgfZxbCFZF0BxL+oE5eRhprqBQ/ZTZAPjb04sg/2cxnTyIy 5ZgIlknL3hTXHnViCTD4W7VWn0cDm5dp0HlPpQdQqzlGUv6HahQzfUzALTXxrDttgJ/IBATxKaZ/ z/0BDwQBa7vCICp4YV9YUd+ywNgal4lOvWFAGNwt3pTFPbSA2iiWAzsTEfzSwFJzZWLn88O5BgDo Ew3kh8ovVti1Durr6ze3UhYDqf+0DxPeKSe6ki/gs5eAR1qRzRo8g29AlfKnE1vnLfsylG7w4Poo tmLoOmL8BAoaV5ngmPA/3XLgu9Q2jXuTsel+ZKP8Uv5PFQn9ZAgpHOUzGPoP/hirRkpCUTF8Vd/B 9E/MpLxD9kfAY6pnextN5+m6DvdfjI56Ou3ro8tZ4wrFqv3oios73dHp2shQwFis/KZMv2jPlkrt PgCzg1YvnxmLF7c09mxhSdq6nf1RWimN/GM3o8sE3kMikeVuvr2pxQoNQtIeucZxabboaSIoahck zKlUzB9CUa+qQxsygiZv26StzXPcfxgFbcKJ8zDig0IIiZwpOM3ev+7Rj9COMl8XEhiknMNJ+fPH c0l6c7GlDtuRDuO4HUIRGJled14VystFOK+kqGP183PMh5399vBeH0oZAEMq68RRVAjHI4FgkzWR OVE6FUFLt2YOiYmb+XlvTlyQdliJvSMDJqLP4F9qgRSj0VDWEKNF+SiMXOIfGackgkipqVAjMb74 7ymcgYxhzRmQtJT0RlMXhKkejt/iVxK4bqff9JAHs3SKxDjI4qG9Hf95TKVsTEnKmdLCBth8U10M gK0TZ+LWUkw5j4rOxH2H6tL6TEwF91f+K8KD/i5GHl0QGzbyY/LkgCe1f8TqhbApta0vk0sUdiIP HyuDBno1raIpkHmFo0XgswXrrsh8pialvcaW079kVuRNNGC1BaGFN8Xy4dR/CgH4oR1m2ZlWmrB4 hsZplfl4dwTXaPiuVOU5T8l2Rqo+lB3TD99zkhs9jS0QZliZibMAi23YjoL+0TF1YLlSgFo9L4Uz DP+QVvIc4hAcTGDQmrddzIGpih9q04pSRj/qvc9Ci5kR/d5cwDJwVXkfoIeBLWsYS3mjbaMxjnwX muhc5bDQPtPPHo0QvcPLIO8MzUPah1DJaNUhg0qiJYyjP5LTCoaZJ0OOY2sjeTJ6m58e4dr7oxKc ocGHXuJ8tmMwZo1g1/IoKhGe/sWyINDQjTaNtLgKJ26ljMkF9TB5esOOHvpDxih+RWhuwnuGd72q G9ySK94PfJEMUKbTqkFO7nU83vzUoxnQXCINCsHpp0wn5OO9tg7BayevXOITnd4tVG95zxJRn5Nu 6B7u4/EkW0tFklm5TsGCGWYqmGvxHG8y7/5aziahLyALBwpPbJPPfwpO2jrOV11dQ6p1nbnpWmWT h8p5kra5B+EBw+/KlBY1uvbmtOvUDk+uJ4ZGp+0kMz5xlq6jdfwrpgyPbiQRnOjI5gsHp29fJrWk rjRvzn/civ7sG9mz1xbkIWhAO8jP6TzWCY62N556aJntmJdWGrikX5WESPTqAhSZITtgnZm9oaMb I95HSEiNQ0EXi2mGJJ29/+5xyvKzWWDaaFoc+HpQlnrVfvdT489BcgLop1pn/ZzXRSLA0gywPIXV Cp92/AB/+DE2YwsX2t3+mKcLyHULyRirqEwxP3w73k/IsN9zpRrfW2tnoaAbuqH4HrSTpMQDW2zD ZRbMhr8prmeaWG0efFcx0mZXhXO8J9X/2n8IOHhPOHXrdXfz9lHHf87+ce8TNxEWnfL1Xn1RNq39 eknvsDe4BtY0Kc52wzAVdLk0gOYK0PAq44IBrO0r/yp+IYphrJHNTl+4fxigOJ1a+iWjp12zJV9j 612pFGbtPZxPJ9ySOU0m4h8JV1B+F8eQN6pXrXuXt+FXb4RIqmuQs5dKu/3gpxH0ByEsyh2CJ2Ec 5kjLgaB/+CWhgiblJgEnp3cbyH+iXKn/v6d8Zso6SAJTQ/Uiqbwa1MakigOzieJmLbmqUhBrlwmY bqUbGs+YxzdzngRH2JWavXM8tfcRY+rprn03hzhU1xbO8sIAWABhCvnPQx/doz+pG2ZLA/f9pkx2 /bffYBx1P9zjr+62qosuxHIL4y/zDUMWnGogdpGOUb55YheeePebDdHxrVFSQWJgIQADggZ1NAqj S3wAiXHM0cXWeBRIlhCYpniFUXPghLjxo3o7IoN+opC3uhNb7khhnP/qiAp7iYS+WVMTryyqYL+G ff0v2T1GxDd6tjOjgcWBvNI4HWBTu2I+/VHvyI0Sjgyp4i4mOgnato4QZnVX6IRF24zs1YX3tPK8 VdYu75taP+mqRS4WdiyHExhCDzTHBCGY5YVKOGPyY8gIZsZzf1xu1TMNMeW//MD8RBH/4CsZ74nw XOWj8i1Ac+GkL/uZU/jT3mZUsJBFW5xaLDDwdrT3KIsUTEVlpoDCZWEtv85ajdQU1iXUFSti5Xim qu2mmMMfHzXHZF/ZxL5ALPBx35ZhF6WluaXs0rmIpr42tprk52pUCWyoX1yejogIQmRKcdGwXfne A44j15QnnyhZ7MzNvDt7oc7GTFkW1eE7ZMYZ5EL8h4wQlMV8yVnRNHZ6PKdUGOHCVYlW7foFVZXI uI+GsXiVzjgJOf/HHjY0I74pA/eFrZNERcS9QZxoK2PZCtRatp3JNbc2CKX2wtyncVlxRD7tx9q3 HP5VV96JJ9p2dJw30w9kghfw32UGl6d5VX2uT9+e8pzktEvxqB70xbVrLj/fYGFagNqMToXOR1o5 /l5QycEItU00Y6/WCEZ4//KcKSPcshLkBFgvRF5boe2UYsnoayjN0rdliovaMhq4+v7YPgOg06+K pSQc6YYFf/k6FUcz3W/ALgfJpkdI+JXMsg/VGARQbb5xjxdx4xpGWleIefswJVtNNwiqYEqbgNJb eBi/amkB4WwaeC9U+b3AjZ+YUUk/tLYvmXNHjmGGd4QIx3KP8WjaOUxPXgPRm8pI79Ikxrfa9LcA CbTwarO+PoIi/DeSBUf9sGN88Wv69okYGTXhBCG84iOq2B3uPitOkMtOVV/DMPW0ByHSIoR4ZA7N LWdQWhs3YVd0qjONikn3yyIKHOC91/DHmzuqROFA0oyj/k1+fe/+MQC4k3FtJb1lYBO9+TRIw8Ea dwZIj2Ho7+Swyzr17ZRphFccGymfSjAxXJhItH7yC/PFMHjbBJ8sq/PhMSk5jwtCPXh9kwcmtUID EmVIIwm+cxI6DlseF8rG6yXL4+/s1Bx0mPT07ZLS6v7vUjnJEhx3w0y0gSi6kNwJzjrCWDOFPtwL 38KuHmex4qvVOKeztFKeGJ4EbyacbGO1392sJQAkAK4utYBBnww8aMa/c3vGnHWR6WTbYAy6KoUm o189nxYApCle0MHWq5KWPaF2rwXPeXBEZIQbkXqrBg3bmIHTOL3NqnOf+YpPFwIsp/tJUVC3nH8y uzDNoo4gnFogzRfbPFblpxBIfUckAnPj+2W0QuyW4zwgduUWqs1/VsD0ID+zwybjdvegriKsp6VO htQY2QOK7m3X7Pu08slvpNNdv1faiivoyg+La1hN4JNKMU9x8ClnUvINQyqx054Msdq+raTcarvG iirBVtXd9BhlTWuVgYAZ4B2STe5Frtky7deZAxPLkh89D0AiLV5I7y8TJs1B/Q1RzS92ZJTUBLGT 3Yaovp/QuUM/1y0Wd7cTa2ljIywN3waZ8a4Cqrqc4yrrWL9I8BXXgtUr2qacXg2cJbyPNTcbN2+r HTLuSKgWLJbYFTzTxgj7TRdlfA7liRm96cyDyqaoux03a6SHelLeG3y+ti0oNjngLw8eEXOcb4vq FZwCHhXd0i2PFkzSM0F+tVIjDbEo4hr0Qe4j7tocFFyjhLk6/n9rOLmg/usEDUPEjMkEddCGlAwf 3W8FAzQkeFf62LCjqOOIpqaABjlbZydw34HLTfBJT3g8o6WTBKuwwMDMiqCEciUTlfuXweZs3dJU n9dGrggxxFJX/mw/8dfdAZCcus2nr8IlskccTpGR68fZ+MyXpk6AUP5YMIE9dAOyd8kNtfFmSZo2 LSfi+JGwyET9Hf4KJfSDS4/c9VsvPlgxlwQlbYjTnIdkefrNdu1zGlryZhZIzF2O4QeU6JU/MCka +Rlrs0V85czG1uYh9lLV7HZS+nLdQ37NokORQF1tZTmK3B/14ZRD12k7tKjRIvzCh2hSzInQEg33 21zPw+xcDIjdA3ecOvDD/5uOoTjP1xG8S1AGD+QgwSHjKRnMq75xJ+Li+tOqhis+YGW+49sxAeWB Od76rYDnirSztwyt6rbV/6Y3dFPdbdWkQkWNN/TVYgu8LXx8U3oH4r00yTOz+g5jyzzZ8MqYsViF Qee58PitYG4vg2nRlEC7Yg+F024y4HkcWCepQNRlRnuSFuvVi5LLAvUjaM+gxsKtPWlfV4khwd54 unxPa3iIccigp5674TxaEXMneUGHn9pnqIViesKqMaJ1oVfZ7Mz+HcV7gUHRPiwza9kU7sYvs7tT byHgCW7+e8MV9LYI6YxAxk00S36WrEeRIafIrN4QVBBg4Q9fBfMwjNAG0954WjEXQGsea88cQ1is 2VZ2fB+eIgW/+qnjfKd+0QlCCDBJ2hWBwvMiBUGaKrtP7UZkmzD+g8kKUYkSFDDzpp+qnoRDQDjw IqwsoqT9lJB8vdKQA/8izTr1UQnqFecvVsRkYIOaE1Qgli6GgG9azgGkOJu1hCLnKP5WbEaC67Cs FeLWizqOSwHqSj0Ej0SB/ziM5KbWGQqBnaKx5lUwQ1ZvnAXn46uydKjT3u3ABm2wHsIWXtl3ud5D 6CmfkzemS6M4KyvuKG//6b1w/IKOC3Zg7zxa77KxRWyZ3PU164Xk76OtRun7JApH/7MDiTgCPlBM KANU2e8zvFSH7dc/V6XWB8IYbBOEvWyXwT/vxKCdW7v8WeqPiXqLu369f2saIvf53aGbM84VXQlP etM3OdMUlSWpWk11NFRqLd582PYIsQplq7nYotIVg7xCMKnlQUnBvV1UoN2ihOCXQrT9+8MfpPX1 wy3hhSOGa/EbpXkyk/6sYuzR/u2gD2WRXbXUtG7FejJK1SMVC+nPrnHG6DD9OM6BiluPXsH9eiiD 1qmN9cOKB74xhb6wDsuYDauW7osmhnQbab+rQYQQ3zaCszyiXyH0MlTgcBm92HdcG0Ge8niLsarS s62OK/H+TijszcFjOI2QJjf5XTacenWo4MkQEM0z2o5YyUweRVObMpEYkd2KQXNgyzZTsNEVbTdD Z189SYYQs872DAhwSEt1714k2MtEc7vo6LsP8sUynC46K/e6yM9hhhaN3Szkyw9J3ff/EsUB9+Fh HAN/mVI456J5xgoJYUDYu0A/6uRlPpuZ6XH6Cf2Gh4vhE1RHdTrH6OUUVQm/Tz+RIVdkSLyKBKmn pSPvidAMv2O/sHCdrLAIe3r9nPNLyjS3JqKFS4XYB2Ny8ES/+Hwp5eRyxIp21pOEhJaFZikEseyF nyDF+3bLm+9tEz/AxXmGb0Kkv8QKkQcxNoKxDaAGwDn3U6Bs4Ec4weBMp+uZa06zH15fevDiwr8g 1+NmfmEwLEbOPKXEgsCpbEfseUk91v8vh3Eiwb2pq8gQBCtzHaau44RDaGMFyPHal11RoiLjWN3x 6xH/QMl2LIVhRorvqmOyEG2FpsRM3FWYg8yPpA3xGe7ORU53205RXRpfAxEqP4XqeIiaQE0wY5ga lYka2G35G6jzuYlfsYhzhPwHH5WnrE5D0iA38A1uT/GD1HPYM+cUc3AuxODW0ZwpPhlDOYGUKa5Q xleHIKPMYjdayJtzcArAfAA5JCp8KWH+czeMCm/Cw6VGd2HBAhrY7kiH1OisMl6wgg8Xr/gv0uRJ XWzKwUwX/MvCGeZBVqNj2q7ls7tNEdivtMnsAG0SxOZJb9V8xURGFL7rmB6DVq7PTGvq72uuakSj 06DvKn3lFjrvXAxhQzSjxZ8E5Lumfxxx/iIDC+TOFebYtOecEcqfTGpjwfcTm0woO1Kx84vTGMV2 ji1exttp0UZRns4Qy7LxWyHzc9iIsZjL5wbIC1vYFKFQ6Ah0wXMGXNe+vArUqaMjPeiYXNTHVGmu +H/aKDLWa/ICt04Fz7kp9InO8TJW5oaJIsTA+QN+JCweDiEG+t4drdYN5g/KLxoduHf9cARKbUiK 0lIH+trnAWPpTKh10GoryPbhsnjFUCJLWKE6ThwgpBdYpfn2dFvlBLQSEjLASUEZlYQpTwDaUy7b yOqGMphtqFZW3LuoFMEncAp1+KJU8kLbaRvzmogiB0PUE6Wtb1lR/vt1aAXApdyv/2zzV3vn1TiK uJaDC9zq5Y4O9vnWQccfOcZh52p3wm8Z8stYIwRCqiwBwQ7lECuBk4jM4D/nAlBzKTfgWRsSm9sQ +KZ/ewPCGDL3NNHa9mIticeLuznO8PS6PSXTsQLvzq8FI/dTYcvH1EFEeIWU4ikeMDAsqS+e3v4X jyJvkJcrmDP2MrU7SQO+j+GG2MocLDme8/l4BeptpTXYxoGlLMgFEq9E4IeQNA8yk86gbABHFOVz Qlj8HIydbLNRtQVqtQPvxyCd+ZoT8I360m6PZUnfGGFN/Z6/aR5H37x1m1ZnVLqV7ZCAwtb0UJQF 19WyrRTlcTqX2FGtyQk3PIy7CZ2tSdkaUEhkSN14IHobsD4xY4KX+56GBndhALEdS+Oj13zDDV/M c3l59lBBVx2SKwUYr8sTKlODv5vb453CmMmVUoa3Z67JYSMAKN9Zzm/RGNM4qw4j0phImarkN6Mw qBlTDyyeYoCojg4gUqSdiP6aHc6G8T1OdbvrFpZiu84N7OO9xPsUrj2MyiWx+bCidOv6066ERLEQ hHlArphX3+O3pxA4+4AEPRhGmsz4NJQJ7i1qDUGuuVyonMIiyRDw6hzLus5uyK1w2G0/fUJZv8NE JGF6Ghi4fr4aPObNY8+LqdeaW+J/cKMOliQW4QsE4HQ4gEihpqlGuo+Gjhpo3n+Aiw7Ot8AKmmzn AFR3jFKR6gNht7A3jasfUy/prNp99uGDBJAYiOfNst9rhvGuhPcV6uxzObLSyiEit1jZh7+/lqJ6 KOyEG7ZWTUSdsmKR+KN+ejnR2g7lBoBKq1SuMOCnWPov8cYNsW4Mbxf4h4slKn7gwFki8Ud+Z4aS KTDUqtJAEG+AYqCdOb9cqXS7Snb08NIFdXAEvBv7G8SfkzH066UvTSiD2HbDYHBpsEZbjV8F3MYh 1apODuOKd90R5IP+715YLdvPGDS1awhJKnQUT6Jrx70zDHmDd1V5WRUi4fVlepa8pwFKyGl0MIuJ heK02GR69jb7MG9oNUvZ9/byl2gPjd5C/pDWq3Ovnp72eTX2KZBCGXeChckp5ioN2hLwKnezaMIC yKcmA3PmwRKbradkkfgr5DM6hHBa33UWcr5rJ8FL5IGPmK1wockjSo2iGFeDv7+5iPY2I1UTg6Me CNp4Tm53oxU078yC97ulWVz5kjX0KtEALmVpFgkawIcwx+3ujhJNWjJ1EG2Crs98r+c13xjn+q6J owiOVb8le1KTV0C6lVHaryZrCA5NVgY6H2kwuV5w8zNO9BEAr1L7vRvgzA62kGi5wQvkAQXLOybM k9gSdIv6YyF3TPa5Ln/iW/7KCR1gNj3mHYSpa9VQI/R4oy886giAkjLDyS5RF4/SFCr+DkHuCRB/ ehV9x/JxSz2itYnBG2h/mVGwe8aBrqjEHOLiFgbg8l5Z6ok5k/b4d0lG7aResk5xJ4MGz0ajZnXG umr+kQU/tgekQEXk0C338aFCSgJRE+n8Yw8TIyHR6tME3r8YH0JuWX/KJfIBCp2j0m3uhJEZiujC mRILlBsEp7WGNzwz/eBtWeBQKlLW0q+tMdVlTad+drHIBaEvSm+MI4zmalbZTUR9PeDuTs6jOEl+ RMoNz6etrlBuWvA9+OBgsIJtPFaCOSZiZ8G0MhW6rpfiMWHEYphcA+o4Hh6kA83QJQqaZR9qAV04 a07eCYVxDduMmMqCd76sHrHocc2JuzVQXVEuF/8n0EpF3ziGyXhRDxf0Vz2J+I+D2M9M4GfcW1Zn z74yECsKERQbQxYdYVqAAjMKNS5H7osUNlOxlYGm/A2Ajv1sJLlLyUCM5n5LIWGJ3KuZdbGqXkqq DiAVkD4mrjYOGv2LfDOaiSYPf28UCgDc/IvKVlHQccCxzB+OBRcnGWWcC6NyFhxD37pNY/sgzQyq R6l9JN4ETXX6zyf+Q5ySuvIh0ZGcoqSEkpeXB19vYbCNG2e5FAIiwfXN2sQjtZn8DdAx/rww0qsQ LT8vqpnH169gKxk7MU+YYlIgUjHxkXrgBkrlFxKSIG0CcdumPeLAlKZXuoc4X2g4DS8WV+jynGbD BfgBJhtoErPi1zX8EF9Owj7lXiCQ9apdD6030wjg1nz5I2tmqX7Z6/dDIPOPjoPv3MbTyTbR+Zl0 qfVPIvR9mHQgrpydS0JyUBRMYZDEoPdPgbt3Vl+BDu4llpSAYPSSJ5nl4+ij2yh6fujHgWBudR9O 7grMbG03njyjByfGRPZYVvGZnvFcp5f9aAhskgquMQlsWH7L9plN96mcLNTfL7pvBeGX2YtV9ry7 qNhsJTvVGW9Tw7yNrPhBh4YBEsm5Hs/94wPY/iKukljdtaqqsy2bvN3VRrsuPlmcG/xpaID7A4em zN2HueGneL903Y+k9WE079/m/6Pdwm23X7K77w5qyft43VxiDro+Q7YODxRPeaosNGAxWk4uHJ7A kwpd9htW3wGJ/yrUztiR/pLQIiGvBaD6/erjk75Rut9UOwbvI6R7B3c6ZtmdxGsS7dLmVoG1aE01 QbUQR4sPb9JK9sfuDYQ7V9/pK2UQnfw/YGR8SNRTPNPhmoxrLzt6IH8yhUKhoeCW3EIjzaMbkbP2 qNSJd9x17GmtY7MGR37hxdNe6UnYZWDLdV5t8/z3TlbJuAs+bPEiUx85D7OdhDzwDZbunWtmbW55 rRNu+xCqY8lw4forHG1Z1raq0I90KFhMbexnL/24pu4+N55eLz9zRMdKKWj+42Q4hLNwYZM1w8r7 Y3uwwWKgPIG6ll30SgRur3EV9RGqPsKiQp/zE+qvctXCxWx3DgfipuB2nwTyyVQKeTsdP1adLz/w lTia41WH+PGoqGa/1qLUeVNsUwfQhG7iEBVIJbdikqW77m5f2ObAeYwsoGnbDNLbVGgbLP6ZH15c oBk/cyNbKqfZMTJQaXAMXgy0QR4ykwHyYVc5mRt+EWfvmN75Wyko7n/z3B9k8X8eTwTpVV5fD75T Tmt4gMXn5n52fQV7pcHfGbMLcDmxe/JGkB1sBRrX0cdkEw5idqQHAffXyA2P5ALI5Y3XMWWR5iZ8 JkihQJNUXD8kxPLpJkM+mpCT/uWwf83AWNYTBfU1WAHQ5GmrwLPjYhnGsgbNstAyYhrdEOzfiVJ6 3ZUm5HRTEQsiFPtSJ8d5Z8orL6qA4becD+j5+m1CTVrjZJkK4DDq9AEETLbo8ZyZk8R/UDQDapOh ta2fG020vEKJPA94iZWfFLq9XDRqfQSXRHVquWkWgd8TKQkHRPGJ6ACbTG8h7RVDOB3LDM2RDvdw fzG3OvVBRfavhnP3YANy7A7wOW+LiK2DX1ctQVoXwMIQ8hE/C1y2Dgf0s7+Env1/EGQXCfyg92bu LR6iu6lxb/lzVJc5bH6XJ8Pg2robNjocf2OEgjLFFyGzgZIAIY0vudNt8Y7y2AuR8z3JMtZP9L4v fr61liblYj62P/11kaHTgIVbbS0+QxphGbhC1Csu6M4Sx6NGSsWYlP90PayfbbYalkn+P2KjXr02 d7FrSn0UNNVuJNFRW6ZVDEi9WtsFKUn/u88iwUbOg4I4bMP8Q2PyoRf/amdVqpdYSlg2DYebUTEu Q1LuxdS3HiZIb5YoMh+Qv0g5IHdl8dbrC9IozRApqt2w6l4DmbPHIYJASCLHQPVJD2ztoB5v5FOg qAL6rJ5q30GgoS55W1ptGwiJceL6bAu/Q3z6gojmPQQTmH5bfYr55eATZHlRM0ULDdw6LuW+lXe4 wvh8fRoOQCtgHwNFXCw8IFF2TBLDjQCXwvT1mpRA5HXUzbn8e61wKYDzXSW+ibjnvy9w3Zw9JG6U EtsjEtpZWox+gFESHy2e1lVD83Evj0X0oKA764sxjAu/A+CCFnKHVXbh5HSZnR4TYKNmDvhM2Kwl 8Vrn2VBb2hy98U0vPq9j2KOYUw4UvtNH1Kxumoy3300t2zDjmrEYZMlhrURLy6gmalJ8cRMtKPLn 1ZfDYMydkl+p8sT32Sd/diD0FnKgZxrXG3tqh9ogpuNfR0iK9nr2OyWGRHAvjXBddSxBoJuBovUH QcFFKX1EEWEox3azyd4G97PWU0lJJqDPQ2wqkVSEsfs31O0izKJxu3pTKcT1O6RjeNTr7zBOJQRT 8038EqJty1f/YBDFYEzKp6+nJQAF+sAWbUICHGyJM1jB7do1izVJUmK4W6syQ/6bZuRf+//iYfG9 pPDNfpE6jNaAUpraTcQjxlUCrSLG7+R6mhc8GK3FBLtBAqth7pabm6WisgRPpm+uNufqqUMbsKdf 7YixVUrnuMwJv/K+Xz/fpJbytT0ViKTcBnoY24i+rFv3i4VptR1YAPsC21xtP5P1nJmooGS6/V5A qOcqAXD21e4RJ5jyd5Ei/IDFER90ijmOn+wiArusiknOTMaDXWTva0PUue+NHsV/u9AM56ZeTu85 kw+kIHghk52Y06cuBWEQiUHkQwt6Y/dN/zhMACEcv0s4NcjbHc3xpf27Y3AOD5NC4ZJ1DsAFs30/ 0CAoH7dskjfzKw7+6Z1RExQD+BnTk6i3q8Lj/v5qh2vwwkH8vfQwcOHB4aeAh++evI/TcvDeevC/ aGfGAPXdXxoYVFpJpSq2nq0G8zSkaLmZN1h2ZlRP9AmscKm2NvCoo395v2lTNzhdkplvtHyEmNRe IJ/9HkPIJvPHJISvu2J58zvmA/hGR9ltXFpJ8TGnuKPL6iTn2M5znHUf2JyFMRw/PLfsjNgOKfOZ ncUqDc+sV4nr6xO1eDppn5GdjKvI5ecR54Et7RTXj5Fnh9Lu8hXAF06hR3kgqQ9OabdoOIgZxXh2 opgO55qgTmuuUtsxsdWIkLrtvpLKqrisnEqO/DWB45nktDLoHdIKtR1nKBNvx3M0Jvdx5+VwTCE1 X2Wkiw/CDPMXcPZaId2Ev3t/mId4EOjTEhEz+2ddabmFWO8vNCr3cmH7nKurP3v+vM8x9a1xCwxg ik/PW/ZRTVsldVvNNCQ4G3w8zqdwEOaiOzERasPmkykdE+nYE2qmrNa76S/IJz3Vz7P/4cRacZBq DBQ6l8MOxcphCP9Mv1k5JMP5oQVR4AJNg6RcE1bbUvvmPBe5Tqtt3c1R7ALSSg5FH+TWV74q1kS+ iD7pSdQ4l6/pP0XS+YxLA7OPCDy3VTuR7rJdVtOE7BIAdBAPkXNoSqvPfihevPL4fvXTqHOVGHUR svr8+MaBc7f9zKAi0y3a86+y7/0gY6U/bQXNrG7lWh6TEEiT9eH26T9NDmP3rujvhoJLMK8hipsi jIXieshDBLQlDY+0sFsrx6AawcTMokyuh3OTjz0m391myuESLDfdj+GsHaTT81moe5h0GlenOgu1 gDs3qf8LPBm1dAm/jm2O5KYFhL3xzWt/QtAkW3WmZg2BxwN3m66oCuu8Lkvc53C+lQmbf8V16d5n Nnrs301z4/QNrWRxgkuyprKMCRmg8UGKs4iJ/U02NR//kIryWQYyg7ijUwBhx/OEmUR0W4XUBaWt JwRjUO8VIZggpxYA1v7XLpFwtsebe2D76MSK220/+3x4pxhHGlw0D7RPH+mOmgk3Ob5DMgAS26ul ZCD0ChPTKmK8KkMy46n0t0Mgszep8XkDQBHABFD39pa2e0MDeGIJWcHdkATVbb61ZBXSFWLbp69C 4161v02yhL7b2PbHSs5bZvwZHZpLFKMjUOe9/bqsNovsDnX0204AfX1iX9NGxDWgoFhtRw0vVtde C0YOeTfNs7ICz7kjhNcygk9mabD6NBAXj0xktHlUTeauag6DFyVBxj/vtUr459Qeu8kM1L9y1xP5 BlFZb1ZHVmY8Qvmc6a+deIRX0oSspygbfM5SHqPTVEFBNdQ6sU9PCfAMV12Vt3bVrmZc9ub3cJS8 j7kJLVdQuWbVhsupy+32xBU1Jl2o2lrc4IWYt809SJNIl1Uqy+fetr33oMeDikwQh599ihEd+rAW wg2iOta0SsqNSwdU9i4jSKLCp4Uj3bzRJBDAtfsDJTommUxxVx+L2zii5Y3Q5JUo6wwSiheHRYuZ pjpSIEcDByHuhz6oIUabG+wVPdFJD1sZOLSDnCUeCnsjAIW/rMA6aEtuJR6t5C3p9hUIdgpdS4Ta yC+lJirNwPdTpohvqfxYaJAFK1Iw+GFQqqvKWpKKETgk4t7ZMOpYFXky1Yq72yx0uxLEg6rqG70o lUnrecbznfuXUCnY9dk4crv6FugjqQKnspI71E0oNk/WoaE/2VhvyLEQtBz7TYOq3d79vv1L7SVN h4OVNUggUHRjvMNe+0hVw9+h7mIzTKfksZvboaoFm+vtYA1i3//RVRU7I08Im1eKPC6rogDYBvIZ 9FOM1+0bn5GLzqFFoSvNPZOkUxQug1ZcCaS0Kf40tuBeck0KrrcTA61yqzj83K2a8hGhoxuo3ceV qoMipOrsLD/7EMzcPkTdVt+OcbAlHkgem/AGx0lOjIcemol1BHSldL5Jl1Tgm60On8gvLgSHepX9 84eL9PYUtYKF+WelWoR+Ju3rtbiG04CWxhZxbeOY0bd59/fPQ76yQz3W6aS0epnvMb46IWHW4Toi Sw4Qb9XjrQSWqbZ21BUalwQGun91rJ9a+JGJXYHBwJwOvFz0KpsWqghe6S/b16jDqnq+5yN9dvck BkJMGXAZmft4D49u3mTyQeZevbWwZ+fVpMY4tXUBfVWUs/9fpm7YSCSb6Dui01ZhTCduyFsQ5gd4 f/CzvcxTDB1sq9KfkIHz/W4MtjyIWKsIBueA7c2/TtHPfGO6j2bggk8Ve5w9RAvVWKBi+pM2ETIs 5CLdG42WyMlMVn6vDx/rOQEYJMZTySPlbZqFyLyOXNW6UrgxGwV5B5LfKjFKHV9l3ho7pT+fM6Up kBbPHAp9eP12M718d/aPDDGIhLcFJRsqVYqjpkexzsv70YkHByf58rk89Vh4O2lT3NXPHUdjl/SB beYkEAQz+xq03G6zNE/SxJOyeDgf29mt+aY06SqQ/6BKCw6CvZ6/dCZONf4Np8w+lwC4CVyHnEU2 7bpYlJuoRMlvaUWPQrHnoAPC60/+iU5PiLEvD4+NgYrv5Fz69TgHF0LG8OFrz02vZSMCi4OkzXC6 NyZaN5hpvBh3fUA18seXovDpCIMwgi+Jd36fRAMp6YSaCeiGun6vtilNp+dQFXPonYBVhQgfuH2D Bl/+QuZYBnWMi76XdoCLUg53QOEt/i0RiBBvWSImMtC3gemN3EERg2tjIgwUNDZk/sCGlE4QX+3w oHcTyHv78lohYB5nymSzUvNPTcWo2huEC2u9mFgexSOuuiMvWtnpDSJ/W+D/b+6Yg8lUNBXJulCq D2z3n2F6uo80j/USiqBh4ftz0NbuvN9GwYgbJWKOTKUMizSAfmSxaQcIUSo2p0fsTiH4ju3v8UaS 74f6ASWx86nQ5+y0vEEFJMMB7LX/78yLLOQt971DrGxk0Sw9e25TQBlCEQvDe+DIy/fY3IRaktVS UNYSk8X9F94xVOgXWtiI/G53Q9ceaqVEBjvC+F7tYi3VYfxACbAo7rzr2jxXtsYs9PVhab7jAJSy +w1tQCTRhFge2zcquyNAGA407ui8kD9dZPiKXaETYJsZaQncGEf3BbWxzSo9XNaY3Yw0VnaIIU5/ A0wy0aYrPUHjRLcEHC9a0PI36wHgI1/VQ3o24zHQim1biu2IVMOw+TxSYh7gxxJBneo/VCDFpbzo Tri2WkhzgnbJxuvwvXx69orcshT+WD9wngq279yxrcowCg9ajYGwl+aVyCqf4/+cls/QzXwsMRXz 5GUZ6eJpuUMWyUFwrM2pTrOvmz5vxbRzAKJdL1t2Ku3IuROvWHRRHA881m3jua8+XPPynGfhaTqV 63h/6j3EsrtxhgkWfUqE1CoAdNeU/leYlzZBK3UUiFctb4aIGtFotdw+kQEFlcRqsT7mSDmje2Yn FHxxhhDDIKAScULTeEAC0sivTtdu2XHMtlj1TNjGCsDbq/BHb5RrRXY2iChHgteprT/9S1WjNZpm VHQg4R6ye04lXyGk+jPdOC7fRmodzQIXf9UW55hgz2r3YrBbXvzqtKjlaTtQkC7lGZgCopxbM8Xc MhD9LYHUOKADd2pPlxQX5AvfdXarJ1gPZ4wCE3PcGNyVdyLpa9l8bzwgPBI5OWhG3lljJ+RzTwBf CvanwWS48yA9soQXQWS+ivPee0Eh+8hWDX8PRen6SL4A0J+EDTttcW1LduMjBFV0vkEO+MboHVQU tXQTx0T3RH8SPHUZERfKHJV74DnZxZnCtnUrffAzgf/Xtcc4U6IeNSK5CPrEvbzpE1phfvH/lTwT JK3EBfAS0/5zbWZxjkqu6dCQrsumoRfJGNRXMjXcDhy3K1s0akYMi31jN55/fm77pp+72czEBiCp f6++8do8Gd25Jb7FhPAb6p0QqT7MAskSK7L5zJARDdmdE5ZvP1fqL5Rf7reEDmvLlEfiOcWfmSZ9 fwLVu4ofGJPPtmBM44J6aYNog6th2CiReGfwN3nGDZEMlqm2BXudiCjFb+bath079YsC5mFlSJg7 2uBC2Q48Lcvd+9wtZRlqG7aosEiIffhhaB6CTkVIdH02WNWCDTvVR2GMFBII2N8ofCdc+1R5EXQu qgVaxmtdfyA0zOSuWQAUpveiYa+Puf6GQuOYysrYHZoQSBUJ2Oi9iXNSbYzCc+eLrt5YheiLrHEI Z6N5NA14A1rd4DQbwOHhrsaDZEgrhhwtkWMF7cZ2gtRP86tOtp0dg7WjYgijAsQqpMwTDgPSIb49 Cs+z/wQ9c7OCmBFpfpRbZL7cnoeQb1C/et5jM3CQT6GOmd+k/Cq4B4KRupKsYyEc2MOoIrO2xoAc B3xeP8FKQuD7KT2mYhVU9SNV3BAffSOgtLr8ntF0FVtYWlKIVB+3tDkTjGNBHBmCopH/zAkIX2cf d0W5T6D0dDsiPU03pLJO2VY3G+EuSl+NxDzfUv/sXVYlK0qvnn2VkKu9iUmw1AP1a5qiyHBBWg+H mKqBcj/36mEWR8uDFlHIrOmtJLvlSWN/BAP+ZNN6hZnRkJWpJThyLETVqx3BDgz9OuUAJm0OuBSn atvASPEUIG6GVBHORQr0lDl5wgHFNPmAFATowlK0Yb0OytnvAZW8pPDgO9NLsRyTG2ZgTf7EM2pI igW/99/RXdK6ZETeSHGNvlDCu98ly0CmYEzBVwZqBkKIzQMToLzJG61Pgg56W9RC2LP2lito+efv lpKYSvf0vdvBH7egfPbGbrYy6eN2xfaNuipxPfMWqCB58hMe5gt/Sqzp9Bos/X6dDz7XZ+H1Q/7b p912i4Jn5a/HyZDuT9E9eR3vUhpb3JNc7cfv1Ps4/y1RGDy859LHKFu/6krqlRvMgcKUHRUDnLSf 0xespLwERLamQN4ATK/5ecqo5MrT8LW4Ya2UJ3QnpbLE6ywBLHBKzyW54Cp0FVRYA9g3dvQCTdKU ZD6sy6fY3PSyShgmiBDI4yzG10EongLfYDB0QS/dwt3sX0XCWeqOAyW3HnkcsXbTfcLcM1ShKTca VP75MWhcnLzeaI3eHbU2AO7EeYlmBqZmUe1Iq33nu9qNSV8q3EAgcju42YNNJ4iAwUJAcmoCXkVn DsxLpreHrbg7A+giiQ4K5yk1XB/seQ+Faw6ncGSosNpMuhX9eWAepzT7upxgu9N1Ai1VoadMQIYY 1VPzazOWZSa0UXa/JxpPpQvJUsNuuQe71wL//1AoHFE+II/Xm7ssPrjDa4Db2AlFunt0aKPRCtTr vgOY0DwMGq3kb4RwGF90BBfJJsTJiYcE3SE7V0nQKuu8ESeSrjtQd7+NHzlCwbOH2FLGI4BCqye1 1n6QWpXjl3JOTTmDknbP15NeVBJwAPb42ZDI4jcftKpk2udhyv8IKelVAUXoKg+Q1WvyUyWNqNn2 gHIr5B0ZZW4AaI/aLtXXtnQRYelt5HpYFzBaA0wrY4l6rOJT/dnyAdcwnHHDhM2WEyrHn6BE+58c TQ/Mh3rUDlC3R9osaJ+EAYHnjRx50omXZ3KUkDIEXcgzYVOF8wWgfcC+nRgNl0ey7BK7wPv0WNqW c3Y2ypK0pKJAiK3A5zAu199KyFT5Y2Thb4CUjVAb5SWXnYRqKR5HzE2kj0YDmDNCc+tIg4wsKqpC 2RB6Pfujmhxci01ewJkPoMHBrtCfPbIxJIsMT+yqcO95HKoP+6NuAXAT6gFbKRsU9IjI45nq1AZ9 UXIiNvecnlU1nngJl3qQC7tH1tfnzSI4Hb/JEAzL7I71TFXHtBBrvOV2rG6aw/+TJ5hsPfGtUt+9 0hKIyol61R0U6G7Mw7oT1egIy1d5net5lPnRp1ZLHRLVYdzIqsf3RL+R7jmGPyPYbVbuArr6e0rK q4RF3OIZmeUsgjKEHW5YraY4LYFBl8IhXT4OI1YySgUxbXn2baOLKXXkXyn2ZI3/K6Zc+xYOSsQ6 7DxoQGtDAgCsY3cFqMtaEJCC8p6jCLj3g4SyETKbaI6f9YEKtbDwb5wSiasm9hEQNd6DU40qdh6j GmvjKYcMUZ7IEHHYmjl9havyf2jRUDt/JCgyEwpctW4z0SGNgaVhIxfBNF/azNZbtZ3iLB0L0ZM0 SD+lsqj9I+kBkzkNAu8JOiAAIgqS/EfA2es4zfRFSoVeFyFDnOue6msczPNaRZB8sR8zvtnNZPrN ox8PTkvyK69caPS0Uu4fYb1qBGb66GYbSgVuyGYG9UPGwUt3m0NFub/aw4mU2HP22S74coLDN0p6 IoWFc6sUaxxKqv2QWb8aYvltNr/t0mumqrLVW0P+uVnhTCqqDNIrMuSaeY0R1LXoXQCgG9eeLcTk S0BL2+1raer6NQm4kDDJfKq4ZEmDKcf5v3DF8rb1E030q7x1STYDdFKxl/Pjs5NkTurScqYg2TkQ UXVdaFxp4RZwKEZvHiVwH0Lbs3smOEo3UVxDi70KUy1X0wUafR/hnQdDsLP6d5dvC1WjgXdxe0Ob E3wACHoJqyuEn2T/0bKrbQx00rahcLAShScHUds3cWoAIUEJsMJYTYSUZm/k9elujfXbUXfDRx5Z GJOeDpiJQrXDluZMd6t9aHrltUM5shgC5S339n6Damz+WMcDAr4iCPBx29NvCjt6vSwcYeqFzoCa m/JTFiWpQ8WZxXtGDsR1tXosMmypBHNrSd6iqdYDSwtUUYiRYV79EKG0uOBeiIGVxhZHMVTwq/gj EGMJEIT1dBRaallZ0FylcBpN+TCoBQuGBdkrk6j2/QaO3+DDYh77fbTbORGH6sAH+mnIAaAb6RGE lZTxaed/UYLEfGvzWfgoBw8OY+vw7xP5D4CZCwqZFoF696Nhi41Wrxvvtjbb5qk6IH7bO+bANQbh f9QCDlgQN3By0AVaXLAyZVUL63rtBNjbFtZNCKq+HA/fvSpWJd3+mYdcaxg/9LsdXpeKIhzP1aOk KVEtePEfGfwSbBTslhQKGWSStMelhB9KD3rZgbFaqDpa9LWL5e79OaeWy5iBlrwPW7dS8GNVTyK1 tA59lrtPaoIRK845rBl9IVcm+wMr65jVbM4JhhkEH9pZpaItlXdCC97AkfyOLYLTERHldzoN+6Dk 906PaDXOMXk0tWq9Pnjf8n5EqoPKiRbIQ8ntMswwbHNhCo5Eik6I0xwsZUD9eqxC0umYvRTNFhTz NIPF8xhbxuskTQsq19xUdgh4873wc9fVWR8fNq5J3xjE+PWYpvgnyjEvNXZrqHAAISGZCMO2yMqw wQJBLBHpPYjEqTNYZc7VkCenhYbV9IcpXELrAYBb639v77oiskS/+iQDSlSNmQkDskPS8kOh2Lg3 X9PPZS5p64PWXJwZbGwCgtnW7cUJetKgUwDArOZzAJ8dFmloN/2ZZsaIiQjDVKvJofgi2sN7XaJx nB01RHE6gJQC3ICwedHwY9WrJv4T/JnnM76dnoACdDB/jJvYBzwva26oyQ2JHS75JT+k1Q5XNZUA T0HpgE20Kw7dSmzIcfl+JzGNZVV5Jk17HrT9kZIGyZ1292bukFfbAzxnUeJvzsZ4iTj9mpGQsVVl a53AZFUk7RCdZn+skn12lVGea22mZEDy6PRvx1ZjjBAs43HbEMGPT681p+fcHhj4z3ezE+cqIYob t4RPbZCJGQkye13ZSJ+hAR4MOkzcERiqe1wMPLU5eruDMP+7Jf7z7DYvBOVGYSqUSw52D+FLhSne pfw8Zl+P7IQnNrp2Hb7zCt6kkNRvl9fLNh6ABXH0hnVddh7eCutQunyZEYL36mcc1+/ys0u7xoDP 4OIHFVt24t0wARWVpgpw5QdHwd6Um9zFuXfkYITazzTwgAS0f+0gEWdkbRec+V/dwQBtwNJP0MUj e1c8/pUiHIHLDkFn5DgPNX9rxiok7qmTGJZ5gpDHmLt2sWxUZNmMDLje6zElYw4xcAs0JjgcQxqB zjvI32j0MdEJwD5sT1kdv3NW+0fgIfUNHVXr11Eq0YRC5tgVuYXW/tz3pPlwDWne2Tndu/Th0s0C clmy4rzn6ZAS7nmNePVfkPzsMs2sfVWUF2Yd0/LX8wgMYU7Fy+5MQA8POza34kQB+kCj/x5hdI4T CvIxGCnRnHzwEzSlEazK2KIUptqLD2WhKAY8G3JNO2AayKGFNcJ8Lx/a6JHnLlaOz704j067Xbpv DWPdBkWp9lLOiZAsh/LDk6r1744R3Z+JuA4zApPSdTjElGnOoYCsK5L1KJZaNyGzqQrSNFZMegLj QKjQbYBQdXNn5IE6lO89jqdq+HIzLXdxb4KnEkS77jvm+CilDycGKH9Z2gmE8nvmaZHOQBpbCVsP JHRYKRhiA+b6Arz362ID5WnNrwQz3D9h87vCG0/GSyOPDmJu38ylVU5s5xa6BfIBE4/zJHB/ufXl cRjTObqJqDfJoS9DshOp3JHr2wQZhkDdiPOU6TudIpjydqR45cvXVcA8so+PYvlDJpx5l1+QOgcY 4C0jWUHnbgP/WM/Op32EOpJo9NmOO8mTooCzvpfZsrK7CvPtegY6AHaXjTRoRzxx2oZMNAwRkvef /5I8yZS689SPWonvcz3mhuX1IVWqA03Rq6qWJm2UEQmZIK8YHVwzxRypiH5GuWWw0NreDkLvqVPZ wakVNLnMSb1XQBe+cpwuJR6TFzY6lCWskxm72xsnoJ4JTANas+iveYkZv//MmqRPrNizvdeTT+m+ nmR3kwp+XAnwWKKtAvHq1svh8wsxCrhQzHS6uo/M+qezztG54VdKssaSBjVS7OCxcktkDEUKgTO3 dr6AbbLZg9tjr/MgTptt2bCZ6dl4iklkGqthCHZnub2t4sZDar0JE2IimtshKKtSpzgvfHlnZBb9 GqgCPK30PRcajNE4Z2A29k74c26SlZ7vnfpAW06osDzpZpbzc7NXrFIu1XiDUjpK1rNsJojfXOTt 29uwOYxgtNX9UhkGnfrvzY1DOrqh6tV/2bvcfrH2KpUG7XS9KfpHT/4ZcXJHHqDXrqMeOWsQNIRQ 87l8wPDipQtQY/P0Ouuh17l3LvakR61/g88hu/xxzFtzllDdCL2P5TZ/Qqtn5u6F4vBFsbOHMWEk 4r0oL56hnBWIDCIjUOZZXMdAw0FZ+pvjzVBCvp4Bpt7SK22NA+OpHDulYqc1+ya9RuizfG02NB86 PAny2fw2KwbDGIPWopvwYbFQJV4NjHTVsaNd4Z1oFZhfShZreiunYqus1xTaeDhVTgEVi3ZKoZR8 qSnTC5O4ihVMg+lxp8uIppWAnnIbJsr9VpdioLcih76SX8VG61i8eUITQG922D4dVbXRepU+eirv 69hOC/uRs3ZrA2O0SBUE89/H8JzIFEpZY9qdBqs4cYZ1o/PNrXSAI6Tjii/162t4Zk0YVT/v6ngR S6pZXE8FrFyuqu+1a4pnQPGL2hz/X/ulEk4ZHH6f/ZjrU+V/AP8P0C19ljz0okyx9ucC8Qw+lCnL den4C/3L7UFoXS35ACuwE+Hf3RChE8tKyqTmmMxRht4fBczU53ANneLODHoJk7UzWlEARczCZKXX KvW5E/aAWy29P0GmdlWci33ltAMLkYKEN6NC3D5roPAW+NN8t2Tkk4gf2me3Oa3R5IwCZmrl8v/9 qH2cQd+2wJHbdnqQ6bdNoMOzTxOCJSO3zwjTGZJVv4cE8UfHntf+pKOFX8E7So6nnWvxbA1ckEBL b4KDAfziUsurGUkn4IvURLLrPZBRNzuysdq5PfsWVYNHJORfmYQcGu3+nhMmcwj45fS5bJhJVD+n sjtMGgW4Igk4QzwUtmpF05XChWyUPLwLrxB3UOiQ04KqeJrCfaNHPDK5+gZFUkMJ7sfalOsvA5BZ kOo/GmNe+4GFmVKOM3DnVwSYbITZL3PV3+2s6aF7TkDvkfp6dRkcXsTHo8cOoHNgFX6ybSputDhp mS782X0skcc5Q3Fi6WD1e4LhWdFKMCsP6wE7VXksfh6wUJSXh0bROp0d0+VzKHbnmTtPSM20XWHo dt8o/JI0Ttpv2E2aMZZI0XIxaBNXo58GFKva+11XQKUVbFFTCiVgoqfDdD17//61pzS4XxLJr1fZ VQQzGFvCBiVZIvs1B3KB2sDbBO6B2mroivZiwpkvSGng67yU6Fw/lhy7k6RzLETtwTpL49/u8qcm 655PDqTZpCNrKNJqBhaIGSFV0XyVLNLADdTV8xb3Y0YI2smko+/bYwa/6ac1CJSDnF9BevmapUoF zoOEJ1FpuVe2lFk+/EObl5tf4j1XsNczrKP3ygndsodiPdhjMph8TGlmTqlR+VpPTYRmMTuMd+J+ sinZ5m1wrWCvls6+0MeUXcGLCyN2XDeGeXq4YMHPJ790XSiNtwy5ehuTMw3S/AMceewEWXktv7+d ZWnhjQ/kSKFi3xda8E3SZLXmrApr7oFNasyZn/M60AmMSX8kh2XurdUl3TF6VslIrThWpdOKDlMo DQ8RL2N/sHAlfw9c21k/EQ40bI2PTxvtvbl8vwfbfrF1iG9kVG5F9heH2VSBFXk96DL6K4eRLDR5 Ptoe9LNQ2aTzaSjCOw3weTGx1SzqKhL4Bf0FOCXryiWNvoMbvIOGIvxChUcIuZ7yUIqJUXG+DJr2 tlhk68aeNf2A1V6/H/ZBJC8Eupa9j4rETKHmoj/z6PGIDEUw4glK6bTyTwXxmvnxNijNVfsIVn0C Tfr+WJN8KBkznOUhOOoyvQ3hpCd95ABFUa8bsDxA7qF+JII7NQpipAC7md8yCwQYeeUwsV3V++E7 w16BF/8FiEYqKmEwjgwUo3aAYFzc6UswW7XH22XqgNLNY7C0GBtPVzK547htKNs2tqAjNl7ELCaQ NC2VO7nDxjeiGT8rTeOMNFgCbSuU81685GsX1EGev/tvueJv9KGctpl7htOrrfq45mi4cckj8FNt E2iclUQQ+xSp9ibhyuVxxZ0XuHQMiFaCayaUWWbeWS26TNj6QVmgexl0b0EAv4+f4B1zZwZc9gcr L6Zk6rK92c8yx804eod9zrbW6cBv0rF7VnDirUTTwbE4WuPof5CiX/Xm0k3gk3B5veEKoM/NriBs dZ/u12hR0Z7y4vXZkqUOyrNUB33GzNrhUKERWEVVGZCWDSr6WM22Ad3iHCZ0aF39eVl8JO4m/k0P Tu6gIG9sNdgDY2rlrJtLEKsvpDq+ozWpWAleonYjJnumg6wqC1weoT3cjH6Dx+efj+UiU9ZHIRmT uOtYWD4kXLq8iTd5THucgEhzLqvIqPQ4rqqsA+T/xZuodJ08WjIlf9yKZWcVAGFfdwHiA5zLFOce nfV43dJl9SonFcdSMzut/SbN+R1KWelHYHOU/CV6vLVfD8oNbp02pZjqz90ojCnPW/cgnKmHPy8v rtgxYve/aLS+0NZYhk5QGgFQvuLahmHsnpsiO3XAm72T0PwR+onQIJoO+BYhV7Qb3XlEU3hv0x0z 76r/x01qruAMjYJamUEKr766LYlVxreU6itP0VGc12rf7IiLP3CQOkT7pwS82k2fp7LNX0phR+vf GIa99tbvGm1tqNaqxRNn2Chp3WN+o7UayYCc60/sb2GNto6wK/lYzWrssdAQJtY05N66jcCDqHSo 8089W6z7abq62URIPJNrkDYVIt6iJhTca1Ib1TUZc9lq9Jfy/mGeYvfZ2G0x0ZWqhgskg+6EYIhY SLyzDNYs1FoIKB+1i1F2WYu6P4+HokPGtnLweZmi3wn7H+hL8c7OS6DmEPuX3ebZz42Kj3U5lCtC UhztIhQTBXiPGmSZKWlYh/j07YF/Es1lGWZddL+IGt/ADPptQ3dJbI7zMKbNzxheGcmJNaKCWRjY JR1/agPzAVpWMD2ZuBCJwAM7QW2oFAGUy1C5zGDbEr1NVJ9aKPlaR8I46/lAY6U23NIoe6HNTt/q Dcd6Fh1x9EI8yp6B5sslwN5POntB1NzNaVPlWakkQ9ktX/5bEWdo9xYrcpySrnAoQArRiynohNN9 b9ao+2OElK9lK6tI0Xm3KPLVyv92G//WR7dYtOKT2Bj4wNk+WpnniqE8fjmSpnGGUajfCXAPIA5j xscKEKfAp7YCDWO4eoKoaj4lWtRvzmQJWvFm2I2n0miqM/xYY5BAXbH0oUhhxnEEs9o7JqoGKbke gBoUYpENA1cSLC5kV5G7eL8ObRZ0Cay0TuEqldJLFVEmeLWWYc/l4/1fiY0foAfUi90H4B/DL+GR tiTmuLZltRWExSMnQLR7nFKfhmSX9b3PjvyEsx1JCTsWdFpiQGxLFL3+bBu4nLkYFutxMYs428Cr kzKepIQ+pDsqLm4jG5Pp5aQjprLc4VTXPH66IeGvTAzLefY0sn3Vur38oZSINua+UIIFYQgV1m38 CgV0AaRS5UpnXV6ti51kkCtkrTWj4FyxfNb4pR4RbhYUOA2jjtfhv/uu+HibNXDSS0LA4Mh2/lSa sGD31wnCRUxSd6RLzflAYwXsmd7qL6/xPd9MmSfUMYymUY8lXm4K+9J0lidHNplXUj9FMPjDQ9Ua dgJh0FhSXpUcCOKy7sjgwLU0HRMHD3js+YeBJak96x8O7EFfhPB2UO+5ypR0kuUtK7G55a37/T1n avaXTul+gNwhmr8rJjqNQJuawjTyb+grzQBqlkDZKf+xsOrgMDxTJD3PLoj8AIC3x4wCzp0RAHPK ErqAlZ//ve7dHMfztkVWPKuRCLWFpMieeywR6/38oDDZjDbtGNFvY9nvA7Z4/XPaxGtpQcAZ7XG7 rXg4ZfbSaXgbAe7NwV/TFK6JEs+g6Yao7nfcVN8NqJTCB7TjzfAbBeO6fIQoDMtWUvSNNMkyv7Kx gEsf3f9O9rT13UxQsGQGt572jcAfDclLdzy2OWZXrIUoQUwtS9Byb+zS6qHstHNgwdVfEgJT/W2Z qtlpsBi6eJmHhscutwYtpSnklSK2eI/eOGtSs4kHnZLZ86NGcejEbPXB+DK2SvkE334XfDAYpNmw Pd9/WixWh7oDkpco/yE1lEbyHuUSRz9Tg0/+PGqpE3lLA7JdkP5cI3fu8EJpdnwYNqiqmpnV4Tu7 jA6rUxU2ucNS+NXIzMm3Bmjd/R9A7NUYHSTSkS1JJqQc+jUZXAWfFhx2BWoxmNvQi3cW8jrxpVdd ys1IXu5F0uR4VrZv35pFTu7aPTluc/wDQLkzi3fM49y3HI3iZD1u112xXT2+yiLm7CJNKDE68VUc rXsS1SUJzshx6VUcchmLcIzALzmSSXwiHbMndGqPdkKiaGuHVnE+cZzx4+TM9FTXRNskkFqfhsIe GccP5hZ13xw3rJJHfdQugpB8XfHB1dDUCWoc6pPLBGcoxioUXtcoghjFQy40TsibD9UHwd4PuIIB y1D7/NnVDmMh9dYoJ9C6unyF5AfogTnj+ek1H2vMH8fr4wAwGNIhRcCVHemTBvu1JuNMPNPapahw dZw13fdNA/DLWeDEC8XV69+AqsG3ThOYytM/Y7tsb1Bn1fRvf4qgfc/4SR13pHMTieQgevysyN/a Rd95gmG8/QWUweE9VEy6nMiVJDxDr99nBkVQs9EAIFVrkOmUlfKOhwQg/x9x5Ekbk6E5SB94A8eU P7V00TOCK270+cq1UrlXYaQHMbUODZ67iiWKgAV2wjJ5e9jDSbhcvogTriGynrEkZbapCGDG9/eT Px3/OGeYIBXKrhWxs0e2T3WxVCRH0MkJf9Njo/si7sjSpQcHeRtcRbERIzHgusvyOfrDOQqLQmx8 JhHOLsYteQqnmrB8kO5DnummXzotheg0WFmGd3g/c45koe24wOVsXvi6BPXzjzMUJe7pJSiQ2x7Q uIgGc22FaP/JtRdAKRKs04N506vHdSKVd9tNf/sjxPM/6R0A2PbHgLqZFmyLR6t0wrqfCefoPiMu lHJ2P1ahaHqWdFE5NPkQkgyYKsyFcAiTrcUHl0A3u3QQaQJdk7SVK0GmxCqc7jrn1PTgZMPvWQf0 3a27Ro3lSFl2I5vaeCCb6ajx8aBHVbPBXUTKzjcS/CHZYZj8P5U1Z80+yQE7s+kkWbZQzb1cHxnL ePF/fUlXjYoO81VxNCa/JH45EKyBm+L1C+byta/qEqSD7+ZOZv0F6vM+cNT5RX266gY8sC73QUmX W7nDbUaBfXr7MiVd/PSahLCZVCP7p0XQCWUTruv0ZMNTcf/SSTlVmOFs966MJx+lE8V54F06TGoo mJgacG8WP3+1taAdCi5fRyUJ3rqXZ0IaKqY2fml6LNxUec30+J8LOTx1ClvPUFzQ8ijP8SAk0oIy UgV/tpTiS/Kuyfx1GdI4DYY7Pssmr1p5P9qFGZWl4V18cjd3L9rKL+zTfzN0QU/5he7zg2R7bu7P 1z/w+2YclTZ/hyaKO8y/cMmOQtmdvnv/iLZ8QmMu394Nh2fmEYqcS5Gr2SI7Kv+ewCB/nfRq6516 zSKXgCJPEYeS18ndyLWqwaGf9gWPxNwDvnHzGFX+rxrj+HEM5tfKgPmRMUnLKLJhdNAopHEMaX5U BaAe0NUuNYpUOAVJtskMJMhlluRpKPsuObNy/Az9ctUud5cOP2z7YbFssAUphgeY7G8cA2SFobL+ OQVRxH7ApAQhTBH6eCXEV/dieUR+UUc5E3KIjwDnQerHJ5/9ZKRwsimvyN09Ks0avNvToGjeQ8QW sXoiarGlU9KheNLhVhweUOpwuyZG8Dw+a3UfrokzFJTvonyDyjlzbhBuIRSGv2kNdjKh2FEHMsCt lED9kFc55dFuqyubJ96Cs262X6NsYaJyLKA1N4ZFyP/igLICkyFLAseDRwsEVXHSXhVmhgTUeyMq gkbqsHvDWhTFiLudJhcSAT8WN1d8w1e/KD2Jd50Yl9s0q+0niasvhCOD3q9gv+rM913uag3d0u9n vGhoUOawKxj7w93RG5yfJUlXBhKFm6cUy2qXRq+OVEAF3zWhwiP/cxmU8YIsao9SU20FkpyLwW9A krYi81Aq7GeOlFDVp5FTfdltiZ6LDy1D/jZaxtmvNX7hwaHakTe5MjzDoK9IR+umASpXVtemTKxG dB+6rFrM9Dc0JsYvuDLdKhm/wwo9uLsJ30+tFGJR9oj6Z1AdZDpb8RQ39aVWToWZU7MizwBpgV2r G2YIRmza7ypsaboRtKMjWbNgMQNtUt0TuBnjzRS4J7nnYFgtoM94YJ5wrRykLVdEy1WeoIb9QL43 mJheFPh25XE1G3Ey/wcS52jpGtfREPpdhvUIcw1qO+2ZUYASM59ggnnxSRd3EOAJoCLHPyXj7oPk VU7ii6k5b/oj38Q6fFul2on1xpFdaTYD36A3AOy+BFMVPW76pNGNtDO/MhUOM/J7H7mtAm8wbsur 2jmjupB3A94c0FLFQ1CIBQV9dYHcMv6zqiWIV2cTzSTAgNrQO10fQ7+QwC85XK8AYNpU9oe+O4qF 58AErkJztgeB63Le6CNjC2acdAKhvjIYfIacZJsf4cHYhCkOLB06vYdUL/SL6PaoiIc5+KApAyFM wYxcwNBqVxTQFtC2JpIg6/b+HT8+HUqpQR08tNnPLDuTVS1VdUeC2D+Ac+1qY2t8EAOw+LPxkmaK Hq5ycG6atSn/SzTHw1F8QHlvP4TJzTSQa7Az+gN50Gbm2wnKW9lLk7PALw+P+JziC5VfdZHmYHG5 skyQnjHQa5pREw03FN6+IqzypIpQOrfXurQjBeTt5bTLYR3i5IVcjYMIfKzHS8S7t+gsjmb5yT7s /uum1cATGhQMl9j8wDC1gUH8QAAuzoD5gnzyDEzBZDat0DffVoZW7UlJynTzjPjHbFFrGv5tmyJf tgZWCht4wpPittJeIkASxLcScbeenjsLWFkgBWPF31u4ueHozwf/iF0DT/0JPOglMRPQw0X/Ug64 r2U3Gsp80pBNENxVq8wrKJL4rG3yvsZYKVVgcyt/L7pNdH+wmkfzEia9tM6uIB7l7KFt57aid/XQ QrMVj5BNC7VjKhFQEoZAk311VdiXYVlRQVT5r1tscCeTytEgaOlFxWWaNJ/whbVHRYmYAqnM5R9t zF4/0mNuVCW+vEOVcl3JDj3hfcRfGf97PO2sP/tEWAyTtJmpov2BbKQIkEK9YkidqMyIUeVkt5Or NKnjeFx2f5Ju5vOGhiVUyhckswRbG5mBUNZx+tvydELddMh1S04twNKdZYC84a0SkHuudubu8QBA rXpb3orQe5g+7S55SEFsgdQi8xlEqIp1b3MHCs9Zg9yh18t/o+qhvudSx1pQincBrg+D+sdLd1mE 4WkOukqjyKlSGTj/S3aLLIjf0s1sOeIB8PlyQD2fgNbQM8PdI2dZo17sj4vpyoswdjG7gYl4WwnO 6fyS1u+MXynzx/Ke+yY1ecwGFRhs/761Rz20dEpyMKmcbjjeIq+NpUiP+RWvsIbakSMC35M4wXhi JiEyJM+EVTw7EnE+DR/y99YiDjnZG3TI41CcOoiqsPR1Y2aKWwaAq4QRzodYg3ZuUh/10Z+5n5Ut d6tkYykOhX44wV5pNcbs/dWXCmvsxUnIXdurBUDySApLW5OwJ2nH7ABLFMyoTWbMa8UiF7AJlCTI D/D3zZBK0GNFHFNyiXEME7vcmaIIeqoE/FW251v5qLgReT9SOpMz48vMIHGR1b/IWgvjtaJ7BL1f T6r5Qm1HzJQVIH67KuJpe1sRF6g3bF6h+jl0bdJ5NzS1nTD3XZ3mL4171tlq7yEw7X5FbCWbj7uG cetyb5KvtjhQs5Ox+MWPQ1PfK/iwGbz0XYLKjQZtWsHg8CfjYcV3LaBDFZduDmK4oLVrHrWnEX6i OiFqqpuwr/KzbgYv1lzPP5X/5g9yJKJXqZA4HXFieZSqht/y1BEqYkM1ztOehky/NXZFXwLduUcS XuWysiNwQigkMRkIWVvOnNSWn0WnDOU9j5G8QVOOhmZkyo5LGKfv+F5Oh3ZGs02IfFI23tCzqn+1 vJFJ/xk2xO2MJD3zZsP5CfoKjF2h2d1drLWmGwypOgtHqBbrLij9sGmMUjOFMv2L2foJofuPTGue L51fCPpltBXXfbfZBJnNrU3Yp5o2ywiLXz4wCU8yYNRApMW0xXb0uaBQfFxOLe+xS3UlS9HZ3nJV g/Rg8+Xu57cbz7qdxQyRIbv6ugC4jzbZ49zJ4RohlfxW6lBevjkKen4v1JT9vysnnmr4YuPr3ORJ 1urRDnsc1FSvoT4J6NrT0EUgshEyyZe6UVU+kYyFWbObRwWYSPLDfBrYK39hStpNCMogTccyECcl OjxIAHaP6MDkskbGuMp+tcdunFqLDT6Mdc8QnF7Rw/kleicNqFK8q92OgPsA+POp/gHsHPZ8ZMg8 /dvjL/UMpVtDhYF96BZd2DtQr9T2WqEe6J2mPs2oYbCZr3eJjqjTJMPbacBGdG3IOV03ymm0Zupy wzrXyFr14JEZWZiudB9XFc8A7iH4LDwuFsCDoQYC1EDAeGIBbOirTi/chnoj93iRzyvWDZEzgIcc MakLnhq7VuHGx+5vy4tf6ubRndaAX4fTnJ4/NHk4NAGvem52Rf+ZlXs8Pcy3BuLTgQpv7cTWo2OW 8GrpWa7DNuN04QKlRtzTAIpHbHlv/sE1UarlGbAOccHSmkF6sP8iID00+90bgjMvdpRKr/yr702L J+III3lAWrM26kBAE7dLkxTYYfsrDZP4ch7WZ1hTtQx1ErOIXn38KI6d5zN4B18i69Pd1KEvD5AG vrSp0hsksJ/IHIKWI6r/7R/+CBPEP1snrlZEVAS7oJFvjDphQk4XlDkQYzeTQkJdT+arh0j8rHur ULpgcU6QPfGXaG0Gpq4rm7z0YsTIrmPABxf3ZAlI75w23O6d0n2v0vN038QgJlLnmOsiYgvneoop Pwf1hLg8OOaSs8mqI0rv945Fpp29SXuiriNeOmwzRfJIgQkSkznjCtJoFy6JlkTEfVZfIWzMmNq0 KbYumnznQlVSPqZYtFZSb/m+EqpQNhXqoo9gyTnM6WedafQ8q0HOukKxWGu3pUR+Hh7YXeQR+hEu UEDe8i536ckjhI6G3DwL8YGrgJkcJ/aMByjvjmRN4VslmgD6RowhQCnS9ZfwYK1feISFEqGNRPx5 7tn1mO9f+//xdoBw5likz8CbIMrz1GhOEEU01uNAn7JjJCYZki6wtc3qsIvQUqTkMei9pOq733kx xycJuTWJcwu7TqilKOQi9s7p8SdUYzxlIm1wXO6dqArxFOsfBrgFPPyq/4H34/4b/v8fEPfGFTvr qcRX+ejXc4mA1l9/ZAlRfLW4OlFAWvYi5BGanc18dB3oYY5AwcJ42XWq/d30aWmQVfOZ9GKzwiHM Tvr78YrbPOwlvQYPO9maKMsi2RcQ2mNJvX/H/XQmFi1obdJksQqe1Q1FEf0Oz1sdIYjdwkPWstGa gR1x2vxSaxlirF8RATsIM9n3A3SzaZasV7qRUVBAvkDa5EIn3Qdixdctc0JJc4pcbpM/Y7pEo9QU vuJTqDL5EvZXFOtfRwnCaTvGBjPldcHgLezUDwdJSpFrChlK3Sl3+D9PWmIl26EZPdCcvmK8XIhL JI2Q3Kgr/QhgBRyNY8fQu3xVj1Hn8xlNl34oxffx+KShYA95uWfaLA28BxLrs/lKUeiUFIVwCqUP UNq9I01DKHzaNI/VJLtjRKLpVEsLlCc8Emi0EeAcpHmTwsjvXCTYkFMjwoZdtAwIT/hodosAdGLN TL2R5Gm+8JMUiMx/eYEslAAnbXKVtZGlpMwbMcSG7uZehqfm81FMhdjzrkyHFNoUv/DwveDiMGpg zYo/u70vCSyn5gk/3XJqLvmCbVdAp2RYPU3tY1LXiQO5gf07sI8LWwWUvr9XvRoNBwC/3mBlakj0 EIQb5OWvWbXfbUdUXqyT/G1vgw9fOWmjXVu2dA46bRrZfNmxHZCSoppYDdoSOKmDG3Ukd0fVx+ID 2aM15KNJNAKO3DZDNuH0StzZHMeuCymiEgGMwW7/Ok6zh6rpocGF27aQVivVst1u9UiVVvUloiKw 6gJSSrG0q5rnPzCS3DiXhra39OZq0PBHLW//JWDjeDU+WAaese5RFdAo8gmLfpxQlj4XrguJOOHc TsPi52yePftTTc0oH11MFkQRIxP7fo5y7FKx/n0MTLsg1Ocee3OlSnXOfrj2Oc4+v2p64oVWM7KK wjIf2jJHfVzE2McVqcFHvV0xWIHL+3BcITOHTMSpIeVEkA0HK09iaRMP0F18j8KjvzgMRCV0LaO9 OAKQ/S6owCxrccT4ju6He1EOs6eVtjQbtXQzLhvjr5ot8fc7+Ri4phtOr332BDXbiG4UwuGPgcXg JpVUzxqkMsoaPam2bB7HCh+yHJeZPAUYHOEDpRS9HyXgerS2OZe5NNplxV20syJTagbcXSKkQ82h Ap+gM0dZkS/UyX+bYnI2I4X1/Pb02/bD9igMFFyvpxVjcbw0mKNSrvVlCTCgTREKoa1jaA7M4HP4 dQD4S1/hVzIta2FpjdbDkIHdBMcSskSe9+RdNelOLQlvkQBHWrbYDNC3oHLEt1p9HrXD2HacMYW7 8Hk8EeFGasFMVzDIlAkpSEBdTdvuwTXm6SughEjMcDICaQF1INbdaGRCzHTHOiUe95z0ogxkgRtW 1919vtQHSApsTmDP+zVxfnLj9rhan6ViKdQqjsyFT0LHhWk7zZQy8XNNB0F1pjYmbW67x3ff5n03 QfM9RKYFIPFHbl/L+xRz54RDWx5YXrEc6btYz+LGaojgz+ZxwkxcNb5WnbM+BjFflJ2TtR/N74ub MlPuErSkwfOWgZlAu5SdA20lxgncOh1GoDvCoSt3GHa4BEMcwHBmmMMJfH6AUw22YgMt4oQFr0WE eBov/tExGdUgeeWeFc+LBmLG7cZW5Aik52UFlK6HoI23YKR7yldCQxxmvce46GQ+yqzttWKUaChd 6tz4lbPvq/GVX7qomFarv7QWyQOdQSDIIesNJ7Cfw6oei3YJubmgK4IEzGlR/whhGAtqvVpViCzT YgeifmNhA2ueUg7ws26RaUtLuZ2SjIAra49yducTlkLt2TvK9ymhKX8pI2dmHb0ecIcrTDbv/JLE SK4dkotUm75MADBT2ojQKj9c7be5t9OyjtbRYAJxnE4H9aBt5f/XfJA2Y3wkhvadkk7Q8mN7qaL4 hmvBPDTB6hQZwHrFtBCN7TE6Y05ZODG/kX6Oq39so7wEDc+gSXadztQqSvjDs3t/XwJa6RYVeMHS cKrKkS6TEmzq+nfCCXkTGASeiqZQiu4/jWgsmR3lcyYjGLFRNyzh6iltl7uaA2wUwtxanye/wDWB uwUaj2f5rAiCSwNgKDOb0HbKnYxOZ6zAiAf5CBASsn+7xKVIzjldC/1OeCeqnTEYczEsoVryLFIZ D/GPYjotvmKyarLjko9W3DWIkJK84KdlKTi7aYfm0J9OY3lfSvm8kOSbLJJQC8FwoezmcKOKShEr Wu+61qJlPfenOVzIjvWDBwNSHs8oca8x+X954dLGtmJPLBVwqNYTQjcskB60/e3O6kGcy2gKSfHQ uWHBpMEdsUi8e+wsYHGSnWiAvhH6eFR6mTtHJoDrrEPu4lpJQo5ZaAPihFziDXgRYkPo5ecvjIDR YdOuYyRLfe3dASBP+gjo7E/uyE/cymHcYLwFVn8xyNISmGqP4Sj0lC7IoU7VreAa0rwjj3zPVK+s 0pbP+/sPVODFTZmsKnFMsllonjaHmo9qesZJaFFHKTMuoPOIWzqNu8+8flNfzsQdzFOSfpHxIYgf rUaOHnZHmqMuogpVCBYJicwJFCAuzcDCc/8FpDZIUAaA5LFf6v/kepA4wE3WU12Zer3c45f+fTTA mj/fad9/XRaVEhZI8I7ro12/xughEOyDPa9svJ8TLZ8i3TF9Oj3D1gOWE8e42evd4GZj+gsiWjXh KrlbjrK8BcaNgeUVnIJOih+6lJEAli+nh9+yWSJlbw+xsd5NCW4eu4yc+Z9Pnva2nXwrVcWvqSYA 6GISmTBmhrEw+OMKaymZQ2QkOpMsW+dG5EU3Y+o9nbANcI8At5OUoMixbyYxqe6ygCuDIujp2Uda WmDMfqDYtFrvVQDvdfL16IVCAMQq47fTucnlHzoMzFXNFi8ly2v5v/acXPNSTgmkYiCY+e0CUrZa krUcemnldikPkJlc6yN/5VnougANtLL8j21jVoXSoM3LvVLsjR5qByiJicXMQbLATdOQumLprnYs HSJLRsC5E2Y5+W1NKx5mvxhjIGOcbKGMtmO5bt58xsQW9lAewofdkY3gcw72BwadVeN2fj3f7iUH mmVHdZ7e8Pg7Ivr77SuASWQWWgQZ5NKCGaKCpgDSUEggsfwr8rLt2/6JKa0orjeOWVY0tuQLm8Qj 69jbc5RBiyBXIEgPHWFshvEtAmcxtTu+kdO/HyrMukM6PMDKAAJ6P6Te/c+R3bfP8VKUShSu9iMP /R/CpFljSTxYphMpTQYxRxeQtJIaWBBsOU+EWaB5hoXPHud7aczjDfFIED/5avzmL3ACHde9VO0h Cnv2GsGhJZqbOFJg2yv+2ZE5fUxJl4TGWu3DvfC4wsXSdpIAcb5mUVBWh+dAAWh4ivXnvTCOV9gr AqJsDfBlFkzfW/UxWZ/SeO+Bo37MkpcWOpqs+nPc4uDU5tAi5dXghpW2OHBL3/e0xenxMTHXE6Q0 /PlPFm6XCf21EWxPwgQKDHGzzBsOYzAzyyXE1su65Ahqxkq9Xx09hba8OAIR+aqiFonYiXGAS6G1 wzvya5s2v6xat+amDiDHoiEyS3L/kaIWg+JUM/3Dk4W62nGCIPbsOstIunxkqE6QOXS/ma7Vbb3P uGbCq/fbVpx/52nJBewNWszuOp/wt0Z8mLx73RfvkS5DKIgpoMVYYv7mZZk0ONTzLoPHqm1QoZzH HzWa2KT53wGhU1nGCDPEfoVmNFu7m8MvvY8qj8/9XeJj5B5B3YWu0tfRzksYX+Uk+b//8k6izSM2 RkSI1R09UkKwLMyMiaQEbvLOA0vBhz696HWAhUo/kpN6/uLdvrOZxbfJoYakNrNUHWnFWfSPuXgB XxnTLX25f28QP6n/ENmxCvi1ffcHQWqwQHAmx/KtJGPtHVVYz42tyR30E+Nc1pZ6meq0SGpVcvzr f6zXoKOYcYV4+GjfAFTEujncHtiDlAIHfK0UtRFMQJFE5rRQt52RbdO/C/kn6eAwPj+w7KQq4eVy lB2h40rFxo4VBB8xM4vrTfnlOHTNLgoT+0mxn0txjdLn6c5V+Wwo3Xh5WFY31e3AHhwJ4x3YWN1q Nmqsl/tAH0MSu1U++C4JCi9IgKq9TVm+tIph+XtyIKgPu2fAml9hDVf5FBjPQy8EjRHaZnakRcEe WtgbGCChRhVCpJ6nCPrE+pcLXh3Ga78rukFxU4wLB6zE+iWAIOJldz6iZCf1ctHxAv/894zJr4Gb +CQpqsbP6EhsHi4+/tfbyTmY+Hl4qLKCMSXeXYl5D1KXRWWVsoDUr8Fsr48Rs1KujMtcTzXaG/Yl TvadpTzFGcfuWK3+QqoZUfOCNru48l/yIjOkWr5ztLect8gTJbBNLYEbbiP3iW2pcSUjFIOK4v9J 8NqNEDGIpZJUuapxP2WXpruTd/ja9I2WrFnCLbnBqe9sEU9qHYVi44EUZhljItAeSkHz6SZyHoYn GGcBAX8lVX9FOblhpgL1bRrNUcNWwjBSHPoKcWiyKqxLpljDmZYxyhq69ePKvIR7iN7Kn8fs04wp +1Ri04u6ZgXHIjwZWGXRKXKRhGWoMOPfiU7q2MnHJDVfEXRZDHYzSpCn3LCmdrJw8ReT+IKl4KNo Rqxfk4zGD28QUqBmy+dsvdIs5ahN4/t/lrJOirYKCbGhdpApzvHRkhqeczJyqiBimFuqJhe9stni p6t6MLm5bF26Dy5eDWnxUu6hhNikB7gc3Y6kBQS3RZ2OkdK4siWoMTqsTPNkiho4f80AJS2ZnP1i 7dCJE2ILmuKV7iEfLvoSS4KphrvZEyGxE8pPrSqNlRAWgOUb7O8bAEBO+ZARMj7wmhieAYEuEDZX AD7oECqJcRDk3DiKqjGyfyPwA8aAbs/KHgP9OGzPpNlWWncy9bpfek+OL8Glo3ZgCVdOE4UYcMsq e1hr4pOBU5T4W18uIWGDyCMfAWra5UuzjTQWsR/8CPNH6Ho3HT36is1i+nIGgmfd0GvYmBQIFhH0 dG4quECQFTFz7kPsJZWX6jpgAL+H2ROTkhk6m387vpsN9Sj9vEF2ArKVGNHzNnCee4ZjNpH5sv6g pfX51VHCc6ZNfCEYXpPkrcQ2Rfe7Cir5ojZT6ZTGB8x3/TPQJ6m9lnlvXYPyAPmUsYe/6vM/lLnD 18Xk9fpF0QV1IcC35oKjpndy5HyFEGW6gfCyOLktG1QJRbob7bIpRwkwtThXzK9fvR3gq6/nOp7I 5Yg+08wfSVswHySBxwhOhI5S9ig/kAj484sKKTgWXc2Vv0eLgp99blt7zSjpTYzsLHyfAy3H3mDv eEZl2oPwo0JwA3t1RzDshFxqXM6WKW5SGMZOYnGnTn7nrO/TRRXldTPvlO73M7dgU8l/8bnvmBaz ySIrFmu2/eSABk0xYHgolA5W0fnVuzbabZHtfcEJJMTz1FXjXn4FNqrc1o78iM64tl9BPk3vpKpX nu9dFJqb0ZrMmRYrUpLZ+OBrV/7YNmG2wYgpgCaiy8nLe1O3AaD8KoJsfOb1fuy61YCo05/0cZtS rIQ27ZOcVOScvBhWcYfv/0zxpOAVgw1WC+oCa7S0G4ojjEeH3dfYqYGpPe3JDS/6f2Yx31daDRoE MFMSp2jwOcgpTwisFc/xSCI+MD1k0LzjvjG7DxlA4WLKLzwKYZSlKTLt2DD0w8Xs55zFIR/FOd48 hmrpECvZo8paOxpO/wc0+wY1VCQ8tu8FjArAIDpmxexV41cfdKw4IXGBZH5kEeSJtNTiNrCb1Vn7 PuLWzpIqhV39Jhf6HaKvu8ZngY8khIORNOlrvoTvIiSq2Ay+krO3cJrQE5FwL+IWUZmb1EhTEPke GbIW/gIpwX0VRxMnwmxtOy6D/0CnNMjSM/j3iYjdps7BT3EqCu4gAiJVirndQuxkhkYHQsZZQSmD 1Qd1AlDafGMCpmKMT+S1VYpWLPJXN95cDMJyRjiaTV1xOHqpIgIw5UfQG0GugNlfkPTfqpp64Rf+ nT2CDaXzBtrjfV13DDAg5nNxzVIHZ1twroeGdryw8q2bPOvO58dbPTlH/DYa92M91bHTonAn5VOn EPV41kwBu54LD3GSce1Q8vmEk3WiVUEK4L2kRUDRcV0Sqcgwh3AhATCccLfxJi3el9iFT0O9iqW+ ys3plQa0mniyvujOLioymeH1FnchYxPn0DFmjO/eY1+h/yxXO0EcdvIHyJRQ3GjGuafukuGim+hZ BNhSV+GpfM9buzzZRSGZnT4of8Iz/zNoKdJEIfuDLYr5GzAHqOHYSFf5aUTC+2QnGhUogfB6vd9Y wnCvlwRwfgFhNc8X5S1+CCmq+nevaQvYx76Cj0v/S8cxQRzVcGOUdZQxxm74lmFZeiP9teFye3qg sum0c/ooOzv1KNeOvwzNsuBqC5z2oDQlX18H7nCMRkRmwf1DaEVz+CnpWvS0faoQhC5R8N3HgwAA rHr4rzTZV3HLQ6hODwEV0fBZvDTfOOfv9s53fqvp99MtnSA66Blh6I/+5EeA1a9K1tnfiq7db7Py osuxm7HE0JOqLF8lfNV+dggRMF2+akux+pVigNW9zHvtd+M4uXyVf2YGXa+LoD4ot+VmPbCZbjRA l1bAXczq6gJUUQK124FrCNKQ8BGpogafoM/RRtlUwC2pK68TNhLb4lRUq8YHKZpynXSYNWQp0q67 Rvz3r4E85z6qkzQBahDJuq55IeCChAModU8TVk8xPUYpyS3bKETHTKpH63V9av91RvwTCK/AwRPd V3QcRE9A2kPMGf8XBwxq86PqIcL4u+W8D8JJ6VdquxRG0q9hOgqr7U2+ROmN6naBCdMUi2ujDPIF sCZWNyywimZhPGE5EXv5HvA26j88pPg1yOwNV4QtKOvMksIiCEuI/bLDeMEbMFelZaClLfIAudA7 lGuwUuqdNWE/UDybjV3Y/2P11C6a2hDC0mczNO80cqxrGNUzIcBOZsjGai2o6PLZppYvw9pxaNzx ihhFPvFVboWvl5r4KhTBuRLgQHnvMre0CX6f12K0wrXN8c3jS21l0I3yp7DcqBPMSgFhF8qXDIPm VPet1Fb8g5/j9MAcpXPbCtkNvJ6kKfJc8v+4BGiiLNJyqcYF9iI4CwgI1DrDK+pExaEGNV7SwxNu 1GhyA75JXPUx/zCsMyZOUdcdhkpquQKTb+ERew2zgNp73GuCU4AEzaVS/FwC+d/hELan1ldajEvc fQuV0Nym/QrgZqXhYSl4hlj//aDweJcvfP1kH9vInMcv7I2UA02PQVb8jJW1Ox3Ntjb+LFXgbFco J5xi6pt0Q2a98DPFtPOIHuKtB9cOhlDw/TWa5fCkwzqIFrdPpZ1tXLm42KMl4o0tBbqCt2wASi5P YMjGX0YPL16xymtKg6QxgetcuRFX+eGei8RNhLA0+TXoi6xyO0bpd2GG7JbYc+5slQEWwrOLNcYF 6EYDDo1LT+VHQC7oSBI7gCboWq/6RdtDaMBxsTvLrJAEqLcyuD70cC/5ziAz5qqQrHRWrh3Xvxq6 RAuzOQUNwYoGrjhVhOddFJeyywt0/eLSqNIHE9ekQmrVjgEUr3Tgif8H3iTIR0eOa6DHPZTIKl7e X+Lpt8BJgJVJDnjdRUXXdl3oFXOn+xARXc6srJQO6kBMrjabAtmFAIizViLpKVIrJskbsA+rgtBK CYREsUWX/gxg10Husk+WK+3C/zQIQLWokaEtovIBxpXao6TVeOX3BUD2SUkEUP94K96hY2PoqrGB TTxPEfXpgmtLow34rEEvLC1tjzTUYU1ZtUFLemAJBdTkcKLF+QiwOtNwu9lMwYDIS2OVg43JnC3a 03R+oFqIn2ufErqFfhsmxv1awB0JbxMc+qi8mQ38s4KxYtZESf7AC1IT0VVj6SjQIqL9syo11BVX I+kZs9ldaf97MFHau9f8KUEtAdXu7QoYG7cjBUHZbOHeUb7EUjJ/2T1OOfY8asfpo9yMm24PDAls wByraXCe+30vZCQFSDi4U8WdMmFs+rP9fCWopy6On42yrHnVtD+yISDlaX3KxNqQ3P2PeMRkxNIa hNMRwXhIsn5YHxGLAndhua/V8Q9dR3oB8Bc3RGGCYKUaA19iA+9g1/OUWojrzbYmmdRcQifCPfif nW6OZKJS1y5Ri4NlnTx48krImqIcE8o+G60nP4cvfqFzahoVmdnzkfrqg5L2imA35W7rG9skVWX9 rUvWjmidxI7kaTYVEj1AoKph9K/dchNgZZcVM13xT8QGjrK1upRrwRErlQCPRg8cl7Y6vXlfNdC6 S87RxXdpbBuEcghxAErZXJ3ti9JBglXZUBbPB4ho9qsajH1idofRSmCLrQT1xYGM0/UH3ilHMNVT 4gIIV7D4ka60yBF3wPItuYlxRs7MPp6ntz8fbtLQhF2Umr1xGGnHqNzja3m9QF1TWuALon7AG/Px RktlZ6ULOddR6K/mxqh3XoZNLYQhe0rAWiMiDhMccXQuwg0XLoVnkj08d9xWpIMWvfRUD1di0lrG QVQkBsDQFYeZ1p6SJCBcrs/exSeok+c6yVilPsKzJKudXAYLQc5++aLJsSSjmhy42QZquuk5nCPE ljaocu80xshykblROvvTA7afogp0cb1GrnIoXgWZ/rmfpvY4JlibKKVeOPbtbl6dTcULO0auobBy CVz0bCGckFBldeJaleUuBG/0SNEIqAwdm6rrqW3uXr5xI7r/q5Q3PRDWRCrmGXjX3iOOTirq9g2w 5nX2AbJbGIm2AmYQnEkL68OuJQpjm+fxVqQbMmIJMEZ3Ly+Nz8FdrjmoIM81N4u802GYjaQsFyEf oXKIM9nAHnmMzoKvVuPe+VqDmMOWYZyOy3Zwq60iYyWN+J9fGf5iNc9rRUsKVDRwqQRkZIuBzErc is/Q7iXYuzCpUnSknBTHnhmgiAzFPrE7G84HSWff/JAo/PbPoHXEm8XimU5UjN2kARzjmJsAqkrr 3ZdMS7xuicbIz6sPRI20PsqAR/MUu2uyAse14xG2b9DVTAVqWR3LOcJCCyM0iWXFiM2Y54hywqAI zb/n4mHd6JCIL8Opb2bChT6mNCDKMwKGjnBCTgUBujmZeaQx33ZXOinYtSD0v3+R5aXK1imUgwcf xxjxqzRkEesX4bNm0pEDRAlxINstMczMKejTyB+oCqSSO5dw6GuskoQgJY18wpsQ+6zhI+SR+U3W 8Mf4kYEHScg50fqVFGTnQF+gKILFBMrXLvzafpDSSutMNPCH8MfQ3UeYoI4KR/CRnNCbPJloapU0 qrna4r1LJKXsu2130pyVfcTlDgFosw0xghlCikH+kR4aQkZHlIsfwt2ZZVxhizHZSo+EJXVpXWX7 o3wg30vSmlKeuYp2EwTPVzFHVWqi5VBkHqfPGfv4YsQ/RwVT4STr2G472ylysLRvyeKOYj66MR4C ADYLZNdDWNfZXXVV3sC2xEE7CktqrUClhSJKg3cxM4KRi7PMgVXXZlgWJTGevQfeAKSYWI151vxd hfAubUIxdIcuh8WRH0uAVsIjQ41d75ceye/Ll1zfAn6rEKsKdKe79x79lTBrLMpcXwk0yAUVN0y5 uloytu2WBhTS+7ANuZQbFpADz5OvG2dXqkyhx41bBlYK4LiXjQOxaL/Bh0nXGQpDrfmMNLrSwVaD TEGZORf+suEpNNye5ocD33kIBCGTy0kUNnmeuagys9Kwo8PzP4SDPC/OJ1uKwB2Bqxg4uHNHWp2q g0zVRvb5r5T5Ob7hwNMajJ23d07k9MVoI6zYztx7p9eo6/VRrYinWXo4cpVqhlYD4B5d+cTwA3Xz krI95DlT0XLrL2UDdFGEui5B66GcEWRctpc2GNHIbqAjPMPYzKgl6rKcgo5Umw0V+/riHeiqsb1j 9lPdj/VAUv8UPP8qNZzqdzpTuB9Lx8HF0Oh3pGz/L3fR6ZJ8gOCch/FGFrIntmP1D1V2ZGElxTON WK37GQgo+n87INSV+C4TKCdKqA8eAvNFkQH6Lk/umZVJUA2yiqgeRaIiR89dIUkoClcvK6DOpKf4 uAQc1d7UKSXIP/5Gu6mdthCLAD7CjzvXmlmsv7YV8Q5QWlI2cXrWpB1LjXxdWU5zGyWsHt4muk0g YDHet7r9TPKUjtXU55LS3FVjrz60kLOl1ZuWe6JGCuhVYBUenxyeG4e7R7hmPn0DvO2TDW6kUJqa N0pJmNTYeXWzLdT2M4XrpVskVq6ykHnjlhUChkr0eWELw3SG6lTv4AdZstCSjKoYJGARHwwpbE7p 6CQICCD+itvgplJB2KU7WAuvK/js1SVfOrbD0jMOxYNFbkiAlnBYbQQcO7e3GHNzbJf88gAtdcbB MfndTj4hZQ3CN7Dfd/RmW/OvcSBVBj8RQgyE85mrkbcKVBdHl7KYHJDdvv/cjlJv2dIeSPEtyjR4 Y3x18sL3C+7MIac/iumaY6T84aFeDjgNmhgFuHmYBh4ROfTLjXT8ZWDqRCWpka5sN/7umByebigM WPZ6mHqBHtKMW2ZILz/iLRb2g0RU4V8yr/fkfSwfebFOe+bfUOkehDWTnq3D7EPJX2gF1SiGguK0 3wVtDD1WoeoA+BztyqkvfXhpQwvP1Mt1dzmNRGS6SJXcqQxLzWVHcXEGkg2lLyADOWueCic2+ihV uuXPZrK6RzgkUsK5n6Pr+jqQv12530duqZvupfRMAK4sMS5OtuQdX3b7BR/sQIBktkglxlhIGfuY 1fJiOGF4ORpX47e7yBFDxG9tUPVE7bYAqsdodfWtKkTB5wgPudP/P54fktaBVQ1oX+ikSDWyEmvP pzsBjCd8nlteX6UxaZiYAkaVvEnl2nLsiIqy9hF0SN6OOkpbhU94ArlB2etzxjtmNf7sObhbMT4D 9KET0qHke27VwkKzjmgXa5JhS9LX2r4KPWuDMkzVbQpO/vQXKeL4hbROhDtPfYT9fsqyVMWY3caE y0hA7WRkRsW8DHFLjXJ3uJyQh3bjlh/ThLG+Xwp8MRhflFp9YkrDTrcxp4LeLvRCjWVA3A5g07J5 jsO4j5MrBNeP54qfLInRBga1gRpKZ2ShChUxbrF42s7FldmV2qLelaER4zY0Kf4r4lVjxlK0tAxc oiDvQ89ixT3z8t6ZiL/FtMIg6QYL5Mc7j3hVVu1ozEfUjm8ccwb6fKR9MTfKXUJ/wyHaWqzR8kTS O1msujsVPDmzvj7NuwjdlhC/q+f1LdvxbElr51mLeLLHuNlC6CbRxcdCBMZctD7jhmZ+ChDLo6V0 JckvSFDgAG8uxxp6UMBZzEAeuJ71mD2Etpt6RBb2+SqnuTIYmUrdVHkPBqP3SzwSnmEz7EQM1UWt ZzRL7YT+H/PznD6FR6B8TbCH9pbArKIP1C/GbtKJh6LDpozvlo2SmovYHZB/s5ijnHUn0RfBaApa MAprtzMPgw1hEfZxwknBvOZ//b3LmWLgFGNM9dXVdKK0qYiTo61PTFKpv8dKaqkWBoFoAPUU2CPb mOtpDGolM7LWLt0ebuBAktqsUqhUlljerXZIA1oUbkVg7njMQ6cqirWcy0GwIPwt+UO/pmHG9hrj GgMHXfH/KKtwrgrNktW0k5Sa0xuWSsiJvxZVqTPH8iPOMzye/NhapCORL11Vpoynl6ZHv+QGzJUk Jg0HXB1+U2pMwOGBMatoorzIZC60qunQasSzOyaO2dGa5R+Ru0wqtJfKj8H9NpU/M6fQ6uG9ZXm2 ZmeUSRVN3+0Pz3PycsLWh+DlnUUv5DcqRVgLfRBP16vTck4NNDN+B2m8X+x34lNUMgjB71LqjNhj Xbv51le/jEnuldf6e1XO/IRvw+cyJE9ImiJqokJ1/lAvy6/ZeUGPA+FUo7CLWlfp4Lf/pJIHtOGv nEUCiSOe3mH57wXjXMBuHfUB5LUYW0JzaneZKNa7no4OeG7CwJURj1etwDg0+MZ+GPvsWiyJRGtl d0ZFxWeqrCJKXMDwQw9I6gT+5JXk73B4IX5emIkBLls9ZQoe9vrMpZBZk/YGzDIqUSJYCJbLe5aY I4QgMkI1ldB5HJAXe5YBDIJ2U5bLUL3CLBKgD9z9zsdO/wEwC/FmWzxOWcyxArCBzyWBQg3Tn/QX sD8mM9nJvxGSetqx0Ce5HGakT6e68VuCbAKUrC+Yjgi+zOFdiaI4QRsNWEQDSTM6q3Wu2cI1/vYr Wc1gn6h5DsytLRT/LNitbcjX0/X8n5QR3unIs666Y4VnNFwOE2q0VVqubZCmldTyEp+UKd5IfqsN 59D1AV77jXHv+jHk9W7Rn+nO7Lp3e+c7QNiEw49t8oM/SkgRAiPYxQMpi9t6dgk4ZPTqhcPi4o8B lcH4ltzgBCs3KlmDHr/DsortXNzx5+Pe3l5XulnnLeRO58okX9zuUlVT9BVdh/ouzuQS/bi+Aim7 VbY+qGioEy8evoMZuZcnZ+ty6TlfwuGfeUIb3OHUmvLnNgPGBHKtAkq4z7uZcNIWjUcwy0H0LQqH 7XRiw1Fw/yF80m+qm/5/tfYw/+yBpl+QingQZy4qcHUdKcTv8uDOz11R9gQdriJXFRUFSDDZwyku 7qG0YkwaPHtKiBQcUy3WtGnGLmm6MOZIWyNkjJmTnNgx3Twh1oxDoTTeXSls0yAecaqcKR4/dFFU 8AfNKO8ld8v9qYHcxSarkNF/TVDldGooycUaJ8yIjN2ktvsyPVad2vevSy496OYJ+9SyVgZ/o1df QF7yIREYB3PTHUoUppwopEKyM+p91ZUVVExt2ZEBoDG3A/PfZIxAHggIHPXLyZ5n0MT0E+WHjlTX Z6yZ7ZITp6O1qlMMxFjDS9hlZpeoLiiFrnZ6vedf24CSbIbi+a42jCiXVFO2hRLG6NUsbe5mFGQA oLj3BYw4iqo2lizRFX+JZ715UCsQwF72F+Z4jg48Jxs3xerLzp8B7xAdD5bNJa75+AqPqgykTtay 0uuia7+N4v547w9aCmjZLqDdpBFPlI57GGPbLr1VbVilp22r2o5gUr5/XIcXSovApSLPmD23KOLJ N4jQlKHKU0M6ahUC7TQyOujG1kyKll5ycfeRjvROOaGqOLUuarsmu6h1cAA9PpgfUeGYQ+0LFG62 1NrsGT4Dqol7JavEyJL1b6+w5NPLBoLl0QHJW3422KxJ6PJWnSqB9EI2l4Yk7NZ/RHF9shLICKx+ +AFb9q+igsA/vMwo1DRTMRm6rU0SmKmA7HbJ+JT48hRRuPnoBQt8VMo6jLSfIOU3ta5eI92F0haX bZtoreJz7IGvbmY/VVG7cKU7Ph2IP9G82PwJAFlxQCpdPE8G+6RCv9cTo8zm3H6JPba/8klx7wQX gSJ9tbSYXuVxD2E8t7sFPF0xrCY6Y+qUyJqiQbU/uGv5xH5OjJPlqKsGN+PBc0OVt+pabeVaxltK QUWeZi/afnENzAt8kTp9bfVTIhD042jDbDhkDzbKJbL9n5/Wn6U+tP5qYtHiqBMfFwy+q/4zUIld RpQwxoMssuecTLLPUqQRz5uKQVHvroMWjJiQ/cprswMm7gakrNYWcIOt8HAHeYwWby5f1EsVrCx0 x6a6ChtrxNVGvgBuPxf6as0CdsKfZV7qnGRXCrz5cUcQkx5Z7gyluhIydrZfNEHij4WkAX/tsgLX mElbeUKKWKAH1kl+JPRO8Z8ApkcdOg60FfNEl3xrOHZbJBeVLo9Pn4udTOpQa/qqbN9wroVdSjrP 3if3hYpnAfLNVwaakRZ1brtzyyio5oCTqPFoax0oa3Ag29THwHEXmek9kWr2NxUMSjto7iUFLg7M FLxcf1CmPI3bClzA7gz9LsGg29opZ1JbaLlgrbRTw3p/3IdJF4dR66+sBc7JxaN9DqJaHAkC8zdS R9qn9oXhHppYZnGloQzhDz/f0z/gcm4hQbSa4UnZ9V4Hta6kRpuiaWtBQTJOo/t/GCKmsohiAIiR rDvTJnIA4NBJAcm7FmPgr4SopcWaj4Ni1SH4MXRtJnT/ulGx1u2pELw1iTYa/m2M1NNr2DTX1eEV EWFJVCpoQdVI9+b9zAu4h4flHr1F8+X99qJjK3CFYgteWFbjn/ItN5esZPMbmKRhK/B32ddwPf9D YkncWB0oEvsNmpPEUzLsZ7WHvCxfqkR2fQ82bpbEJPLx503aDMpYLiH2LAiLts9Vc87L0j559KBm Y7vGX/8U7wN2uavQ3oUKdJpUoJtj9pisH3v3Ildwsg+o/kfng3wuY388xOoCJElCmC7BDMKDXEKz EzRjnL0ZfcOCSa59bq8SuaGUVBtD4CYxmxwmdouCTX+bm1nSPzPQ5mhpmkX61j04fxML/aX/Ik9E AO6anSjqiK7WVBXQ0AoIkfKXSkNd1zcpr6q5yfuq4A5gcm7JA7KP9AAKiRIvJQt/DcSBg435o/DT gRipqTZM6xPc4PdQfiNv9c52nDUCKI5NcW1wv+xj7qChCZVZDtS7pzNIZxwAdNmh74SLAS9HE7Zq gz3uDcRjjX6bDBAZId3ZXt4XM8XUqnLLjoAfqVzhI7thJSWLcaIKHa6t+9oFU/pwZ/dFiJzIe3Xu n7T/wDTYYd5UT4NX8eLnPzeQS/rfPRmAcYsBUsU9fmBJPB1h6KdKPTWDgptsR13dBv+S/N8xZsZI RnQlP8aeeG4L8E9VxUPQhwBdZXrDG3QYZtn72kQ/yfDVt2eVenQ9PHeaepSyGHd34ZioH7SNOF8w p5/rsg6qKUvabW0dRq03XM7lldl6q1hsCApxmmm7MH5suGvcpuLcnossah20yaIfhu04FuU8wRtt MWnmjh3DjUsf+qYApJ94twoICW/JVgqF+s0BHrN4Cv35CzCX27lEFF5oUnRiiEXtyyCvg7vNoOEa yN21aqhb0KYtjiMkdTATRlfJtiXORoYxCgbpggSYz43cz2clgnHzX5h6t3UugLh30nUJj2qRoN8y PX24Q6xWcsY3wnbS3GKnEBchSRz3fNNbo12REexP2rvNmk08B0rqq0sMRLKHv8DDPqOw6ZjWVs9x jYXabKf1Q5r3Fb6tbGddp2etHu2V4Gf/NYdRq1pQEZ6l1aYsBwd6Fk6O/EzXtf0037CD0AvHW9iw wtijshllwjjU8oK34KB49d0aPw3NUbJ7WaPvK8XJnKX6Jwp8e9YCGU8s+xCSV1jNcMJvMoCSyQMY sPdkNcBLD0ah+UsYkVQxoms67gLaSK0S0OKp/eXGv+srLFFz2B/9N9/WFt51GM9WxTUlx+VN/Gg5 KejQP/YmzeNEYuTlxB6ZmhrjCBBQbFOX0W49Syj5ULvZuvA5Au4+N9DBHvz+ecySdt9V8tnosCqQ bkyL5da23gFsR7fqQonkpdOHn771HiqulTVJSzV3AzJwz+Er0HzW3+yZ4aYzxrLByQjcpvJ1J6sT hC6hdfi1K6GZFISFzduCfZFQa67dOz3wFJaY/a+jAhQldibxZISa+euJzIb54APHuHP5ehgB0c00 0+6eMWo1zEf2sPau6JYKq5g1ZHAgbdXYQ1kls0bhSX0zqDlf1Ls94wXOZu2ODDA7bxwQGOimY3YP BZXwkNwZFlbTZFu7EIb1gxdyJAwIgLJcrICnq/CwvP8M+rVpNcdFBsiGb3lj9CpHcYZtow85Fj7H Sqv5GAGNGORd7YWFVgca6tS8BhWkYgYt35ZmGtsH67gmaiUkUM652aHf69wr6yJuUhN9o5x5h6q5 hxt/Sbe9HDnDe0BFvsnZ6L+xyOBbB6k+mo6tn0KJXF3cbAjn7aKAsUdq8zU0c3ySFpfvkQHLkc6Y BLAMgazSJik7FyFKxy0b/0GZCLV4+AfG8meW3Spl5PsG1cPIJnJrYzuz65WQqK8GfiLBZcViPK4f XxRjMZDH70rtPLlfBOrshv5LSvbFsFCFN9MTYKSmSUGQF1DUMgkEzUUQQ6kLVfTgama+r/0CwADD +I3ZmT4gRfV2Ut984jj5bmZ0Rk/TjoAMhskmnXPY3A9qiEnB9OkP7liniVYvclJbqFD5oJZiqdPM 8L5ApuWfrczBXdmnzViI3i/JNyzaiYmy/6rVRbjHWaVmxSwRBDq5WJvpGFRE1ZK48fhyott1V0Sh Z4n7sjwhwEccqwpD4evxf/XDpyZ3370BrngYSlvzWB6YOqA7FWrulQjR83kNjEFnEJRRtM3wHhyQ pKeapuBMUpp9x0wkR34fGV+0bLai3qIp1hOVFdq+T8e8/h072aymSFUj1fNL9+CIHM4uf2RJPV+u pMVnshEaRTURUdyQdYJ8vrPsjKPIvWJq0PeyKwHUS49k/8KUnhq0ZPxvHNsLKNT3IijlW787tm/Q ob4g+PXcjtdJhFxWA3PIeJzg7LWFqMLSrnKrPLweVbK0kPM0qGScvisScaivsmH177bBf70JeJYx 8HRtFMCcqdQauWsjOyT21nwTqDbo6qG+QNtfr8N2fXin5CM9qeKrGx6cuB8Gjj+s2Wtn0kCBFjm/ Why/2zl+p1dq4PwNor2F2XCl0/tD4fq2PJjflx+yfFx1RzkG5njBKvMWw9CBCRIiWEJ8ku3l0yPR oGK4gI0fnlvq6MhbOZ143LKI5dRVo4uBEkD4WWkjxWLQiQ399yuuEfte2aPjAkX2Kxt1mgLoSVoo 1/77uGbEOr8+rkWUuIqbSOrVPd1yfVErfVA6U0oP/P8+X+Vq16RFKCKCbiTKiqa8Vn3ao7hQ02zB yEn4CSPIZmNH8Ya5G0haLP5Ioatf/J1qm2d/RejUjhVDI6R135puduvoRiS13MXvdKdwTavZkagB wsVJba/K1GTMzJvrx2tLXMNhOEb8pJcbJUpCvOPknZZmJLHy6OxSiIo/GbrGUf3Wt7OtaR40gVQy mCzMA0An5eCmYzZlZyaA2SVePZhD2sSrNSR2iLk0BprAJKcccys23sHLS4sr9E5DjSBaXw5Pr4rv 74WMpGRf/q+69VgVDxo9r8dwqszMswK0vUiIMsaCZISTVV77tGnW8rYEwEx5HbOqc+XFvDW9ptgv CeWu1gC38Eu14N288M9GBvy+O5BEpxSe/+I6+Vjs+k2aKw7L2sXXUlaqh/iqUsTCee5NsPduIA8J 2KUL9RR6Mr+uDrAWawBXZpgI4vr3HWMLNXGMN/K3U/CQQcGkHCua6Hq/QbIMKFLdrL2OnV6UwA8v Xu+jVL9BwzcuKeL6rRoYYVvEo8Vp/2SCVH5wkIIgwjA6jmzPx/awdElAm5m8opGNZJvvKNXZgwdG V8breEDVp6zoAGASnDrpQwPBEEg7UwNBbyyPiBEEOIpKihJfD/ok+M6HOzOCkEdgqOen9Yxp60PN wj1+gHmPLFLkkumCkWnB7zW0m1TmHrc3NrofEU01RF/nopHolVPsUU9UgaaE3bqIeDoC7AYkR7+M aYNhy7YC95tz95sR0cB34FoS+xvicBrwLgSvELup+40sZKy+vJcsKuWw9EDPovoUUBbS6MY0sBcU YFqs7dC0M+IeFVExcKl30LSa9trHgw/wPbzXbyL0Qk19p8EKaj9nxb1wt8FSZRN38SRbIovgYW6x PlbHMKYKSTUlz5C+XVEfQnukMeJxSTYetfQgYwPYw8h/kv/iUSNxLmf4CkEpsU2Ks7v2EHG+JK9e dZ+8JYqiyK+STUYduKN3Ae52P/1GPx2rOYXKkYOnhO4a0/JqyF/zGN+p1aGtMbD9G9Powt1BEDZD gq/ukBFuhGYcnTyu0RCyMstonOIN/o3AVRn6QT8x3KPsXmDKN+Pq13QPFeK4L2+1yF847o9F6SEb k1s/T5zWTAistIb9nU92DCVAU+qHtvQKQEW5KQ9LI3pos7lZ4c2+eHRq/NunCafPhNOH1DfYZvAu 3M0C+uEkvx7pSsmPFsoqtQvtFwBwQNC+R/3HI/AjZUyGAWp7dHy9viiSRHv/Q9lVeeklF8npIpb5 316U4BLK+66T3JhL2tQbIoKu3bK8hPInSuwV2/pVr98WFKFF5vgGCeTzb3sro8TSiG4wrNa5pHsJ BxHUIPcg3hw1TyAROZIxItDyC5V/h8+cw96Phh5Ts5TRphY7ygYL2vUejwwSu5Hvu1SPUA7zo+FE cvO3Zf1csKLs8KwH3YcjF4/CKh+4Qd1PoRUqbbzMXNt/qA7PT2UVHGfCAQz3YjCUqluzN2Xo+++5 lIeeF61WcUR3bjl9G0sLFdA22OLnYQH8B2J/FMkEcv1lj85tjBt4XJ4+dx5SqlJyxr9zyhTKTmGK rdi5xJaEPkRi9fGgFA3xTju3TWnPSXFeT4NtHxSFigA0+tSFoVxDS9yXlP0zyA3KDHYEK3S0z2Cm zksnLYv59lZzVsEUhc0P+N9I6sCyqZUQ4fYOriAuCopBCi7SA2cOHjqD60ULR2dntyOi9kMAffkK yqPp8B2fPIzoQmmmVjpfXbuJIj99pRCMZtLv+OyzOL0xab0VCNG3/Sor+F2b0rth68lWXcvkVZHA ahK03n71JSGyE9RNYIu9eUGr2oll1NZbXJCoFwBNeia/0rTZLN+aASygu675Y1VRVleQgt/kQK9c /AO1NnuwQ395nfDgmxNlq2Y2OLOn4mAdl0W9k2GMeil7DP/TJ5zDJY2dx/A/zcmSjGh90h68EjTU 1udAohVo17eo/JMmN6GDEq/qWviNSbFkz8Cw/hP7NLoQ5T5qfkH+mlu5pfzCpVLVTICAKYvJcH1W yoN5RP6t0yyvOHqNTqK1AETqsv/4TcimQ7uMCFl5DcJ2Odp8zBVQ9LpyGdM6jATCcNqL6EL7waly zLjI9Dtwy7XLnD1Zky/w+QyeyFCLJRbhE7U21xyhKVamP+jn3MKAqWfO8Shw2fP1Jvq08KD72bqy M3VBARV2BdBn5UfPBngTMt/cXTkX5V90cSzphZf9Jqx20CI8qjTyGtV0SKnbKrtiY+zbSZbQaAes nxd1SZ4WDvXujilaYwtO9irhIvBd0M8jFJCLHuYqb98djGK7GRXRv/JKUI/s/yeMQoSe9d77IUcD CL/13voH0YX4JUnBgpN5sL95XGjgZY5XFbWdopenT7w+rnABmrSPJfqNICCYSWjOfDf0a1SnTqjW UcONTaHbfHdLfPD9OEaNKUqzEbc8J5ocmFx6vlGPvQWH74qvE2X2XfBNzWM//0PBAzbxvgrwH3K/ DupbDExtJ6YZbcdafAsZHbgJshefca8j3+jZ1Y7YyAYWoM2jPjqlaHxxZjia+zrEa71vnVAwoiDM cS9+lxKhNuH7HOpzdGGbA/O1fkGTLFS+YnyHm5PxaupL8p7GghsrNoANxpL8CrPU6gsi9SkRrckj 9vY9BZuq/M5hHdLEcBFtP57BGvpWsstZkSfa4Lcdub5jCPnlAdqDZWMsSwYDzQPxXD1JcTnquXnk NfkabnObxNiHuSu77VqHG+rJv0O7+EwLcBu9qLnWT1f+PMR4DtpW+ECSFjYmRvnnt7ArU4doSAfR B1C4daIc2zdW/5zOSqWlBQ5MeqcVMlwPLWqq7u+dF2QUfqZmsOOT6zMD0/rryiDUJQg5BNmytnZi t+zr7pDdd41l2baZNs8RfXOTTHyYMk9llQ9dEpaIP7ai14JW3SwQRusEh40kTcguGnKwjVwPwUxj l2j4awkwGK0zz44sV7FYJw58O/0zibQCau2NKbD4g0wTLvnrGLaAaMykBKc0goiSeRrEwO2978Tb 7ufVWG41HlMgGuHxY96Y2yOakb6A5LYpf6xqr/oU5THvN79ATk50tJAltr5Uw4Py17LiM3NxGxdX eDo4YBnIdVhSQFWdUv9DuWDeBzBWwfwf+zBdvBDaQ25GXSErg3cLeYijY+rR6a9KKS51iV56pIZz ldrbmZpydeSujXPFqRK8DMi44ePw4QIwYCIC9vMha+uGBtoB+DcLkq+8a/BbevC88fU8lPfGvyp+ KucBkUSTBCtor+AnfrS1MIdKrPBHMRTsHpVeIT1nKIhfDbrC7KfrJh1XcMwePjYLLeqoPIiUSsgC 37e8SBKic/kRC3bNiuG4SsKeP159gUQE2pdJNG6i4TeBEmZHWfI+WO5xKKrUpVNi7MF5fLMcOO++ ZhaUHHICkE59bEZ1/lWN4DAZn+Vf8Xq6+DZ2Q6SVrTXmVsM66EGILp9Q5bAtA/ZU+Dp1Dex6djAN yFq91lCD1C27MsDcTX1iXn7QyAbLU1v1BpmUcqtrK9+kOmaYsUCRMhzdhu9pYOpjBC/5eG2vteiL KuNzhyNaSF/2BtZzmwS7InrpsjnfANHTYOzU3zWPT9e8s7GKn5DpaUjuQ61bw/Rj+9XgZV0rZzL3 1SYbh/UEFHOawO94IFcXFtU2PoG/koIZHJ3kXdr+SufwqxfCJSzxTm9XlChdzyOYAqdDzi8FawWO 0YoyT7M5Sjf6anDDm1eXMtQcfeMlWOfxkvBxRxtIwfxZQTHodJBsnmBi7hC/NkNIZx5mLqI5DT9a 9wskpoAQSHdc0lj8/u/g+7uqnqIOH23dtddDYuXCaWMaxqJgZpsu48RUk6EuWJDJNWSa5nXFpKtT R+G8qOda6ilGKrwyzdTPLoEgyGR+lYluR86B7B/gbHFT9MqCEuX3IS9IZ/nc48ala+kkSmDowahi 4rYPCjqYRhan0l2lVNTHTlml9I1WSzQEt2phkqnz9vyRGii5Xx5/0gmPUvY3wjxjapWGCo4tmSyR ABeckrXAR9cWWGbBn+soERubRdJGnrfD793u3d63p/zv4/m/0hVehUzgKNrO5nk2n4eWXzALFVLH 4sVbsRfdKf8fzbbcuPJGxGR2XgWgIPKuNZoEooreGjfdp53WTdS88dez8xZ/Dpalf90LBQSZywHt l8qI8IbaPomFHLnAxJzXDTTingWZA3/FQRGqobroWV3BoehGkj2qRtpBIQDFvFQqORrJStLEXWAx x5z2TasZOhgd6/aVC7Zkb4lezP5q1a9y7d00/5GH8xeF6FQkNR898eEq/F/bye9DfOF4kLcXV0Qy xEkxC/X3VIic+EmKYlQKY3HaYfA0iySss+5ERlceO6AR2R9V4wz6VmkWrzpFv3ThQ/XDyi1cxuNT pRON+jiB5tBcrF1uvyNzlQZalHTCGh8g7m5uxzOdMIDUybkoE4oUkTtLuGFAruARFccy4kj0Z7W/ vCkj+PjGRzt+S1backRjYXVRWSEiy4aVwG/ARf1RrdatjlS7zijd23IIbtx3B0CfyGBxgwbV9Iak yPfF4ef6qm6qw5UdEfAvu7ha1xrSc1/uf7QiMsreJdm6L/8LB9Qu/PIuMQmM0eny1Hv/M8xI5WZW PPf/VHAptNFr3UfNm/Egl474Sduc3ZRkyc0CoWvUChgZuV9cgcbdYpzyMhQzNBhAWMFHPAtcDtw8 YIHDrSt9Dd9oyn8Zl15tsu/9bY5lRklc47ZWefg/Q8Cuw/1iGY7zFrOqg/i3f0dkLzEOZyF8GB8s V2Okx1Q5Ito9N4G5+sYr7guC7gprNcbphgDBD/lKOrPIhoiZjZvxkS1zTCtsT0Kfs3IDB0jDlN+5 IwvFEQmbfGP3B3bLbpA+qhqTlaQTqERmZl1m88UElCT0xgQY6NRNcvq2jKiRS4cwOaDQ5nEZZKVL LgWkfkqnKTPEOgj3Rv3o94B+9QRdtS6P+xaTj43zfYDRFSaccTRsnIMFAhXr4B7KFtcDjvLWLTMe 9Rh6sPyXMbGpDcgx0qv8EqbRjV7qgQLU0mx9fvnUKe4Yk6tjn4hNGXG9N+WXd26rVB/0AgacMJNT b+/WfwPJex4g0JH4mET5h8w+Tkuquhl4a/TFloxzuvTozgcqoOjZc6miis6wyrY+o/+LQhXDxrAv +DV0XsqcqEnRoS8qjbk2Y2IO01qGi/FkZs8KVMYRB5rIQwH+tEodcM1kMNAhCgPZVu8gEIx6E/7N cSoBcVnfynJnuEe0d/isFnB8MmKa3Axi4Vblb0qC4z6zXkZm9dNL7k7ud+deQKeIRiAZUcVx56Ei CYo88SM/WWs0kTTfCvQQ/ffQ1vivtlFZIa9NFy5udaxJBjMwcb2hg254un7ShBYqaLw5nSNHksgB EeM2t+ZvwReEnDwp07Ny61Xiei/CQA01EPBZ+48MGXKQEIpVv3ATN5V159nRkCAT/W2jRwUovQG2 c5Immn4gvafJ3Kl+C2lJpsgyE/5NcLY/MBZkOHUFhp+k2y1UsBCb1PFBfdsbn23DaJJHiyZwL8Cc ME5fX6Vh0nDz0Vh7aKfjjCIELxVN19JRYcAzbsYF7yqsES0w3FJZrxaUazUSL2dSkIvX5nrwf/W/ XM6HeSNQ3jws9NhJ2U4RcBSaVL9f5+c/gpp+i0jBMtcrjkHQdoSn7zfwL31qdHRh2/xHfaXvq3ca Ma2rgVrdA+iiqt7FY1M7taB07hutnfHHJDKstznVgiUJ0hJhmZ4lSyCdWZVRcvWFCFRL30IgTtib L5y1baocQQq3QJPQqgb1eJCUAJ+cNR03TCkf13kHcMDb4CpCRGvBVsGCsUwy6ViOjNUQ01/YnFIP LfD0M9qAUHud0JX1b5mxHTLrzKap3GEpzkFKe4EkHdxZ7ZexLmTaLH8iREQAQVsJPRD+vgNkPYy5 2XfH4/1jT4RGwnfQo2RW/kH4W3Xfcbi1Y8hJMVTCdcfQwJkcLpEM7UmZjxOrUK+poIdAt4TVrKJy QvcKTH434qFIEJLGXzi1tepstaRcqrut29pJuhr5ONxG4cIfloDc3VNNV36thsdit4TqJvqw6V9Z wwkcJioG54U7YOizRt8L3TLNmiHMUIeZBIX6vML8OFvErP62NcTzuNRL6wngpLRpnjUK8Z65nnj5 6hlQa79GwEK+N+vmf0e3e8a4MZ964t+xuhc+skMOPDgo8/qTRIiLAXbdjBEa4ldiDBlgCaVDnDEj M0qSnJ6jkq2jem9oFa2LWS5wFmg26y6Ir4UGF8VfyuCEsnxjFHuKDXlsAkuInlgzoDfsrIAVXA+6 4o3MopDQkBf/8I+tLWwpBx46hwoEUcBvraF+LRx6kNjTq0tDgRCXplKU291R0UAzg4LxS2GSrnlt DIu3Wv5F3Mpt/R9+9cyfD+QSlDgW6cEAQvqZ+tfN7Sq4k9KEVJdQHUYhn3LjUogBMDL3Sz7GSP/W Gzhd2bbIf5tegLptDRt7+YWuT2zh26U+NQjamQtc14M4zbIhLX2GvpZLaIxrT1DJQi0zNSU41Pt7 9Ghakzm1dWgDkLyCUY66BPEn6CPLXwbrHpKl5RkCTSyJN8qMf4MaqmR5M0ZzwRmDt6Ei5gnDQvEw hjWk/ivj9f7fsbfFQB53/2w5m+8tPyifEK4+/I0f6E72rntZg/w5CQ8GZSEVOktPyE1viHjRCStY I7hTdVlH3aXXhdO4/QcYxP0YlYRkH7cQDYrpQwK8eDsGp6yVD/ep3kXbuAshakks8ry11OOXuOBT JUZB9coP3svj/cmoLWDMfChto92oMabf+KbtXdnDDIz+SHSz53TiDMEU0x23SU5knDS2bBRGQHgM pyW7FexMMTSeSBdzYQnE7w4Ldro0NRHmm2KcQq1kRHWxhCqpKXB/t9ufUEvlzlPzCVkujD/9NhEO TlyUYo2HIrSzwSeTe03ahRr93qOwrUrTh64q5j+frryA6dYQk+6k/KCkirZSc2pcBeHwS95W2qQv qA9WHCzUCb1BSbR+hfAvnQLycue/eSWfveFe/TfcPcWDH53NK1Yw97elascROKHn4gi5JOmYwpso EP/63SIXUOmF8sX11MHUDELRaVeYfUoJz5DWAhO/NUxmZ31dzBSn1jC38eDmeaT34FQvXWpbzDi1 ebIKQWueG/hQSjLLbNZOgGRzlu7vodq4xIm1fWD+j1q2qDSjAG4qzvPzF6ix6dYX5tfF/Zw+7XeQ gdQarRMvfT/Zx/pfFCpALU+21bgjJA6raIrdQ9S7npoENl2HAoeEGWBSA85yPuXE8dg/URS39DOX 787laTXqd4hk/I2B1iBkkU1fxFiRH0NMFZU8KIz8ohW4rgmeTwkwzvrUnz0RRLpwvFIZdusuvnsO MbEtTjQDnOnMg6rkGWNheHBe7bL6MiLdE5NoLmgAqiMuZBZikWLjUH3JjDhOkG/E+mN35If1oaLa vBWZ/KPrn7v1EcaQLij53NaprLtkblvTIvMikQFEkbYwidPF+PzL5XOLu6RKZK6YTuZPRKA8X2Um ilsmlp/xW7Bwv62Sp2YqyzOCgf1ENMvRBWi2h1Q9xKIumJSKe9RW5peyR7vovgz6RPPrcs6BCdJW g2gxpNMfwHwIAmJNlcubciUCC+FvharaRvXWxqZ0xe2ZFesJad1Ko+TnI8OYnK3XzRzb4xNmgy91 TKjI8aLcKiIOmQ1+l6CEi2lDV7u02fRSkgiGSBEvCPyajBDGMxsm3iAR+N0fv0Ev11Qo45u/Sl6c /Ud1LsZXh5ToGJNLmnEwjd/yQoVxXnX9ybLB0ZWfEGJVkfjGTtOx5rgiTBzDMATl+eTiJy0hZHAd UuX1Zauw7RvVH+jN7DYQUdG/sc/AhZWL3IriB4NBTXbUuDDBWdKA3UY3YGOPGgr+yib9VuXtzEc0 XWsikspPeYok2f3o68jhjnQxLWj+RMsyxcgTPRwnKNIGTa6bfAJd5tu5fFqgg324kWdC9u+t3fxX We3WyNX/q8xmqZX9ZcuP79raLg+cGn8J9/+Xc3vxrOoB7kSavRDhp0Ip2025VqNhUvskq+ZGWq1x G1vH62AOZg/bG97sqPFkgcLPOcDjPLTt6ACCueaAfqNkGBW964dIrLGlEjztyK/ZhM8KPVY1tYhv b0XcZxicoPKyB/c1AHbShRtc08mPzZZre3JjFKZXnM3L5qAL4XY0hkOOR2ctKRGSfncM+rKjOXM6 JnRCp70RKKOFsBuVJ/EDOALGgxiYXlDkTjVEJIl/D20E+mxdaE5ou+TSCsB4pzFWW0l8QGXc9nJ2 khOa1OBFPtBOAzQu9ln/FL2Sd51mE3kWFJJTMin2t+TCT4vbIWbW4QDx3SJvY+9UxYoQACHVbfcK 91GzVzqlsfk8olKnxgf0tqK9SKOcm3u1FWji/CH5/4rOFxdkDt472GgwAzwtbvsuR3/sTpxcYLUF LXO8Tx2ayXoayJxjZgcQqixNQr2pi3dnKXcJx0/4OA5Xw18A6PZ2KTyfLqyVWwZA5R2XCO+O7LBG +WZivp/94d7tuqZiPC1wd9i1kaA5mDu4F1LtfO+Bm9F4IGcQqKUIkj6SI+vhdGZlXCcpCgUYm278 kfrRuZwQ8pRQTUuYCKFSGMOpS0Y4GoZc6X5TA6zTWGgmesvej1qUemM7XsxDHn71Ra/PgTrxJyo2 xzwSjqNhU2JiBMSBUAzFtgrrbzpeSbc6yIF/mDqVn00whiNCvs6VOMTNL/vN8V7SSFQ7mOUhMv56 g/lgmWnje0DpvkjecIUnCpg6sxKhwUjgj5ro54JjkMTk0shSu7kya5WZ1KSJyTk/wZSBzZsSRKV4 7oEJp12Xx1pC/PKIYIo2pqjgwB58Wgpd1J4NTMbtYfL/DzpjtMBZoawE3coAcYuwdZImr9UlJp1A BaPXqf2CWouyA9s4TKT/aVxWfSrwIqPoHhyAJMMSuthdQH3ahMxKevTKWTyd7t35nF6RPUfuHiyA OwWf9TbRy/SXK7EKVIz+apcq4zePCCk79FHUAtngp1+1sXE4VnZxNvSvdJb7n3lK3lwdxgua/uEL DBW1n46jLQK4SiOyR23C99BsRq318UfRhzrDPmpI4URbCEzq+HIihVpdPfIuWKmQ9CIpbuDAhKEy 6ol9/oZ/coVK6VsuEu1Ag6T1k6nJGVadQd7JaKPGq8CYzO8RvuU7VbNfqJ1PVWcqEVtBde6S+bOf muNCbDVd4jMGSOD85lwte/IlB2BkhgP07c7G4E4YioRBP0o8qlvlRI1hlk67OLU36YUla9uS+URG PJ46oG8yp9Vtj4MUtLmEyeiEkmjbuWsGDHA2wv2mvRjZ0E/vG6zLC3ye/b/an0Fx0toY1DbbKvHF sTuB66qw8CAmvTYYn4stYKucgeQ84lcEVe+2lQ7MR7ZYz0XF1/FvCVr3I7Z4w1/DSrqQcyK12f3K RlhA6nS7ccrR8f0WLOF1dTW+FBxREV6AkRitncj3pV/LbT2fbNDKHVjN5g2Ok34snxkiAJezM1Qe 9AMFp9vOkNjt4qsBCTIiiy5mxGdZqTMFAS8V6+vUKm7GE35sBSOXZwS8ZOVyCG/XP1ro0WmfQOF9 f4Y3nyMij2s9HHoDp13qswumv7Dl/aJAgzEnWjn52i88dPNtxW5h2i+wBiRXNp2+TXWIfV8u1Gl7 xAYXbNj65lQCD4XR1bxV9Ob+8Tu22+94jQoFS4Op0bVHWpkSACtFsNg8pcXp3EKBZEp1QNSqcMjf 3lbSb7gW/39xCF4+imlC8cARMd19yJLGzRbT9ainJj7wJuq5jmqdu8WzJ7BmGqXa85tUgUqk4Mwp 7JP8w53hRdSjm+c3ws5GcDYpusijsNOn2w/C6iDPgkFRSLRobnmZ8naKymBS3iizPhL7fDraTAeX Yzvc622E2LsLWP7Jtbjg9eTLmSFq6+EnW8h9frhshFIb9u0w9OnyHidDRw476Y5iuhIXxXAtZnH9 0MGno4PRvAwBFxhumSUV/YPp35XOcLGnhQF6trAjCiiN4+6FkU/YQcHTaGcwDglute9h+w7LjQU/ swyUCwbd8SHcDCycHs1/ZgYDLwmWRuI13SxuVKK3I3RMWWZVRHLQOWDLEpPgAdpjvRLwMvmkOCdy LQXvx+eblevlvm6oVz7u8EeI9N8g83HQUUJ+lljYzE0oazq5RrUbMBG+nQkYnkH7Eqo7NP1Vplcq +RJ+CcJhGCvTOVIEi4UwAY4k4SVd4LOSBLC2oXvaRdSo/Dbq/pPPx1VObGeSxhrOLFOQIBUHBji9 YVx174wYEUc+BbrNbPqvuJDLM+5N/rDDKk+kbw5Pd8Uq4l8o04+bWTpnUiuY4PwwaDF2oTrgg51g UM3G6ew0kbwXVMst/Nz6X5owpZ8HeM1TOlrb895vAU19wqXgl3I49eFqYUp6aLwfhkWoJVg8xu2P 7UtrJ4RaF2HbgNAdu32JKEwEvVGfW9v8sOwctMgF+G8SHqGDDRQgKfPlcotSB3aDZMcL5HDuKJ4V ZMUAfGpX34Phxt83p8I1hGKxefFLr8ZKRBT1iJJvv4LR5GEvrgSKUmhhhCNtYoSarRML+lO7s5AC ayGzf2NyFZSY0IZE4JFy8s6JxYzvous+yzUZetA0QedM0EV9RWSj6XmkmlIoa0HDp50KZFr022x+ FIvUsIvUp0kk9IEJuDAj+K/fQElnGmBKfIxTdmK13aAakvpUVFOEFNU/Jy53xsrOUUg+rUh2PBNW KyzsY2UFI8+9vcfmbfg/X+MEQzNDG8F7sy+TNDKkOsAYplCx70ssxTnphn935iRhnwVzesCX9MB9 /3Gjqr56fl3z2OhmbZnuyCpT6OjPsbQKcQ07YgrxOm5Ndg+uQWISTa2mWyGXIpsVjJcRW/ZV6car +FUeYItEWQFjhiQ4ToU2wCm7hZym1xGc5EhqGjBsLjRpKg0yFHD0eUpMTEBnzLlClsZ6+tMTWmm6 dlzLABEyo8aXLTycGJkKeW2H+SDVe8o3sUgbKeeByEZ+F8qDS/eXa9x/cdFnXoH2AIahUcfMFMeC jnZ2FtvKLOETsa5ezfd36woP29LtDyBvuZkbjkh/YQMpaGl5LBnORNhSc+vDyX6Y36H8UTdDXZVl vQv9s7DI4O1sJK87Z2ij4oiNdDkXk2jWkxj1W70DpbqYbbH0sPmEZV57WFjZbvwuSqCfXPuRVtna 5eZS4zj8ZNpWl1T8DnlfWiLMtrBvPtEME/b+gwVx6yVIpzrP661Oc6/VEFHxNzPucEI9w7D+DbNp SlWCrf9CGjX5iE9xCd/XfK7IqsbrkftWs6Y5KNtq0Qt7aUGm8Sab7rt2qtGikKv4zJ6Nyg4JmmKV m0WiTUxFEAHcpDJvM92l2L1ZMuy1TSsHufeQvVXw2eMiwOF19e6BPhVECedo0Osds0oSCiF97yAm w+jAru8SoGuLjFfkAtzvlB/bdU4uC0l7/B+9bL4uMhvMrglqmfQivzUX77v7Ip0WVN8ToWfK6isF YWrhKkmF7hU+xFPvlG7joLblasNKNnLOl1XUQloaMmyG6gVK1qZNHOtgOGPLq8P30mPOfaYlTlcy HGbDomKGc8wOxG/CHanc7fYtLFrh6at7pUxCJ6co2JPocm18b5NI+SHQzo6tCKcS6BtfFoSLne/F AUTPUmTzim4V/nHjkENIvCRHxzb1i1zAh1sTYNtZJyYxufyoPD8qPJ7ZA5ul9kfnLj9o9v9jkKjt +49GyZI5UW2CNmst6OcmfxOZk+3VFL8FwcssOyUz77z4w6b7K5wK16otg8ruuBiZ9+DxOXLWY0DO B2q/yuWiVSUlYUKUIt4t9Jz24fhxFoqh6opZXIy1A3dwWHgT//Nmk7o1azzQYxajIqaexQLLRIrg Rx39UIjm/+JqUPkHfJaneQuJ2iZJnO+65Af0IELVJitQShcAnLWhOPz7Fbh0OoUYtBOnWZzAxJu9 nyDSMoTFYv4M+QyMHBFvjyLSlGZJMBYZMA7vaC1ebKOhh5wcxmQtuj9CIVTU6X3L9ADMtX4rucVE 3j8E5V0AP23FqJx2KRRE1v7l/wxt3KukDVRylUCg+jVvYokSKPG4ac8qA4lCJFY7c4c9A8DNRahi KYXCWcnwCXA/XT9MkbJNMQs5N6eftxuOSoEUtpnO7RZVKSEjEYTl/aPzM72AbGRLazjsFAS/OohQ QWLMvOrDOSxp05cCSaetNsypqdl628l6ok45iBaQMHUNjiQ0rS+pwwn7d4EmcBOZzQ5mS7CgNL7R 4ak8JiNOEMm5VPYV90XTGLGu1nFM7UfgRWlhxZUbmBQjOxF+n3pvczO5pLrzCPh3Xb+4N1nRdelI txspd4MI6tviwa++eCZctGoNiujwCJkNgyrurNvhUmC7eiLecvlE7ljjrgoDQpRlfGhoQgVlq0Fp yklDIDGsGEtKRK6as3wJChFrH2uon69dKUtesRPEx+Mm3+uwhwAUpBiKDvVPaS0AVW/M0t0ortwD 0wbR6wnWEwUnFjazSQaEWlbL+qjIhBqDIce02Qn0nC5KXnEN6qP7/jfbZH9vexAWxNo3f4d5lsX7 jO7PhE59JfcCVrQm9cMzxqDv/eMOe/iC+b9Gx1v12BaGOdwJGj2Q6S7M6NG4hFNwGtNUK3voA42T a+gSMfLhpGB79Mg7GVRm2kG2JQEb+nJyZQmDkzj4Xi4URiziEFfEyhyLiOKVqpVmpXfRl3nTrLcZ FWSsqIVx2jwFCCobgDtKl294uVWZQ3Yc96MAm9IOKAU2OET6R5fhtO4ehCs6Urzgm6RnrzXnX+Q3 lmsVutfM05AjRNGk41Dj+ejrYjIydqSbW90hutB+iox+sNbbL4WqP32qF3BQH2En+ZJQgXnt4v5E mwFICFf9TCq293Bz2+/tXGeVUjokoTKVulD4W9Tg7UONvH/CH6MPGVDajhZC29SghyC4TUXEMFw9 F+ttEY3DYVI3fu8vB3/c6K131476IsoJRt93f2heoIvM59AD1U+vfFNBPR2qPX5lVEV3mlUlS+hV W5Ws8Mz8i6Lm9YI439DGXosstBGivWnciKGU1YzJaaNP373YleHSg96z22B27u5BCo0EBeVBuG4M pVrotN3XGSwxUZgKm5lbSoU2Xq0ASjVqeaqED51ugxn3MNP0/VhjhGGqY1aTcB/x2tGHnRVlPj5P Wd3J2GamH5pjiX6dYLbfdmIPhTKFaow5M8ziOsZAEtNpL/qxtviebfTlBKOK++A1Azh/mp5Ltz0H 6qvSxQJ0HhAqCo0WFD3l4X0iqULI6H5cjzKF4Tkhovmn42kBAuTspHMu2ban9+rNB8YkuzMkNfi5 vxsREiHAt6U0dueZnZNigtVzhUpIdM+790rok3KFWDIHeJUzYedw5ObEOOV/SRoZWwzPAhePEBGF 13Ea+/ZQafF+zpRTHCbq18Tq+gZy/Zn+3m/NYoeO8r2/XehW13pxoErnungCIwIajSU6vDPskHUh mCT+5p+Zh8JPczNltlbMw9CdhE4GD6q588b5ihpMFnvuzJNAUwRHbuqtAppbJDx7An1HzeEZxA17 2+CqIv1dQAhebAMtOtTCPWUR6e0WxWDhR+1O/dQd2yVpxfRGDo3TlE99BD7ypB+go/NWyiq1Qtlp eVgI5nR4a2ihgIbwsYyD3DUYeR4d9/1PokAWYbFY36sEf/3ZL+XPBT8R9apbPhuJViPwXA9ka3IE bfGRC8IG0+Awj9G7iCJeVigV+aIWWNbInmi0mqK/AvT1hKjaYlclYgYzPzAdtntFI/7JO1arq+IZ 0aKWHCuC7AxitksCFNQR97olLYMxy6+pituCK8adi5nB/JmA5oxVhC175xoYZBxfjunVqYor5hVq czef2AEH/d6RsSj8WaoAkmSUCOguKeiOTm5uPbWJkn0XzrPFj7sunL+OIM6UyNR94BEpfYeGO6vO vxMkOanS+dPn2obCdU0xyUPruobFhdjt1HoHwUeEFx104qGAVlG6JQDCRSspUPzKcOMe6FhO2Fni 958ekb/OsnT39ZCkNoU36s8lN25ASJbxjV1l3DsYFxQaoyZNq4rmGvkhE8Em3iQs54/BwmHBxC1r Pjjh+AZ2DvYGN71NgbTUGKO1rQD4Ec6OOGUOZbPJlcIJe1ZGdO0nKeZUOCTSYuP8Nj56p3BGp8gO SHY73ksM8mfMWj/dShmr81g56rKeDyH9VSWKEMaHcoXq2i/FqW0lt2P71xKXSILgJYFOob/o6TAH UbtSfG/Cx5pm6h3ZxcDYxMAqtAYngPuUFdxsf7uzNoSZe9X/ZxENkfTSef8zElG+dN/muowH8DQK hyJnlXbnpVr79CIoOlvPvy3l1GHiAaMVlg1kghSRsznnnLenDc+46bFO8ZnEryhZInTfMXzyHWyH vDsgg3bUe1+gGo4e4CC+R+XG4kYSL+oNINtYF0CqvEIiaPo+mqwgLfupb1MwLpXLR9mPHua+5L81 V4JaXe2d9LOr94zpZbo3VMuvguG4C7mXHlZAEhJ46ySSwyXp9sOAmhJsSpaqKCsrfhBcWG/EkBqt MW/NM8ipJktXu2cifXCWetN3D9uStI3vmpO6bbCFKMKCtplpL9PZ1gDTIyTZ6byzYoOxzuV2g4a5 GGTeSzWT1iy5eCW4MfGWlOXa9uO3RiawnZ8WvvFsfziAKlgYytdxBAxMHeH129bKGhdcB/UJYrYB 5BBkVAd8Zoeq5yERFMohZy7Te1kXnY0UWTmicAXPAeaBEgLngEfaMJLnQ4wSw2g4KywC9hpJdAwu jHkywPaNXgK6WvV0Z2L0V/WKcwZQ+LOi8SK1QooW9+lWsRtYeJHCs2zi5hOO7aP8jz3Z4lkMA9pc 42PXGkd8AYQyPNOsJLqOeg56VK/NtsszCaFkPOOpRJOU0ZAC6aEfYXiJiRdw/Wc3xq6LMpvk7bZD h3pnhmfgC4ZQkn8JPBA27Je0zGGYtte4TK+IudZrzcddNpMs60wDiGwRF0CfpHU0IkxynBIZcnRb kcZ9vpQ8vbPx9rE2s3Vww9j7huA+UICezIz6aCsJPLCihRXGOjCES69ulwApbJlmsdoN5V6d1r9x Xf4v62g9KVv+k+910teiygtufUUSddxO4gjN4Qgp2dXQAfD2qRbmI2p3O3z6nfDIjwzXXveyGu3k pyfJ+yjrJN81gAa+SykhzrYBXSfffwkpfSDuWlusNv9j7u+QlpWJPO5Lf59E66C8MKlpgR7K+V8i /hJ6wN4gb8N1RyeYFH+3+zgD5cjth9AzEk2iN0sciltuVrCmJlRpdEnLOE8JGlbk+8IWgUF6lmS9 B8nUT4+PelVD7kUBaMs3kxcpnej/WuKllLe4BvHB9YzlLDW++fzxJU78R+liaugjRA6hpCfZ8CnS Tl1DfDSrS7qG7YV6qwpOXsmLNlGHSVkr+VXX9tbqOXSIjxGRQ/emUFRjbx1h6WguH9jnxlaiXTvt fzeBISgwEX1DYcCRZnL9+0oC0ZCqZkn2tCEDwYHrkoNURG1vYs/jmtHSYLxIrSjzbHFmR39fWaHi qLlFlFa8CqXX766teXnoTOgS+8d1f2MfcY0cAo+KsgLoVUg9q/sNuhQ78AoQQFSJmMIkC6Bqb+GB UBgq39KrFfC34RrW0Z4rxaVFd6H/kP9B/bwRhyZZ+1eQZ0bF4xaTB0RC5wugxKS7e1+ZkeTo6AOY tl7ss4uMnoMnbFMZspArUx9XELXCcIuCTQJJoIa8uIenDFWn1IaqsyDaWxcnG0W1jccpBFzHRnLx MtMqrQk6+wFA9oxg9+OcwAecGRZ7jz5cQob52oSG12/8TBn9r7FnuCu4+p1ROyDguO0kgrI2gKsI Xjc431NZlHq9BrL4FEbmHPlW9y9vBc4R00QxIdx2vOso6PuLEEkuudHt6zLv/7+RqGCGD/jjGewc yZ4BcBOc06ntMeLlUFogjG4S1iRSQR4k9CK8XxdtBThhPozoZKv13ys759aIPDDg9fEsiFOKG7uU Z7Tlm2LUAUdbBDtS3YozqCEgoNj08irCMgtdfyOuJgaNjO8cQ2Fl/Ak9TYVcbBmiMVTIjjR8s2Hf YXffT+O/Nf1lPrXJP1+Db8JcOjlneqaeKUQAHuc48vW4eVl6mol8Ssi//d3TXIeOxRhMQHw825EF Y1QWKUBfWWepFmy8qZcEqMwy9zyrU3d1+YWlbsgAEgT2lxW+cge9RHmHwEsnwutbHUUK1qJU/RXa 4m/o56tVEgaeKd0QTYp3eyd9pquTLBk5JytEaZhMMEZpRqccXv8OYnZKZMi/uzsWtVrrAwO+wX+P VNOPh7+YhGYJGfCn83vEGpiBi5qRBg0DGQ4nHxVisyXNF2PkxdYu8DI0fnryDF3EOqiibD9Nn15n Mmu04RTpMRZ29hnZj+XjkUCGCPM71YwRDdn3BF+kEQiSXpOCeT9X8I733jE2a08cxX0tiE63RvMk WFcoRdfjGGJ9kZ5o3dvpwTJpe69WLmR5dOqV2Fr46bmcMwwyGWYrvuKJFHQiyHu1LnO/Q9h/Ezpy GgPJo1Lgkj5PcsaAWGtR0qoQxM1VeN7jO6r+wHqXf/dsJiCdFaUGJ9QsJE/2X7J2fd01Ga682/8T VwljB8Ti6c2GPrHSe35Nd8jzFJPbqqJSHWdR8cNFk5YXSNUqyLqpA+ii79EFzUuz3sa7glpK7Oe4 5WVVOdxqkGH9Se6RQQE5fsSmjUzS1GQVzNqnMX/rT2u15HZUr6ZPNNQvRbZJgZ3GfYMNDrXcFc/a mvq7Fkj+4BpdNoy6gBdO532nefHaUV4H2UV84EV75ZH2MJJB7DZaTDXbGgrW/b64PwkBZ9+y+YRN RqmRcJYSW+tDA2M6qaoegSc/bCem1XSRXXTJ0B6rvG1BQSXs8/sBqZFq1n883l3vTxXvqNcmMEQz y6rUXlNqxl2EmM8XBzQURXmrUEc5oB63M6z+5VZlWEsnx9pFE/VnvhmhmRH+dcuhJS7VlLTz4YXs igYSo5n8Vvw86mRex6N2Ka8H9Wci+ixb/kQKfjOFI/OvPq1FDVVsMFHp+yv+lzy7Tn/FaLPmS/zx ILLZM5N799N1Z8OgB9LVUrkogFO4ILNnLw4/9sxK9Q== `protect end_protected
-- -- A simulation model of VIC20 hardware -- Copyright (c) MikeJ - March 2003 -- -- All rights reserved -- -- Redistribution and use in source and synthezised forms, with or without -- modification, are permitted provided that the following conditions are met: -- -- Redistributions of source code must retain the above copyright notice, -- this list of conditions and the following disclaimer. -- -- Redistributions in synthesized form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- -- Neither the name of the author nor the names of other contributors may -- be used to endorse or promote products derived from this software without -- specific prior written permission. -- -- THIS CODE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" -- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR -- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE -- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR -- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF -- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS -- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN -- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- -- You are responsible for any legal issues arising from your use of this code. -- -- The latest version of this file can be found at: www.fpgaarcade.com -- -- Email [email protected] -- -- -- Revision list -- -- version 003 fix reset of T1/T2 IFR flags if T1/T2 is reload via reg5/reg9 from wolfgang -- version 002 fix from Mark McDougall, untested -- version 001 initial release -- not very sure about the shift register, documentation is a bit light. library ieee ; use ieee.std_logic_1164.all ; use ieee.std_logic_unsigned.all; use ieee.numeric_std.all; library UNISIM; use UNISIM.Vcomponents.all; entity M6522 is port ( I_RS : in std_logic_vector(3 downto 0); I_DATA : in std_logic_vector(7 downto 0); O_DATA : out std_logic_vector(7 downto 0); O_DATA_OE_L : out std_logic; I_RW_L : in std_logic; I_CS1 : in std_logic; I_CS2_L : in std_logic; O_IRQ_L : out std_logic; -- note, not open drain -- port a I_CA1 : in std_logic; I_CA2 : in std_logic; O_CA2 : out std_logic; O_CA2_OE_L : out std_logic; I_PA : in std_logic_vector(7 downto 0); O_PA : out std_logic_vector(7 downto 0); O_PA_OE_L : out std_logic_vector(7 downto 0); -- port b I_CB1 : in std_logic; O_CB1 : out std_logic; O_CB1_OE_L : out std_logic; I_CB2 : in std_logic; O_CB2 : out std_logic; O_CB2_OE_L : out std_logic; I_PB : in std_logic_vector(7 downto 0); O_PB : out std_logic_vector(7 downto 0); O_PB_OE_L : out std_logic_vector(7 downto 0); I_P2_H : in std_logic; -- high for phase 2 clock ____----__ RESET_L : in std_logic; ENA_4 : in std_logic; -- clk enable CLK : in std_logic ); end; architecture RTL of M6522 is signal phase : std_logic_vector(1 downto 0):="00"; signal p2_h_t1 : std_logic; signal cs : std_logic; -- registers signal r_ddra : std_logic_vector(7 downto 0); signal r_ora : std_logic_vector(7 downto 0); signal r_ira : std_logic_vector(7 downto 0); signal r_ddrb : std_logic_vector(7 downto 0); signal r_orb : std_logic_vector(7 downto 0); signal r_irb : std_logic_vector(7 downto 0); signal r_t1l_l : std_logic_vector(7 downto 0); signal r_t1l_h : std_logic_vector(7 downto 0); signal r_t2l_l : std_logic_vector(7 downto 0); signal r_t2l_h : std_logic_vector(7 downto 0); -- not in real chip signal r_sr : std_logic_vector(7 downto 0); signal r_acr : std_logic_vector(7 downto 0); signal r_pcr : std_logic_vector(7 downto 0); signal r_ifr : std_logic_vector(7 downto 0); signal r_ier : std_logic_vector(6 downto 0); signal sr_write_ena : boolean; signal sr_read_ena : boolean; signal ifr_write_ena : boolean; signal ier_write_ena : boolean; signal clear_irq : std_logic_vector(7 downto 0); signal load_data : std_logic_vector(7 downto 0); -- timer 1 signal t1c : std_logic_vector(15 downto 0) := (others => '1'); -- simulators may not catch up w/o init here... signal t1c_active : boolean; signal t1c_done : boolean; signal t1_w_reset_int : boolean; signal t1_r_reset_int : boolean; signal t1_load_counter : boolean; signal t1_reload_counter : boolean; signal t1_toggle : std_logic; signal t1_irq : std_logic := '0'; -- timer 2 signal t2c : std_logic_vector(15 downto 0) := (others => '1'); -- simulators may not catch up w/o init here... signal t2c_active : boolean; signal t2c_done : boolean; signal t2_pb6 : std_logic; signal t2_pb6_t1 : std_logic; signal t2_w_reset_int : boolean; signal t2_r_reset_int : boolean; signal t2_load_counter : boolean; signal t2_reload_counter : boolean; signal t2_irq : std_logic := '0'; signal t2_sr_ena : boolean; -- shift reg signal sr_cnt : std_logic_vector(3 downto 0); signal sr_cb1_oe_l : std_logic; signal sr_cb1_out : std_logic; signal sr_drive_cb2 : std_logic; signal sr_strobe : std_logic; signal sr_strobe_t1 : std_logic; signal sr_strobe_falling : boolean; signal sr_strobe_rising : boolean; signal sr_irq : std_logic; signal sr_out : std_logic; signal sr_off_delay : std_logic; -- io signal w_orb_hs : std_logic; signal w_ora_hs : std_logic; signal r_irb_hs : std_logic; signal r_ira_hs : std_logic; signal ca_hs_sr : std_logic; signal ca_hs_pulse : std_logic; signal cb_hs_sr : std_logic; signal cb_hs_pulse : std_logic; signal cb1_in_mux : std_logic; signal ca1_ip_reg : std_logic; signal cb1_ip_reg : std_logic; signal ca1_int : boolean; signal cb1_int : boolean; signal ca1_irq : std_logic; signal cb1_irq : std_logic; signal ca2_ip_reg : std_logic; signal cb2_ip_reg : std_logic; signal ca2_int : boolean; signal cb2_int : boolean; signal ca2_irq : std_logic; signal cb2_irq : std_logic; signal final_irq : std_logic; begin p_phase : process begin -- internal clock phase wait until rising_edge(CLK); if (ENA_4 = '1') then p2_h_t1 <= I_P2_H; if (p2_h_t1 = '0') and (I_P2_H = '1') then phase <= "11"; else phase <= phase + "1"; end if; end if; end process; p_cs : process(I_CS1, I_CS2_L, I_P2_H) begin cs <= '0'; if (I_CS1 = '1') and (I_CS2_L = '0') and (I_P2_H = '1') then cs <= '1'; end if; end process; -- peripheral control reg (pcr) -- 0 ca1 interrupt control (0 +ve edge, 1 -ve edge) -- 3..1 ca2 operation -- 000 input -ve edge -- 001 independend interrupt input -ve edge -- 010 input +ve edge -- 011 independend interrupt input +ve edge -- 100 handshake output -- 101 pulse output -- 110 low output -- 111 high output -- 7..4 as 3..0 for cb1,cb2 -- auxiliary control reg (acr) -- 0 input latch PA (0 disable, 1 enable) -- 1 input latch PB (0 disable, 1 enable) -- 4..2 shift reg control -- 000 disable -- 001 shift in using t2 -- 010 shift in using o2 -- 011 shift in using ext clk -- 100 shift out free running t2 rate -- 101 shift out using t2 -- 101 shift out using o2 -- 101 shift out using ext clk -- 5 t2 timer control (0 timed interrupt, 1 count down with pulses on pb6) -- 7..6 t1 timer control -- 00 timed interrupt each time t1 is loaded pb7 disable -- 01 continuous interrupts pb7 disable -- 00 timed interrupt each time t1 is loaded pb7 one shot output -- 01 continuous interrupts pb7 square wave output -- p_write_reg_reset : process(RESET_L, CLK) begin if (RESET_L = '0') then r_ora <= x"00"; r_orb <= x"00"; r_ddra <= x"00"; r_ddrb <= x"00"; r_acr <= x"00"; r_pcr <= x"00"; w_orb_hs <= '0'; w_ora_hs <= '0'; elsif rising_edge(CLK) then if (ENA_4 = '1') then w_orb_hs <= '0'; w_ora_hs <= '0'; if (cs = '1') and (I_RW_L = '0') then case I_RS is when x"0" => r_orb <= I_DATA; w_orb_hs <= '1'; when x"1" => r_ora <= I_DATA; w_ora_hs <= '1'; when x"2" => r_ddrb <= I_DATA; when x"3" => r_ddra <= I_DATA; when x"B" => r_acr <= I_DATA; when x"C" => r_pcr <= I_DATA; when x"F" => r_ora <= I_DATA; when others => null; end case; end if; if (r_acr(7) = '1') and (t1_toggle = '1') then r_orb(7) <= not r_orb(7); -- toggle end if; end if; end if; end process; p_write_reg : process (RESET_L, CLK) is begin if (RESET_L = '0') then -- The spec says, this is not reset. -- Fact is that the 1541 VIA1 timer won't work, -- as the firmware ONLY sets the r_t1l_h latch!!!! r_t1l_l <= (others => '0'); r_t1l_h <= (others => '0'); r_t2l_l <= (others => '0'); r_t2l_h <= (others => '0'); elsif rising_edge(CLK) then if (ENA_4 = '1') then t1_w_reset_int <= false; t1_load_counter <= false; t2_w_reset_int <= false; t2_load_counter <= false; load_data <= x"00"; sr_write_ena <= false; ifr_write_ena <= false; ier_write_ena <= false; if (cs = '1') and (I_RW_L = '0') then load_data <= I_DATA; case I_RS is when x"4" => r_t1l_l <= I_DATA; when x"5" => r_t1l_h <= I_DATA; t1_w_reset_int <= true; t1_load_counter <= true; when x"6" => r_t1l_l <= I_DATA; when x"7" => r_t1l_h <= I_DATA; t1_w_reset_int <= true; when x"8" => r_t2l_l <= I_DATA; when x"9" => r_t2l_h <= I_DATA; t2_w_reset_int <= true; t2_load_counter <= true; when x"A" => sr_write_ena <= true; when x"D" => ifr_write_ena <= true; when x"E" => ier_write_ena <= true; when others => null; end case; end if; end if; end if; end process; p_oe : process(cs, I_RW_L) begin O_DATA_OE_L <= '1'; if (cs = '1') and (I_RW_L = '1') then O_DATA_OE_L <= '0'; end if; end process; p_read : process(cs, I_RW_L, I_RS, r_irb, r_ira, r_ddrb, r_ddra, t1c, r_t1l_l, r_t1l_h, t2c, r_sr, r_acr, r_pcr, r_ifr, r_ier, r_orb) begin t1_r_reset_int <= false; t2_r_reset_int <= false; sr_read_ena <= false; r_irb_hs <= '0'; r_ira_hs <= '0'; O_DATA <= x"00"; -- default if (cs = '1') and (I_RW_L = '1') then case I_RS is --when x"0" => O_DATA <= r_irb; r_irb_hs <= '1'; -- fix from Mark McDougall, untested when x"0" => O_DATA <= (r_irb and not r_ddrb) or (r_orb and r_ddrb); r_irb_hs <= '1'; when x"1" => O_DATA <= r_ira; r_ira_hs <= '1'; when x"2" => O_DATA <= r_ddrb; when x"3" => O_DATA <= r_ddra; when x"4" => O_DATA <= t1c( 7 downto 0); t1_r_reset_int <= true; when x"5" => O_DATA <= t1c(15 downto 8); when x"6" => O_DATA <= r_t1l_l; when x"7" => O_DATA <= r_t1l_h; when x"8" => O_DATA <= t2c( 7 downto 0); t2_r_reset_int <= true; when x"9" => O_DATA <= t2c(15 downto 8); when x"A" => O_DATA <= r_sr; sr_read_ena <= true; when x"B" => O_DATA <= r_acr; when x"C" => O_DATA <= r_pcr; when x"D" => O_DATA <= r_ifr; when x"E" => O_DATA <= ('0' & r_ier); when x"F" => O_DATA <= r_ira; when others => null; end case; end if; end process; -- -- IO -- p_ca1_cb1_sel : process(sr_cb1_oe_l, sr_cb1_out, I_CB1) begin -- if the shift register is enabled, cb1 may be an output -- in this case, we should listen to the CB1_OUT for the interrupt if (sr_cb1_oe_l = '1') then cb1_in_mux <= I_CB1; else cb1_in_mux <= sr_cb1_out; end if; end process; p_ca1_cb1_int : process(r_pcr, ca1_ip_reg, I_CA1, cb1_ip_reg, cb1_in_mux) begin if (r_pcr(0) = '0') then -- ca1 control -- negative edge ca1_int <= (ca1_ip_reg = '1') and (I_CA1 = '0'); else -- positive edge ca1_int <= (ca1_ip_reg = '0') and (I_CA1 = '1'); end if; if (r_pcr(4) = '0') then -- cb1 control -- negative edge cb1_int <= (cb1_ip_reg = '1') and (cb1_in_mux = '0'); else -- positive edge cb1_int <= (cb1_ip_reg = '0') and (cb1_in_mux = '1'); end if; end process; p_ca2_cb2_int : process(r_pcr, ca2_ip_reg, I_CA2, cb2_ip_reg, I_CB2) begin ca2_int <= false; if (r_pcr(3) = '0') then -- ca2 input if (r_pcr(2) = '0') then -- ca2 edge -- negative edge ca2_int <= (ca2_ip_reg = '1') and (I_CA2 = '0'); else -- positive edge ca2_int <= (ca2_ip_reg = '0') and (I_CA2 = '1'); end if; end if; cb2_int <= false; if (r_pcr(7) = '0') then -- cb2 input if (r_pcr(6) = '0') then -- cb2 edge -- negative edge cb2_int <= (cb2_ip_reg = '1') and (I_CB2 = '0'); else -- positive edge cb2_int <= (cb2_ip_reg = '0') and (I_CB2 = '1'); end if; end if; end process; p_ca2_cb2 : process(RESET_L, CLK) begin if (RESET_L = '0') then O_CA2 <= '0'; O_CA2_OE_L <= '1'; O_CB2 <= '0'; O_CB2_OE_L <= '1'; ca_hs_sr <= '0'; ca_hs_pulse <= '0'; cb_hs_sr <= '0'; cb_hs_pulse <= '0'; elsif rising_edge(CLK) then if (ENA_4 = '1') then -- ca if (phase = "00") and ((w_ora_hs = '1') or (r_ira_hs = '1')) then ca_hs_sr <= '1'; elsif ca1_int then ca_hs_sr <= '0'; end if; if (phase = "00") then ca_hs_pulse <= w_ora_hs or r_ira_hs; end if; O_CA2_OE_L <= not r_pcr(3); -- ca2 output case r_pcr(3 downto 1) is when "000" => O_CA2 <= '0'; -- input when "001" => O_CA2 <= '0'; -- input when "010" => O_CA2 <= '0'; -- input when "011" => O_CA2 <= '0'; -- input when "100" => O_CA2 <= not (ca_hs_sr); -- handshake when "101" => O_CA2 <= not (ca_hs_pulse); -- pulse when "110" => O_CA2 <= '0'; -- low when "111" => O_CA2 <= '1'; -- high when others => null; end case; -- cb if (phase = "00") and (w_orb_hs = '1') then cb_hs_sr <= '1'; elsif cb1_int then cb_hs_sr <= '0'; end if; if (phase = "00") then cb_hs_pulse <= w_orb_hs; end if; O_CB2_OE_L <= not (r_pcr(7) or sr_drive_cb2); -- cb2 output or serial if (sr_drive_cb2 = '1') then -- serial output O_CB2 <= sr_out; else case r_pcr(7 downto 5) is when "000" => O_CB2 <= '0'; -- input when "001" => O_CB2 <= '0'; -- input when "010" => O_CB2 <= '0'; -- input when "011" => O_CB2 <= '0'; -- input when "100" => O_CB2 <= not (cb_hs_sr); -- handshake when "101" => O_CB2 <= not (cb_hs_pulse); -- pulse when "110" => O_CB2 <= '0'; -- low when "111" => O_CB2 <= '1'; -- high when others => null; end case; end if; end if; end if; end process; O_CB1 <= sr_cb1_out; O_CB1_OE_L <= sr_cb1_oe_l; p_ca_cb_irq : process(RESET_L, CLK) begin if (RESET_L = '0') then ca1_irq <= '0'; ca2_irq <= '0'; cb1_irq <= '0'; cb2_irq <= '0'; elsif rising_edge(CLK) then if (ENA_4 = '1') then -- not pretty if ca1_int then ca1_irq <= '1'; elsif (r_ira_hs = '1') or (w_ora_hs = '1') or (clear_irq(1) = '1') then ca1_irq <= '0'; end if; if ca2_int then ca2_irq <= '1'; else if (((r_ira_hs = '1') or (w_ora_hs = '1')) and (r_pcr(1) = '0')) or (clear_irq(0) = '1') then ca2_irq <= '0'; end if; end if; if cb1_int then cb1_irq <= '1'; elsif (r_irb_hs = '1') or (w_orb_hs = '1') or (clear_irq(4) = '1') then cb1_irq <= '0'; end if; if cb2_int then cb2_irq <= '1'; else if (((r_irb_hs = '1') or (w_orb_hs = '1')) and (r_pcr(5) = '0')) or (clear_irq(3) = '1') then cb2_irq <= '0'; end if; end if; end if; end if; end process; p_input_reg : process(RESET_L, CLK) begin if (RESET_L = '0') then ca1_ip_reg <= '0'; cb1_ip_reg <= '0'; ca2_ip_reg <= '0'; cb2_ip_reg <= '0'; r_ira <= x"00"; r_irb <= x"00"; elsif rising_edge(CLK) then if (ENA_4 = '1') then -- we have a fast clock, so we can have input registers ca1_ip_reg <= I_CA1; cb1_ip_reg <= cb1_in_mux; ca2_ip_reg <= I_CA2; cb2_ip_reg <= I_CB2; if (r_acr(0) = '0') then r_ira <= I_PA; else -- enable latching if ca1_int then r_ira <= I_PA; end if; end if; if (r_acr(1) = '0') then r_irb <= I_PB; else -- enable latching if cb1_int then r_irb <= I_PB; end if; end if; end if; end if; end process; p_buffers : process(r_ddra, r_ora, r_ddrb, r_acr, r_orb) begin -- data direction reg (ddr) 0 = input, 1 = output O_PA <= r_ora; O_PA_OE_L <= not r_ddra; if (r_acr(7) = '1') then -- not clear if r_ddrb(7) must be 1 as well O_PB_OE_L(7) <= '0'; -- an output if under t1 control else O_PB_OE_L(7) <= not (r_ddrb(7)); end if; O_PB_OE_L(6 downto 0) <= not r_ddrb(6 downto 0); O_PB(7 downto 0) <= r_orb(7 downto 0); end process; -- -- Timer 1 -- p_timer1_done : process variable done : boolean; begin wait until rising_edge(CLK); if (ENA_4 = '1') then done := (t1c = x"0000"); t1c_done <= done and (phase = "11"); if (phase = "11") then t1_reload_counter <= done and (r_acr(6) = '1'); end if; if t1_load_counter then -- done reset on load! t1c_done <= false; end if; end if; end process; p_timer1 : process begin wait until rising_edge(CLK); if (ENA_4 = '1') then if t1_load_counter or (t1_reload_counter and phase = "11") then t1c( 7 downto 0) <= r_t1l_l; t1c(15 downto 8) <= r_t1l_h; elsif (phase="11") then t1c <= t1c - "1"; end if; if t1_load_counter or t1_reload_counter then t1c_active <= true; elsif t1c_done then t1c_active <= false; end if; t1_toggle <= '0'; if t1c_active and t1c_done then t1_toggle <= '1'; t1_irq <= '1'; elsif t1_w_reset_int or t1_r_reset_int or (clear_irq(6) = '1') then t1_irq <= '0'; end if; if t1_load_counter then -- irq reset on load! t1_irq <= '0'; end if; end if; end process; -- -- Timer2 -- p_timer2_pb6_input : process begin wait until rising_edge(CLK); if (ENA_4 = '1') then if (phase = "01") then -- leading edge p2_h t2_pb6 <= I_PB(6); t2_pb6_t1 <= t2_pb6; end if; end if; end process; p_timer2_done : process variable done : boolean; begin wait until rising_edge(CLK); if (ENA_4 = '1') then done := (t2c = x"0000"); t2c_done <= done and (phase = "11"); if (phase = "11") then t2_reload_counter <= done; end if; if t2_load_counter then -- done reset on load! t2c_done <= false; end if; end if; end process; p_timer2 : process variable ena : boolean; begin wait until rising_edge(CLK); if (ENA_4 = '1') then if (r_acr(5) = '0') then ena := true; else ena := (t2_pb6_t1 = '1') and (t2_pb6 = '0'); -- falling edge end if; if t2_load_counter or (t2_reload_counter and phase = "11") then -- not sure if t2c_reload should be here. Does timer2 just continue to -- count down, or is it reloaded ? Reloaded makes more sense if using -- it to generate a clock for the shift register. t2c( 7 downto 0) <= r_t2l_l; t2c(15 downto 8) <= r_t2l_h; else if (phase="11") and ena then -- or count mode t2c <= t2c - "1"; end if; end if; t2_sr_ena <= (t2c(7 downto 0) = x"00") and (phase = "11"); if t2_load_counter then t2c_active <= true; elsif t2c_done then t2c_active <= false; end if; if t2c_active and t2c_done then t2_irq <= '1'; elsif t2_w_reset_int or t2_r_reset_int or (clear_irq(5) = '1') then t2_irq <= '0'; end if; if t2_load_counter then -- irq reset on load! t2_irq <= '0'; end if; end if; end process; -- -- Shift Register -- p_sr : process(RESET_L, CLK) variable dir_out : std_logic; variable ena : std_logic; variable cb1_op : std_logic; variable cb1_ip : std_logic; variable use_t2 : std_logic; variable free_run : std_logic; variable sr_count_ena : boolean; begin if (RESET_L = '0') then r_sr <= x"00"; sr_drive_cb2 <= '0'; sr_cb1_oe_l <= '1'; sr_cb1_out <= '0'; sr_strobe <= '1'; sr_cnt <= "0000"; sr_irq <= '0'; sr_out <= '1'; sr_off_delay <= '0'; elsif rising_edge(CLK) then if (ENA_4 = '1') then -- decode mode dir_out := r_acr(4); -- output on cb2 cb1_op := '0'; cb1_ip := '0'; use_t2 := '0'; free_run := '0'; case r_acr(4 downto 2) is when "000" => ena := '0'; cb1_ip := '1'; when "001" => ena := '1'; cb1_op := '1'; use_t2 := '1'; when "010" => ena := '1'; cb1_op := '1'; when "011" => ena := '1'; cb1_ip := '1'; when "100" => ena := '1'; use_t2 := '1'; free_run := '1'; when "101" => ena := '1'; cb1_op := '1'; use_t2 := '1'; when "110" => ena := '1'; when "111" => ena := '1'; cb1_ip := '1'; when others => null; end case; -- clock select -- SR still runs even in disabled mode (on rising edge of CB1). It -- just doesn't generate any interrupts. -- Ref BBC micro advanced user guide p409 if (cb1_ip = '1') then sr_strobe <= I_CB1; else if (sr_cnt(3) = '0') and (free_run = '0') then sr_strobe <= '1'; else if ((use_t2 = '1') and t2_sr_ena) or ((use_t2 = '0') and (phase = "00")) then sr_strobe <= not sr_strobe; end if; end if; end if; -- latch on rising edge, shift on falling edge if sr_write_ena then r_sr <= load_data; else if (dir_out = '0') then -- input if (sr_cnt(3) = '1') or (cb1_ip = '1') then if sr_strobe_rising then r_sr(0) <= I_CB2; elsif sr_strobe_falling then r_sr(7 downto 1) <= r_sr(6 downto 0); end if; end if; sr_out <= '1'; else -- output if (sr_cnt(3) = '1') or (sr_off_delay = '1') or (cb1_ip = '1') or (free_run = '1') then if sr_strobe_falling then r_sr(7 downto 1) <= r_sr(6 downto 0); r_sr(0) <= r_sr(7); sr_out <= r_sr(7); end if; else sr_out <= '1'; end if; end if; end if; sr_count_ena := sr_strobe_rising; if sr_write_ena or sr_read_ena then -- some documentation says sr bit in IFR must be set as well ? sr_cnt <= "1000"; elsif sr_count_ena and (sr_cnt(3) = '1') then sr_cnt <= sr_cnt + "1"; end if; if (phase = "00") then sr_off_delay <= sr_cnt(3); -- give some hold time when shifting out end if; if sr_count_ena and (sr_cnt = "1111") and (ena = '1') and (free_run = '0') then sr_irq <= '1'; elsif sr_write_ena or sr_read_ena or (clear_irq(2) = '1') then sr_irq <= '0'; end if; -- assign ops sr_drive_cb2 <= dir_out; sr_cb1_oe_l <= not cb1_op; sr_cb1_out <= sr_strobe; end if; end if; end process; p_sr_strobe_rise_fall : process begin wait until rising_edge(CLK); if (ENA_4 = '1') then sr_strobe_t1 <= sr_strobe; sr_strobe_rising <= (sr_strobe_t1 = '0') and (sr_strobe = '1'); sr_strobe_falling <= (sr_strobe_t1 = '1') and (sr_strobe = '0'); end if; end process; -- -- Interrupts -- p_ier : process(RESET_L, CLK) begin if (RESET_L = '0') then r_ier <= "0000000"; elsif rising_edge(CLK) then if (ENA_4 = '1') then if ier_write_ena then if (load_data(7) = '1') then -- set r_ier <= r_ier or load_data(6 downto 0); else -- clear r_ier <= r_ier and not load_data(6 downto 0); end if; end if; end if; end if; end process; p_ifr : process(t1_irq, t2_irq, final_irq, ca1_irq, ca2_irq, sr_irq, cb1_irq, cb2_irq) begin r_ifr(7) <= final_irq; r_ifr(6) <= t1_irq; r_ifr(5) <= t2_irq; r_ifr(4) <= cb1_irq; r_ifr(3) <= cb2_irq; r_ifr(2) <= sr_irq; r_ifr(1) <= ca1_irq; r_ifr(0) <= ca2_irq; O_IRQ_L <= not final_irq; end process; p_irq : process(RESET_L, CLK) begin if (RESET_L = '0') then final_irq <= '0'; elsif rising_edge(CLK) then if (ENA_4 = '1') then if ((r_ifr(6 downto 0) and r_ier(6 downto 0)) = "0000000") then final_irq <= '0'; -- no interrupts else final_irq <= '1'; end if; end if; end if; end process; p_clear_irq : process(ifr_write_ena, load_data) begin clear_irq <= x"00"; if ifr_write_ena then clear_irq <= load_data; end if; end process; end architecture RTL;
-- -- TemporalMixer.vhd -- -- Copyright (c) 2006 Mitsutaka Okazaki ([email protected]) -- All rights reserved. -- -- Redistribution and use of this source code or any derivative works, are -- permitted provided that the following conditions are met: -- -- 1. Redistributions of source code must retain the above copyright notice, -- this list of conditions and the following disclaimer. -- 2. Redistributions in binary form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- 3. Redistributions may not be sold, nor may they be used in a commercial -- product or activity without specific prior written permission. -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS -- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED -- TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR -- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR -- CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, -- EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, -- PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; -- OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, -- WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR -- OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF -- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- -- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; use work.vm2413.all; entity TemporalMixer is port ( clk : in std_logic; reset : in std_logic; clkena : in std_logic; slot : in std_logic_vector( 4 downto 0 ); stage : in std_logic_vector( 1 downto 0 ); rhythm : in std_logic; maddr : out std_logic_vector( 4 downto 0 ); mdata : in SIGNED_LI_TYPE; mo : out std_logic_vector(9 downto 0); ro : out std_logic_vector(9 downto 0) ); end entity; architecture RTL of TemporalMixer is signal mmute, rmute : std_logic; begin process (clk, reset) begin if reset = '1' then mo <= (others =>'0'); ro <= (others =>'0'); maddr <= (others => '0'); mmute <= '1'; rmute <= '1'; elsif clk'event and clk = '1' then if clkena='1' then if stage = 0 then mo <= "1000000000"; ro <= "1000000000"; if rhythm = '0' then case slot is when "00000" => maddr <= "00001"; mmute <='0'; -- CH0 when "00001" => maddr <= "00011"; mmute <='0'; -- CH1 when "00010" => maddr <= "00101"; mmute <='0'; -- CH2 when "00011" => mmute <= '1'; when "00100" => mmute <= '1'; when "00101" => mmute <= '1'; when "00110" => maddr <= "00111"; mmute<='0'; -- CH3 when "00111" => maddr <= "01001"; mmute<='0'; -- CH4 when "01000" => maddr <= "01011"; mmute<='0'; -- CH5 when "01001" => mmute <= '1'; when "01010" => mmute <= '1'; when "01011" => mmute <= '1'; when "01100" => maddr <= "01101"; mmute<='0'; -- CH6 when "01101" => maddr <= "01111"; mmute<='0'; -- CH7 when "01110" => maddr <= "10001"; mmute<='0'; -- CH8 when "01111" => mmute <= '1'; when "10000" => mmute <= '1'; when "10001" => mmute <= '1'; when others => end case; rmute <= '1'; else case slot is when "00000" => maddr <= "00001"; mmute <='0'; rmute <='1'; -- CH0 when "00001" => maddr <= "00011"; mmute <='0'; rmute <='1'; -- CH1 when "00010" => maddr <= "00101"; mmute <='0'; rmute <='1'; -- CH2 when "00011" => maddr <= "01111"; mmute <='1'; rmute <='0'; -- SD when "00100" => maddr <= "10001"; mmute <='1'; rmute <='0'; -- CYM when "00101" => mmute <='1'; rmute <='1'; when "00110" => maddr <= "00111"; mmute <='0'; rmute <='1'; -- CH3 when "00111" => maddr <= "01001"; mmute <='0'; rmute <='1'; -- CH4 when "01000" => maddr <= "01011"; mmute <='0'; rmute <='1'; -- CH5 when "01001" => maddr <= "01110"; mmute <='1'; rmute <='0'; -- HH when "01010" => maddr <= "10000"; mmute <='1'; rmute <='0'; -- TOM when "01011" => maddr <= "01101"; mmute <='1'; rmute <='0'; -- BD when "01100" => maddr <= "01111"; mmute <='1'; rmute <='0'; -- SD when "01101" => maddr <= "10001"; mmute <='1'; rmute <='0'; -- CYM when "01110" => maddr <= "01110"; mmute <='1'; rmute <='0'; -- HH when "01111" => maddr <= "10000"; mmute <='1'; rmute <='0'; -- TOM when "10000" => maddr <= "01101"; mmute <='1'; rmute <='0'; -- BD when "10001" => mmute <='1'; rmute <='1'; when others => end case; end if; else if mmute = '0' then if mdata.sign = '0' then mo <= "1000000000" + mdata.value; else mo <= "1000000000" - mdata.value; end if; else mo <= "1000000000"; end if; if rmute = '0' then if mdata.sign = '0' then ro <= "1000000000" + mdata.value; else ro <= "1000000000" - mdata.value; end if; else ro <= "1000000000"; end if; end if; end if; end if; end process; end architecture;
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity time_keeper is Port ( memRead : in STD_LOGIC_VECTOR (7 downto 0); memWrite : out STD_LOGIC_VECTOR (7 downto 0); memAddress : out STD_LOGIC_VECTOR (11 downto 0); mem_valid : out STD_LOGIC; mem_write : out STD_LOGIC; mem_hold : out STD_LOGIC; mem_done : in STD_LOGIC; clk : in STD_LOGIC; reset : in STD_LOGIC; heart_beat : out STD_LOGIC; buzz : out STD_LOGIC ); end time_keeper; architecture Behavioral of time_keeper is constant DT_ADDRESS : std_logic_vector(11 downto 0):= "000000000000"; constant ST_ADDRESS : std_logic_vector(11 downto 0):= "000000000001"; type state is ( init, count, update, writeDT, readST, writeST, memA, memB ); signal current_state : state; signal counter60hz : std_logic_vector( 23 downto 0 ); -- close enough, only lets us know the timer is running about -- at the correct speed. signal counter1hz : std_logic_vector( 6 downto 0 ); signal mem_ret_state : state; signal tmp_mem_write : STD_LOGIC; signal mem_ret_data : STD_LOGIC_VECTOR (7 downto 0); begin heart_beat <= counter1hz(6); -- for chip -- heart_beat <= counter1hz(0); -- for simulation mem_write <= tmp_mem_write; process( clk, reset ) variable tmp_count : std_logic_vector( 23 downto 0 ); variable tmp_8 : std_logic_vector( 7 downto 0 ); begin if ( reset = '1' ) then current_state <= init; memWrite <= ( others => '0' ); memAddress <= ( others => '0' ); mem_valid <= '0'; tmp_mem_write <= '0'; mem_hold <= '0'; buzz <= '0'; counter1hz <= "1000000"; elsif ( rising_edge( clk ) ) then current_state <= current_state; case current_state is when init => counter60hz <= ( others => '0' ); current_state <= count; when count => mem_hold <= '0'; tmp_count := counter60hz; tmp_count := tmp_count + 1; if( tmp_count = "000000011010101010101010" ) then -- for board divider --if( tmp_count = "000110101010101010101010" ) then -- for board --if( tmp_count = "000000000000000010000000" ) then -- for test bench current_state <= update; tmp_count := ( others => '0' ); counter1hz <= counter1hz + 1; end if; counter60hz <= tmp_count; when update => memAddress <= DT_ADDRESS; tmp_mem_write <= '0'; mem_hold <= '1'; mem_ret_state <= writeDT; current_state <= memA; when writeDT => tmp_8 := mem_ret_data; if ( tmp_8 /= "00000000" ) then tmp_8 := tmp_8 - "00000001"; end if; memAddress <= DT_ADDRESS; tmp_mem_write <= '1'; mem_ret_state <= readST; memWrite <= tmp_8; current_state <= memA; when readST => memAddress <= ST_ADDRESS; tmp_mem_write <= '0'; mem_ret_state <= writeST; current_state <= memA; when writeST => tmp_8 := mem_ret_data; if ( tmp_8 /= "00000000" ) then tmp_8 := tmp_8 - "00000001"; buzz <= '1'; else buzz <= '0'; end if; memAddress <= ST_ADDRESS; tmp_mem_write <= '1'; mem_ret_state <= count; memWrite <= tmp_8; current_state <= memA; when memA => if ( mem_done = '0' ) then mem_valid <= '1'; current_state <= memB; end if; when memB => if( mem_done = '1' ) then if ( tmp_mem_write = '0' ) then mem_ret_data <= memRead; end if; mem_valid <= '0'; current_state <= mem_ret_state; end if; end case; end if; end process; end Behavioral;
-- multiple1902 <[email protected]> -- Released under GNU GPL v3, or later. library ieee; use ieee.std_logic_1164.all; entity memory_tb is end memory_tb; architecture behav of memory_tb is component memory port ( cs : in std_logic; -- Chip select re, we : in std_logic; -- Read / Write Enable clk : in std_logic; addr_h, addr_l : in std_logic_vector(4 downto 0); data_in : in std_logic_vector(15 downto 0); data_out : out std_logic_vector(15 downto 0) -- no semicolon here! ); end component; for memory_0: memory use entity work.memory; signal cs : std_logic; -- Chip select signal re, we : std_logic; -- Read / Write Enable signal clk : std_logic; signal addr_h, addr_l : std_logic_vector(4 downto 0); signal data_in : std_logic_vector(15 downto 0); signal data_out : std_logic_vector(15 downto 0); begin memory_0: memory port map ( cs => cs, re => re, we => we, clk => clk, addr_h => addr_h, addr_l => addr_l, data_in => data_in, data_out => data_out ); process begin cs<='1'; we<='1'; re<='0'; clk<='0'; addr_h<="00000"; addr_l<="11111"; data_in<="0101011010100101"; wait for 10 ms; clk<='1'; wait for 10 ms; we<='0'; cs<='1'; re<='1'; clk<='0'; addr_h<="00000"; addr_l<="11111"; data_in<="ZZZZZZZZZZZZZZZZ"; wait for 10 ms; clk<='0'; clk<='1'; wait for 10 ms; assert false report "have a nice day!" severity note; wait; end process; end behav;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2951.vhd,v 1.2 2001-10-26 16:29:50 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c02s02b00x00p12n01i02951ent IS END c02s02b00x00p12n01i02951ent; ARCHITECTURE c02s02b00x00p12n01i02951arch OF c02s02b00x00p12n01i02951ent IS function Concat( constant in1 : in STRING; constant in2 : in STRING ) return STRING is variable result : STRING(1 to (in1'LENGTH + in2'LENGTH)); begin for i in in1'RANGE loop result(result'left + i - in1'left) := in1(i); end loop; for i in in2'RANGE loop result(result'left + in1'length + i - in2'left) := in2(i); end loop; return result; end; BEGIN TESTING: PROCESS BEGIN assert NOT( Concat( Concat("Let's ","try "), Concat("multiple ", "levels!")) = "Let's try multiple levels!" ) report "***PASSED TEST: c02s02b00x00p12n01i02951" severity NOTE; assert ( Concat( Concat("Let's ","try "), Concat("multiple ", "levels!")) = "Let's try multiple levels!" ) report "***FAILED TEST: c02s02b00x00p12n01i02951 - The execution of a subprogram test failed." severity ERROR; wait; END PROCESS TESTING; END c02s02b00x00p12n01i02951arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2951.vhd,v 1.2 2001-10-26 16:29:50 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c02s02b00x00p12n01i02951ent IS END c02s02b00x00p12n01i02951ent; ARCHITECTURE c02s02b00x00p12n01i02951arch OF c02s02b00x00p12n01i02951ent IS function Concat( constant in1 : in STRING; constant in2 : in STRING ) return STRING is variable result : STRING(1 to (in1'LENGTH + in2'LENGTH)); begin for i in in1'RANGE loop result(result'left + i - in1'left) := in1(i); end loop; for i in in2'RANGE loop result(result'left + in1'length + i - in2'left) := in2(i); end loop; return result; end; BEGIN TESTING: PROCESS BEGIN assert NOT( Concat( Concat("Let's ","try "), Concat("multiple ", "levels!")) = "Let's try multiple levels!" ) report "***PASSED TEST: c02s02b00x00p12n01i02951" severity NOTE; assert ( Concat( Concat("Let's ","try "), Concat("multiple ", "levels!")) = "Let's try multiple levels!" ) report "***FAILED TEST: c02s02b00x00p12n01i02951 - The execution of a subprogram test failed." severity ERROR; wait; END PROCESS TESTING; END c02s02b00x00p12n01i02951arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2951.vhd,v 1.2 2001-10-26 16:29:50 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c02s02b00x00p12n01i02951ent IS END c02s02b00x00p12n01i02951ent; ARCHITECTURE c02s02b00x00p12n01i02951arch OF c02s02b00x00p12n01i02951ent IS function Concat( constant in1 : in STRING; constant in2 : in STRING ) return STRING is variable result : STRING(1 to (in1'LENGTH + in2'LENGTH)); begin for i in in1'RANGE loop result(result'left + i - in1'left) := in1(i); end loop; for i in in2'RANGE loop result(result'left + in1'length + i - in2'left) := in2(i); end loop; return result; end; BEGIN TESTING: PROCESS BEGIN assert NOT( Concat( Concat("Let's ","try "), Concat("multiple ", "levels!")) = "Let's try multiple levels!" ) report "***PASSED TEST: c02s02b00x00p12n01i02951" severity NOTE; assert ( Concat( Concat("Let's ","try "), Concat("multiple ", "levels!")) = "Let's try multiple levels!" ) report "***FAILED TEST: c02s02b00x00p12n01i02951 - The execution of a subprogram test failed." severity ERROR; wait; END PROCESS TESTING; END c02s02b00x00p12n01i02951arch;
-- EMACS settings: -*- tab-width: 2; indent-tabs-mode: t -*- -- vim: tabstop=2:shiftwidth=2:noexpandtab -- kate: tab-width 2; replace-tabs off; indent-width 2; -- -- ============================================================================ -- Authors: Patrick Lehmann -- -- Module: System Monitor wrapper for temperature supervision applications -- -- Description: -- ------------------------------------ -- This module wraps a Virtex-6 System Monitor primitive to report if preconfigured -- temperature values are overrun. -- -- Temperature curve: -- ------------------ -- -- | /-----\ -- Temp_ov on=80 | - - - - - - /-------/ \ -- | / | \ -- Temp_ov off=60 | - - - - - / - - - - | - - - - \----\ -- | / | \ -- | / | | \ -- Temp_us on=35 | - /---/ | | \ -- Temp_us off=30 | - / - -|- - - - - - | - - - - - - -|- \------\ -- | / | | | \ -- ----------------|--------|------------|--------------|----------|--------- -- pwm = | min | medium | max | medium | min -- -- -- License: -- ============================================================================ -- Copyright 2007-2015 Technische Universitaet Dresden - Germany -- Chair for VLSI-Design, Diagnostics and Architecture -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. -- ============================================================================ LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.all; USE IEEE.NUMERIC_STD.all; LIBRARY UniSim; USE UniSim.vComponents.ALL; entity xil_SystemMonitor_Virtex6 is port ( Reset : in STD_LOGIC; -- Reset signal for the System Monitor control logic Alarm_UserTemp : out STD_LOGIC; -- Temperature-sensor alarm output Alarm_OverTemp : out STD_LOGIC; -- Over-Temperature alarm output Alarm : out STD_LOGIC; -- OR'ed output of all the Alarms VP : in STD_LOGIC; -- Dedicated Analog Input Pair VN : in STD_LOGIC ); end; architecture xilinx of xil_SystemMonitor_Virtex6 is signal FLOAT_VCCAUX_ALARM : STD_LOGIC; signal FLOAT_VCCINT_ALARM : STD_LOGIC; signal aux_channel_p : STD_LOGIC_VECTOR(15 downto 0); signal aux_channel_n : STD_LOGIC_VECTOR(15 downto 0); signal SysMonitor_Alarm : STD_LOGIC_VECTOR(2 downto 0); signal SysMonitor_OverTemp : STD_LOGIC; begin genAUXChannel : for i in 0 to 15 generate aux_channel_p(i) <= '0'; aux_channel_n(i) <= '0'; end generate; SysMonitor : SYSMON generic map ( INIT_40 => x"0000", -- config reg 0 INIT_41 => x"300c", -- config reg 1 INIT_42 => x"0a00", -- config reg 2 INIT_48 => x"0100", -- Sequencer channel selection INIT_49 => x"0000", -- Sequencer channel selection INIT_4A => x"0000", -- Sequencer Average selection INIT_4B => x"0000", -- Sequencer Average selection INIT_4C => x"0000", -- Sequencer Bipolar selection INIT_4D => x"0000", -- Sequencer Bipolar selection INIT_4E => x"0000", -- Sequencer Acq time selection INIT_4F => x"0000", -- Sequencer Acq time selection INIT_50 => x"a418", -- Temp alarm trigger INIT_51 => x"5999", -- Vccint upper alarm limit INIT_52 => x"e000", -- Vccaux upper alarm limit INIT_53 => x"b363", -- Temp alarm OT upper INIT_54 => x"9c87", -- Temp alarm reset INIT_55 => x"5111", -- Vccint lower alarm limit INIT_56 => x"caaa", -- Vccaux lower alarm limit INIT_57 => x"a425", -- Temp alarm OT reset SIM_DEVICE => "VIRTEX6", SIM_MONITOR_FILE => "SystemMonitor_sim.txt" ) port map ( -- Control and Clock RESET => Reset, CONVSTCLK => '0', CONVST => '0', -- DRP port DCLK => '0', DEN => '0', DADDR => "0000000", DWE => '0', DI => x"0000", DO => open, DRDY => open, -- External analog inputs VAUXN => aux_channel_n(15 downto 0), VAUXP => aux_channel_p(15 downto 0), VN => VN, VP => VP, -- Alarms OT => SysMonitor_OverTemp, ALM => SysMonitor_Alarm, -- Status CHANNEL => open, BUSY => open, EOC => open, EOS => open, JTAGBUSY => open, JTAGLOCKED => open, JTAGMODIFIED => open ); Alarm_UserTemp <= SysMonitor_Alarm(0); Alarm_OverTemp <= SysMonitor_OverTemp; Alarm <= SysMonitor_Alarm(0) or SysMonitor_OverTemp; end;
-- EMACS settings: -*- tab-width: 2; indent-tabs-mode: t -*- -- vim: tabstop=2:shiftwidth=2:noexpandtab -- kate: tab-width 2; replace-tabs off; indent-width 2; -- -- ============================================================================ -- Authors: Patrick Lehmann -- -- Module: System Monitor wrapper for temperature supervision applications -- -- Description: -- ------------------------------------ -- This module wraps a Virtex-6 System Monitor primitive to report if preconfigured -- temperature values are overrun. -- -- Temperature curve: -- ------------------ -- -- | /-----\ -- Temp_ov on=80 | - - - - - - /-------/ \ -- | / | \ -- Temp_ov off=60 | - - - - - / - - - - | - - - - \----\ -- | / | \ -- | / | | \ -- Temp_us on=35 | - /---/ | | \ -- Temp_us off=30 | - / - -|- - - - - - | - - - - - - -|- \------\ -- | / | | | \ -- ----------------|--------|------------|--------------|----------|--------- -- pwm = | min | medium | max | medium | min -- -- -- License: -- ============================================================================ -- Copyright 2007-2015 Technische Universitaet Dresden - Germany -- Chair for VLSI-Design, Diagnostics and Architecture -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. -- ============================================================================ LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.all; USE IEEE.NUMERIC_STD.all; LIBRARY UniSim; USE UniSim.vComponents.ALL; entity xil_SystemMonitor_Virtex6 is port ( Reset : in STD_LOGIC; -- Reset signal for the System Monitor control logic Alarm_UserTemp : out STD_LOGIC; -- Temperature-sensor alarm output Alarm_OverTemp : out STD_LOGIC; -- Over-Temperature alarm output Alarm : out STD_LOGIC; -- OR'ed output of all the Alarms VP : in STD_LOGIC; -- Dedicated Analog Input Pair VN : in STD_LOGIC ); end; architecture xilinx of xil_SystemMonitor_Virtex6 is signal FLOAT_VCCAUX_ALARM : STD_LOGIC; signal FLOAT_VCCINT_ALARM : STD_LOGIC; signal aux_channel_p : STD_LOGIC_VECTOR(15 downto 0); signal aux_channel_n : STD_LOGIC_VECTOR(15 downto 0); signal SysMonitor_Alarm : STD_LOGIC_VECTOR(2 downto 0); signal SysMonitor_OverTemp : STD_LOGIC; begin genAUXChannel : for i in 0 to 15 generate aux_channel_p(i) <= '0'; aux_channel_n(i) <= '0'; end generate; SysMonitor : SYSMON generic map ( INIT_40 => x"0000", -- config reg 0 INIT_41 => x"300c", -- config reg 1 INIT_42 => x"0a00", -- config reg 2 INIT_48 => x"0100", -- Sequencer channel selection INIT_49 => x"0000", -- Sequencer channel selection INIT_4A => x"0000", -- Sequencer Average selection INIT_4B => x"0000", -- Sequencer Average selection INIT_4C => x"0000", -- Sequencer Bipolar selection INIT_4D => x"0000", -- Sequencer Bipolar selection INIT_4E => x"0000", -- Sequencer Acq time selection INIT_4F => x"0000", -- Sequencer Acq time selection INIT_50 => x"a418", -- Temp alarm trigger INIT_51 => x"5999", -- Vccint upper alarm limit INIT_52 => x"e000", -- Vccaux upper alarm limit INIT_53 => x"b363", -- Temp alarm OT upper INIT_54 => x"9c87", -- Temp alarm reset INIT_55 => x"5111", -- Vccint lower alarm limit INIT_56 => x"caaa", -- Vccaux lower alarm limit INIT_57 => x"a425", -- Temp alarm OT reset SIM_DEVICE => "VIRTEX6", SIM_MONITOR_FILE => "SystemMonitor_sim.txt" ) port map ( -- Control and Clock RESET => Reset, CONVSTCLK => '0', CONVST => '0', -- DRP port DCLK => '0', DEN => '0', DADDR => "0000000", DWE => '0', DI => x"0000", DO => open, DRDY => open, -- External analog inputs VAUXN => aux_channel_n(15 downto 0), VAUXP => aux_channel_p(15 downto 0), VN => VN, VP => VP, -- Alarms OT => SysMonitor_OverTemp, ALM => SysMonitor_Alarm, -- Status CHANNEL => open, BUSY => open, EOC => open, EOS => open, JTAGBUSY => open, JTAGLOCKED => open, JTAGMODIFIED => open ); Alarm_UserTemp <= SysMonitor_Alarm(0); Alarm_OverTemp <= SysMonitor_OverTemp; Alarm <= SysMonitor_Alarm(0) or SysMonitor_OverTemp; end;
-- $Id: ib_sres_or_3.vhd 1181 2019-07-08 17:00:50Z mueller $ -- SPDX-License-Identifier: GPL-3.0-or-later -- Copyright 2007-2010 by Walter F.J. Mueller <[email protected]> -- ------------------------------------------------------------------------------ -- Module Name: ib_sres_or_3 - syn -- Description: ibus: result or, 3 input -- -- Dependencies: - -- Test bench: tb/tb_pdp11_core (implicit) -- Target Devices: generic -- Tool versions: ise 8.1-14.7; viv 2014.4; ghdl 0.18-0.31 -- -- Revision History: -- Date Rev Version Comment -- 2010-10-23 335 1.1 add ib_sres_or_mon -- 2008-08-22 161 1.0.2 renamed pdp11_ibres_ -> ib_sres_; use iblib -- 2008-01-05 110 1.0.1 rename IB_MREQ(ena->req) SRES(sel->ack, hold->busy) -- 2007-12-29 107 1.0 Initial version ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use work.slvtypes.all; use work.iblib.all; -- ---------------------------------------------------------------------------- entity ib_sres_or_3 is -- ibus result or, 3 input port ( IB_SRES_1 : in ib_sres_type; -- ib_sres input 1 IB_SRES_2 : in ib_sres_type := ib_sres_init; -- ib_sres input 2 IB_SRES_3 : in ib_sres_type := ib_sres_init; -- ib_sres input 3 IB_SRES_OR : out ib_sres_type -- ib_sres or'ed output ); end ib_sres_or_3; architecture syn of ib_sres_or_3 is begin proc_comb : process (IB_SRES_1, IB_SRES_2, IB_SRES_3) begin IB_SRES_OR.ack <= IB_SRES_1.ack or IB_SRES_2.ack or IB_SRES_3.ack; IB_SRES_OR.busy <= IB_SRES_1.busy or IB_SRES_2.busy or IB_SRES_3.busy; IB_SRES_OR.dout <= IB_SRES_1.dout or IB_SRES_2.dout or IB_SRES_3.dout; end process proc_comb; -- synthesis translate_off ORMON : ib_sres_or_mon port map ( IB_SRES_1 => IB_SRES_1, IB_SRES_2 => IB_SRES_2, IB_SRES_3 => IB_SRES_3, IB_SRES_4 => ib_sres_init ); -- synthesis translate_on end syn;
entity grlib1 is end entity; architecture test of grlib1 is constant NAHBMST : integer := 16; -- maximum AHB masters constant NAHBSLV : integer := 16; -- maximum AHB slaves constant NAPBSLV : integer := 16; -- maximum APB slaves constant NAHBAMR : integer := 4; -- maximum address mapping registers constant NAHBIR : integer := 4; -- maximum AHB identification registers constant NAHBCFG : integer := NAHBIR + NAHBAMR; -- words in AHB config block subtype amba_vendor_type is integer range 0 to 16#ff#; subtype amba_device_type is integer range 0 to 16#3ff#; subtype amba_version_type is integer range 0 to 16#3f#; subtype amba_cfgver_type is integer range 0 to 3; subtype ahb_addr_type is integer range 0 to 16#fff#; subtype amba_config_word is bit_vector(31 downto 0); type ahb_config_type is array (0 to NAHBCFG-1) of amba_config_word; constant gAWidth: Positive := 18; -- address width constant zero32 : bit_vector(31 downto 0) := (others => '0'); constant HADDR: Integer := 0; constant HMASK: Integer := 16#FFF#; function ahb_device_reg(vendor : amba_vendor_type; device : amba_device_type; cfgver : amba_cfgver_type; version : amba_version_type) return bit_vector is begin return X"01234567"; end function; function ahb_membar(memaddr : ahb_addr_type; prefetch, cache : bit; addrmask : ahb_addr_type) return bit_vector is begin return (31 downto 0 => '1'); end function; constant HCONFIG : ahb_config_type := ( 0 => ahb_device_reg (0, 0, 0, gAWidth), 4 => ahb_membar(HADDR, '1', '1', HMASK), others => zero32); begin check: process is begin assert HCONFIG(0) = X"01234567"; assert HCONFIG(1) = X"00000000"; assert HCONFIG(4) = X"ffffffff"; wait; end process; end architecture;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1085.vhd,v 1.2 2001-10-26 16:30:06 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c06s05b00x00p02n01i01085ent IS END c06s05b00x00p02n01i01085ent; ARCHITECTURE c06s05b00x00p02n01i01085arch OF c06s05b00x00p02n01i01085ent IS BEGIN TESTING: PROCESS type FIVE is range 1 to 5; type A51 is array (FIVE) of BOOLEAN; type A53 is array (FIVE) of A51; variable V51: A51 ; variable V53: A53 ; BEGIN V53(2 downto 1, 1 to 4) := V53(2 downto 1, 1 to 4); -- SYNTAX ERROR: NO MULTIPLE DISCRETE RANGES IN SLICE NAMES assert FALSE report "***FAILED TEST: c06s05b00x00p02n01i01085 - Slice name consists of a single discrete range enclosed within parentheses." severity ERROR; wait; END PROCESS TESTING; END c06s05b00x00p02n01i01085arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1085.vhd,v 1.2 2001-10-26 16:30:06 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c06s05b00x00p02n01i01085ent IS END c06s05b00x00p02n01i01085ent; ARCHITECTURE c06s05b00x00p02n01i01085arch OF c06s05b00x00p02n01i01085ent IS BEGIN TESTING: PROCESS type FIVE is range 1 to 5; type A51 is array (FIVE) of BOOLEAN; type A53 is array (FIVE) of A51; variable V51: A51 ; variable V53: A53 ; BEGIN V53(2 downto 1, 1 to 4) := V53(2 downto 1, 1 to 4); -- SYNTAX ERROR: NO MULTIPLE DISCRETE RANGES IN SLICE NAMES assert FALSE report "***FAILED TEST: c06s05b00x00p02n01i01085 - Slice name consists of a single discrete range enclosed within parentheses." severity ERROR; wait; END PROCESS TESTING; END c06s05b00x00p02n01i01085arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1085.vhd,v 1.2 2001-10-26 16:30:06 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c06s05b00x00p02n01i01085ent IS END c06s05b00x00p02n01i01085ent; ARCHITECTURE c06s05b00x00p02n01i01085arch OF c06s05b00x00p02n01i01085ent IS BEGIN TESTING: PROCESS type FIVE is range 1 to 5; type A51 is array (FIVE) of BOOLEAN; type A53 is array (FIVE) of A51; variable V51: A51 ; variable V53: A53 ; BEGIN V53(2 downto 1, 1 to 4) := V53(2 downto 1, 1 to 4); -- SYNTAX ERROR: NO MULTIPLE DISCRETE RANGES IN SLICE NAMES assert FALSE report "***FAILED TEST: c06s05b00x00p02n01i01085 - Slice name consists of a single discrete range enclosed within parentheses." severity ERROR; wait; END PROCESS TESTING; END c06s05b00x00p02n01i01085arch;
--MIT License -- --Copyright (c) 2017 Danny Savory -- --Permission is hereby granted, free of charge, to any person obtaining a copy --of this software and associated documentation files (the "Software"), to deal --in the Software without restriction, including without limitation the rights --to use, copy, modify, merge, publish, distribute, sublicense, and/or sell --copies of the Software, and to permit persons to whom the Software is --furnished to do so, subject to the following conditions: -- --The above copyright notice and this permission notice shall be included in all --copies or substantial portions of the Software. -- --THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR --IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, --FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE --AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER --LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, --OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE --SOFTWARE. library ieee, dsaves; use ieee.std_logic_1164.all; entity TAP_CONTROLLER is port( tclk : in std_logic; tms : in std_logic; tdi : in std_logic; trst : in std_logic; tdo : out std_logic ); end entity; architecture IEEE_STD_1149_1_2013 of TAP_CONTROLLER is type TAP_STATE is ( RESET, IDLE, SELECT_DR_SCAN, CAPTURE_DR, SHIFT_DR, EXIT1_DR, PAUSE_DR, EXIT2_DR, UPDATE_DR, SELECT_IR_SCAN, CAPTURE_IR, SHIFT_IR, EXIT1_IR, PAUSE_IR, EXIT2_IR, UPDATE_IR ); signal CURRENT_STATE, NEXT_STATE : TAP_STATE; begin --CURRENT_STATE assignment process(tclk, trst) begin if(trst = '1') then CURRENT_STATE <= RESET; end if; if(tclk'event and tclk='1') then CURRENT_STATE <= NEXT_STATE; end if; end process; --NEXT STATE logic process(tclk, trst) begin if(trst = '1') then NEXT_STATE <= RESET; end if; if(tclk'event and tclk = '1') then case CURRENT_STATE is when RESET => if(tms = '1') then NEXT_STATE <= RESET; else NEXT_STATE <= IDLE; end if; when IDLE => if(tms = '1') then NEXT_STATE <= SELECT_DR_SCAN; else NEXT_STATE <= IDLE; end if; when SELECT_DR_SCAN => if(tms = '1') then NEXT_STATE <= SELECT_IR_SCAN; else NEXT_STATE <= CAPTURE_DR; end if; when CAPTURE_DR => if(tms = '1') then NEXT_STATE <= EXIT1_DR; else NEXT_STATE <= SHIFT_DR; end if; when SHIFT_DR => if(tms = '1') then NEXT_STATE <= EXIT1_DR; else NEXT_STATE <= SHIFT_DR; end if; when EXIT1_DR => if(tms = '1') then NEXT_STATE <= UPDATE_DR; else NEXT_STATE <= PAUSE_DR; end if; when PAUSE_DR => if(tms = '1') then NEXT_STATE <= EXIT2_DR; else NEXT_STATE <= PAUSE_DR; end if; when EXIT2_DR => if(tms = '1') then NEXT_STATE <= UPDATE_DR; else NEXT_STATE <= SHIFT_DR; end if; when UPDATE_DR => if(tms = '1') then NEXT_STATE <= SELECT_DR_SCAN; else NEXT_STATE <= IDLE; end if; when SELECT_IR_SCAN => if(tms = '1') then NEXT_STATE <= RESET; else NEXT_STATE <= CAPTURE_IR; end if; when CAPTURE_IR => if(tms = '1') then NEXT_STATE <= EXIT1_IR; else NEXT_STATE <= SHIFT_IR; end if; when SHIFT_IR => if(tms = '1') then NEXT_STATE <= EXIT1_IR; else NEXT_STATE <= SHIFT_IR; end if; when EXIT1_IR => if(tms = '1') then NEXT_STATE <= UPDATE_IR; else NEXT_STATE <= PAUSE_IR; end if; when PAUSE_IR => if(tms = '1') then NEXT_STATE <= EXIT2_IR; else NEXT_STATE <= PAUSE_IR; end if; when EXIT2_IR => if(tms = '1') then NEXT_STATE <= UPDATE_IR; else NEXT_STATE <= SHIFT_IR; end if; when UPDATE_IR => if(tms = '1') then NEXT_STATE <= SELECT_DR_SCAN; else NEXT_STATE <= IDLE; end if; end case; end if; end process; end architecture;
Library IEEE; use IEEE.std_logic_1164.all; entity DC7 is port( A: in std_logic_vector (3 downto 0); Q: out std_logic_vector (6 downto 0)); end entity DC7; architecture Behave of DC7 is begin process (A) begin case A is when "0000" => Q <= "0000001"; when "0001" => Q <= "1001111"; when "0010" => Q <= "0010011"; when "0011" => Q <= "0000110"; when "0100" => Q <= "1001100"; when "0101" => Q <= "0100100"; when "0110" => Q <= "0100000"; when "0111" => Q <= "0001111"; when "1000" => Q <= "0000000"; when "1001" => Q <= "0000100"; when "1010" => Q <= "0001000"; when "1011" => Q <= "1100000"; when "1100" => Q <= "1110010"; when "1101" => Q <= "1000010"; when "1110" => Q <= "0110000"; when "1111" => Q <= "0111000"; when others => Q <= "0000001"; end case; end process; end Behave;
-- -- Package File Template -- -- Purpose: This package defines data types for AXI transfers library IEEE; use IEEE.STD_LOGIC_1164.all; package axi is type axi_in_type is record data_in : STD_LOGIC_VECTOR (7 downto 0); data_in_valid : STD_LOGIC; -- indicates data_in valid on clock data_in_last : STD_LOGIC; -- indicates last data in frame end record; type axi_out_type is record data_out_valid : std_logic; -- indicates data out is valid data_out_last : std_logic; -- with data out valid indicates the last byte of a frame data_out : std_logic_vector (7 downto 0); -- ethernet frame (from dst mac addr through to last byte of frame) end record; end axi;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity global_memory is PORT( CLK : IN STD_LOGIC; RESET_n : IN STD_LOGIC; --compute group 0 ADDRESS_A_CG0 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); ADDRESS_B_CG0 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); ADDRESS_C_CG0 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); ADDRESS_0_CG0 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); ADDRESS_1_CG0 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); ADDRESS_W_CG0 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); DATA_TO_W_CG0 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); W_EN_CG0 : IN STD_LOGIC; ENABLE_CG0 : IN STD_LOGIC; DATA_A_TO_CG0 : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); DATA_B_TO_CG0 : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); DATA_C_TO_CG0 : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); DATA_0_TO_CG0 : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); DATA_1_TO_CG0 : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); STALL_CG0 : OUT STD_LOGIC; --compute group 1 ADDRESS_A_CG1 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); ADDRESS_B_CG1 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); ADDRESS_C_CG1 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); ADDRESS_0_CG1 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); ADDRESS_1_CG1 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); ADDRESS_W_CG1 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); DATA_TO_W_CG1 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); W_EN_CG1 : IN STD_LOGIC; ENABLE_CG1 : IN STD_LOGIC; DATA_A_TO_CG1 : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); DATA_B_TO_CG1 : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); DATA_C_TO_CG1 : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); DATA_0_TO_CG1 : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); DATA_1_TO_CG1 : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); STALL_CG1 : OUT STD_LOGIC; --compute group 2 ADDRESS_A_CG2 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); ADDRESS_B_CG2 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); ADDRESS_C_CG2 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); ADDRESS_0_CG2 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); ADDRESS_1_CG2 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); ADDRESS_W_CG2 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); DATA_TO_W_CG2 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); W_EN_CG2 : IN STD_LOGIC; ENABLE_CG2 : IN STD_LOGIC; DATA_A_TO_CG2 : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); DATA_B_TO_CG2 : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); DATA_C_TO_CG2 : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); DATA_0_TO_CG2 : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); DATA_1_TO_CG2 : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); STALL_CG2 : OUT STD_LOGIC; --compute group 3 ADDRESS_A_CG3 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); ADDRESS_B_CG3 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); ADDRESS_C_CG3 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); ADDRESS_0_CG3 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); ADDRESS_1_CG3 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); ADDRESS_W_CG3 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); DATA_TO_W_CG3 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); W_EN_CG3 : IN STD_LOGIC; ENABLE_CG3 : IN STD_LOGIC; DATA_A_TO_CG3 : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); DATA_B_TO_CG3 : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); DATA_C_TO_CG3 : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); DATA_0_TO_CG3 : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); DATA_1_TO_CG3 : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); STALL_CG3 : OUT STD_LOGIC; --IO controller ADDRESS_IO : IN STD_LOGIC_VECTOR(31 DOWNTO 0); DATA_TO_W_IO : IN STD_LOGIC_VECTOR(31 DOWNTO 0); W_EN_IO : IN STD_LOGIC; ENABLE_IO : IN STD_LOGIC; DATA_RET_IO : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); --DONE signals DONE_C0 : OUT STD_LOGIC; DONE_C1 : OUT STD_LOGIC; DONE_C2 : OUT STD_LOGIC; DONE_C3 : OUT STD_LOGIC; DONE_C4 : OUT STD_LOGIC; DONE_C5 : OUT STD_LOGIC; DONE_C6 : OUT STD_LOGIC; DONE_C7 : OUT STD_LOGIC; RCC : OUT STD_LOGIC_VECTOR(3 DOWNTO 0) ); end; architecture global of global_memory is component MAGIC_global PORT ( ADDRESS_A : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ADDRESS_B : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ADDRESS_C : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ADDRESS_0 : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ADDRESS_1 : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ADDRESS_W : IN STD_LOGIC_VECTOR (31 DOWNTO 0); DATA_TO_W : IN STD_LOGIC_VECTOR (31 DOWNTO 0); W_EN : IN STD_LOGIC; CLK : IN STD_LOGIC; RESET_n : IN STD_LOGIC; DATA_OUT_A : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); DATA_OUT_B : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); DATA_OUT_C : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); DATA_OUT_0 : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); DATA_OUT_1 : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); C0_STALL : OUT STD_LOGIC; C1_STALL : OUT STD_LOGIC; CORE_IDENT : OUT STD_LOGIC; IO_ENABLE : IN STD_LOGIC ); end component; type state_type is (cg0, cg1, cg2, cg3); signal state : state_type; signal address_a_cg0_buffer : std_logic_vector(31 downto 0); signal address_b_cg0_buffer : std_logic_vector(31 downto 0); signal address_c_cg0_buffer : std_logic_vector(31 downto 0); signal address_0_cg0_buffer : std_logic_vector(31 downto 0); signal address_1_cg0_buffer : std_logic_vector(31 downto 0); signal address_w_cg0_buffer : std_logic_vector(31 downto 0); signal data_to_w_cg0_buffer : std_logic_vector(31 downto 0); signal w_en_cg0_buffer : std_logic; signal access_request_cg0 : std_logic; signal data_a_to_cg0_buffer : std_logic_vector (31 downto 0); signal data_b_to_cg0_buffer : std_logic_vector (31 downto 0); signal data_c_to_cg0_buffer : std_logic_vector (31 downto 0); signal data_0_to_cg0_buffer : std_logic_vector (31 downto 0); signal data_1_to_cg0_buffer : std_logic_vector (31 downto 0); signal address_a_cg1_buffer : std_logic_vector(31 downto 0); signal address_b_cg1_buffer : std_logic_vector(31 downto 0); signal address_c_cg1_buffer : std_logic_vector(31 downto 0); signal address_0_cg1_buffer : std_logic_vector(31 downto 0); signal address_1_cg1_buffer : std_logic_vector(31 downto 0); signal address_w_cg1_buffer : std_logic_vector(31 downto 0); signal data_to_w_cg1_buffer : std_logic_vector(31 downto 0); signal w_en_cg1_buffer : std_logic; signal access_request_cg1 : std_logic; signal data_a_to_cg1_buffer : std_logic_vector (31 downto 0); signal data_b_to_cg1_buffer : std_logic_vector (31 downto 0); signal data_c_to_cg1_buffer : std_logic_vector (31 downto 0); signal data_0_to_cg1_buffer : std_logic_vector (31 downto 0); signal data_1_to_cg1_buffer : std_logic_vector (31 downto 0); signal address_a_cg2_buffer : std_logic_vector(31 downto 0); signal address_b_cg2_buffer : std_logic_vector(31 downto 0); signal address_c_cg2_buffer : std_logic_vector(31 downto 0); signal address_0_cg2_buffer : std_logic_vector(31 downto 0); signal address_1_cg2_buffer : std_logic_vector(31 downto 0); signal address_w_cg2_buffer : std_logic_vector(31 downto 0); signal data_to_w_cg2_buffer : std_logic_vector(31 downto 0); signal w_en_cg2_buffer : std_logic; signal access_request_cg2 : std_logic; signal data_a_to_cg2_buffer : std_logic_vector (31 downto 0); signal data_b_to_cg2_buffer : std_logic_vector (31 downto 0); signal data_c_to_cg2_buffer : std_logic_vector (31 downto 0); signal data_0_to_cg2_buffer : std_logic_vector (31 downto 0); signal data_1_to_cg2_buffer : std_logic_vector (31 downto 0); signal address_a_cg3_buffer : std_logic_vector(31 downto 0); signal address_b_cg3_buffer : std_logic_vector(31 downto 0); signal address_c_cg3_buffer : std_logic_vector(31 downto 0); signal address_0_cg3_buffer : std_logic_vector(31 downto 0); signal address_1_cg3_buffer : std_logic_vector(31 downto 0); signal address_w_cg3_buffer : std_logic_vector(31 downto 0); signal data_to_w_cg3_buffer : std_logic_vector(31 downto 0); signal w_en_cg3_buffer : std_logic; signal access_request_cg3 : std_logic; signal data_a_to_cg3_buffer : std_logic_vector (31 downto 0); signal data_b_to_cg3_buffer : std_logic_vector (31 downto 0); signal data_c_to_cg3_buffer : std_logic_vector (31 downto 0); signal data_0_to_cg3_buffer : std_logic_vector (31 downto 0); signal data_1_to_cg3_buffer : std_logic_vector (31 downto 0); signal address_a_to_mem : std_logic_vector(31 downto 0); signal address_b_to_mem : std_logic_vector(31 downto 0); signal address_c_to_mem : std_logic_vector(31 downto 0); signal address_0_to_mem : std_logic_vector(31 downto 0); signal address_1_to_mem : std_logic_vector(31 downto 0); signal address_w_to_mem : std_logic_vector(31 downto 0); signal data_to_w_to_mem : std_logic_vector(31 downto 0); signal w_en_to_mem : std_logic; signal address_a_mem : std_logic_vector(31 downto 0); signal address_b_mem : std_logic_vector(31 downto 0); signal address_c_mem : std_logic_vector(31 downto 0); signal address_0_mem : std_logic_vector(31 downto 0); signal address_1_mem : std_logic_vector(31 downto 0); signal address_w_mem : std_logic_vector(31 downto 0); signal data_to_w_mem : std_logic_vector(31 downto 0); signal w_en_mem : std_logic; signal data_a : std_logic_vector(31 downto 0); signal data_b : std_logic_vector(31 downto 0); signal data_c : std_logic_vector(31 downto 0); signal data_0 : std_logic_vector(31 downto 0); signal data_1 : std_logic_vector(31 downto 0); signal core_id : std_logic; signal gnd : std_logic; signal stall_c0 : std_logic; signal stall_c1 : std_logic; signal stall_c0_raw : std_logic; signal stall_c1_raw : std_logic; signal done_cg0 : std_logic; signal done_cg1 : std_logic; signal done_cg2 : std_logic; signal done_cg3 : std_logic; signal clear_stall_cg0 : std_logic; signal clear_stall_cg1 : std_logic; signal clear_stall_cg2 : std_logic; signal clear_stall_cg3 : std_logic; signal buffer_cg0 : std_logic; signal buffer_cg1 : std_logic; signal buffer_cg2 : std_logic; signal buffer_cg3 : std_logic; signal done_reg_c0 : std_logic; signal done_reg_c1 : std_logic; signal done_reg_c2 : std_logic; signal done_reg_c3 : std_logic; signal done_reg_c4 : std_logic; signal done_reg_c5 : std_logic; signal done_reg_c6 : std_logic; signal done_reg_c7 : std_logic; signal ready_clear_count : std_logic_vector(3 downto 0); begin gnd <= '0'; --immidiately stall the requesting core STALL_CG0 <= ENABLE_CG0 and clear_stall_cg0; STALL_CG1 <= ENABLE_CG1 and clear_stall_cg1; STALL_CG2 <= ENABLE_CG2 and clear_stall_cg2; STALL_CG3 <= ENABLE_CG3 and clear_stall_cg3; --pass buffered values back to compute groups DATA_A_TO_CG0 <= data_a_to_cg0_buffer; DATA_B_TO_CG0 <= data_b_to_cg0_buffer; DATA_C_TO_CG0 <= data_c_to_cg0_buffer; DATA_0_TO_CG0 <= data_0_to_cg0_buffer; DATA_1_TO_CG0 <= data_1_to_cg0_buffer; DATA_A_TO_CG1 <= data_a_to_cg1_buffer; DATA_B_TO_CG1 <= data_b_to_cg1_buffer; DATA_C_TO_CG1 <= data_c_to_cg1_buffer; DATA_0_TO_CG1 <= data_0_to_cg1_buffer; DATA_1_TO_CG1 <= data_1_to_cg1_buffer; DATA_A_TO_CG2 <= data_a_to_cg2_buffer; DATA_B_TO_CG2 <= data_b_to_cg2_buffer; DATA_C_TO_CG2 <= data_c_to_cg2_buffer; DATA_0_TO_CG2 <= data_0_to_cg2_buffer; DATA_1_TO_CG2 <= data_1_to_cg2_buffer; DATA_A_TO_CG3 <= data_a_to_cg3_buffer; DATA_B_TO_CG3 <= data_b_to_cg3_buffer; DATA_C_TO_CG3 <= data_c_to_cg3_buffer; DATA_0_TO_CG3 <= data_0_to_cg3_buffer; DATA_1_TO_CG3 <= data_1_to_cg3_buffer; buffer_input_lines : process (CLK, RESET_n) begin if (RESET_n = '0') then address_a_cg0_buffer <= "00000000000000000000000000000000"; address_b_cg0_buffer <= "00000000000000000000000000000001"; address_c_cg0_buffer <= "00000000000000000000000000000010"; address_0_cg0_buffer <= "00000000000000000000000000000011"; address_1_cg0_buffer <= "00000000000000000000000000000100"; address_w_cg0_buffer <= "00000000000000000000000000000101"; data_to_w_cg0_buffer <= "00000000000000000000000000000110"; w_en_cg0_buffer <= '0'; access_request_cg0 <= '0'; address_a_cg1_buffer <= "00000000000000000000000000000000"; address_b_cg1_buffer <= "00000000000000000000000000000001"; address_c_cg1_buffer <= "00000000000000000000000000000010"; address_0_cg1_buffer <= "00000000000000000000000000000011"; address_1_cg1_buffer <= "00000000000000000000000000000100"; address_w_cg1_buffer <= "00000000000000000000000000000101"; data_to_w_cg1_buffer <= "00000000000000000000000000000110"; w_en_cg1_buffer <= '0'; access_request_cg1 <= '0'; address_a_cg2_buffer <= "00000000000000000000000000000000"; address_b_cg2_buffer <= "00000000000000000000000000000001"; address_c_cg2_buffer <= "00000000000000000000000000000010"; address_0_cg2_buffer <= "00000000000000000000000000000011"; address_1_cg2_buffer <= "00000000000000000000000000000100"; address_w_cg2_buffer <= "00000000000000000000000000000101"; data_to_w_cg2_buffer <= "00000000000000000000000000000110"; w_en_cg2_buffer <= '0'; access_request_cg2 <= '0'; address_a_cg3_buffer <= "00000000000000000000000000000000"; address_b_cg3_buffer <= "00000000000000000000000000000001"; address_c_cg3_buffer <= "00000000000000000000000000000010"; address_0_cg3_buffer <= "00000000000000000000000000000011"; address_1_cg3_buffer <= "00000000000000000000000000000100"; address_w_cg3_buffer <= "00000000000000000000000000000101"; data_to_w_cg3_buffer <= "00000000000000000000000000000110"; w_en_cg3_buffer <= '0'; access_request_cg3 <= '0'; elsif (rising_edge(CLK)) then address_a_cg0_buffer <= "00000000000000000" & std_logic_vector(unsigned(ADDRESS_A_CG0(14 downto 13)) - 1) & ADDRESS_A_CG0(12 downto 0); address_b_cg0_buffer <= "00000000000000000" & std_logic_vector(unsigned(ADDRESS_B_CG0(14 downto 13)) - 1) & ADDRESS_B_CG0(12 downto 0); address_c_cg0_buffer <= "00000000000000000" & std_logic_vector(unsigned(ADDRESS_C_CG0(14 downto 13)) - 1) & ADDRESS_C_CG0(12 downto 0); address_0_cg0_buffer <= "00000000000000000" & std_logic_vector(unsigned(ADDRESS_0_CG0(14 downto 13)) - 1) & ADDRESS_0_CG0(12 downto 0); address_1_cg0_buffer <= "00000000000000000" & std_logic_vector(unsigned(ADDRESS_1_CG0(14 downto 13)) - 1) & ADDRESS_1_CG0(12 downto 0); address_w_cg0_buffer <= "00000000000000000" & std_logic_vector(unsigned(ADDRESS_W_CG0(14 downto 13)) - 1) & ADDRESS_W_CG0(12 downto 0); data_to_w_cg0_buffer <= DATA_TO_W_CG0; w_en_cg0_buffer <= W_EN_CG0; access_request_cg0 <= ENABLE_CG0; address_a_cg1_buffer <= "00000000000000000" & std_logic_vector(unsigned(ADDRESS_A_CG1(14 downto 13)) - 1) & ADDRESS_A_CG1(12 downto 0); address_b_cg1_buffer <= "00000000000000000" & std_logic_vector(unsigned(ADDRESS_B_CG1(14 downto 13)) - 1) & ADDRESS_B_CG1(12 downto 0); address_c_cg1_buffer <= "00000000000000000" & std_logic_vector(unsigned(ADDRESS_C_CG1(14 downto 13)) - 1) & ADDRESS_C_CG1(12 downto 0); address_0_cg1_buffer <= "00000000000000000" & std_logic_vector(unsigned(ADDRESS_0_CG1(14 downto 13)) - 1) & ADDRESS_0_CG1(12 downto 0); address_1_cg1_buffer <= "00000000000000000" & std_logic_vector(unsigned(ADDRESS_1_CG1(14 downto 13)) - 1) & ADDRESS_1_CG1(12 downto 0); address_w_cg1_buffer <= "00000000000000000" & std_logic_vector(unsigned(ADDRESS_W_CG1(14 downto 13)) - 1) & ADDRESS_W_CG1(12 downto 0); data_to_w_cg1_buffer <= DATA_TO_W_CG1; w_en_cg1_buffer <= W_EN_CG1; access_request_cg1 <= ENABLE_CG1; address_a_cg2_buffer <= "00000000000000000" & std_logic_vector(unsigned(ADDRESS_A_CG2(14 downto 13)) - 1) & ADDRESS_A_CG2(12 downto 0); address_b_cg2_buffer <= "00000000000000000" & std_logic_vector(unsigned(ADDRESS_B_CG2(14 downto 13)) - 1) & ADDRESS_B_CG2(12 downto 0); address_c_cg2_buffer <= "00000000000000000" & std_logic_vector(unsigned(ADDRESS_C_CG2(14 downto 13)) - 1) & ADDRESS_C_CG2(12 downto 0); address_0_cg2_buffer <= "00000000000000000" & std_logic_vector(unsigned(ADDRESS_0_CG2(14 downto 13)) - 1) & ADDRESS_0_CG2(12 downto 0); address_1_cg2_buffer <= "00000000000000000" & std_logic_vector(unsigned(ADDRESS_1_CG2(14 downto 13)) - 1) & ADDRESS_1_CG2(12 downto 0); address_w_cg2_buffer <= "00000000000000000" & std_logic_vector(unsigned(ADDRESS_W_CG2(14 downto 13)) - 1) & ADDRESS_W_CG2(12 downto 0); data_to_w_cg2_buffer <= DATA_TO_W_CG2; w_en_cg2_buffer <= W_EN_CG2; access_request_cg2 <= ENABLE_CG2; address_a_cg3_buffer <= "00000000000000000" & std_logic_vector(unsigned(ADDRESS_A_CG3(14 downto 13)) - 1) & ADDRESS_A_CG3(12 downto 0); address_b_cg3_buffer <= "00000000000000000" & std_logic_vector(unsigned(ADDRESS_B_CG3(14 downto 13)) - 1) & ADDRESS_B_CG3(12 downto 0); address_c_cg3_buffer <= "00000000000000000" & std_logic_vector(unsigned(ADDRESS_C_CG3(14 downto 13)) - 1) & ADDRESS_C_CG3(12 downto 0); address_0_cg3_buffer <= "00000000000000000" & std_logic_vector(unsigned(ADDRESS_0_CG3(14 downto 13)) - 1) & ADDRESS_0_CG3(12 downto 0); address_1_cg3_buffer <= "00000000000000000" & std_logic_vector(unsigned(ADDRESS_1_CG3(14 downto 13)) - 1) & ADDRESS_1_CG3(12 downto 0); address_w_cg3_buffer <= "00000000000000000" & std_logic_vector(unsigned(ADDRESS_W_CG3(14 downto 13)) - 1) & ADDRESS_W_CG3(12 downto 0); data_to_w_cg3_buffer <= DATA_TO_W_CG3; w_en_cg3_buffer <= W_EN_CG3; access_request_cg3 <= ENABLE_CG3; end if; end process; buffer_enables: process (RESET_n, CLK) begin if (RESET_n = '0') then buffer_cg0 <= '0'; buffer_cg1 <= '0'; buffer_cg2 <= '0'; buffer_cg3 <= '0'; elsif (rising_edge(CLK)) then clear_stall_cg0 <= not buffer_cg0; clear_stall_cg1 <= not buffer_cg1; clear_stall_cg2 <= not buffer_cg2; clear_stall_cg3 <= not buffer_cg3; buffer_cg0 <= done_cg0 and access_request_cg0; buffer_cg1 <= done_cg1 and access_request_cg1; buffer_cg2 <= done_cg2 and access_request_cg2; buffer_cg3 <= done_cg3 and access_request_cg3; end if; end process; buffer_data: process (RESET_n, CLK) begin if (RESET_n = '0') then data_a_to_cg0_buffer <= "00000000000000000000000000000000"; data_b_to_cg0_buffer <= "00000000000000000000000000000000"; data_c_to_cg0_buffer <= "00000000000000000000000000000000"; data_0_to_cg0_buffer <= "00000000000000000000000000000000"; data_1_to_cg0_buffer <= "00000000000000000000000000000000"; data_a_to_cg1_buffer <= "00000000000000000000000000000000"; data_b_to_cg1_buffer <= "00000000000000000000000000000000"; data_c_to_cg1_buffer <= "00000000000000000000000000000000"; data_0_to_cg1_buffer <= "00000000000000000000000000000000"; data_1_to_cg1_buffer <= "00000000000000000000000000000000"; data_a_to_cg2_buffer <= "00000000000000000000000000000000"; data_b_to_cg2_buffer <= "00000000000000000000000000000000"; data_c_to_cg2_buffer <= "00000000000000000000000000000000"; data_0_to_cg2_buffer <= "00000000000000000000000000000000"; data_1_to_cg2_buffer <= "00000000000000000000000000000000"; data_a_to_cg3_buffer <= "00000000000000000000000000000000"; data_b_to_cg3_buffer <= "00000000000000000000000000000000"; data_c_to_cg3_buffer <= "00000000000000000000000000000000"; data_0_to_cg3_buffer <= "00000000000000000000000000000000"; data_1_to_cg3_buffer <= "00000000000000000000000000000000"; elsif (rising_edge(CLK)) then if (buffer_cg0 = '1') then data_a_to_cg0_buffer <= data_a; data_b_to_cg0_buffer <= data_b; data_c_to_cg0_buffer <= data_c; data_0_to_cg0_buffer <= data_0; data_1_to_cg0_buffer <= data_1; end if; if (buffer_cg1 = '1') then data_a_to_cg1_buffer <= data_a; data_b_to_cg1_buffer <= data_b; data_c_to_cg1_buffer <= data_c; data_0_to_cg1_buffer <= data_0; data_1_to_cg1_buffer <= data_1; end if; if (buffer_cg2 = '1') then data_a_to_cg2_buffer <= data_a; data_b_to_cg2_buffer <= data_b; data_c_to_cg2_buffer <= data_c; data_0_to_cg2_buffer <= data_0; data_1_to_cg2_buffer <= data_1; end if; if (buffer_cg3 = '1') then data_a_to_cg3_buffer <= data_a; data_b_to_cg3_buffer <= data_b; data_c_to_cg3_buffer <= data_c; data_0_to_cg3_buffer <= data_0; data_1_to_cg3_buffer <= data_1; end if; end if; end process; state_machine : process (RESET_n, CLK, done_cg0, done_cg1, done_cg2, done_cg3) begin if (RESET_n = '0') then state <= cg0; elsif (rising_edge(CLK)) then case state is when cg0 => if (done_cg0 = '0') then state <= cg0; else state <= cg1; end if; when cg1 => if (done_cg1 = '0') then state <= cg1; else state <= cg2; end if; when cg2 => if (done_cg2 = '0') then state <= cg2; else state <= cg3; end if; when cg3 => if (done_cg3 = '0') then state <= cg3; else state <= cg0; end if; end case; end if; end process; passing_adresses : process (state, stall_c0, stall_c1, access_request_cg0, access_request_cg1, access_request_cg2, access_request_cg3, address_a_cg0_buffer, address_b_cg0_buffer, address_c_cg0_buffer, address_0_cg0_buffer, address_1_cg0_buffer, address_a_cg1_buffer, address_b_cg1_buffer, address_c_cg1_buffer, address_0_cg1_buffer, address_1_cg1_buffer, address_a_cg2_buffer, address_b_cg2_buffer, address_c_cg2_buffer, address_0_cg2_buffer, address_1_cg2_buffer, address_a_cg3_buffer, address_b_cg3_buffer, address_c_cg3_buffer, address_0_cg3_buffer, address_1_cg3_buffer, address_w_cg0_buffer, address_w_cg1_buffer, address_w_cg2_buffer, address_w_cg3_buffer, data_to_w_cg0_buffer, data_to_w_cg1_buffer, data_to_w_cg2_buffer, data_to_w_cg3_buffer, w_en_cg0_buffer, w_en_cg1_buffer, w_en_cg2_buffer, w_en_cg3_buffer) begin case state is when cg0 => --if access requested, pass adresses if (access_request_cg0 = '1') then address_a_to_mem <= address_a_cg0_buffer; address_b_to_mem <= address_b_cg0_buffer; address_c_to_mem <= address_c_cg0_buffer; address_0_to_mem <= address_0_cg0_buffer; address_1_to_mem <= address_1_cg0_buffer; address_w_to_mem <= address_w_cg0_buffer; data_to_w_to_mem <= data_to_w_cg0_buffer; w_en_to_mem <= w_en_cg0_buffer; --wait for memory to respond if ((stall_c0 and stall_c1) = '1') then done_cg0 <= '0'; else done_cg0 <= '1'; end if; --if access not requested, supply dummy adresses else address_a_to_mem <= "00000000000000000000000000000000"; address_b_to_mem <= "00000000000000000000000000000001"; address_c_to_mem <= "00000000000000000000000000000010"; address_0_to_mem <= "00000000000000000000000000000011"; address_1_to_mem <= "00000000000000000000000000000100"; address_w_to_mem <= "00000000000000000000000000000101"; data_to_w_to_mem <= "00000000000000000000000000000110"; w_en_to_mem <= '0'; --move on to next group done_cg0 <= '1'; end if; --all other compute groups not getting service yet done_cg1 <= '0'; done_cg2 <= '0'; done_cg3 <= '0'; when cg1 => --if access requested, pass adresses if (access_request_cg1 = '1') then address_a_to_mem <= address_a_cg1_buffer; address_b_to_mem <= address_b_cg1_buffer; address_c_to_mem <= address_c_cg1_buffer; address_0_to_mem <= address_0_cg1_buffer; address_1_to_mem <= address_1_cg1_buffer; address_w_to_mem <= address_w_cg1_buffer; data_to_w_to_mem <= data_to_w_cg1_buffer; w_en_to_mem <= w_en_cg1_buffer; --wait for memory to respond if ((stall_c0 and stall_c1) = '1') then done_cg1 <= '0'; else done_cg1 <= '1'; end if; --if access not requested, supply dummy adresses else address_a_to_mem <= "00000000000000000000000000000000"; address_b_to_mem <= "00000000000000000000000000000001"; address_c_to_mem <= "00000000000000000000000000000010"; address_0_to_mem <= "00000000000000000000000000000011"; address_1_to_mem <= "00000000000000000000000000000100"; address_w_to_mem <= "00000000000000000000000000000101"; data_to_w_to_mem <= "00000000000000000000000000000110"; w_en_to_mem <= '0'; --move on to next group done_cg1 <= '1'; end if; --all other compute groups not getting service yet done_cg0 <= '0'; done_cg2 <= '0'; done_cg3 <= '0'; when cg2 => --if access requested, pass adresses if (access_request_cg2 = '1') then address_a_to_mem <= address_a_cg2_buffer; address_b_to_mem <= address_b_cg2_buffer; address_c_to_mem <= address_c_cg2_buffer; address_0_to_mem <= address_0_cg2_buffer; address_1_to_mem <= address_1_cg2_buffer; address_w_to_mem <= address_w_cg2_buffer; data_to_w_to_mem <= data_to_w_cg2_buffer; w_en_to_mem <= w_en_cg2_buffer; --wait for memory to respond if ((stall_c0 and stall_c1) = '1') then done_cg2 <= '0'; else done_cg2 <= '1'; end if; --if access not requested, supply dummy adresses else address_a_to_mem <= "00000000000000000000000000000000"; address_b_to_mem <= "00000000000000000000000000000001"; address_c_to_mem <= "00000000000000000000000000000010"; address_0_to_mem <= "00000000000000000000000000000011"; address_1_to_mem <= "00000000000000000000000000000100"; address_w_to_mem <= "00000000000000000000000000000101"; data_to_w_to_mem <= "00000000000000000000000000000110"; w_en_to_mem <= '0'; --move on to next group done_cg2 <= '1'; end if; --all other compute groups not getting service yet done_cg1 <= '0'; done_cg0 <= '0'; done_cg3 <= '0'; when cg3 => --if access requested, pass adresses if (access_request_cg3 = '1') then address_a_to_mem <= address_a_cg3_buffer; address_b_to_mem <= address_b_cg3_buffer; address_c_to_mem <= address_c_cg3_buffer; address_0_to_mem <= address_0_cg3_buffer; address_1_to_mem <= address_1_cg3_buffer; address_w_to_mem <= address_w_cg3_buffer; data_to_w_to_mem <= data_to_w_cg3_buffer; w_en_to_mem <= w_en_cg3_buffer; --wait for memory to respond if ((stall_c0 and stall_c1) = '1') then done_cg3 <= '0'; else done_cg3 <= '1'; end if; --if access not requested, supply dummy adresses else address_a_to_mem <= "00000000000000000000000000000000"; address_b_to_mem <= "00000000000000000000000000000001"; address_c_to_mem <= "00000000000000000000000000000010"; address_0_to_mem <= "00000000000000000000000000000011"; address_1_to_mem <= "00000000000000000000000000000100"; address_w_to_mem <= "00000000000000000000000000000101"; data_to_w_to_mem <= "00000000000000000000000000000110"; w_en_to_mem <= '0'; --move on to next group done_cg3 <= '1'; end if; --all other compute groups not getting service yet done_cg1 <= '0'; done_cg2 <= '0'; done_cg0 <= '0'; end case; end process; global_cache : MAGIC_global PORT MAP ( ADDRESS_A => address_a_mem, ADDRESS_B => address_b_mem, ADDRESS_C => address_c_mem, ADDRESS_0 => address_0_mem, ADDRESS_1 => address_1_mem, ADDRESS_W => address_w_mem, DATA_TO_W => data_to_w_mem, W_EN => w_en_mem, CLK => CLK, RESET_n => RESET_n, DATA_OUT_A => data_a, DATA_OUT_B => data_b, DATA_OUT_C => data_c, DATA_OUT_0 => data_0, DATA_OUT_1 => data_1, C0_STALL => stall_c0_raw, C1_STALL => stall_c1_raw, CORE_IDENT => core_id, IO_ENABLE => gnd ); --io override stall_c0 <= stall_c0_raw or ENABLE_IO; stall_c1 <= stall_c1_raw or ENABLE_IO; DATA_RET_IO <= data_a; process (ENABLE_IO, ADDRESS_IO, DATA_TO_W_IO, W_EN_IO, data_a, address_a_to_mem, address_b_to_mem, address_c_to_mem, address_0_to_mem, address_1_to_mem, data_to_w_to_mem, w_en_to_mem, address_w_to_mem) begin if (ENABLE_IO = '1') then if (W_EN_IO = '1') then address_a_mem <= "00000000000000000000000000000000"; address_b_mem <= "00000000000000000000000000000001"; address_c_mem <= "00000000000000000000000000000010"; address_0_mem <= "00000000000000000000000000000011"; address_1_mem <= "00000000000000000000000000000100"; address_w_mem <= ADDRESS_IO; data_to_w_mem <= DATA_TO_W_IO; w_en_mem <= '1'; else address_a_mem <= ADDRESS_IO; address_b_mem <= "00000000000000000000000000000001"; address_c_mem <= "00000000000000000000000000000010"; address_0_mem <= "00000000000000000000000000000011"; address_1_mem <= "00000000000000000000000000000100"; address_w_mem <= "00000000000000000000000000000100"; data_to_w_mem <= data_to_w_to_mem; w_en_mem <= '0'; end if; else address_a_mem <= address_a_to_mem; address_b_mem <= address_b_to_mem; address_c_mem <= address_c_to_mem; address_0_mem <= address_0_to_mem; address_1_mem <= address_1_to_mem; address_w_mem <= address_w_to_mem; data_to_w_mem <= data_to_w_to_mem; w_en_mem <= w_en_to_mem; end if; end process; process (address_w_mem, w_en_mem, data_to_w_mem, CLK, RESET_n) begin if (RESET_n = '0') then done_reg_c0 <= '0'; done_reg_c1 <= '0'; done_reg_c2 <= '0'; done_reg_c3 <= '0'; done_reg_c4 <= '0'; done_reg_c5 <= '0'; done_reg_c6 <= '0'; done_reg_c7 <= '0'; ready_clear_count <= "0000"; elsif (rising_edge(CLK)) then if ((address_w_mem = "00000000000000000010000000000000") and (w_en_mem = '1')) then done_reg_c0 <= data_to_w_mem(0); end if; if ((address_w_mem = "00000000000000000010000000000001") and (w_en_mem = '1')) then done_reg_c1 <= data_to_w_mem(0); end if; if ((address_w_mem = "00000000000000000010000000000010") and (w_en_mem = '1')) then done_reg_c2 <= data_to_w_mem(0); end if; if ((address_w_mem = "00000000000000000010000000000011") and (w_en_mem = '1')) then done_reg_c3 <= data_to_w_mem(0); end if; if ((address_w_mem = "00000000000000000010000000000100") and (w_en_mem = '1')) then done_reg_c4 <= data_to_w_mem(0); end if; if ((address_w_mem = "00000000000000000010000000000101") and (w_en_mem = '1')) then done_reg_c5 <= data_to_w_mem(0); end if; if ((address_w_mem = "00000000000000000010000000000110") and (w_en_mem = '1')) then done_reg_c6 <= data_to_w_mem(0); end if; if ((address_w_mem = "00000000000000000010000000000111") and (w_en_mem = '1')) then done_reg_c7 <= data_to_w_mem(0); end if; if ((address_w_mem = "00000000000000000010000000001000") and (w_en_mem = '1')) then ready_clear_count <= std_logic_vector(unsigned(ready_clear_count) + 1); end if; end if; end process; DONE_C0 <= done_reg_c0; DONE_C1 <= done_reg_c1; DONE_C2 <= done_reg_c2; DONE_C3 <= done_reg_c3; DONE_C4 <= done_reg_c4; DONE_C5 <= done_reg_c5; DONE_C6 <= done_reg_c6; DONE_C7 <= done_reg_c7; RCC <= ready_clear_count; end;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity global_memory is PORT( CLK : IN STD_LOGIC; RESET_n : IN STD_LOGIC; --compute group 0 ADDRESS_A_CG0 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); ADDRESS_B_CG0 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); ADDRESS_C_CG0 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); ADDRESS_0_CG0 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); ADDRESS_1_CG0 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); ADDRESS_W_CG0 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); DATA_TO_W_CG0 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); W_EN_CG0 : IN STD_LOGIC; ENABLE_CG0 : IN STD_LOGIC; DATA_A_TO_CG0 : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); DATA_B_TO_CG0 : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); DATA_C_TO_CG0 : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); DATA_0_TO_CG0 : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); DATA_1_TO_CG0 : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); STALL_CG0 : OUT STD_LOGIC; --compute group 1 ADDRESS_A_CG1 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); ADDRESS_B_CG1 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); ADDRESS_C_CG1 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); ADDRESS_0_CG1 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); ADDRESS_1_CG1 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); ADDRESS_W_CG1 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); DATA_TO_W_CG1 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); W_EN_CG1 : IN STD_LOGIC; ENABLE_CG1 : IN STD_LOGIC; DATA_A_TO_CG1 : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); DATA_B_TO_CG1 : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); DATA_C_TO_CG1 : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); DATA_0_TO_CG1 : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); DATA_1_TO_CG1 : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); STALL_CG1 : OUT STD_LOGIC; --compute group 2 ADDRESS_A_CG2 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); ADDRESS_B_CG2 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); ADDRESS_C_CG2 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); ADDRESS_0_CG2 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); ADDRESS_1_CG2 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); ADDRESS_W_CG2 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); DATA_TO_W_CG2 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); W_EN_CG2 : IN STD_LOGIC; ENABLE_CG2 : IN STD_LOGIC; DATA_A_TO_CG2 : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); DATA_B_TO_CG2 : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); DATA_C_TO_CG2 : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); DATA_0_TO_CG2 : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); DATA_1_TO_CG2 : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); STALL_CG2 : OUT STD_LOGIC; --compute group 3 ADDRESS_A_CG3 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); ADDRESS_B_CG3 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); ADDRESS_C_CG3 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); ADDRESS_0_CG3 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); ADDRESS_1_CG3 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); ADDRESS_W_CG3 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); DATA_TO_W_CG3 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); W_EN_CG3 : IN STD_LOGIC; ENABLE_CG3 : IN STD_LOGIC; DATA_A_TO_CG3 : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); DATA_B_TO_CG3 : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); DATA_C_TO_CG3 : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); DATA_0_TO_CG3 : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); DATA_1_TO_CG3 : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); STALL_CG3 : OUT STD_LOGIC; --IO controller ADDRESS_IO : IN STD_LOGIC_VECTOR(31 DOWNTO 0); DATA_TO_W_IO : IN STD_LOGIC_VECTOR(31 DOWNTO 0); W_EN_IO : IN STD_LOGIC; ENABLE_IO : IN STD_LOGIC; DATA_RET_IO : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); --DONE signals DONE_C0 : OUT STD_LOGIC; DONE_C1 : OUT STD_LOGIC; DONE_C2 : OUT STD_LOGIC; DONE_C3 : OUT STD_LOGIC; DONE_C4 : OUT STD_LOGIC; DONE_C5 : OUT STD_LOGIC; DONE_C6 : OUT STD_LOGIC; DONE_C7 : OUT STD_LOGIC; RCC : OUT STD_LOGIC_VECTOR(3 DOWNTO 0) ); end; architecture global of global_memory is component MAGIC_global PORT ( ADDRESS_A : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ADDRESS_B : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ADDRESS_C : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ADDRESS_0 : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ADDRESS_1 : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ADDRESS_W : IN STD_LOGIC_VECTOR (31 DOWNTO 0); DATA_TO_W : IN STD_LOGIC_VECTOR (31 DOWNTO 0); W_EN : IN STD_LOGIC; CLK : IN STD_LOGIC; RESET_n : IN STD_LOGIC; DATA_OUT_A : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); DATA_OUT_B : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); DATA_OUT_C : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); DATA_OUT_0 : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); DATA_OUT_1 : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); C0_STALL : OUT STD_LOGIC; C1_STALL : OUT STD_LOGIC; CORE_IDENT : OUT STD_LOGIC; IO_ENABLE : IN STD_LOGIC ); end component; type state_type is (cg0, cg1, cg2, cg3); signal state : state_type; signal address_a_cg0_buffer : std_logic_vector(31 downto 0); signal address_b_cg0_buffer : std_logic_vector(31 downto 0); signal address_c_cg0_buffer : std_logic_vector(31 downto 0); signal address_0_cg0_buffer : std_logic_vector(31 downto 0); signal address_1_cg0_buffer : std_logic_vector(31 downto 0); signal address_w_cg0_buffer : std_logic_vector(31 downto 0); signal data_to_w_cg0_buffer : std_logic_vector(31 downto 0); signal w_en_cg0_buffer : std_logic; signal access_request_cg0 : std_logic; signal data_a_to_cg0_buffer : std_logic_vector (31 downto 0); signal data_b_to_cg0_buffer : std_logic_vector (31 downto 0); signal data_c_to_cg0_buffer : std_logic_vector (31 downto 0); signal data_0_to_cg0_buffer : std_logic_vector (31 downto 0); signal data_1_to_cg0_buffer : std_logic_vector (31 downto 0); signal address_a_cg1_buffer : std_logic_vector(31 downto 0); signal address_b_cg1_buffer : std_logic_vector(31 downto 0); signal address_c_cg1_buffer : std_logic_vector(31 downto 0); signal address_0_cg1_buffer : std_logic_vector(31 downto 0); signal address_1_cg1_buffer : std_logic_vector(31 downto 0); signal address_w_cg1_buffer : std_logic_vector(31 downto 0); signal data_to_w_cg1_buffer : std_logic_vector(31 downto 0); signal w_en_cg1_buffer : std_logic; signal access_request_cg1 : std_logic; signal data_a_to_cg1_buffer : std_logic_vector (31 downto 0); signal data_b_to_cg1_buffer : std_logic_vector (31 downto 0); signal data_c_to_cg1_buffer : std_logic_vector (31 downto 0); signal data_0_to_cg1_buffer : std_logic_vector (31 downto 0); signal data_1_to_cg1_buffer : std_logic_vector (31 downto 0); signal address_a_cg2_buffer : std_logic_vector(31 downto 0); signal address_b_cg2_buffer : std_logic_vector(31 downto 0); signal address_c_cg2_buffer : std_logic_vector(31 downto 0); signal address_0_cg2_buffer : std_logic_vector(31 downto 0); signal address_1_cg2_buffer : std_logic_vector(31 downto 0); signal address_w_cg2_buffer : std_logic_vector(31 downto 0); signal data_to_w_cg2_buffer : std_logic_vector(31 downto 0); signal w_en_cg2_buffer : std_logic; signal access_request_cg2 : std_logic; signal data_a_to_cg2_buffer : std_logic_vector (31 downto 0); signal data_b_to_cg2_buffer : std_logic_vector (31 downto 0); signal data_c_to_cg2_buffer : std_logic_vector (31 downto 0); signal data_0_to_cg2_buffer : std_logic_vector (31 downto 0); signal data_1_to_cg2_buffer : std_logic_vector (31 downto 0); signal address_a_cg3_buffer : std_logic_vector(31 downto 0); signal address_b_cg3_buffer : std_logic_vector(31 downto 0); signal address_c_cg3_buffer : std_logic_vector(31 downto 0); signal address_0_cg3_buffer : std_logic_vector(31 downto 0); signal address_1_cg3_buffer : std_logic_vector(31 downto 0); signal address_w_cg3_buffer : std_logic_vector(31 downto 0); signal data_to_w_cg3_buffer : std_logic_vector(31 downto 0); signal w_en_cg3_buffer : std_logic; signal access_request_cg3 : std_logic; signal data_a_to_cg3_buffer : std_logic_vector (31 downto 0); signal data_b_to_cg3_buffer : std_logic_vector (31 downto 0); signal data_c_to_cg3_buffer : std_logic_vector (31 downto 0); signal data_0_to_cg3_buffer : std_logic_vector (31 downto 0); signal data_1_to_cg3_buffer : std_logic_vector (31 downto 0); signal address_a_to_mem : std_logic_vector(31 downto 0); signal address_b_to_mem : std_logic_vector(31 downto 0); signal address_c_to_mem : std_logic_vector(31 downto 0); signal address_0_to_mem : std_logic_vector(31 downto 0); signal address_1_to_mem : std_logic_vector(31 downto 0); signal address_w_to_mem : std_logic_vector(31 downto 0); signal data_to_w_to_mem : std_logic_vector(31 downto 0); signal w_en_to_mem : std_logic; signal address_a_mem : std_logic_vector(31 downto 0); signal address_b_mem : std_logic_vector(31 downto 0); signal address_c_mem : std_logic_vector(31 downto 0); signal address_0_mem : std_logic_vector(31 downto 0); signal address_1_mem : std_logic_vector(31 downto 0); signal address_w_mem : std_logic_vector(31 downto 0); signal data_to_w_mem : std_logic_vector(31 downto 0); signal w_en_mem : std_logic; signal data_a : std_logic_vector(31 downto 0); signal data_b : std_logic_vector(31 downto 0); signal data_c : std_logic_vector(31 downto 0); signal data_0 : std_logic_vector(31 downto 0); signal data_1 : std_logic_vector(31 downto 0); signal core_id : std_logic; signal gnd : std_logic; signal stall_c0 : std_logic; signal stall_c1 : std_logic; signal stall_c0_raw : std_logic; signal stall_c1_raw : std_logic; signal done_cg0 : std_logic; signal done_cg1 : std_logic; signal done_cg2 : std_logic; signal done_cg3 : std_logic; signal clear_stall_cg0 : std_logic; signal clear_stall_cg1 : std_logic; signal clear_stall_cg2 : std_logic; signal clear_stall_cg3 : std_logic; signal buffer_cg0 : std_logic; signal buffer_cg1 : std_logic; signal buffer_cg2 : std_logic; signal buffer_cg3 : std_logic; signal done_reg_c0 : std_logic; signal done_reg_c1 : std_logic; signal done_reg_c2 : std_logic; signal done_reg_c3 : std_logic; signal done_reg_c4 : std_logic; signal done_reg_c5 : std_logic; signal done_reg_c6 : std_logic; signal done_reg_c7 : std_logic; signal ready_clear_count : std_logic_vector(3 downto 0); begin gnd <= '0'; --immidiately stall the requesting core STALL_CG0 <= ENABLE_CG0 and clear_stall_cg0; STALL_CG1 <= ENABLE_CG1 and clear_stall_cg1; STALL_CG2 <= ENABLE_CG2 and clear_stall_cg2; STALL_CG3 <= ENABLE_CG3 and clear_stall_cg3; --pass buffered values back to compute groups DATA_A_TO_CG0 <= data_a_to_cg0_buffer; DATA_B_TO_CG0 <= data_b_to_cg0_buffer; DATA_C_TO_CG0 <= data_c_to_cg0_buffer; DATA_0_TO_CG0 <= data_0_to_cg0_buffer; DATA_1_TO_CG0 <= data_1_to_cg0_buffer; DATA_A_TO_CG1 <= data_a_to_cg1_buffer; DATA_B_TO_CG1 <= data_b_to_cg1_buffer; DATA_C_TO_CG1 <= data_c_to_cg1_buffer; DATA_0_TO_CG1 <= data_0_to_cg1_buffer; DATA_1_TO_CG1 <= data_1_to_cg1_buffer; DATA_A_TO_CG2 <= data_a_to_cg2_buffer; DATA_B_TO_CG2 <= data_b_to_cg2_buffer; DATA_C_TO_CG2 <= data_c_to_cg2_buffer; DATA_0_TO_CG2 <= data_0_to_cg2_buffer; DATA_1_TO_CG2 <= data_1_to_cg2_buffer; DATA_A_TO_CG3 <= data_a_to_cg3_buffer; DATA_B_TO_CG3 <= data_b_to_cg3_buffer; DATA_C_TO_CG3 <= data_c_to_cg3_buffer; DATA_0_TO_CG3 <= data_0_to_cg3_buffer; DATA_1_TO_CG3 <= data_1_to_cg3_buffer; buffer_input_lines : process (CLK, RESET_n) begin if (RESET_n = '0') then address_a_cg0_buffer <= "00000000000000000000000000000000"; address_b_cg0_buffer <= "00000000000000000000000000000001"; address_c_cg0_buffer <= "00000000000000000000000000000010"; address_0_cg0_buffer <= "00000000000000000000000000000011"; address_1_cg0_buffer <= "00000000000000000000000000000100"; address_w_cg0_buffer <= "00000000000000000000000000000101"; data_to_w_cg0_buffer <= "00000000000000000000000000000110"; w_en_cg0_buffer <= '0'; access_request_cg0 <= '0'; address_a_cg1_buffer <= "00000000000000000000000000000000"; address_b_cg1_buffer <= "00000000000000000000000000000001"; address_c_cg1_buffer <= "00000000000000000000000000000010"; address_0_cg1_buffer <= "00000000000000000000000000000011"; address_1_cg1_buffer <= "00000000000000000000000000000100"; address_w_cg1_buffer <= "00000000000000000000000000000101"; data_to_w_cg1_buffer <= "00000000000000000000000000000110"; w_en_cg1_buffer <= '0'; access_request_cg1 <= '0'; address_a_cg2_buffer <= "00000000000000000000000000000000"; address_b_cg2_buffer <= "00000000000000000000000000000001"; address_c_cg2_buffer <= "00000000000000000000000000000010"; address_0_cg2_buffer <= "00000000000000000000000000000011"; address_1_cg2_buffer <= "00000000000000000000000000000100"; address_w_cg2_buffer <= "00000000000000000000000000000101"; data_to_w_cg2_buffer <= "00000000000000000000000000000110"; w_en_cg2_buffer <= '0'; access_request_cg2 <= '0'; address_a_cg3_buffer <= "00000000000000000000000000000000"; address_b_cg3_buffer <= "00000000000000000000000000000001"; address_c_cg3_buffer <= "00000000000000000000000000000010"; address_0_cg3_buffer <= "00000000000000000000000000000011"; address_1_cg3_buffer <= "00000000000000000000000000000100"; address_w_cg3_buffer <= "00000000000000000000000000000101"; data_to_w_cg3_buffer <= "00000000000000000000000000000110"; w_en_cg3_buffer <= '0'; access_request_cg3 <= '0'; elsif (rising_edge(CLK)) then address_a_cg0_buffer <= "00000000000000000" & std_logic_vector(unsigned(ADDRESS_A_CG0(14 downto 13)) - 1) & ADDRESS_A_CG0(12 downto 0); address_b_cg0_buffer <= "00000000000000000" & std_logic_vector(unsigned(ADDRESS_B_CG0(14 downto 13)) - 1) & ADDRESS_B_CG0(12 downto 0); address_c_cg0_buffer <= "00000000000000000" & std_logic_vector(unsigned(ADDRESS_C_CG0(14 downto 13)) - 1) & ADDRESS_C_CG0(12 downto 0); address_0_cg0_buffer <= "00000000000000000" & std_logic_vector(unsigned(ADDRESS_0_CG0(14 downto 13)) - 1) & ADDRESS_0_CG0(12 downto 0); address_1_cg0_buffer <= "00000000000000000" & std_logic_vector(unsigned(ADDRESS_1_CG0(14 downto 13)) - 1) & ADDRESS_1_CG0(12 downto 0); address_w_cg0_buffer <= "00000000000000000" & std_logic_vector(unsigned(ADDRESS_W_CG0(14 downto 13)) - 1) & ADDRESS_W_CG0(12 downto 0); data_to_w_cg0_buffer <= DATA_TO_W_CG0; w_en_cg0_buffer <= W_EN_CG0; access_request_cg0 <= ENABLE_CG0; address_a_cg1_buffer <= "00000000000000000" & std_logic_vector(unsigned(ADDRESS_A_CG1(14 downto 13)) - 1) & ADDRESS_A_CG1(12 downto 0); address_b_cg1_buffer <= "00000000000000000" & std_logic_vector(unsigned(ADDRESS_B_CG1(14 downto 13)) - 1) & ADDRESS_B_CG1(12 downto 0); address_c_cg1_buffer <= "00000000000000000" & std_logic_vector(unsigned(ADDRESS_C_CG1(14 downto 13)) - 1) & ADDRESS_C_CG1(12 downto 0); address_0_cg1_buffer <= "00000000000000000" & std_logic_vector(unsigned(ADDRESS_0_CG1(14 downto 13)) - 1) & ADDRESS_0_CG1(12 downto 0); address_1_cg1_buffer <= "00000000000000000" & std_logic_vector(unsigned(ADDRESS_1_CG1(14 downto 13)) - 1) & ADDRESS_1_CG1(12 downto 0); address_w_cg1_buffer <= "00000000000000000" & std_logic_vector(unsigned(ADDRESS_W_CG1(14 downto 13)) - 1) & ADDRESS_W_CG1(12 downto 0); data_to_w_cg1_buffer <= DATA_TO_W_CG1; w_en_cg1_buffer <= W_EN_CG1; access_request_cg1 <= ENABLE_CG1; address_a_cg2_buffer <= "00000000000000000" & std_logic_vector(unsigned(ADDRESS_A_CG2(14 downto 13)) - 1) & ADDRESS_A_CG2(12 downto 0); address_b_cg2_buffer <= "00000000000000000" & std_logic_vector(unsigned(ADDRESS_B_CG2(14 downto 13)) - 1) & ADDRESS_B_CG2(12 downto 0); address_c_cg2_buffer <= "00000000000000000" & std_logic_vector(unsigned(ADDRESS_C_CG2(14 downto 13)) - 1) & ADDRESS_C_CG2(12 downto 0); address_0_cg2_buffer <= "00000000000000000" & std_logic_vector(unsigned(ADDRESS_0_CG2(14 downto 13)) - 1) & ADDRESS_0_CG2(12 downto 0); address_1_cg2_buffer <= "00000000000000000" & std_logic_vector(unsigned(ADDRESS_1_CG2(14 downto 13)) - 1) & ADDRESS_1_CG2(12 downto 0); address_w_cg2_buffer <= "00000000000000000" & std_logic_vector(unsigned(ADDRESS_W_CG2(14 downto 13)) - 1) & ADDRESS_W_CG2(12 downto 0); data_to_w_cg2_buffer <= DATA_TO_W_CG2; w_en_cg2_buffer <= W_EN_CG2; access_request_cg2 <= ENABLE_CG2; address_a_cg3_buffer <= "00000000000000000" & std_logic_vector(unsigned(ADDRESS_A_CG3(14 downto 13)) - 1) & ADDRESS_A_CG3(12 downto 0); address_b_cg3_buffer <= "00000000000000000" & std_logic_vector(unsigned(ADDRESS_B_CG3(14 downto 13)) - 1) & ADDRESS_B_CG3(12 downto 0); address_c_cg3_buffer <= "00000000000000000" & std_logic_vector(unsigned(ADDRESS_C_CG3(14 downto 13)) - 1) & ADDRESS_C_CG3(12 downto 0); address_0_cg3_buffer <= "00000000000000000" & std_logic_vector(unsigned(ADDRESS_0_CG3(14 downto 13)) - 1) & ADDRESS_0_CG3(12 downto 0); address_1_cg3_buffer <= "00000000000000000" & std_logic_vector(unsigned(ADDRESS_1_CG3(14 downto 13)) - 1) & ADDRESS_1_CG3(12 downto 0); address_w_cg3_buffer <= "00000000000000000" & std_logic_vector(unsigned(ADDRESS_W_CG3(14 downto 13)) - 1) & ADDRESS_W_CG3(12 downto 0); data_to_w_cg3_buffer <= DATA_TO_W_CG3; w_en_cg3_buffer <= W_EN_CG3; access_request_cg3 <= ENABLE_CG3; end if; end process; buffer_enables: process (RESET_n, CLK) begin if (RESET_n = '0') then buffer_cg0 <= '0'; buffer_cg1 <= '0'; buffer_cg2 <= '0'; buffer_cg3 <= '0'; elsif (rising_edge(CLK)) then clear_stall_cg0 <= not buffer_cg0; clear_stall_cg1 <= not buffer_cg1; clear_stall_cg2 <= not buffer_cg2; clear_stall_cg3 <= not buffer_cg3; buffer_cg0 <= done_cg0 and access_request_cg0; buffer_cg1 <= done_cg1 and access_request_cg1; buffer_cg2 <= done_cg2 and access_request_cg2; buffer_cg3 <= done_cg3 and access_request_cg3; end if; end process; buffer_data: process (RESET_n, CLK) begin if (RESET_n = '0') then data_a_to_cg0_buffer <= "00000000000000000000000000000000"; data_b_to_cg0_buffer <= "00000000000000000000000000000000"; data_c_to_cg0_buffer <= "00000000000000000000000000000000"; data_0_to_cg0_buffer <= "00000000000000000000000000000000"; data_1_to_cg0_buffer <= "00000000000000000000000000000000"; data_a_to_cg1_buffer <= "00000000000000000000000000000000"; data_b_to_cg1_buffer <= "00000000000000000000000000000000"; data_c_to_cg1_buffer <= "00000000000000000000000000000000"; data_0_to_cg1_buffer <= "00000000000000000000000000000000"; data_1_to_cg1_buffer <= "00000000000000000000000000000000"; data_a_to_cg2_buffer <= "00000000000000000000000000000000"; data_b_to_cg2_buffer <= "00000000000000000000000000000000"; data_c_to_cg2_buffer <= "00000000000000000000000000000000"; data_0_to_cg2_buffer <= "00000000000000000000000000000000"; data_1_to_cg2_buffer <= "00000000000000000000000000000000"; data_a_to_cg3_buffer <= "00000000000000000000000000000000"; data_b_to_cg3_buffer <= "00000000000000000000000000000000"; data_c_to_cg3_buffer <= "00000000000000000000000000000000"; data_0_to_cg3_buffer <= "00000000000000000000000000000000"; data_1_to_cg3_buffer <= "00000000000000000000000000000000"; elsif (rising_edge(CLK)) then if (buffer_cg0 = '1') then data_a_to_cg0_buffer <= data_a; data_b_to_cg0_buffer <= data_b; data_c_to_cg0_buffer <= data_c; data_0_to_cg0_buffer <= data_0; data_1_to_cg0_buffer <= data_1; end if; if (buffer_cg1 = '1') then data_a_to_cg1_buffer <= data_a; data_b_to_cg1_buffer <= data_b; data_c_to_cg1_buffer <= data_c; data_0_to_cg1_buffer <= data_0; data_1_to_cg1_buffer <= data_1; end if; if (buffer_cg2 = '1') then data_a_to_cg2_buffer <= data_a; data_b_to_cg2_buffer <= data_b; data_c_to_cg2_buffer <= data_c; data_0_to_cg2_buffer <= data_0; data_1_to_cg2_buffer <= data_1; end if; if (buffer_cg3 = '1') then data_a_to_cg3_buffer <= data_a; data_b_to_cg3_buffer <= data_b; data_c_to_cg3_buffer <= data_c; data_0_to_cg3_buffer <= data_0; data_1_to_cg3_buffer <= data_1; end if; end if; end process; state_machine : process (RESET_n, CLK, done_cg0, done_cg1, done_cg2, done_cg3) begin if (RESET_n = '0') then state <= cg0; elsif (rising_edge(CLK)) then case state is when cg0 => if (done_cg0 = '0') then state <= cg0; else state <= cg1; end if; when cg1 => if (done_cg1 = '0') then state <= cg1; else state <= cg2; end if; when cg2 => if (done_cg2 = '0') then state <= cg2; else state <= cg3; end if; when cg3 => if (done_cg3 = '0') then state <= cg3; else state <= cg0; end if; end case; end if; end process; passing_adresses : process (state, stall_c0, stall_c1, access_request_cg0, access_request_cg1, access_request_cg2, access_request_cg3, address_a_cg0_buffer, address_b_cg0_buffer, address_c_cg0_buffer, address_0_cg0_buffer, address_1_cg0_buffer, address_a_cg1_buffer, address_b_cg1_buffer, address_c_cg1_buffer, address_0_cg1_buffer, address_1_cg1_buffer, address_a_cg2_buffer, address_b_cg2_buffer, address_c_cg2_buffer, address_0_cg2_buffer, address_1_cg2_buffer, address_a_cg3_buffer, address_b_cg3_buffer, address_c_cg3_buffer, address_0_cg3_buffer, address_1_cg3_buffer, address_w_cg0_buffer, address_w_cg1_buffer, address_w_cg2_buffer, address_w_cg3_buffer, data_to_w_cg0_buffer, data_to_w_cg1_buffer, data_to_w_cg2_buffer, data_to_w_cg3_buffer, w_en_cg0_buffer, w_en_cg1_buffer, w_en_cg2_buffer, w_en_cg3_buffer) begin case state is when cg0 => --if access requested, pass adresses if (access_request_cg0 = '1') then address_a_to_mem <= address_a_cg0_buffer; address_b_to_mem <= address_b_cg0_buffer; address_c_to_mem <= address_c_cg0_buffer; address_0_to_mem <= address_0_cg0_buffer; address_1_to_mem <= address_1_cg0_buffer; address_w_to_mem <= address_w_cg0_buffer; data_to_w_to_mem <= data_to_w_cg0_buffer; w_en_to_mem <= w_en_cg0_buffer; --wait for memory to respond if ((stall_c0 and stall_c1) = '1') then done_cg0 <= '0'; else done_cg0 <= '1'; end if; --if access not requested, supply dummy adresses else address_a_to_mem <= "00000000000000000000000000000000"; address_b_to_mem <= "00000000000000000000000000000001"; address_c_to_mem <= "00000000000000000000000000000010"; address_0_to_mem <= "00000000000000000000000000000011"; address_1_to_mem <= "00000000000000000000000000000100"; address_w_to_mem <= "00000000000000000000000000000101"; data_to_w_to_mem <= "00000000000000000000000000000110"; w_en_to_mem <= '0'; --move on to next group done_cg0 <= '1'; end if; --all other compute groups not getting service yet done_cg1 <= '0'; done_cg2 <= '0'; done_cg3 <= '0'; when cg1 => --if access requested, pass adresses if (access_request_cg1 = '1') then address_a_to_mem <= address_a_cg1_buffer; address_b_to_mem <= address_b_cg1_buffer; address_c_to_mem <= address_c_cg1_buffer; address_0_to_mem <= address_0_cg1_buffer; address_1_to_mem <= address_1_cg1_buffer; address_w_to_mem <= address_w_cg1_buffer; data_to_w_to_mem <= data_to_w_cg1_buffer; w_en_to_mem <= w_en_cg1_buffer; --wait for memory to respond if ((stall_c0 and stall_c1) = '1') then done_cg1 <= '0'; else done_cg1 <= '1'; end if; --if access not requested, supply dummy adresses else address_a_to_mem <= "00000000000000000000000000000000"; address_b_to_mem <= "00000000000000000000000000000001"; address_c_to_mem <= "00000000000000000000000000000010"; address_0_to_mem <= "00000000000000000000000000000011"; address_1_to_mem <= "00000000000000000000000000000100"; address_w_to_mem <= "00000000000000000000000000000101"; data_to_w_to_mem <= "00000000000000000000000000000110"; w_en_to_mem <= '0'; --move on to next group done_cg1 <= '1'; end if; --all other compute groups not getting service yet done_cg0 <= '0'; done_cg2 <= '0'; done_cg3 <= '0'; when cg2 => --if access requested, pass adresses if (access_request_cg2 = '1') then address_a_to_mem <= address_a_cg2_buffer; address_b_to_mem <= address_b_cg2_buffer; address_c_to_mem <= address_c_cg2_buffer; address_0_to_mem <= address_0_cg2_buffer; address_1_to_mem <= address_1_cg2_buffer; address_w_to_mem <= address_w_cg2_buffer; data_to_w_to_mem <= data_to_w_cg2_buffer; w_en_to_mem <= w_en_cg2_buffer; --wait for memory to respond if ((stall_c0 and stall_c1) = '1') then done_cg2 <= '0'; else done_cg2 <= '1'; end if; --if access not requested, supply dummy adresses else address_a_to_mem <= "00000000000000000000000000000000"; address_b_to_mem <= "00000000000000000000000000000001"; address_c_to_mem <= "00000000000000000000000000000010"; address_0_to_mem <= "00000000000000000000000000000011"; address_1_to_mem <= "00000000000000000000000000000100"; address_w_to_mem <= "00000000000000000000000000000101"; data_to_w_to_mem <= "00000000000000000000000000000110"; w_en_to_mem <= '0'; --move on to next group done_cg2 <= '1'; end if; --all other compute groups not getting service yet done_cg1 <= '0'; done_cg0 <= '0'; done_cg3 <= '0'; when cg3 => --if access requested, pass adresses if (access_request_cg3 = '1') then address_a_to_mem <= address_a_cg3_buffer; address_b_to_mem <= address_b_cg3_buffer; address_c_to_mem <= address_c_cg3_buffer; address_0_to_mem <= address_0_cg3_buffer; address_1_to_mem <= address_1_cg3_buffer; address_w_to_mem <= address_w_cg3_buffer; data_to_w_to_mem <= data_to_w_cg3_buffer; w_en_to_mem <= w_en_cg3_buffer; --wait for memory to respond if ((stall_c0 and stall_c1) = '1') then done_cg3 <= '0'; else done_cg3 <= '1'; end if; --if access not requested, supply dummy adresses else address_a_to_mem <= "00000000000000000000000000000000"; address_b_to_mem <= "00000000000000000000000000000001"; address_c_to_mem <= "00000000000000000000000000000010"; address_0_to_mem <= "00000000000000000000000000000011"; address_1_to_mem <= "00000000000000000000000000000100"; address_w_to_mem <= "00000000000000000000000000000101"; data_to_w_to_mem <= "00000000000000000000000000000110"; w_en_to_mem <= '0'; --move on to next group done_cg3 <= '1'; end if; --all other compute groups not getting service yet done_cg1 <= '0'; done_cg2 <= '0'; done_cg0 <= '0'; end case; end process; global_cache : MAGIC_global PORT MAP ( ADDRESS_A => address_a_mem, ADDRESS_B => address_b_mem, ADDRESS_C => address_c_mem, ADDRESS_0 => address_0_mem, ADDRESS_1 => address_1_mem, ADDRESS_W => address_w_mem, DATA_TO_W => data_to_w_mem, W_EN => w_en_mem, CLK => CLK, RESET_n => RESET_n, DATA_OUT_A => data_a, DATA_OUT_B => data_b, DATA_OUT_C => data_c, DATA_OUT_0 => data_0, DATA_OUT_1 => data_1, C0_STALL => stall_c0_raw, C1_STALL => stall_c1_raw, CORE_IDENT => core_id, IO_ENABLE => gnd ); --io override stall_c0 <= stall_c0_raw or ENABLE_IO; stall_c1 <= stall_c1_raw or ENABLE_IO; DATA_RET_IO <= data_a; process (ENABLE_IO, ADDRESS_IO, DATA_TO_W_IO, W_EN_IO, data_a, address_a_to_mem, address_b_to_mem, address_c_to_mem, address_0_to_mem, address_1_to_mem, data_to_w_to_mem, w_en_to_mem, address_w_to_mem) begin if (ENABLE_IO = '1') then if (W_EN_IO = '1') then address_a_mem <= "00000000000000000000000000000000"; address_b_mem <= "00000000000000000000000000000001"; address_c_mem <= "00000000000000000000000000000010"; address_0_mem <= "00000000000000000000000000000011"; address_1_mem <= "00000000000000000000000000000100"; address_w_mem <= ADDRESS_IO; data_to_w_mem <= DATA_TO_W_IO; w_en_mem <= '1'; else address_a_mem <= ADDRESS_IO; address_b_mem <= "00000000000000000000000000000001"; address_c_mem <= "00000000000000000000000000000010"; address_0_mem <= "00000000000000000000000000000011"; address_1_mem <= "00000000000000000000000000000100"; address_w_mem <= "00000000000000000000000000000100"; data_to_w_mem <= data_to_w_to_mem; w_en_mem <= '0'; end if; else address_a_mem <= address_a_to_mem; address_b_mem <= address_b_to_mem; address_c_mem <= address_c_to_mem; address_0_mem <= address_0_to_mem; address_1_mem <= address_1_to_mem; address_w_mem <= address_w_to_mem; data_to_w_mem <= data_to_w_to_mem; w_en_mem <= w_en_to_mem; end if; end process; process (address_w_mem, w_en_mem, data_to_w_mem, CLK, RESET_n) begin if (RESET_n = '0') then done_reg_c0 <= '0'; done_reg_c1 <= '0'; done_reg_c2 <= '0'; done_reg_c3 <= '0'; done_reg_c4 <= '0'; done_reg_c5 <= '0'; done_reg_c6 <= '0'; done_reg_c7 <= '0'; ready_clear_count <= "0000"; elsif (rising_edge(CLK)) then if ((address_w_mem = "00000000000000000010000000000000") and (w_en_mem = '1')) then done_reg_c0 <= data_to_w_mem(0); end if; if ((address_w_mem = "00000000000000000010000000000001") and (w_en_mem = '1')) then done_reg_c1 <= data_to_w_mem(0); end if; if ((address_w_mem = "00000000000000000010000000000010") and (w_en_mem = '1')) then done_reg_c2 <= data_to_w_mem(0); end if; if ((address_w_mem = "00000000000000000010000000000011") and (w_en_mem = '1')) then done_reg_c3 <= data_to_w_mem(0); end if; if ((address_w_mem = "00000000000000000010000000000100") and (w_en_mem = '1')) then done_reg_c4 <= data_to_w_mem(0); end if; if ((address_w_mem = "00000000000000000010000000000101") and (w_en_mem = '1')) then done_reg_c5 <= data_to_w_mem(0); end if; if ((address_w_mem = "00000000000000000010000000000110") and (w_en_mem = '1')) then done_reg_c6 <= data_to_w_mem(0); end if; if ((address_w_mem = "00000000000000000010000000000111") and (w_en_mem = '1')) then done_reg_c7 <= data_to_w_mem(0); end if; if ((address_w_mem = "00000000000000000010000000001000") and (w_en_mem = '1')) then ready_clear_count <= std_logic_vector(unsigned(ready_clear_count) + 1); end if; end if; end process; DONE_C0 <= done_reg_c0; DONE_C1 <= done_reg_c1; DONE_C2 <= done_reg_c2; DONE_C3 <= done_reg_c3; DONE_C4 <= done_reg_c4; DONE_C5 <= done_reg_c5; DONE_C6 <= done_reg_c6; DONE_C7 <= done_reg_c7; RCC <= ready_clear_count; end;
------------------------------------------------------------------------------- -- -- Project: <Floating Point Unit Core> -- -- Description: test bench for the FPU core ------------------------------------------------------------------------------- -- -- 100101011010011100100 -- 110000111011100100000 -- 100000111011000101101 -- 100010111100101111001 -- 110000111011101101001 -- 010000001011101001010 -- 110100111001001100001 -- 110111010000001100111 -- 110110111110001011101 -- 101110110010111101000 -- 100000010111000000000 -- -- Author: Jidan Al-eryani -- E-mail: [email protected] -- -- Copyright (C) 2006 -- -- This source file may be used and distributed without -- restriction provided that this copyright statement is not -- removed from the file and that any derivative work contains -- the original copyright notice and the associated disclaimer. -- -- THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY -- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED -- TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS -- FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR -- OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, -- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES -- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE -- GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR -- BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF -- LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT -- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT -- OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.math_real.all; use ieee.std_logic_arith.all; use ieee.std_logic_misc.all; use std.textio.all; use work.txt_util.all; -- fpu operations (fpu_op_i): -- ======================== -- 000 = add, -- 001 = substract, -- 010 = multiply, -- 011 = divide, -- 100 = square root -- 101 = unused -- 110 = unused -- 111 = unused -- Rounding Mode: -- ============== -- 00 = round to nearest even(default), -- 01 = round to zero, -- 10 = round up, -- 11 = round down entity tb_fpu is end tb_fpu; architecture rtl of tb_fpu is --component fpu -- port ( -- CLK0I : in std_logic; -- opa0i : in std_logic_vector(31 downto 0); -- opb0i : in std_logic_vector(31 downto 0); -- fpu0op0i : in std_logic_vector(2 downto 0); -- rmode0i : in std_logic_vector(1 downto 0); -- output0o : out std_logic_vector(31 downto 0); -- ine0o : out std_logic; -- overflow0o : out std_logic; -- underflow0o : out std_logic; -- div0zero0o : out std_logic; -- inf0o : out std_logic; -- zero0o : out std_logic; -- qnan0o : out std_logic; -- snan0o : out std_logic; -- start0i : in std_logic; -- ready0o : out std_logic -- ); --end component; component fpu port ( clk_i : in std_logic; opa_i : in std_logic_vector(31 downto 0); opb_i : in std_logic_vector(31 downto 0); fpu_op_i : in std_logic_vector(2 downto 0); rmode_i : in std_logic_vector(1 downto 0); output_o : out std_logic_vector(31 downto 0); ine_o : out std_logic; overflow_o : out std_logic; underflow_o : out std_logic; div_zero_o : out std_logic; inf_o : out std_logic; zero_o : out std_logic; qnan_o : out std_logic; snan_o : out std_logic; start_i : in std_logic; ready_o : out std_logic ); end component; signal clk_i : std_logic := '1'; signal opa_i, opb_i : std_logic_vector(31 downto 0); signal fpu_op_i : std_logic_vector(2 downto 0); signal rmode_i : std_logic_vector(1 downto 0); signal output_o : std_logic_vector(31 downto 0); signal start_i, ready_o : std_logic; signal ine_o, overflow_o, underflow_o, div_zero_o, inf_o, zero_o, qnan_o, snan_o : std_logic; signal slv_out : std_logic_vector(31 downto 0); constant CLK_PERIOD : time := 60 ns; -- period of clk period begin -- instantiate fpu --i_fpu : fpu port map ( -- CLK0I => clk_i, -- opa0i => opa_i, -- opb0i => opb_i, -- fpu0op0i => fpu_op_i, -- rmode0i => rmode_i, -- output0o => output_o, -- ine0o => ine_o, -- overflow0o => overflow_o, -- underflow0o => underflow_o, -- div0zero0o => div_zero_o, -- inf0o => inf_o, -- zero0o => zero_o, -- qnan0o => qnan_o, -- snan0o => snan_o, -- start0i => start_i, -- ready0o => ready_o); i_fpu : fpu port map ( clk_i => clk_i, opa_i => opa_i, opb_i => opb_i, fpu_op_i => fpu_op_i, rmode_i => rmode_i, output_o => output_o, ine_o => ine_o, overflow_o => overflow_o, underflow_o => underflow_o, div_zero_o => div_zero_o, inf_o => inf_o, zero_o => zero_o, qnan_o => qnan_o, snan_o => snan_o, start_i => start_i, ready_o => ready_o); --------------------------------------------------------------------------- -- toggle clock --------------------------------------------------------------------------- clk_i <= not(clk_i) after 30 ns; verify : process --The operands and results are in Hex format. The test vectors must be placed in a strict order for the verfication to work. file testcases_file : text open read_mode is "testcases_mul.txt"; --Name of the file containing the test cases. variable file_line : line; variable str_in : string(8 downto 1); variable str_fpu_op : string(3 downto 1); variable str_rmode : string(2 downto 1); begin --------------------------------------------------------------------------------------------------------------------------------------------------- ---------------------------------------------------SoftFloat test vectors (10000 test cases for each operation) -------------------------------------------------------------------- start_i <= '0'; wait for 10*CLK_PERIOD; while not endfile(testcases_file) loop wait for CLK_PERIOD; start_i <= '1'; str_read(testcases_file, str_in); opa_i <= strhex_to_slv(str_in); str_read(testcases_file, str_in); opb_i <= strhex_to_slv(str_in); str_read(testcases_file, str_fpu_op); fpu_op_i <= to_std_logic_vector(str_fpu_op); str_read(testcases_file, str_rmode); rmode_i <= to_std_logic_vector(str_rmode); str_read(testcases_file, str_in); slv_out <= strhex_to_slv(str_in); wait for CLK_PERIOD; start_i <= '0'; wait until ready_o = '1'; --assert output_o = slv_out -- report "Error!!!" -- severity failure; str_read(testcases_file, str_in); end loop; -------- Boundary values----- start_i <= '0'; -- seeeeeeeefffffffffffffffffffffff --infinity wait for CLK_PERIOD; start_i <= '1'; opa_i <= "01111111011111111111111111111111"; opb_i <= "01111111011111111111111111111111"; fpu_op_i <= "000"; rmode_i <= "00"; wait for CLK_PERIOD; start_i <= '0'; wait until ready_o = '1'; assert output_o = "01111111100000000000000000000000" report "Error!!!" severity failure; -- seeeeeeeefffffffffffffffffffffff -- 1 x1.001 - 1x1.000 = 0x0.001 wait for CLK_PERIOD; start_i <= '1'; opa_i <= "00000000100100000000000000000000"; opb_i <= "10000000100000000000000000000000"; fpu_op_i <= "000"; rmode_i <= "00"; wait for CLK_PERIOD; start_i <= '0'; wait until ready_o = '1'; assert output_o = "00000000000100000000000000000000" report "Error!!!" severity failure; -- seeeeeeeefffffffffffffffffffffff -- 10 x 1.0001 - 10 x 1.0000 = wait for CLK_PERIOD; start_i <= '1'; opa_i <= "00000001000010000000000000000000"; opb_i <= "10000001000000000000000000000000"; fpu_op_i <= "000"; rmode_i <= "00"; wait for CLK_PERIOD; start_i <= '0'; wait until ready_o = '1'; assert output_o = "00000000000100000000000000000000" report "Error!!!" severity failure; -- seeeeeeeefffffffffffffffffffffff -- -0 -0 = -0 wait for CLK_PERIOD; start_i <= '1'; opa_i <= "10000000000000000000000000000000"; opb_i <= "10000000000000000000000000000000"; fpu_op_i <= "000"; rmode_i <= "00"; wait for CLK_PERIOD; start_i <= '0'; wait until ready_o = '1'; assert output_o = "10000000000000000000000000000000" report "Error!!!" severity failure; -- seeeeeeeefffffffffffffffffffffff -- 0 + x = x wait for CLK_PERIOD; start_i <= '1'; opa_i <= "00000000000000000000000000000000"; opb_i <= "01000010001000001000000000100000"; fpu_op_i <= "000"; rmode_i <= "00"; wait for CLK_PERIOD; start_i <= '0'; wait until ready_o = '1'; assert output_o = "01000010001000001000000000100000" report "Error!!!" severity failure; while not endfile(testcases_file) loop wait for CLK_PERIOD; start_i <= '1'; str_read(testcases_file, str_in); opa_i <= strhex_to_slv(str_in); str_read(testcases_file, str_in); opb_i <= strhex_to_slv(str_in); str_read(testcases_file, str_fpu_op); fpu_op_i <= to_std_logic_vector(str_fpu_op); str_read(testcases_file, str_rmode); rmode_i <= to_std_logic_vector(str_rmode); str_read(testcases_file, str_in); slv_out <= strhex_to_slv(str_in); wait for CLK_PERIOD; start_i <= '0'; wait until ready_o = '1'; --assert output_o = slv_out -- report "Error!!!" -- severity failure; str_read(testcases_file, str_in); end loop; ---------------------------------------------------------------------------------------------------------------------------------------------------- assert false report "Success!!!.......Yahoooooooooooooo" severity failure; wait; end process verify; end rtl;
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity graphics is Port ( CLK50 : in STD_LOGIC; CLK12 : in STD_LOGIC; CS : in STD_LOGIC; RW : in STD_LOGIC; A : in STD_LOGIC_VECTOR (13 downto 0); Din : in STD_LOGIC_VECTOR (15 downto 0); Dout : out STD_LOGIC_VECTOR (15 downto 0) := x"0000"; INT : out STD_LOGIC := '0'; IAK : in STD_LOGIC; VBLANK : in STD_LOGIC; VRAM0Read : out STD_LOGIC; VRAM1Read : out STD_LOGIC; VRAM2Read : out STD_LOGIC; VRAM3Read : out STD_LOGIC; VRAM4Read : out STD_LOGIC; VRAM0Write : out STD_LOGIC; VRAM1Write : out STD_LOGIC; VRAM2Write : out STD_LOGIC; VRAM3Write : out STD_LOGIC; VRAM4Write : out STD_LOGIC; VRAMAddr : out STD_LOGIC_VECTOR (10 downto 0); VRAM0DataIn : in STD_LOGIC_VECTOR ( 8 downto 0); VRAM1DataIn : in STD_LOGIC_VECTOR ( 8 downto 0); VRAM2DataIn : in STD_LOGIC_VECTOR ( 8 downto 0); VRAM3DataIn : in STD_LOGIC_VECTOR ( 8 downto 0); VRAM4DataIn : in STD_LOGIC_VECTOR ( 8 downto 0); VRAMDataOut : out STD_LOGIC_VECTOR ( 8 downto 0); SprRD : out STD_LOGIC; SprWR : out STD_LOGIC; SprAddr : out STD_LOGIC_VECTOR ( 7 downto 0); SprDataIn : in STD_LOGIC_VECTOR ( 7 downto 0); SprDataOut : out STD_LOGIC_VECTOR ( 7 downto 0); PalRD : out STD_LOGIC; PalWR : out STD_LOGIC; PalAddr : out STD_LOGIC_VECTOR ( 4 downto 0); PalDataIn : in STD_LOGIC_VECTOR ( 7 downto 0); PalDataOut : out STD_LOGIC_VECTOR ( 7 downto 0); ROW_BASE : out STD_LOGIC_VECTOR ( 7 downto 0) := x"00"; CURSOR_ROW : out STD_LOGIC_VECTOR ( 7 downto 0) := x"00"; CURSOR_COL : out STD_LOGIC_VECTOR ( 7 downto 0) := x"00"; PPU_CTRL : out STD_LOGIC_VECTOR (15 downto 0) := x"0000"; PPU_HSCR : out STD_LOGIC_VECTOR ( 7 downto 0) := x"00"; PPU_VSCR : out STD_LOGIC_VECTOR ( 7 downto 0) := x"00"; MODE : out STD_LOGIC); end graphics; architecture Behavioral of graphics is signal LASTCS : STD_LOGIC := '0'; signal LASTVBLANK : STD_LOGIC := '0'; signal LASTVBLANK2 : STD_LOGIC := '0'; -- general registers signal ROW_BASE_REG : STD_LOGIC_VECTOR ( 7 downto 0) := x"00"; signal CURSOR_ROW_REG : STD_LOGIC_VECTOR ( 7 downto 0) := x"00"; signal CURSOR_COL_REG : STD_LOGIC_VECTOR ( 7 downto 0) := x"00"; signal MODE_REG : STD_LOGIC := '0'; -- PPU registers signal PPU_CTRL_REG : STD_LOGIC_VECTOR (15 downto 0) := x"0000"; signal PPU_HSCR_REG : STD_LOGIC_VECTOR ( 7 downto 0) := x"00"; signal PPU_VSCR_REG : STD_LOGIC_VECTOR ( 7 downto 0) := x"00"; signal PPU_ADDR_REG : STD_LOGIC_VECTOR (15 downto 0) := x"0000"; signal PPU_SMA_REG : STD_LOGIC_VECTOR ( 7 downto 0) := x"00"; signal PPU_HIT_REG : STD_LOGIC := '0'; signal PPU_VBLANK_REG : STD_LOGIC := '0'; signal PPU_FF : STD_LOGIC := '0'; begin process (CLK12) procedure ppu_mem_access(write : in STD_LOGIC; data : in STD_LOGIC_VECTOR(7 downto 0)) is begin case PPU_ADDR_REG(13 downto 12) is when "00" => -- ram0 and ram1 if (PPU_ADDR_REG(3) = '0') then VRAM0Read <= NOT write; VRAM0Write <= write; else VRAM1Read <= NOT write; VRAM1Write <= write; end if; VRAMAddr <= PPU_ADDR_REG(11 downto 4) & PPU_ADDR_REG( 2 downto 0); VRAMDataOut <= "0" & data; when "01" => -- ram2 and ram3 if (PPU_ADDR_REG(3) = '0') then VRAM2Read <= NOT write; VRAM2Write <= write; else VRAM3Read <= NOT write; VRAM3Write <= write; end if; VRAMAddr <= PPU_ADDR_REG(11 downto 4) & PPU_ADDR_REG( 2 downto 0); VRAMDataOut <= "0" & data; when "10" => -- ram4 (namtabs) VRAM4Read <= NOT write; VRAM4Write <= write; VRAMAddr <= PPU_ADDR_REG(10 downto 0); VRAMDataOut <= "0" & data; when "11" => -- palette if (PPU_ADDR_REG(13 downto 8) = "111111") then if (PPU_ADDR_REG(3 downto 0) = "0000") then PalAddr <= "00000"; else PalAddr <= PPU_ADDR_REG(4 downto 0); end if; if (write = '0') then PalRD <= '1'; else PalWR <= '1'; PalDataOut <= data; end if; end if; when others => end case; if (PPU_CTRL_REG(2) = '1') then -- vertical write PPU_ADDR_REG <= conv_std_logic_vector(conv_integer(PPU_ADDR_REG) + 32, 16); else PPU_ADDR_REG <= conv_std_logic_vector(conv_integer(PPU_ADDR_REG) + 1, 16); end if; end ppu_mem_access; begin if (CLK12 = '0' and CLK12'event ) then if (CS = '1') then if (MODE_REG = '1' and RW='1' and LASTCS='0') then -- write case A(2 downto 0) is when "000" => -- control 1 PPU_CTRL_REG( 7 downto 0) <= Din(7 downto 0); when "001" => -- control 2 PPU_CTRL_REG(15 downto 8) <= Din(7 downto 0); when "010" => -- status when "011" => -- Sprite Memory Address PPU_SMA_REG <= Din(7 downto 0); when "100" => -- Sprite Memory Data SprWR <= '1'; SprAddr <= PPU_SMA_REG; SprDataOut <= Din(7 downto 0); PPU_SMA_REG <= conv_std_logic_vector( conv_integer( unsigned(PPU_SMA_REG))+1,8); when "101" => -- scroll data if (PPU_FF = '0') then -- horizontal scroll PPU_HSCR_REG <= Din(7 downto 0); else -- vertical scroll PPU_VSCR_REG <= Din(7 downto 0); end if; PPU_FF <= NOT PPU_FF; when "110" => -- address if (PPU_FF = '0') then PPU_ADDR_REG(13 downto 8) <= Din(5 downto 0); else PPU_ADDR_REG( 7 downto 0) <= Din(7 downto 0); end if; PPU_FF <= NOT PPU_FF; when "111" => -- data ppu_mem_access('1', Din(7 downto 0)); when others => end case; elsif (MODE_REG = '1' and RW='0' and LASTCS = '0') then -- read case A(2 downto 0) is when "000" => -- control 1 - illegal read when "001" => -- control 2 - illegal read when "010" => -- status Dout(6) <= PPU_HIT_REG; Dout(7) <= PPU_VBLANK_REG; PPU_VBLANK_REG <= '0'; PPU_FF <= '0'; when "011" => -- Sprite Memory Address - illegal read when "100" => -- TODO: Sprite Memory Data when "101" => -- scroll offset - illegal read when "110" => -- address - illegal when "111" => -- data ppu_mem_access('0', x"00"); when others => end case; elsif (A = "0" & x"FFC" & "0") then MODE_REG <= Din(0); elsif (A = "0" & x"FFD" & "0") then ROW_BASE_REG <= Din(7 downto 0); elsif (A = "0" & x"FFE" & "0") then CURSOR_ROW_REG <= Din(7 downto 0); elsif (A = "0" & x"FFF" & "0") then CURSOR_COL_REG <= Din(7 downto 0); else -- access to any other address if (MODE_REG = '0' and LASTCS = '0') then VRAM0Read <= (NOT RW) and (NOT A(1)) and (NOT A(13)); VRAM1Read <= (NOT RW) and ( A(1)) and (NOT A(13)); VRAM2Read <= (NOT RW) and (NOT A(1)) and ( A(13)); VRAM3Read <= (NOT RW) and ( A(1)) and ( A(13)); VRAM0Write <= ( RW) and (NOT A(1)) and (NOT A(13)); VRAM1Write <= ( RW) and ( A(1)) and (NOT A(13)); VRAM2Write <= ( RW) and (NOT A(1)) and ( A(13)); VRAM3Write <= ( RW) and ( A(1)) and ( A(13)); VRAMAddr(10 downto 0) <= A(12 downto 2); VRAMDataOut <= Din(8 downto 0); end if; if (MODE_REG = '0' or (RW='0' and A(2 downto 0)="111")) then Dout(8 downto 0) <= VRAM0DataIn or VRAM1DataIn or VRAM2DataIn or VRAM3DataIn or VRAM4DataIn; end if; end if; else VRAM0Read <= '0'; VRAM1Read <= '0'; VRAM2Read <= '0'; VRAM3Read <= '0'; VRAM4Read <= '0'; VRAM0Write <= '0'; VRAM1Write <= '0'; VRAM2Write <= '0'; VRAM3Write <= '0'; VRAM4Write <= '0'; SprRD <= '0'; SprWR <= '0'; PalRD <= '0'; PalWR <= '0'; Dout <= x"0000"; SprAddr <= x"00"; SprDataOut <= x"00"; PalAddr <= "00000"; PalDataOut <= x"00"; if (VBLANK /= LASTVBLANK) then PPU_VBLANK_REG <= VBLANK; end if; LASTVBLANK <= VBLANK; end if; LASTCS <= CS; end if; end process; process (CLK50) begin if (CLK50 = '0' and CLK50'event ) then LASTVBLANK2 <= VBLANK; if (IAK = '1') then INT <= '0'; elsif (VBLANK /= LASTVBLANK2) then if (VBLANK = '1' and PPU_CTRL_REG(7) = '1') then -- generate interrupt INT <= '1'; end if; end if; end if; end process; ROW_BASE <= ROW_BASE_REG; CURSOR_ROW <= CURSOR_ROW_REG; CURSOR_COL <= CURSOR_COL_REG; MODE <= MODE_REG; PPU_CTRL <= PPU_CTRL_REG; PPU_HSCR <= PPU_HSCR_REG; PPU_VSCR <= PPU_VSCR_REG; end Behavioral;
-- ------------------------------------------------------------- -- -- Generated Architecture Declaration for rtl of inst_a_e -- -- Generated -- by: wig -- on: Tue Mar 30 18:39:52 2004 -- cmd: H:\work\mix_new\MIX\mix_0.pl -strip -nodelta ../../autoopen.xls -- -- !!! Do not edit this file! Autogenerated by MIX !!! -- $Author: wig $ -- $Id: inst_a_e-rtl-a.vhd,v 1.1 2004/04/06 11:19:52 wig Exp $ -- $Date: 2004/04/06 11:19:52 $ -- $Log: inst_a_e-rtl-a.vhd,v $ -- Revision 1.1 2004/04/06 11:19:52 wig -- Adding result/autoopen -- -- -- Based on Mix Architecture Template built into RCSfile: MixWriter.pm,v -- Id: MixWriter.pm,v 1.39 2004/03/30 11:05:58 wig Exp -- -- Generator: mix_0.pl Revision: 1.28 , [email protected] -- (C) 2003 Micronas GmbH -- -- -------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; -- No project specific VHDL libraries/arch -- -- -- Start of Generated Architecture rtl of inst_a_e -- architecture rtl of inst_a_e is -- Generated Constant Declarations -- -- Components -- -- Generated Components component inst_aa_e -- -- No Generated Generics port ( -- Generated Port for Entity inst_aa_e p_mix_s_ai14_go : out std_ulogic_vector(7 downto 0); p_mix_s_ai16_gi : in std_ulogic_vector(7 downto 0); p_mix_s_ai6_go : out std_ulogic; p_mix_s_ai8_gi : in std_ulogic; p_mix_s_aio17_gc : inout std_ulogic; p_mix_s_aio18_gc : inout std_ulogic; p_mix_s_aio19_gc : inout std_ulogic; p_mix_s_ao10_go : out std_ulogic_vector(7 downto 0); p_mix_s_ao11_go : out std_ulogic_vector(7 downto 0); p_mix_s_ao12_gi : in std_ulogic_vector(7 downto 0); p_mix_s_ao13_gi : in std_ulogic_vector(7 downto 0); p_mix_s_ao1_go : out std_ulogic; p_mix_s_ao2_go : out std_ulogic; p_mix_s_ao3_go : out std_ulogic; p_mix_s_ao4_gi : in std_ulogic; p_mix_s_ao5_go : out std_ulogic; p_mix_s_ao9_go : out std_ulogic_vector(7 downto 0); port_aa : out std_ulogic -- End of Generated Port for Entity inst_aa_e ); end component; -- --------- component inst_ab_e -- -- No Generated Generics port ( -- Generated Port for Entity inst_ab_e s_ao10 : in std_ulogic_vector(7 downto 0); s_ao2 : in std_ulogic -- End of Generated Port for Entity inst_ab_e ); end component; -- --------- -- -- Nets -- -- -- Generated Signal List -- signal s_aio17 : std_ulogic; -- __W_PORT_SIGNAL_MAP_REQ signal s_ao10 : std_ulogic_vector(7 downto 0); signal s_ao11 : std_ulogic_vector(7 downto 0); -- __W_PORT_SIGNAL_MAP_REQ signal s_ao2 : std_ulogic; signal s_ao3 : std_ulogic; -- __W_PORT_SIGNAL_MAP_REQ -- __I_OUT_OPEN signal s_intname : std_ulogic; -- -- End of Generated Signal List -- begin -- -- Generated Concurrent Statements -- -- Generated Signal Assignments s_aio17 <= p_mix_s_aio17_gc; -- __I_I_BIT_PORT p_mix_s_ao11_go <= s_ao11; -- __I_O_BUS_PORT p_mix_s_ao3_go <= s_ao3; -- __I_O_BIT_PORT -- -- Generated Instances -- -- Generated Instances and Port Mappings -- Generated Instance Port Map for inst_aa inst_aa: inst_aa_e port map ( p_mix_s_ai14_go => s_ai14, p_mix_s_ai16_gi => s_ai16, p_mix_s_ai6_go => s_ai6, p_mix_s_ai8_gi => s_ai8, p_mix_s_aio17_gc => s_aio17, p_mix_s_aio18_gc => s_aio18, p_mix_s_aio19_gc => s_aio19, p_mix_s_ao10_go => s_ao10, p_mix_s_ao11_go => s_ao11, p_mix_s_ao12_gi => s_ao12, p_mix_s_ao13_gi => s_ao13, p_mix_s_ao1_go => s_ao1, p_mix_s_ao2_go => s_ao2, p_mix_s_ao3_go => s_ao3, p_mix_s_ao4_gi => s_ao4, p_mix_s_ao5_go => s_ao5, p_mix_s_ao9_go => s_ao9, -- __I_RECONN port_aa => open, -- __I_OUT_OPEN port_aa => s_outname ); -- End of Generated Instance Port Map for inst_aa -- Generated Instance Port Map for inst_ab inst_ab: inst_ab_e port map ( s_ao10 => s_ao10, s_ao2 => s_ao2 ); -- End of Generated Instance Port Map for inst_ab end rtl; -- --!End of Architecture/s -- --------------------------------------------------------------
---------------------------------------------------------------------------------- -- Engineer: Mike Field <[email protected]> -- Module Name: colour_space_conversion - Behavioral -- -- Description: Convert the input pixel data into YCbCr 422 values -- -- Feel free to use this how you see fit, and fix any errors you find :-) ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; Library UNISIM; use UNISIM.vcomponents.all; entity colour_space_conversion is Port ( clk : in STD_LOGIC; r1_in : IN std_logic_vector(8 downto 0); g1_in : IN std_logic_vector(8 downto 0); b1_in : IN std_logic_vector(8 downto 0); r2_in : IN std_logic_vector(8 downto 0); g2_in : IN std_logic_vector(8 downto 0); b2_in : IN std_logic_vector(8 downto 0); pair_start_in: IN std_logic; de_in : IN std_logic; vsync_in : IN std_logic; hsync_in : IN std_logic; y_out : OUT std_logic_vector(7 downto 0); c_out : OUT std_logic_vector(7 downto 0); de_out : OUT std_logic; hsync_out : OUT std_logic; vsync_out : OUT std_logic ); end colour_space_conversion; architecture Behavioral of colour_space_conversion is signal d_a : std_logic; signal h_a : std_logic; signal v_a : std_logic; signal c1 : STD_LOGIC_VECTOR(47 DOWNTO 0); signal a_r1, a_g1, a_b1 : STD_LOGIC_VECTOR(29 DOWNTO 0); signal b_r1, b_g1, b_b1 : STD_LOGIC_VECTOR(17 DOWNTO 0); signal pc_r1, pc_g1, p_b1 : STD_LOGIC_VECTOR(47 DOWNTO 0); signal c2 : STD_LOGIC_VECTOR(47 DOWNTO 0); signal a_r2, a_g2 , a_b2 : STD_LOGIC_VECTOR(29 DOWNTO 0); signal b_r2, b_g2, b_b2 : STD_LOGIC_VECTOR(17 DOWNTO 0); signal pc_r2, pc_g2, p_b2 : STD_LOGIC_VECTOR(47 DOWNTO 0); signal hs_delay : STD_LOGIC_VECTOR(3 DOWNTO 0) := (others => '0'); signal vs_delay : STD_LOGIC_VECTOR(3 DOWNTO 0) := (others => '0'); signal de_delay : STD_LOGIC_VECTOR(3 DOWNTO 0) := (others => '0'); begin -- y = ( 8432 * r + 16425 * g + 3176 * B) / 32768 + 16; -- cb = (-4818 * r - 9527 * g + 14345 * B) / 32768 + 128; -- cr = (14345 * r - 12045 * g - 2300 * B) / 32768 + 128; c1 <= x"002000000000"; a_r1 <= "000000" & r1_in & x"000" & "000"; a_g1 <= "000000" & g1_in & x"000" & "000"; a_b1 <= "000000" & b1_in & x"000" & "000"; c2 <= x"010000000000"; a_r2 <= "000000" & r2_in & x"000" & "000"; a_g2 <= "000000" & g2_in & x"000" & "000"; a_b2 <= "000000" & b2_in & x"000" & "000"; b_r1 <= x"20F0"&"00"; b_g1 <= x"4029"&"00"; b_b1 <= x"0C68"&"00"; b_r2 <= x"ED2E"&"00" when pair_start_in = '1' else x"3809"&"00"; b_g2 <= x"DAC9"&"00" when pair_start_in = '1' else x"D0F3"&"00"; b_b2 <= x"3809"&"00" when pair_start_in = '1' else x"F704"&"00"; process(clk) begin if rising_edge(clk) then hsync_out <= hs_delay(hs_delay'high); vsync_out <= vs_delay(vs_delay'high); de_out <= de_delay(de_delay'high); de_delay <= de_delay(de_delay'high-1 downto 0) & de_in; vs_delay <= vs_delay(de_delay'high-1 downto 0) & vsync_in; hs_delay <= hs_delay(de_delay'high-1 downto 0) & hsync_in; y_out <= p_b1(40 downto 33); c_out <= p_b2(40 downto 33); end if; end process; mult_r1 : DSP48E1 generic map ( -- Feature Control Attributes: Data Path Selection A_INPUT => "DIRECT", -- Selects A input source, "DIRECT" (A port) or "CASCADE" (ACIN port) B_INPUT => "DIRECT", -- Selects B input source, "DIRECT" (B port) or "CASCADE" (BCIN port) USE_DPORT => FALSE, -- Select D port usage (TRUE or FALSE) USE_MULT => "MULTIPLY", -- Select multiplier usage ("MULTIPLY", "DYNAMIC", or "NONE") USE_SIMD => "ONE48", -- SIMD selection ("ONE48", "TWO24", "FOUR12") -- Pattern Detector Attributes: Pattern Detection Configuration AUTORESET_PATDET => "NO_RESET", -- "NO_RESET", "RESET_MATCH", "RESET_NOT_MATCH" MASK => X"3fffffffffff", -- 48-bit mask value for pattern detect (1=ignore) PATTERN => X"000000000000", -- 48-bit pattern match for pattern detect SEL_MASK => "MASK", -- "C", "MASK", "ROUNDING_MODE1", "ROUNDING_MODE2" SEL_PATTERN => "PATTERN", -- Select pattern value ("PATTERN" or "C") USE_PATTERN_DETECT => "NO_PATDET", -- Enable pattern detect ("PATDET" or "NO_PATDET") -- Register Control Attributes: Pipeline Register Configuration ACASCREG => 0, -- Number of pipeline stages between A/ACIN and ACOUT (0, 1 or 2) ADREG => 0, -- Number of pipeline stages for pre-adder (0 or 1) ALUMODEREG => 1, -- Number of pipeline stages for ALUMODE (0 or 1) AREG => 0, -- Number of pipeline stages for A (0, 1 or 2) BCASCREG => 0, -- Number of pipeline stages between B/BCIN and BCOUT (0, 1 or 2) BREG => 0, -- Number of pipeline stages for B (0, 1 or 2) CARRYINREG => 1, -- Number of pipeline stages for CARRYIN (0 or 1) CARRYINSELREG => 1, -- Number of pipeline stages for CARRYINSEL (0 or 1) CREG => 0, -- Number of pipeline stages for C (0 or 1) DREG => 0, -- Number of pipeline stages for D (0 or 1) INMODEREG => 1, -- Number of pipeline stages for INMODE (0 or 1) MREG => 1, -- Number of multiplier pipeline stages (0 or 1) OPMODEREG => 1, -- Number of pipeline stages for OPMODE (0 or 1) PREG => 1 -- Number of pipeline stages for P (0 or 1) ) port map ( -- Cascade: 30-bit (each) output: Cascade Ports ACOUT => open, -- 30-bit output: A port cascade output BCOUT => open, -- 18-bit output: B port cascade output CARRYCASCOUT => open, -- 1-bit output: Cascade carry output MULTSIGNOUT => open, -- 1-bit output: Multiplier sign cascade output PCOUT => PC_r1, -- 48-bit output: Cascade output -- Control: 1-bit (each) output: Control Inputs/Status Bits OVERFLOW => open, -- 1-bit output: Overflow in add/acc output PATTERNBDETECT => open, -- 1-bit output: Pattern bar detect output PATTERNDETECT => open, -- 1-bit output: Pattern detect output UNDERFLOW => open, -- 1-bit output: Underflow in add/acc output -- Data: 4-bit (each) output: Data Ports CARRYOUT => open, -- 4-bit output: Carry output P => open, -- 48-bit output: Primary data output -- Cascade: 30-bit (each) input: Cascade Ports ACIN => (others => '0'), -- 30-bit input: A cascade data input BCIN => (others => '0'), -- 18-bit input: B cascade input CARRYCASCIN => '0', -- 1-bit input: Cascade carry input MULTSIGNIN => '0', -- 1-bit input: Multiplier sign input PCIN => (others => '0'), -- 48-bit input: P cascade input -- Control: 4-bit (each) input: Control Inputs/Status Bits CLK => CLK, -- 1-bit input: Clock input ALUMODE => "0000", -- 4-bit input: ALU control input CARRYINSEL => "000", -- 3-bit input: Carry select input CEINMODE => '1', -- 1-bit input: Clock enable input for INMODEREG INMODE => "00000", -- 5-bit input: INMODE control input OPMODE => "0110101", -- 7-bit input: Operation mode input RSTINMODE => '0', -- 1-bit input: Reset input for INMODEREG -- Data: 30-bit (each) input: Data Ports A => a_r1, -- 30-bit input: A data input B => b_r1, -- 18-bit input: B data input C => c1, -- 48-bit input: C data input CARRYIN => '0', -- 1-bit input: Carry input signal D => (others =>'0'), -- 25-bit input: D data input -- Reset/Clock Enable: 1-bit (each) input: Reset/Clock Enable Inputs CEA1 => '0', -- 1-bit input: Clock enable input for 1st stage AREG CEA2 => '0', -- 1-bit input: Clock enable input for 2nd stage AREG CEAD => '0', -- 1-bit input: Clock enable input for ADREG CEALUMODE => '1', -- 1-bit input: Clock enable input for ALUMODE CEB1 => '0', -- 1-bit input: Clock enable input for 1st stage BREG CEB2 => '0', -- 1-bit input: Clock enable input for 2nd stage BREG CEC => '0', -- 1-bit input: Clock enable input for CREG CECARRYIN => '1', -- 1-bit input: Clock enable input for CARRYINREG CECTRL => '1', -- 1-bit input: Clock enable input for OPMODEREG and CARRYINSELREG CED => '0', -- 1-bit input: Clock enable input for DREG CEM => '1', -- 1-bit input: Clock enable input for MREG CEP => '1', -- 1-bit input: Clock enable input for PREG RSTA => '0', -- 1-bit input: Reset input for AREG RSTALLCARRYIN => '0', -- 1-bit input: Reset input for CARRYINREG RSTALUMODE => '0', -- 1-bit input: Reset input for ALUMODEREG RSTB => '0', -- 1-bit input: Reset input for BREG RSTC => '0', -- 1-bit input: Reset input for CREG RSTCTRL => '0', -- 1-bit input: Reset input for OPMODEREG and CARRYINSELREG RSTD => '0', -- 1-bit input: Reset input for DREG and ADREG RSTM => '0', -- 1-bit input: Reset input for MREG RSTP => '0' -- 1-bit input: Reset input for PREG ); mult_g1 : DSP48E1 generic map ( -- Feature Control Attributes: Data Path Selection A_INPUT => "DIRECT", -- Selects A input source, "DIRECT" (A port) or "CASCADE" (ACIN port) B_INPUT => "DIRECT", -- Selects B input source, "DIRECT" (B port) or "CASCADE" (BCIN port) USE_DPORT => FALSE, -- Select D port usage (TRUE or FALSE) USE_MULT => "MULTIPLY", -- Select multiplier usage ("MULTIPLY", "DYNAMIC", or "NONE") USE_SIMD => "ONE48", -- SIMD selection ("ONE48", "TWO24", "FOUR12") -- Pattern Detector Attributes: Pattern Detection Configuration AUTORESET_PATDET => "NO_RESET", -- "NO_RESET", "RESET_MATCH", "RESET_NOT_MATCH" MASK => X"3fffffffffff", -- 48-bit mask value for pattern detect (1=ignore) PATTERN => X"000000000000", -- 48-bit pattern match for pattern detect SEL_MASK => "MASK", -- "C", "MASK", "ROUNDING_MODE1", "ROUNDING_MODE2" SEL_PATTERN => "PATTERN", -- Select pattern value ("PATTERN" or "C") USE_PATTERN_DETECT => "NO_PATDET", -- Enable pattern detect ("PATDET" or "NO_PATDET") -- Register Control Attributes: Pipeline Register Configuration ACASCREG => 1, -- Number of pipeline stages between A/ACIN and ACOUT (0, 1 or 2) ADREG => 0, -- Number of pipeline stages for pre-adder (0 or 1) ALUMODEREG => 1, -- Number of pipeline stages for ALUMODE (0 or 1) AREG => 1, -- Number of pipeline stages for A (0, 1 or 2) BCASCREG => 1, -- Number of pipeline stages between B/BCIN and BCOUT (0, 1 or 2) BREG => 1, -- Number of pipeline stages for B (0, 1 or 2) CARRYINREG => 1, -- Number of pipeline stages for CARRYIN (0 or 1) CARRYINSELREG => 1, -- Number of pipeline stages for CARRYINSEL (0 or 1) CREG => 0, -- Number of pipeline stages for C (0 or 1) DREG => 0, -- Number of pipeline stages for D (0 or 1) INMODEREG => 1, -- Number of pipeline stages for INMODE (0 or 1) MREG => 1, -- Number of multiplier pipeline stages (0 or 1) OPMODEREG => 1, -- Number of pipeline stages for OPMODE (0 or 1) PREG => 1 -- Number of pipeline stages for P (0 or 1) ) port map ( -- Cascade: 30-bit (each) input: Cascade Ports ACOUT => open, -- 30-bit output: A port cascade output BCOUT => open, -- 18-bit output: B port cascade output CARRYCASCOUT => open, -- 1-bit output: Cascade carry output MULTSIGNOUT => open, -- 1-bit output: Multiplier sign cascade output PCOUT => PC_g1, -- 48-bit output: Cascade output -- Control: 1-bit (each) output: Control Inputs/Status Bits OVERFLOW => open, -- 1-bit output: Overflow in add/acc output PATTERNBDETECT => open, -- 1-bit output: Pattern bar detect output PATTERNDETECT => open, -- 1-bit output: Pattern detect output UNDERFLOW => open, -- 1-bit output: Underflow in add/acc output -- Data: 4-bit (each) output: Data Ports CARRYOUT => open, -- 4-bit output: Carry output P => open, -- 48-bit output: Primary data output -- Cascade: 30-bit (each) input: Cascade Ports ACIN => (others => '0'), -- 30-bit input: A cascade data input BCIN => (others => '0'), -- 18-bit input: B cascade input CARRYCASCIN => '0', -- 1-bit input: Cascade carry input MULTSIGNIN => '0', -- 1-bit input: Multiplier sign input PCIN => pc_r1, -- 48-bit input: P cascade input -- Control: 4-bit (each) input: Control Inputs/Status Bits CLK => CLK, -- 1-bit input: Clock input ALUMODE => "0000", -- 4-bit input: ALU control input CARRYINSEL => "000", -- 3-bit input: Carry select input CEINMODE => '1', -- 1-bit input: Clock enable input for INMODEREG INMODE => "00000", -- 5-bit input: INMODE control input OPMODE => "0010101", -- 7-bit input: Operation mode input RSTINMODE => '0', -- 1-bit input: Reset input for INMODEREG -- Data: 30-bit (each) input: Data Ports A => a_g1, -- 30-bit input: A data input B => b_g1, -- 18-bit input: B data input C => (others =>'0'), -- 48-bit input: C data input CARRYIN => '0', -- 1-bit input: Carry input signal D => (others =>'0'), -- 25-bit input: D data input -- Reset/Clock Enable: 1-bit (each) input: Reset/Clock Enable Inputs CEA1 => '0', -- 1-bit input: Clock enable input for 1st stage AREG CEA2 => '1', -- 1-bit input: Clock enable input for 2nd stage AREG CEAD => '1', -- 1-bit input: Clock enable input for ADREG CEALUMODE => '1', -- 1-bit input: Clock enable input for ALUMODE CEB1 => '0', -- 1-bit input: Clock enable input for 1st stage BREG CEB2 => '1', -- 1-bit input: Clock enable input for 2nd stage BREG CEC => '0', -- 1-bit input: Clock enable input for CREG CECARRYIN => '1', -- 1-bit input: Clock enable input for CARRYINREG CECTRL => '1', -- 1-bit input: Clock enable input for OPMODEREG and CARRYINSELREG CED => '0', -- 1-bit input: Clock enable input for DREG CEM => '1', -- 1-bit input: Clock enable input for MREG CEP => '1', -- 1-bit input: Clock enable input for PREG RSTA => '0', -- 1-bit input: Reset input for AREG RSTALLCARRYIN => '0', -- 1-bit input: Reset input for CARRYINREG RSTALUMODE => '0', -- 1-bit input: Reset input for ALUMODEREG RSTB => '0', -- 1-bit input: Reset input for BREG RSTC => '0', -- 1-bit input: Reset input for CREG RSTCTRL => '0', -- 1-bit input: Reset input for OPMODEREG and CARRYINSELREG RSTD => '0', -- 1-bit input: Reset input for DREG and ADREG RSTM => '0', -- 1-bit input: Reset input for MREG RSTP => '0' -- 1-bit input: Reset input for PREG ); mult_b1 : DSP48E1 generic map ( -- Feature Control Attributes: Data Path Selection A_INPUT => "DIRECT", -- Selects A input source, "DIRECT" (A port) or "CASCADE" (ACIN port) B_INPUT => "DIRECT", -- Selects B input source, "DIRECT" (B port) or "CASCADE" (BCIN port) USE_DPORT => FALSE, -- Select D port usage (TRUE or FALSE) USE_MULT => "MULTIPLY", -- Select multiplier usage ("MULTIPLY", "DYNAMIC", or "NONE") USE_SIMD => "ONE48", -- SIMD selection ("ONE48", "TWO24", "FOUR12") -- Pattern Detector Attributes: Pattern Detection Configuration AUTORESET_PATDET => "NO_RESET", -- "NO_RESET", "RESET_MATCH", "RESET_NOT_MATCH" MASK => X"3fffffffffff", -- 48-bit mask value for pattern detect (1=ignore) PATTERN => X"000000000000", -- 48-bit pattern match for pattern detect SEL_MASK => "MASK", -- "C", "MASK", "ROUNDING_MODE1", "ROUNDING_MODE2" SEL_PATTERN => "PATTERN", -- Select pattern value ("PATTERN" or "C") USE_PATTERN_DETECT => "NO_PATDET", -- Enable pattern detect ("PATDET" or "NO_PATDET") -- Register Control Attributes: Pipeline Register Configuration ACASCREG => 2, -- Number of pipeline stages between A/ACIN and ACOUT (0, 1 or 2) ADREG => 0, -- Number of pipeline stages for pre-adder (0 or 1) ALUMODEREG => 1, -- Number of pipeline stages for ALUMODE (0 or 1) AREG => 2, -- Number of pipeline stages for A (0, 1 or 2) BCASCREG => 1, -- Number of pipeline stages between B/BCIN and BCOUT (0, 1 or 2) BREG => 1, -- Number of pipeline stages for B (0, 1 or 2) CARRYINREG => 1, -- Number of pipeline stages for CARRYIN (0 or 1) CARRYINSELREG => 1, -- Number of pipeline stages for CARRYINSEL (0 or 1) CREG => 0, -- Number of pipeline stages for C (0 or 1) DREG => 0, -- Number of pipeline stages for D (0 or 1) INMODEREG => 1, -- Number of pipeline stages for INMODE (0 or 1) MREG => 1, -- Number of multiplier pipeline stages (0 or 1) OPMODEREG => 1, -- Number of pipeline stages for OPMODE (0 or 1) PREG => 1 -- Number of pipeline stages for P (0 or 1) ) port map ( -- Cascade: 30-bit (each) output: Cascade Ports ACOUT => open, -- 30-bit output: A port cascade output BCOUT => open, -- 18-bit output: B port cascade output CARRYCASCOUT => open, -- 1-bit output: Cascade carry output MULTSIGNOUT => open, -- 1-bit output: Multiplier sign cascade output PCOUT => open, -- 48-bit output: Cascade output -- Control: 1-bit (each) output: Control Inputs/Status Bits OVERFLOW => open, -- 1-bit output: Overflow in add/acc output PATTERNBDETECT => open, -- 1-bit output: Pattern bar detect output PATTERNDETECT => open, -- 1-bit output: Pattern detect output UNDERFLOW => open, -- 1-bit output: Underflow in add/acc output -- Data: 4-bit (each) output: Data Ports CARRYOUT => open, -- 4-bit output: Carry output P => P_b1, -- 48-bit output: Primary data output -- Cascade: 30-bit (each) input: Cascade Ports ACIN => (others =>'0'), -- 30-bit input: A cascade data input BCIN => (others =>'0'), -- 18-bit input: B cascade input CARRYCASCIN => '0', -- 1-bit input: Cascade carry input MULTSIGNIN => '0', -- 1-bit input: Multiplier sign input PCIN => pc_g1, -- 48-bit input: P cascade input -- Control: 4-bit (each) input: Control Inputs/Status Bits CLK => CLK, -- 1-bit input: Clock input ALUMODE => "0000", -- 4-bit input: ALU control input CARRYINSEL => "000", -- 3-bit input: Carry select input CEINMODE => '1', -- 1-bit input: Clock enable input for INMODEREG INMODE => "00000", -- 5-bit input: INMODE control input OPMODE => "0010101", -- 7-bit input: Operation mode input RSTINMODE => '0', -- 1-bit input: Reset input for INMODEREG -- Data: 30-bit (each) input: Data Ports A => a_b1, -- 30-bit input: A data input B => b_b1, -- 18-bit input: B data input C => (others =>'0'), -- 48-bit input: C data input CARRYIN => '0', -- 1-bit input: Carry input signal D => (others =>'0'), -- 25-bit input: D data input -- Reset/Clock Enable: 1-bit (each) input: Reset/Clock Enable Inputs CEA1 => '1', -- 1-bit input: Clock enable input for 1st stage AREG CEA2 => '1', -- 1-bit input: Clock enable input for 2nd stage AREG CEAD => '0', -- 1-bit input: Clock enable input for ADREG CEALUMODE => '1', -- 1-bit input: Clock enable input for ALUMODE CEB1 => '0', -- 1-bit input: Clock enable input for 1st stage BREG CEB2 => '1', -- 1-bit input: Clock enable input for 2nd stage BREG CEC => '0', -- 1-bit input: Clock enable input for CREG CECARRYIN => '1', -- 1-bit input: Clock enable input for CARRYINREG CECTRL => '1', -- 1-bit input: Clock enable input for OPMODEREG and CARRYINSELREG CED => '0', -- 1-bit input: Clock enable input for DREG CEM => '1', -- 1-bit input: Clock enable input for MREG CEP => '1', -- 1-bit input: Clock enable input for PREG RSTA => '0', -- 1-bit input: Reset input for AREG RSTALLCARRYIN => '0', -- 1-bit input: Reset input for CARRYINREG RSTALUMODE => '0', -- 1-bit input: Reset input for ALUMODEREG RSTB => '0', -- 1-bit input: Reset input for BREG RSTC => '0', -- 1-bit input: Reset input for CREG RSTCTRL => '0', -- 1-bit input: Reset input for OPMODEREG and CARRYINSELREG RSTD => '0', -- 1-bit input: Reset input for DREG and ADREG RSTM => '0', -- 1-bit input: Reset input for MREG RSTP => '0' -- 1-bit input: Reset input for PREG ); ----------------------------------------- mult_r2 : DSP48E1 generic map ( -- Feature Control Attributes: Data Path Selection A_INPUT => "DIRECT", -- Selects A input source, "DIRECT" (A port) or "CASCADE" (ACIN port) B_INPUT => "DIRECT", -- Selects B input source, "DIRECT" (B port) or "CASCADE" (BCIN port) USE_DPORT => FALSE, -- Select D port usage (TRUE or FALSE) USE_MULT => "MULTIPLY", -- Select multiplier usage ("MULTIPLY", "DYNAMIC", or "NONE") USE_SIMD => "ONE48", -- SIMD selection ("ONE48", "TWO24", "FOUR12") -- Pattern Detector Attributes: Pattern Detection Configuration AUTORESET_PATDET => "NO_RESET", -- "NO_RESET", "RESET_MATCH", "RESET_NOT_MATCH" MASK => X"3fffffffffff", -- 48-bit mask value for pattern detect (1=ignore) PATTERN => X"000000000000", -- 48-bit pattern match for pattern detect SEL_MASK => "MASK", -- "C", "MASK", "ROUNDING_MODE1", "ROUNDING_MODE2" SEL_PATTERN => "PATTERN", -- Select pattern value ("PATTERN" or "C") USE_PATTERN_DETECT => "NO_PATDET", -- Enable pattern detect ("PATDET" or "NO_PATDET") -- Register Control Attributes: Pipeline Register Configuration ACASCREG => 0, -- Number of pipeline stages between A/ACIN and ACOUT (0, 1 or 2) ADREG => 0, -- Number of pipeline stages for pre-adder (0 or 1) ALUMODEREG => 1, -- Number of pipeline stages for ALUMODE (0 or 1) AREG => 0, -- Number of pipeline stages for A (0, 1 or 2) BCASCREG => 0, -- Number of pipeline stages between B/BCIN and BCOUT (0, 1 or 2) BREG => 0, -- Number of pipeline stages for B (0, 1 or 2) CARRYINREG => 1, -- Number of pipeline stages for CARRYIN (0 or 1) CARRYINSELREG => 1, -- Number of pipeline stages for CARRYINSEL (0 or 1) CREG => 0, -- Number of pipeline stages for C (0 or 1) DREG => 0, -- Number of pipeline stages for D (0 or 1) INMODEREG => 1, -- Number of pipeline stages for INMODE (0 or 1) MREG => 1, -- Number of multiplier pipeline stages (0 or 1) OPMODEREG => 1, -- Number of pipeline stages for OPMODE (0 or 1) PREG => 1 -- Number of pipeline stages for P (0 or 1) ) port map ( -- Cascade: 30-bit (each) output: Cascade Ports ACOUT => open, -- 30-bit output: A port cascade output BCOUT => open, -- 18-bit output: B port cascade output CARRYCASCOUT => open, -- 1-bit output: Cascade carry output MULTSIGNOUT => open, -- 1-bit output: Multiplier sign cascade output PCOUT => PC_r2, -- 48-bit output: Cascade output -- Control: 1-bit (each) output: Control Inputs/Status Bits OVERFLOW => open, -- 1-bit output: Overflow in add/acc output PATTERNBDETECT => open, -- 1-bit output: Pattern bar detect output PATTERNDETECT => open, -- 1-bit output: Pattern detect output UNDERFLOW => open, -- 1-bit output: Underflow in add/acc output -- Data: 4-bit (each) output: Data Ports CARRYOUT => open, -- 4-bit output: Carry output P => open, -- 48-bit output: Primary data output -- Cascade: 30-bit (each) input: Cascade Ports ACIN => (others => '0'), -- 30-bit input: A cascade data input BCIN => (others => '0'), -- 18-bit input: B cascade input CARRYCASCIN => '0', -- 1-bit input: Cascade carry input MULTSIGNIN => '0', -- 1-bit input: Multiplier sign input PCIN => (others => '0'), -- 48-bit input: P cascade input -- Control: 4-bit (each) input: Control Inputs/Status Bits CLK => CLK, -- 1-bit input: Clock input ALUMODE => "0000", -- 4-bit input: ALU control input CARRYINSEL => "000", -- 3-bit input: Carry select input CEINMODE => '1', -- 1-bit input: Clock enable input for INMODEREG INMODE => "00000", -- 5-bit input: INMODE control input OPMODE => "0110101", -- 7-bit input: Operation mode input RSTINMODE => '0', -- 1-bit input: Reset input for INMODEREG -- Data: 30-bit (each) input: Data Ports A => a_r2, -- 30-bit input: A data input B => b_r2, -- 18-bit input: B data input C => c2, -- 48-bit input: C data input CARRYIN => '0', -- 1-bit input: Carry input signal D => (others =>'0'), -- 25-bit input: D data input -- Reset/Clock Enable: 1-bit (each) input: Reset/Clock Enable Inputs CEA1 => '0', -- 1-bit input: Clock enable input for 1st stage AREG CEA2 => '0', -- 1-bit input: Clock enable input for 2nd stage AREG CEAD => '0', -- 1-bit input: Clock enable input for ADREG CEALUMODE => '1', -- 1-bit input: Clock enable input for ALUMODE CEB1 => '0', -- 1-bit input: Clock enable input for 1st stage BREG CEB2 => '0', -- 1-bit input: Clock enable input for 2nd stage BREG CEC => '0', -- 1-bit input: Clock enable input for CREG CECARRYIN => '1', -- 1-bit input: Clock enable input for CARRYINREG CECTRL => '1', -- 1-bit input: Clock enable input for OPMODEREG and CARRYINSELREG CED => '0', -- 1-bit input: Clock enable input for DREG CEM => '1', -- 1-bit input: Clock enable input for MREG CEP => '1', -- 1-bit input: Clock enable input for PREG RSTA => '0', -- 1-bit input: Reset input for AREG RSTALLCARRYIN => '0', -- 1-bit input: Reset input for CARRYINREG RSTALUMODE => '0', -- 1-bit input: Reset input for ALUMODEREG RSTB => '0', -- 1-bit input: Reset input for BREG RSTC => '0', -- 1-bit input: Reset input for CREG RSTCTRL => '0', -- 1-bit input: Reset input for OPMODEREG and CARRYINSELREG RSTD => '0', -- 1-bit input: Reset input for DREG and ADREG RSTM => '0', -- 1-bit input: Reset input for MREG RSTP => '0' -- 1-bit input: Reset input for PREG ); mult_g2 : DSP48E1 generic map ( -- Feature Control Attributes: Data Path Selection A_INPUT => "DIRECT", -- Selects A input source, "DIRECT" (A port) or "CASCADE" (ACIN port) B_INPUT => "DIRECT", -- Selects B input source, "DIRECT" (B port) or "CASCADE" (BCIN port) USE_DPORT => FALSE, -- Select D port usage (TRUE or FALSE) USE_MULT => "MULTIPLY", -- Select multiplier usage ("MULTIPLY", "DYNAMIC", or "NONE") USE_SIMD => "ONE48", -- SIMD selection ("ONE48", "TWO24", "FOUR12") -- Pattern Detector Attributes: Pattern Detection Configuration AUTORESET_PATDET => "NO_RESET", -- "NO_RESET", "RESET_MATCH", "RESET_NOT_MATCH" MASK => X"3fffffffffff", -- 48-bit mask value for pattern detect (1=ignore) PATTERN => X"000000000000", -- 48-bit pattern match for pattern detect SEL_MASK => "MASK", -- "C", "MASK", "ROUNDING_MODE1", "ROUNDING_MODE2" SEL_PATTERN => "PATTERN", -- Select pattern value ("PATTERN" or "C") USE_PATTERN_DETECT => "NO_PATDET", -- Enable pattern detect ("PATDET" or "NO_PATDET") -- Register Control Attributes: Pipeline Register Configuration ACASCREG => 1, -- Number of pipeline stages between A/ACIN and ACOUT (0, 1 or 2) ADREG => 0, -- Number of pipeline stages for pre-adder (0 or 1) ALUMODEREG => 1, -- Number of pipeline stages for ALUMODE (0 or 1) AREG => 1, -- Number of pipeline stages for A (0, 1 or 2) BCASCREG => 1, -- Number of pipeline stages between B/BCIN and BCOUT (0, 1 or 2) BREG => 1, -- Number of pipeline stages for B (0, 1 or 2) CARRYINREG => 1, -- Number of pipeline stages for CARRYIN (0 or 1) CARRYINSELREG => 1, -- Number of pipeline stages for CARRYINSEL (0 or 1) CREG => 0, -- Number of pipeline stages for C (0 or 1) DREG => 0, -- Number of pipeline stages for D (0 or 1) INMODEREG => 1, -- Number of pipeline stages for INMODE (0 or 1) MREG => 1, -- Number of multiplier pipeline stages (0 or 1) OPMODEREG => 1, -- Number of pipeline stages for OPMODE (0 or 1) PREG => 1 -- Number of pipeline stages for P (0 or 1) ) port map ( -- Cascade: 30-bit (each) output: Cascade Ports ACOUT => open, -- 30-bit output: A port cascade output BCOUT => open, -- 18-bit output: B port cascade output CARRYCASCOUT => open, -- 1-bit output: Cascade carry output MULTSIGNOUT => open, -- 1-bit output: Multiplier sign cascade output PCOUT => PC_g2, -- 48-bit output: Cascade output -- Control: 1-bit (each) output: Control Inputs/Status Bits OVERFLOW => open, -- 1-bit output: Overflow in add/acc output PATTERNBDETECT => open, -- 1-bit output: Pattern bar detect output PATTERNDETECT => open, -- 1-bit output: Pattern detect output UNDERFLOW => open, -- 1-bit output: Underflow in add/acc output -- Data: 4-bit (each) output: Data Ports CARRYOUT => open, -- 4-bit output: Carry output P => open, -- 48-bit output: Primary data output -- Cascade: 30-bit (each) input: Cascade Ports ACIN => (others=>'0'), -- 30-bit input: A cascade data input BCIN => (others=>'0'), -- 18-bit input: B cascade input CARRYCASCIN => '0', -- 1-bit input: Cascade carry input MULTSIGNIN => '0', -- 1-bit input: Multiplier sign input PCIN => pc_r2, -- 48-bit input: P cascade input -- Control: 4-bit (each) input: Control Inputs/Status Bits CLK => CLK, -- 1-bit input: Clock input ALUMODE => "0000", -- 4-bit input: ALU control input CARRYINSEL => "000", -- 3-bit input: Carry select input CEINMODE => '1', -- 1-bit input: Clock enable input for INMODEREG INMODE => "00000", -- 5-bit input: INMODE control input OPMODE => "0010101", -- 7-bit input: Operation mode input RSTINMODE => '0', -- 1-bit input: Reset input for INMODEREG -- Data: 30-bit (each) input: Data Ports A => a_g2, -- 30-bit input: A data input B => b_g2, -- 18-bit input: B data input C => (others =>'0'), -- 48-bit input: C data input CARRYIN => '0', -- 1-bit input: Carry input signal D => (others =>'0'), -- 25-bit input: D data input -- Reset/Clock Enable: 1-bit (each) input: Reset/Clock Enable Inputs CEA1 => '0', -- 1-bit input: Clock enable input for 1st stage AREG CEA2 => '1', -- 1-bit input: Clock enable input for 2nd stage AREG CEAD => '0', -- 1-bit input: Clock enable input for ADREG CEALUMODE => '1', -- 1-bit input: Clock enable input for ALUMODE CEB1 => '0', -- 1-bit input: Clock enable input for 1st stage BREG CEB2 => '1', -- 1-bit input: Clock enable input for 2nd stage BREG CEC => '0', -- 1-bit input: Clock enable input for CREG CECARRYIN => '1', -- 1-bit input: Clock enable input for CARRYINREG CECTRL => '1', -- 1-bit input: Clock enable input for OPMODEREG and CARRYINSELREG CED => '0', -- 1-bit input: Clock enable input for DREG CEM => '1', -- 1-bit input: Clock enable input for MREG CEP => '1', -- 1-bit input: Clock enable input for PREG RSTA => '0', -- 1-bit input: Reset input for AREG RSTALLCARRYIN => '0', -- 1-bit input: Reset input for CARRYINREG RSTALUMODE => '0', -- 1-bit input: Reset input for ALUMODEREG RSTB => '0', -- 1-bit input: Reset input for BREG RSTC => '0', -- 1-bit input: Reset input for CREG RSTCTRL => '0', -- 1-bit input: Reset input for OPMODEREG and CARRYINSELREG RSTD => '0', -- 1-bit input: Reset input for DREG and ADREG RSTM => '0', -- 1-bit input: Reset input for MREG RSTP => '0' -- 1-bit input: Reset input for PREG ); mult_b2 : DSP48E1 generic map ( -- Feature Control Attributes: Data Path Selection A_INPUT => "DIRECT", -- Selects A input source, "DIRECT" (A port) or "CASCADE" (ACIN port) B_INPUT => "DIRECT", -- Selects B input source, "DIRECT" (B port) or "CASCADE" (BCIN port) USE_DPORT => FALSE, -- Select D port usage (TRUE or FALSE) USE_MULT => "MULTIPLY", -- Select multiplier usage ("MULTIPLY", "DYNAMIC", or "NONE") USE_SIMD => "ONE48", -- SIMD selection ("ONE48", "TWO24", "FOUR12") -- Pattern Detector Attributes: Pattern Detection Configuration AUTORESET_PATDET => "NO_RESET", -- "NO_RESET", "RESET_MATCH", "RESET_NOT_MATCH" MASK => X"3fffffffffff", -- 48-bit mask value for pattern detect (1=ignore) PATTERN => X"000000000000", -- 48-bit pattern match for pattern detect SEL_MASK => "MASK", -- "C", "MASK", "ROUNDING_MODE1", "ROUNDING_MODE2" SEL_PATTERN => "PATTERN", -- Select pattern value ("PATTERN" or "C") USE_PATTERN_DETECT => "NO_PATDET", -- Enable pattern detect ("PATDET" or "NO_PATDET") -- Register Control Attributes: Pipeline Register Configuration ACASCREG => 2, -- Number of pipeline stages between A/ACIN and ACOUT (0, 1 or 2) ADREG => 0, -- Number of pipeline stages for pre-adder (0 or 1) ALUMODEREG => 1, -- Number of pipeline stages for ALUMODE (0 or 1) AREG => 2, -- Number of pipeline stages for A (0, 1 or 2) BCASCREG => 2, -- Number of pipeline stages between B/BCIN and BCOUT (0, 1 or 2) BREG => 2, -- Number of pipeline stages for B (0, 1 or 2) CARRYINREG => 1, -- Number of pipeline stages for CARRYIN (0 or 1) CARRYINSELREG => 1, -- Number of pipeline stages for CARRYINSEL (0 or 1) CREG => 0, -- Number of pipeline stages for C (0 or 1) DREG => 0, -- Number of pipeline stages for D (0 or 1) INMODEREG => 1, -- Number of pipeline stages for INMODE (0 or 1) MREG => 1, -- Number of multiplier pipeline stages (0 or 1) OPMODEREG => 1, -- Number of pipeline stages for OPMODE (0 or 1) PREG => 1 -- Number of pipeline stages for P (0 or 1) ) port map ( -- Cascade: 30-bit (each) output: Cascade Ports ACOUT => open, -- 30-bit output: A port cascade output BCOUT => open, -- 18-bit output: B port cascade output CARRYCASCOUT => open, -- 1-bit output: Cascade carry output MULTSIGNOUT => open, -- 1-bit output: Multiplier sign cascade output PCOUT => open, -- 48-bit output: Cascade output -- Control: 1-bit (each) output: Control Inputs/Status Bits OVERFLOW => open, -- 1-bit output: Overflow in add/acc output PATTERNBDETECT => open, -- 1-bit output: Pattern bar detect output PATTERNDETECT => open, -- 1-bit output: Pattern detect output UNDERFLOW => open, -- 1-bit output: Underflow in add/acc output -- Data: 4-bit (each) output: Data Ports CARRYOUT => open, -- 4-bit output: Carry output P => P_b2, -- 48-bit output: Primary data output -- Cascade: 30-bit (each) input: Cascade Ports ACIN => (others =>'0'), -- 30-bit input: A cascade data input BCIN => (others =>'0'), -- 18-bit input: B cascade input CARRYCASCIN => '0', -- 1-bit input: Cascade carry input MULTSIGNIN => '0', -- 1-bit input: Multiplier sign input PCIN => pc_g2, -- 48-bit input: P cascade input -- Control: 4-bit (each) input: Control Inputs/Status Bits CLK => CLK, -- 1-bit input: Clock input ALUMODE => "0000", -- 4-bit input: ALU control input CARRYINSEL => "000", -- 3-bit input: Carry select input CEINMODE => '1', -- 1-bit input: Clock enable input for INMODEREG INMODE => "00000", -- 5-bit input: INMODE control input OPMODE => "0010101", -- 7-bit input: Operation mode input RSTINMODE => '0', -- 1-bit input: Reset input for INMODEREG -- Data: 30-bit (each) input: Data Ports A => a_b2, -- 30-bit input: A data input B => b_b2, -- 18-bit input: B data input C => (others =>'0'), -- 48-bit input: C data input CARRYIN => '0', -- 1-bit input: Carry input signal D => (others =>'0'), -- 25-bit input: D data input -- Reset/Clock Enable: 1-bit (each) input: Reset/Clock Enable Inputs CEA1 => '1', -- 1-bit input: Clock enable input for 1st stage AREG CEA2 => '1', -- 1-bit input: Clock enable input for 2nd stage AREG CEAD => '0', -- 1-bit input: Clock enable input for ADREG CEALUMODE => '1', -- 1-bit input: Clock enable input for ALUMODE CEB1 => '1', -- 1-bit input: Clock enable input for 1st stage BREG CEB2 => '1', -- 1-bit input: Clock enable input for 2nd stage BREG CEC => '0', -- 1-bit input: Clock enable input for CREG CECARRYIN => '1', -- 1-bit input: Clock enable input for CARRYINREG CECTRL => '1', -- 1-bit input: Clock enable input for OPMODEREG and CARRYINSELREG CED => '0', -- 1-bit input: Clock enable input for DREG CEM => '1', -- 1-bit input: Clock enable input for MREG CEP => '1', -- 1-bit input: Clock enable input for PREG RSTA => '0', -- 1-bit input: Reset input for AREG RSTALLCARRYIN => '0', -- 1-bit input: Reset input for CARRYINREG RSTALUMODE => '0', -- 1-bit input: Reset input for ALUMODEREG RSTB => '0', -- 1-bit input: Reset input for BREG RSTC => '0', -- 1-bit input: Reset input for CREG RSTCTRL => '0', -- 1-bit input: Reset input for OPMODEREG and CARRYINSELREG RSTD => '0', -- 1-bit input: Reset input for DREG and ADREG RSTM => '0', -- 1-bit input: Reset input for MREG RSTP => '0' -- 1-bit input: Reset input for PREG ); end Behavioral;
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003, Gaisler Research -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: memctrl -- File: memctrl.vhd -- Author: Jiri Gaisler - Gaisler Research -- Description: Memory controller package ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.amba.all; library techmap; use techmap.gencomp.all; package memctrl is type memory_in_type is record data : std_logic_vector(31 downto 0); -- Data bus address brdyn : std_logic; bexcn : std_logic; writen : std_logic; wrn : std_logic_vector(3 downto 0); bwidth : std_logic_vector(1 downto 0); sd : std_logic_vector(63 downto 0); cb : std_logic_vector(7 downto 0); scb : std_logic_vector(7 downto 0); edac : std_logic; end record; type memory_out_type is record address : std_logic_vector(31 downto 0); data : std_logic_vector(31 downto 0); sddata : std_logic_vector(63 downto 0); ramsn : std_logic_vector(7 downto 0); ramoen : std_logic_vector(7 downto 0); ramn : std_ulogic; romn : std_ulogic; mben : std_logic_vector(3 downto 0); iosn : std_logic; romsn : std_logic_vector(7 downto 0); oen : std_logic; writen : std_logic; wrn : std_logic_vector(3 downto 0); bdrive : std_logic_vector(3 downto 0); vbdrive : std_logic_vector(31 downto 0); --vector bus drive svbdrive : std_logic_vector(63 downto 0); --vector bus drive sdram read : std_logic; sa : std_logic_vector(14 downto 0); cb : std_logic_vector(7 downto 0); scb : std_logic_vector(7 downto 0); vcdrive : std_logic_vector(7 downto 0); --vector bus drive cb svcdrive : std_logic_vector(7 downto 0); --vector bus drive cb sdram ce : std_ulogic; end record; type sdctrl_in_type is record wprot : std_ulogic; data : std_logic_vector (127 downto 0); -- data in cb : std_logic_vector(15 downto 0); end record; type sdctrl_out_type is record sdcke : std_logic_vector ( 1 downto 0); -- clk en sdcsn : std_logic_vector ( 1 downto 0); -- chip sel sdwen : std_ulogic; -- write en rasn : std_ulogic; -- row addr stb casn : std_ulogic; -- col addr stb dqm : std_logic_vector ( 15 downto 0); -- data i/o mask bdrive : std_ulogic; -- bus drive qdrive : std_ulogic; -- bus drive vbdrive : std_logic_vector(31 downto 0); -- vector bus drive address : std_logic_vector (16 downto 2); -- address out data : std_logic_vector (127 downto 0); -- data out cb : std_logic_vector(15 downto 0); ce : std_ulogic; ba : std_logic_vector ( 1 downto 0); -- bank address cal_en : std_logic_vector(7 downto 0); -- enable delay calibration cal_inc : std_logic_vector(7 downto 0); -- inc/dec delay cal_rst : std_logic; -- calibration reset odt : std_logic_vector(1 downto 0); end record; type sdram_out_type is record sdcke : std_logic_vector ( 1 downto 0); -- clk en sdcsn : std_logic_vector ( 1 downto 0); -- chip sel sdwen : std_ulogic; -- write en rasn : std_ulogic; -- row addr stb casn : std_ulogic; -- col addr stb dqm : std_logic_vector ( 7 downto 0); -- data i/o mask end record; component sdctrl generic ( hindex : integer := 0; haddr : integer := 0; hmask : integer := 16#f00#; ioaddr : integer := 16#000#; iomask : integer := 16#fff#; wprot : integer := 0; invclk : integer := 0; fast : integer := 0; pwron : integer := 0; sdbits : integer := 32; oepol : integer := 0; pageburst : integer := 0 ); port ( rst : in std_ulogic; clk : in std_ulogic; ahbsi : in ahb_slv_in_type; ahbso : out ahb_slv_out_type; sdi : in sdctrl_in_type; sdo : out sdctrl_out_type ); end component; component ftsdctrl is generic ( hindex : integer := 0; haddr : integer := 0; hmask : integer := 16#f00#; ioaddr : integer := 16#000#; iomask : integer := 16#fff#; wprot : integer := 0; invclk : integer := 0; fast : integer := 0; pwron : integer := 0; sdbits : integer := 32; edacen : integer := 1; errcnt : integer := 0; cntbits : integer range 1 to 8 := 1; oepol : integer := 0; pageburst : integer := 0 ); port ( rst : in std_ulogic; clk : in std_ulogic; ahbsi : in ahb_slv_in_type; ahbso : out ahb_slv_out_type; sdi : in sdctrl_in_type; sdo : out sdctrl_out_type ); end component; component srctrl generic ( hindex : integer := 0; romaddr : integer := 0; rommask : integer := 16#ff0#; ramaddr : integer := 16#400#; rammask : integer := 16#ff0#; ioaddr : integer := 16#200#; iomask : integer := 16#ff0#; ramws : integer := 0; romws : integer := 2; iows : integer := 2; rmw : integer := 0; prom8en : integer := 0; oepol : integer := 0; srbanks : integer range 1 to 5 := 1; banksz : integer range 0 to 13 := 13; romasel : integer range 0 to 28 := 19 ); port ( rst : in std_ulogic; clk : in std_ulogic; ahbsi : in ahb_slv_in_type; ahbso : out ahb_slv_out_type; sri : in memory_in_type; sro : out memory_out_type; sdo : out sdctrl_out_type ); end component; component ftsrctrl is generic ( hindex : integer := 0; romaddr : integer := 0; rommask : integer := 16#ff0#; ramaddr : integer := 16#400#; rammask : integer := 16#ff0#; ioaddr : integer := 16#200#; iomask : integer := 16#ff0#; ramws : integer := 0; romws : integer := 2; iows : integer := 2; rmw : integer := 0; srbanks : integer range 1 to 8 := 1; banksz : integer range 0 to 15 := 15; rombanks : integer range 1 to 8 := 1; rombanksz : integer range 0 to 15 := 15; rombankszdef : integer range 0 to 15 := 15; pindex : integer := 0; paddr : integer := 0; pmask : integer := 16#fff#; edacen : integer range 0 to 1 := 1; errcnt : integer range 0 to 1 := 0; cntbits : integer range 1 to 8 := 1; wsreg : integer := 0; oepol : integer := 0; prom8en : integer := 0 ); port ( rst : in std_ulogic; clk : in std_ulogic; ahbsi : in ahb_slv_in_type; ahbso : out ahb_slv_out_type; apbi : in apb_slv_in_type; apbo : out apb_slv_out_type; sri : in memory_in_type; sro : out memory_out_type; sdo : out sdctrl_out_type ); end component; type sdram_in_type is record haddr : std_logic_vector(31 downto 0); -- memory address rhaddr : std_logic_vector(31 downto 0); -- latched memory address hready : std_ulogic; hsize : std_logic_vector(1 downto 0); hsel : std_ulogic; hwrite : std_ulogic; htrans : std_logic_vector(1 downto 0); rhtrans : std_logic_vector(1 downto 0); nhtrans : std_logic_vector(1 downto 0); idle : std_ulogic; enable : std_ulogic; error : std_ulogic; brmw : std_ulogic; edac : std_ulogic; srdis : std_logic; end record; type sdram_mctrl_out_type is record address : std_logic_vector(16 downto 2); busy : std_ulogic; aload : std_ulogic; bdrive : std_ulogic; hready : std_ulogic; hsel : std_ulogic; bsel : std_ulogic; hresp : std_logic_vector (1 downto 0); vhready : std_ulogic; prdata : std_logic_vector (31 downto 0); end record; type wprot_out_type is record wprothit : std_ulogic; end record; component sdmctrl generic ( pindex : integer := 0; invclk : integer := 0; fast : integer := 0; wprot : integer := 0; sdbits : integer := 32; pageburst : integer := 0 ); port ( rst : in std_ulogic; clk : in std_ulogic; sdi : in sdram_in_type; sdo : out sdram_out_type; apbi : in apb_slv_in_type; wpo : in wprot_out_type; sdmo : out sdram_mctrl_out_type ); end component; component ftsdmctrl generic ( pindex : integer := 0; invclk : integer := 0; fast : integer := 0; wprot : integer := 0; sdbits : integer := 32; syncrst : integer := 0; pageburst : integer := 0 ); port ( rst : in std_ulogic; clk : in std_ulogic; sdi : in sdram_in_type; sdo : out sdram_out_type; apbi : in apb_slv_in_type; wpo : in wprot_out_type; sdmo : out sdram_mctrl_out_type ); end component; component ftmctrl generic ( hindex : integer := 0; pindex : integer := 0; romaddr : integer := 16#000#; rommask : integer := 16#E00#; ioaddr : integer := 16#200#; iomask : integer := 16#E00#; ramaddr : integer := 16#400#; rammask : integer := 16#C00#; paddr : integer := 0; pmask : integer := 16#fff#; wprot : integer := 0; invclk : integer := 0; fast : integer := 0; romasel : integer := 28; sdrasel : integer := 29; srbanks : integer := 4; ram8 : integer := 0; ram16 : integer := 0; sden : integer := 0; sepbus : integer := 0; sdbits : integer := 32; sdlsb : integer := 2; -- set to 12 for the GE-HPE board oepol : integer := 0; edac : integer := 0; syncrst : integer := 0; pageburst : integer := 0; scantest : integer := 0; writefb : integer := 0; netlist : integer := 0 ); port ( rst : in std_ulogic; clk : in std_ulogic; memi : in memory_in_type; memo : out memory_out_type; ahbsi : in ahb_slv_in_type; ahbso : out ahb_slv_out_type; apbi : in apb_slv_in_type; apbo : out apb_slv_out_type; wpo : in wprot_out_type; sdo : out sdram_out_type ); end component; component ssrctrl generic ( hindex : integer := 0; pindex : integer := 0; romaddr : integer := 0; rommask : integer := 16#ff0#; ramaddr : integer := 16#400#; rammask : integer := 16#ff0#; ioaddr : integer := 16#200#; iomask : integer := 16#ff0#; paddr : integer := 0; pmask : integer := 16#fff#; oepol : integer := 0; bus16 : integer := 0 ); port ( rst : in std_ulogic; clk : in std_ulogic; ahbsi : in ahb_slv_in_type; ahbso : out ahb_slv_out_type; apbi : in apb_slv_in_type; apbo : out apb_slv_out_type; sri : in memory_in_type; sro : out memory_out_type ); end component; type ddrmem_in_type is record cke : std_ulogic; cs : std_logic_vector(1 downto 0); control : std_logic_vector(2 downto 0); --RAS,CAS,WE ba : std_logic_vector(1 downto 0); adr : std_logic_vector(13 downto 0); dq : std_logic_vector(63 downto 0); dm : std_logic_vector(15 downto 0); dqs : std_logic_vector(15 downto 0); dq_oe : std_logic_vector(63 downto 0); dqs_oe : std_logic_vector(15 downto 0); end record; type ddrmem_out_type is record dq : std_logic_vector(63 downto 0); dqs : std_logic_vector(15 downto 0); end record; component ddrctrl generic ( hindex1 : integer := 0; haddr1 : integer := 0; hmask1 : integer := 16#f80#; hindex2 : integer := 0; haddr2 : integer := 0; hmask2 : integer := 16#f80#; pindex : integer := 3; paddr : integer := 0; numahb : integer := 1; -- Allowed: 1, 2 ahb1sepclk : integer := 0; -- Allowed: 0, 1 ahb2sepclk : integer := 0; -- Allowed: 0, 1 modbanks : integer := 1; -- Allowed: 1, 2 numchips : integer := 8; -- Allowed: 1, 2, 4, 8, 16 chipbits : integer := 8; -- Allowed: 4, 8, 16 chipsize : integer := 128; -- Allowed: 64, 128, 256, 512, 1024 (MB) plldelay : integer := 0; -- Allowed: 0, 1 (Use 200us start up delay) tech : integer := 0; clkperiod : integer := 10); -- 100 Mhz port ( rst : in std_ulogic; clk0 : in std_ulogic; clk90 : in std_ulogic; clk180 : in std_ulogic; clk270 : in std_ulogic; hclk1 : in std_ulogic; hclk2 : in std_ulogic; pclk : in std_ulogic; ahb1si : in ahb_slv_in_type; ahb1so : out ahb_slv_out_type; ahb2si : in ahb_slv_in_type; ahb2so : out ahb_slv_out_type; apbsi : in apb_slv_in_type; apbso : out apb_slv_out_type; -- dapbso : out apb_slv_out_type; ddsi : out ddrmem_in_type; ddso : in ddrmem_out_type); end component; component ftsrctrl_v1 generic ( hindex: Integer := 1; romaddr: Integer := 16#000#; rommask: Integer := 16#ff0#; ramaddr: Integer := 16#400#; rammask: Integer := 16#ff0#; ioaddr: Integer := 16#200#; iomask: Integer := 16#ff0#; ramws: Integer := 0; romws: Integer := 0; iows: Integer := 0; rmw: Integer := 1; srbanks: Integer range 1 to 8 := 8; banksz: Integer range 0 to 13 := 0; rombanks: Integer range 1 to 8 := 8; rombanksz: Integer range 0 to 13 := 0; rombankszdef: Integer range 0 to 13 := 6; romasel: Integer range 0 to 28 := 0; pindex: Integer := 0; paddr: Integer := 16#000#; pmask: Integer := 16#fff#; edacen: Integer range 0 to 1 := 1; errcnt: Integer range 0 to 1 := 0; cntbits: Integer range 1 to 8 := 1; wsreg: Integer := 1; oepol: Integer := 0); port ( rst : in std_ulogic; clk : in std_ulogic; ahbsi : in ahb_slv_in_type; ahbso : out ahb_slv_out_type; apbi : in apb_slv_in_type; apbo : out apb_slv_out_type; sri : in memory_in_type; sro : out memory_out_type; sdo : out sdctrl_out_type ); end component; component ddrsp generic ( hindex : integer := 0; haddr : integer := 0; hmask : integer := 16#f00#; ioaddr : integer := 16#000#; iomask : integer := 16#fff#; MHz : integer := 100; col : integer := 9; Mbit : integer := 256; fast : integer := 0; pwron : integer := 0; oepol : integer := 0 ); port ( rst : in std_ulogic; clk : in std_ulogic; ahbsi : in ahb_slv_in_type; ahbso : out ahb_slv_out_type; sdi : in sdctrl_in_type; sdo : out sdctrl_out_type ); end component; component ddrsp64a generic ( memtech : integer := 0; hindex : integer := 0; haddr : integer := 0; hmask : integer := 16#f00#; ioaddr : integer := 16#000#; iomask : integer := 16#fff#; MHz : integer := 100; col : integer := 9; Mbyte : integer := 16; fast : integer := 0; pwron : integer := 0; oepol : integer := 0 ); port ( rst : in std_ulogic; clk_ddr : in std_ulogic; clk_ahb : in std_ulogic; ahbsi : in ahb_slv_in_type; ahbso : out ahb_slv_out_type; sdi : in sdctrl_in_type; sdo : out sdctrl_out_type ); end component; component ddrsp32a generic ( memtech : integer := 0; hindex : integer := 0; haddr : integer := 0; hmask : integer := 16#f00#; ioaddr : integer := 16#000#; iomask : integer := 16#fff#; MHz : integer := 100; col : integer := 9; Mbyte : integer := 16; fast : integer := 0; pwron : integer := 0; oepol : integer := 0 ); port ( rst : in std_ulogic; clk_ddr : in std_ulogic; clk_ahb : in std_ulogic; ahbsi : in ahb_slv_in_type; ahbso : out ahb_slv_out_type; sdi : in sdctrl_in_type; sdo : out sdctrl_out_type ); end component; component ddrsp16a generic ( memtech : integer := 0; hindex : integer := 0; haddr : integer := 0; hmask : integer := 16#f00#; ioaddr : integer := 16#000#; iomask : integer := 16#fff#; MHz : integer := 100; col : integer := 9; Mbyte : integer := 16; fast : integer := 0; pwron : integer := 0; oepol : integer := 0 ); port ( rst : in std_ulogic; clk_ddr : in std_ulogic; clk_ahb : in std_ulogic; clkread : in std_ulogic; ahbsi : in ahb_slv_in_type; ahbso : out ahb_slv_out_type; sdi : in sdctrl_in_type; sdo : out sdctrl_out_type ); end component; component ddrspa generic ( fabtech : integer := 0; memtech : integer := 0; rskew : integer := 0; hindex : integer := 0; haddr : integer := 0; hmask : integer := 16#f00#; ioaddr : integer := 16#000#; iomask : integer := 16#fff#; MHz : integer := 100; clkmul : integer := 2; clkdiv : integer := 2; col : integer := 9; Mbyte : integer := 16; rstdel : integer := 200; pwron : integer := 0; oepol : integer := 0; ddrbits : integer := 16; ahbfreq : integer := 50 ); port ( rst_ddr : in std_ulogic; rst_ahb : in std_ulogic; clk_ddr : in std_ulogic; clk_ahb : in std_ulogic; lock : out std_ulogic; -- DCM locked clkddro : out std_ulogic; -- DCM locked clkddri : in std_ulogic; ahbsi : in ahb_slv_in_type; ahbso : out ahb_slv_out_type; ddr_clk : out std_logic_vector(2 downto 0); ddr_clkb : out std_logic_vector(2 downto 0); ddr_clk_fb_out : out std_logic; ddr_clk_fb : in std_logic; ddr_cke : out std_logic_vector(1 downto 0); ddr_csb : out std_logic_vector(1 downto 0); ddr_web : out std_ulogic; -- ddr write enable ddr_rasb : out std_ulogic; -- ddr ras ddr_casb : out std_ulogic; -- ddr cas ddr_dm : out std_logic_vector (ddrbits/8-1 downto 0); -- ddr dm ddr_dqs : inout std_logic_vector (ddrbits/8-1 downto 0); -- ddr dqs ddr_ad : out std_logic_vector (13 downto 0); -- ddr address ddr_ba : out std_logic_vector (1 downto 0); -- ddr bank address ddr_dq : inout std_logic_vector (ddrbits-1 downto 0) -- ddr data ); end component; component ddr2sp16a generic ( memtech : integer := 0; hindex : integer := 0; haddr : integer := 0; hmask : integer := 16#f00#; ioaddr : integer := 16#000#; iomask : integer := 16#fff#; MHz : integer := 100; col : integer := 9; Mbyte : integer := 16; fast : integer := 0; pwron : integer := 0; oepol : integer := 0; readdly : integer := 1; odten : integer := 0 ); port ( rst : in std_ulogic; clk_ddr : in std_ulogic; clk_ahb : in std_ulogic; ahbsi : in ahb_slv_in_type; ahbso : out ahb_slv_out_type; sdi : in sdctrl_in_type; sdo : out sdctrl_out_type ); end component; component ddr2sp32a generic ( memtech : integer := 0; hindex : integer := 0; haddr : integer := 0; hmask : integer := 16#f00#; ioaddr : integer := 16#000#; iomask : integer := 16#fff#; MHz : integer := 100; col : integer := 9; Mbyte : integer := 16; fast : integer := 0; pwron : integer := 0; oepol : integer := 0; readdly : integer := 1; odten : integer := 0 ); port ( rst : in std_ulogic; clk_ddr : in std_ulogic; clk_ahb : in std_ulogic; ahbsi : in ahb_slv_in_type; ahbso : out ahb_slv_out_type; sdi : in sdctrl_in_type; sdo : out sdctrl_out_type ); end component; component ddr2sp64a generic ( memtech : integer := 0; hindex : integer := 0; haddr : integer := 0; hmask : integer := 16#f00#; ioaddr : integer := 16#000#; iomask : integer := 16#fff#; MHz : integer := 100; col : integer := 9; Mbyte : integer := 16; fast : integer := 0; pwron : integer := 0; oepol : integer := 0; readdly : integer := 1; odten : integer := 0 ); port ( rst : in std_ulogic; clk_ddr : in std_ulogic; clk_ahb : in std_ulogic; ahbsi : in ahb_slv_in_type; ahbso : out ahb_slv_out_type; sdi : in sdctrl_in_type; sdo : out sdctrl_out_type ); end component; component ddr2spa generic ( fabtech : integer := 0; memtech : integer := 0; rskew : integer := 0; hindex : integer := 0; haddr : integer := 0; hmask : integer := 16#f00#; ioaddr : integer := 16#000#; iomask : integer := 16#fff#; MHz : integer := 100; clkmul : integer := 2; clkdiv : integer := 2; col : integer := 9; Mbyte : integer := 16; rstdel : integer := 200; pwron : integer := 0; oepol : integer := 0; ddrbits : integer := 16; ahbfreq : integer := 50; readdly : integer := 1; ddelayb0 : integer := 0; ddelayb1 : integer := 0; ddelayb2 : integer := 0; ddelayb3 : integer := 0; ddelayb4 : integer := 0; ddelayb5 : integer := 0; ddelayb6 : integer := 0; ddelayb7 : integer := 0; numidelctrl : integer := 4; norefclk : integer := 0; odten : integer := 0 ); port ( rst_ddr : in std_ulogic; rst_ahb : in std_ulogic; clk_ddr : in std_ulogic; clk_ahb : in std_ulogic; clkref200 : in std_ulogic; lock : out std_ulogic; -- DCM locked clkddro : out std_ulogic; -- DCM locked clkddri : in std_ulogic; ahbsi : in ahb_slv_in_type; ahbso : out ahb_slv_out_type; ddr_clk : out std_logic_vector(2 downto 0); ddr_clkb : out std_logic_vector(2 downto 0); -- ddr_clk_fb_out : out std_logic; -- ddr_clk_fb : in std_logic; ddr_cke : out std_logic_vector(1 downto 0); ddr_csb : out std_logic_vector(1 downto 0); ddr_web : out std_ulogic; -- ddr write enable ddr_rasb : out std_ulogic; -- ddr ras ddr_casb : out std_ulogic; -- ddr cas ddr_dm : out std_logic_vector (ddrbits/8-1 downto 0); -- ddr dm ddr_dqs : inout std_logic_vector (ddrbits/8-1 downto 0); -- ddr dqs ddr_dqsn : inout std_logic_vector (ddrbits/8-1 downto 0); -- ddr dqsn ddr_ad : out std_logic_vector (13 downto 0); -- ddr address ddr_ba : out std_logic_vector (1 downto 0); -- ddr bank address ddr_dq : inout std_logic_vector (ddrbits-1 downto 0); -- ddr data ddr_odt : out std_logic_vector(1 downto 0) ); end component; component ddr_phy generic (tech : integer := virtex2; MHz : integer := 100; rstdelay : integer := 200; dbits : integer := 16; clk_mul : integer := 2 ; clk_div : integer := 2; rskew : integer := 0); port ( rst : in std_ulogic; clk : in std_logic; -- input clock clkout : out std_ulogic; -- system clock clkread : out std_ulogic; -- system clock lock : out std_ulogic; -- DCM locked ddr_clk : out std_logic_vector(2 downto 0); ddr_clkb : out std_logic_vector(2 downto 0); ddr_clk_fb_out : out std_logic; ddr_clk_fb : in std_logic; ddr_cke : out std_logic_vector(1 downto 0); ddr_csb : out std_logic_vector(1 downto 0); ddr_web : out std_ulogic; -- ddr write enable ddr_rasb : out std_ulogic; -- ddr ras ddr_casb : out std_ulogic; -- ddr cas ddr_dm : out std_logic_vector (dbits/8-1 downto 0); -- ddr dm ddr_dqs : inout std_logic_vector (dbits/8-1 downto 0); -- ddr dqs ddr_ad : out std_logic_vector (13 downto 0); -- ddr address ddr_ba : out std_logic_vector (1 downto 0); -- ddr bank address ddr_dq : inout std_logic_vector (dbits-1 downto 0); -- ddr data sdi : out sdctrl_in_type; sdo : in sdctrl_out_type); end component; component ddr2_phy generic (tech : integer := virtex2; MHz : integer := 100; rstdelay : integer := 200; dbits : integer := 16; clk_mul : integer := 2 ; clk_div : integer := 2; ddelayb0 : integer := 0; ddelayb1 : integer := 0; ddelayb2 : integer := 0; ddelayb3 : integer := 0; ddelayb4 : integer := 0; ddelayb5 : integer := 0; ddelayb6 : integer := 0; ddelayb7 : integer := 0; numidelctrl : integer := 4; norefclk : integer := 0); port ( rst : in std_ulogic; clk : in std_logic; -- input clock clkref200 : in std_logic; -- input 200MHz clock clkout : out std_ulogic; -- system clock lock : out std_ulogic; -- DCM locked ddr_clk : out std_logic_vector(2 downto 0); ddr_clkb : out std_logic_vector(2 downto 0); ddr_cke : out std_logic_vector(1 downto 0); ddr_csb : out std_logic_vector(1 downto 0); ddr_web : out std_ulogic; -- ddr write enable ddr_rasb : out std_ulogic; -- ddr ras ddr_casb : out std_ulogic; -- ddr cas ddr_dm : out std_logic_vector (dbits/8-1 downto 0); -- ddr dm ddr_dqs : inout std_logic_vector (dbits/8-1 downto 0); -- ddr dqs ddr_dqsn : inout std_logic_vector (dbits/8-1 downto 0); -- ddr dqs ddr_ad : out std_logic_vector (13 downto 0); -- ddr address ddr_ba : out std_logic_vector (1 downto 0); -- ddr bank address ddr_dq : inout std_logic_vector (dbits-1 downto 0); -- ddr data ddr_odt : out std_logic_vector(1 downto 0); sdi : out sdctrl_in_type; sdo : in sdctrl_out_type); end component; component ftsrctrl8 is generic ( hindex : integer := 0; ramaddr : integer := 16#400#; rammask : integer := 16#ff0#; ioaddr : integer := 16#200#; iomask : integer := 16#ff0#; ramws : integer := 0; iows : integer := 2; srbanks : integer range 1 to 8 := 1; banksz : integer range 0 to 15 := 15; pindex : integer := 0; paddr : integer := 0; pmask : integer := 16#fff#; edacen : integer range 0 to 1 := 1; errcnt : integer range 0 to 1 := 1; cntbits : integer range 1 to 8 := 1; wsreg : integer := 0; oepol : integer := 0 ); port ( rst : in std_ulogic; clk : in std_ulogic; ahbsi : in ahb_slv_in_type; ahbso : out ahb_slv_out_type; apbi : in apb_slv_in_type; apbo : out apb_slv_out_type; sri : in memory_in_type; sro : out memory_out_type ); end component; end;
-- name can be any name chosen for this architecture -- entity is the name of the entity previously defined ARCHITECTURE name OF entity IS -- Signal, component, type and constant declarations go here ... BEGIN -- Concurrent statements and processes go here ... END name;
library verilog; use verilog.vl_types.all; entity Transmit is port( Transmit_CLK : in vl_logic; Line_Num : in vl_logic_vector(7 downto 0); Focus_Num : in vl_logic_vector(1 downto 0); Pr_Gate : in vl_logic; RX_Gate : in vl_logic; Sample_Gate : out vl_logic; P : out vl_logic_vector(15 downto 0); N : out vl_logic_vector(15 downto 0); HV_SW_CLR : out vl_logic; HV_SW_LE : out vl_logic; HV_SW_CLK : out vl_logic; HV_SW_DOUT : out vl_logic; AX : out vl_logic_vector(3 downto 0); AY : out vl_logic_vector(2 downto 0); MT_CS : out vl_logic; MT_Strobe : out vl_logic; MT_Data : out vl_logic ); end Transmit;