content
stringlengths 1
1.04M
⌀ |
---|
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.STD_LOGIC_UNSIGNED.all;
use IEEE.STD_LOGIC_ARITH.all;
entity DIVIDER_AND_MODULUS_32b is
port(
rst : in STD_LOGIC;
clk : in STD_LOGIC;
start : in STD_LOGIC;
flush : in std_logic;
holdn : in std_ulogic;
INPUT_1 : in STD_LOGIC_VECTOR(31 downto 0);
INPUT_2 : in STD_LOGIC_VECTOR(31 downto 0);
FONCTION : in STD_LOGIC_VECTOR(1 downto 0);
ready : out std_logic;
nready : out std_logic;
icc : out std_logic_vector(3 downto 0);
OUTPUT_1 : out STD_LOGIC_VECTOR(31 downto 0)
);
end DIVIDER_AND_MODULUS_32b;
-- ready = 0 indique que le circuit est pret a calculer
-- 1 signifie que le circuit est occupe
-- nready = 1 indique que le calcul est termine (1 cycle suffit)
architecture behav of DIVIDER_AND_MODULUS_32b is
signal buf : STD_LOGIC_VECTOR(63 downto 0);
signal dbuf : STD_LOGIC_VECTOR(31 downto 0);
signal sm : INTEGER range 0 to 32;
alias buf1 is buf(63 downto 32);
alias buf2 is buf(31 downto 0);
signal fFunction : std_logic;
begin
ICC <= "0000";
-------------------------------------------------------------------------
reg : process(rst, clk)
variable sready, snready : std_logic;
begin
sready := '0';
snready := '0';
-- Si l'on recoit une demande de reset alors on reinitialise
if rst = '0' then
OUTPUT_1 <= (others => '0');
sm <= 0;
ready <= '0';
ready <= sready;
nready <= snready;
fFunction <= '0';
-- En cas de front montant de l'horloge alors on calcule
elsif rising_edge(clk) then
-- Si Flush alors on reset le composant
if (flush = '1') then
sm <= 0;
-- Si le signal de maintient est actif alors on gel l'execution
elsif (holdn = '0') then
sm <= sm;
-- Sinon on déroule l'execution de la division
else
case sm is
-- Etat d'attente du signal start
when 0 =>
if( fFunction = '1' ) then
OUTPUT_1 <= buf2; -- ON RETOURNE LE RESULTAT DE LA DIVISION
else
OUTPUT_1 <= buf1; -- ON RETOURNE LE RESTE DE LA DIVISION (MODULO)
end if;
if start = '1' then
buf1 <= (others => '0');
buf2 <= INPUT_1;
dbuf <= INPUT_2;
sm <= sm + 1; -- le calcul est en cours
fFunction <= FONCTION(0);
else
sm <= sm;
end if;
-- Tous les autres états sont utiles au calcul
when others =>
sready := '1'; -- le calcul est en cours
sm <= 0;
if buf(62 downto 31) >= dbuf then
buf1 <= '0' & (buf(61 downto 31) - dbuf(30 downto 0));
buf2 <= buf2(30 downto 0) & '1';
else
buf <= buf(62 downto 0) & '0';
end if;
if sm /= 32 then
sm <= sm + 1;
snready := '0'; -- le resultat n'est pas disponible
else
snready := '1'; -- le resultat du calcul est disponible
sm <= 0;
end if;
end case;
-- On transmet les signaux au systeme
ready <= sready;
nready <= snready;
end if; -- Fin du process de calcul
end if;
end process;
end behav;
|
----------------------------------------------------------------------------
-- This file is a part of the LEON VHDL model
-- Copyright (C) 1999 European Space Agency (ESA)
--
-- This library is free software; you can redistribute it and/or
-- modify it under the terms of the GNU Lesser General Public
-- License as published by the Free Software Foundation; either
-- version 2 of the License, or (at your option) any later version.
--
-- See the file COPYING.LGPL for the full details of the license.
-----------------------------------------------------------------------------
-- Entity: leon
-- File: leon.vhd
-- Author: Jiri Gaisler - ESA/ESTEC
-- Description: Complete processor
------------------------------------------------------------------------------
-- modified for ddm 8.02.02 LA
library IEEE;
use IEEE.std_logic_1164.all;
use work.target.all;
use work.config.all;
use work.iface.all;
use work.tech_map.all;
-- pragma translate_off
use work.debug.all;
-- pragma translate_on
entity leon is
port (
resetn : in std_logic; -- system signals
clk : in std_logic;
errorn : out std_logic;
address : out std_logic_vector(27 downto 0); -- memory bus
---
data : inout std_logic_vector(31 downto 0); -- 32 bits conversion LA
---
ramsn : out std_logic_vector(3 downto 0);
ramoen : out std_logic_vector(3 downto 0);
rwen : inout std_logic_vector(3 downto 0);
romsn : out std_logic_vector(1 downto 0);
iosn : out std_logic;
oen : out std_logic;
read : out std_logic;
writen : inout std_logic;
brdyn : in std_logic;
bexcn : in std_logic;
---
pio : inout std_logic_vector(15 downto 0); -- I/O port 32 bits LA
buttons : in std_logic_vector(3 downto 0); -- ddm ports
audioin : in std_logic;
digit0 : out std_logic_vector(6 downto 0);
digit1 : out std_logic_vector(6 downto 0);
audioout : out std_logic;
lr_out : out std_logic;
shift_clk : out std_logic;
mclk : out std_logic;
dispen : out std_logic;
---
wdogn : out std_logic; -- watchdog output
test : in std_logic
);
end;
architecture rtl of leon is
component mcore
port (
resetn : in std_logic;
clk : in std_logic;
memi : in memory_in_type;
memo : out memory_out_type;
ioi : in io_in_type;
ioo : out io_out_type;
pcii : in pci_in_type;
pcio : out pci_out_type;
--
ddmi : in ddm_in_type; -- DDM signals LA
ddmo : out ddm_out_type;
--
test : in std_logic
);
end component;
signal gnd, clko, resetno : std_logic;
signal memi : memory_in_type;
signal memo : memory_out_type;
signal ioi : io_in_type;
signal ioo : io_out_type;
signal pcii : pci_in_type;
signal pcio : pci_out_type;
--
signal ddmi : ddm_in_type; -- DDM signals LA
signal ddmo : ddm_out_type;
--
begin
gnd <= '0';
-- main processor core
mcore0 : mcore
port map (
resetn => resetno, clk => clko,
memi => memi, memo => memo, ioi => ioi, ioo => ioo,
-- pcii => pcii, pcio => pcio, test => test
pcii => pcii, pcio => pcio, ddmi => ddmi, ddmo => ddmo, test => test -- DDM LA
);
-- pads
-- clk_pad : inpad port map (clk, clko); -- clock
clko <= clk; -- avoid buffering during synthesis
--original lines
reset_pad : smpad port map (resetn, resetno); -- reset
brdyn_pad : inpad port map (brdyn, memi.brdyn); -- bus ready
bexcn_pad : inpad port map (bexcn, memi.bexcn); -- bus exception
error_pad : odpad generic map (2) port map (ioo.errorn, errorn); -- cpu error mode
--DDM lines
inpad4 : inpad port map (audioin, ddmi.audioin);
inpad5 : inpad port map (buttons(0),ddmi.button0);
inpad6 : inpad port map (buttons(1),ddmi.button1);
inpad7 : inpad port map (buttons(2),ddmi.button2);
inpad8 : inpad port map (buttons(3),ddmi.button3);
d_pads: for i in 0 to 31 generate -- data bus
d_pad : iopad generic map (3) port map (memo.data(i), memo.bdrive((31-i)/8), memi.data(i), data(i));
end generate;
-- dataout <= memo.data; -- databus DDM LA
-- memi.data <= datain;
-- datasel <= memo.bdrive;
pio_pads : for i in 0 to 15 generate -- parallel I/O port
pio_pad : smiopad generic map (2) port map (ioo.piol(i), ioo.piodir(i), ioi.piol(i), pio(i));
end generate;
-- pioo <= ioo.piol; -- parallel I/O port DDM
-- ioi.piol <= pioi;
-- piod <= ioo.piodir;
-- rwen(0) <= memo.wrn(0);
-- memi.wrn(0) <= memo.wrn(0);
-- rwen(1) <= memo.wrn(1);
-- memi.wrn(1) <= memo.wrn(1);
-- rwen(2) <= memo.wrn(2);
-- memi.wrn(2) <= memo.wrn(2);
-- rwen(3) <= memo.wrn(3);
-- memi.wrn(3) <= memo.wrn(3);
rwen_pads : for i in 0 to 3 generate -- ram write strobe
rwen_pad : iopad generic map (2) port map (memo.wrn(i), gnd, memi.wrn(i), rwen(i));
end generate;
-- I/O write strobe
writen_pad : iopad generic map (2) port map (memo.writen, gnd, memi.writen, writen);
-- writen <= memo.writen; -- DDM LA
-- memi.writen <= memo.writen;
--
a_pads: for i in 0 to 27 generate -- memory address
a_pad : outpad generic map (3) port map (memo.address(i), address(i));
end generate;
ramsn_pads : for i in 0 to 3 generate -- ram oen/rasn
ramsn_pad : outpad generic map (2) port map (memo.ramsn(i), ramsn(i));
end generate;
ramoen_pads : for i in 0 to 3 generate -- ram chip select
eamoen_pad : outpad generic map (2) port map (memo.ramoen(i), ramoen(i));
end generate;
romsn_pads : for i in 0 to 1 generate -- rom chip select
romsn_pad : outpad generic map (2) port map (memo.romsn(i), romsn(i));
end generate;
read_pad : outpad generic map (2) port map (memo.read, read); -- memory read
oen_pad : outpad generic map (2) port map (memo.oen, oen); -- memory oen
iosn_pad : outpad generic map (2) port map (memo.iosn, iosn); -- I/O select
--
outpadb7: outpad port map (ddmo.shift_clk, shift_clk); -- DDM
outpadb8: outpad port map (ddmo.lr_out, lr_out);
outpadb9: outpad port map (ddmo.audioout, audioout);
outpadb10: for i in 0 to 6 generate
outpad101: outpad port map(ddmo.digit0(i), digit0(i));
end generate;
outpadb11: for i in 0 to 6 generate
outpad111: outpad port map(ddmo.digit1(i), digit1(i));
end generate;
outpadb12: outpad port map (ddmo.mclk, mclk);
dispen <= ddmo.dispen;
--
wd : if WDOGEN generate
wdogn_pad : odpad generic map (2) port map (ioo.wdog, wdogn); -- watchdog output
end generate;
end ;
|
---------------------------------------------------------------------
---- ----
---- OpenCores IDE Controller ----
---- PIO Access Controller (common for OCIDEC 2 and above) ----
---- ----
---- Author: Richard Herveille ----
---- [email protected] ----
---- www.asics.ws ----
---- ----
---------------------------------------------------------------------
---- ----
---- Copyright (C) 2001, 2002 Richard Herveille ----
---- [email protected] ---
---- ----
---- This source file may be used and distributed without ----
---- restriction provided that this copyright statement is not ----
---- removed from the file and that any derivative work contains ----
---- the original copyright notice and the associated disclaimer.----
---- ----
---- THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY ----
---- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED ----
---- TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS ----
---- FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR ----
---- OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, ----
---- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES ----
---- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE ----
---- GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR ----
---- BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF ----
---- LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT ----
---- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT ----
---- OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE ----
---- POSSIBILITY OF SUCH DAMAGE. ----
---- ----
---------------------------------------------------------------------
-- rev.: 1.0 march 9th, 2001
-- rev.: 1.0a april 12th, 2001 Removed references to records.vhd
--
--
-- CVS Log
--
-- $Id: atahost_pio_actrl.vhd,v 1.1 2002/02/18 14:32:12 rherveille Exp $
--
-- $Date: 2002/02/18 14:32:12 $
-- $Revision: 1.1 $
-- $Author: rherveille $
-- $Locker: $
-- $State: Exp $
--
-- Change History:
-- $Log: atahost_pio_actrl.vhd,v $
-- Revision 1.1 2002/02/18 14:32:12 rherveille
-- renamed all files to 'atahost_***.vhd'
-- broke-up 'counter.vhd' into 'ud_cnt.vhd' and 'ro_cnt.vhd'
-- changed resD input to generic RESD in ud_cnt.vhd
-- changed ID input to generic ID in ro_cnt.vhd
-- changed core to reflect changes in ro_cnt.vhd
-- removed references to 'count' library
-- changed IO names
-- added disclaimer
-- added CVS log
-- moved registers and wishbone signals into 'atahost_wb_slave.vhd'
--
--
---------------------------
-- PIO Access controller --
---------------------------
--
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
entity atahost_pio_actrl is
generic(
TWIDTH : natural := 8; -- counter width
-- PIO mode 0 settings (@100MHz clock)
PIO_mode0_T1 : natural := 6; -- 70ns
PIO_mode0_T2 : natural := 28; -- 290ns
PIO_mode0_T4 : natural := 2; -- 30ns
PIO_mode0_Teoc : natural := 23 -- 240ns ==> T0 - T1 - T2 = 600 - 70 - 290 = 240
);
port(
clk : in std_logic; -- master clock
nReset : in std_logic; -- asynchronous active low reset
rst : in std_logic; -- synchronous active high reset
IDEctrl_FATR0,
IDEctrl_FATR1 : in std_logic;
cmdport_T1,
cmdport_T2,
cmdport_T4,
cmdport_Teoc : in std_logic_vector(7 downto 0);
cmdport_IORDYen : in std_logic; -- PIO command port / non-fast timing
dport0_T1,
dport0_T2,
dport0_T4,
dport0_Teoc : in std_logic_vector(7 downto 0);
dport0_IORDYen : in std_logic; -- PIO mode data-port / fast timing device 0
dport1_T1,
dport1_T2,
dport1_T4,
dport1_Teoc : in std_logic_vector(7 downto 0);
dport1_IORDYen : in std_logic; -- PIO mode data-port / fast timing device 1
SelDev : in std_logic; -- Selected device
go : in std_logic; -- Start transfer sequence
done : out std_logic; -- Transfer sequence done
dir : in std_logic; -- Transfer direction '1'=write, '0'=read
a : in std_logic_vector(3 downto 0):="0000"; -- PIO transfer address
q : out std_logic_vector(15 downto 0); -- Data read from ATA devices
DDi : in std_logic_vector(15 downto 0); -- Data from ATA DD bus
oe : out std_logic; -- DDbus output-enable signal
DIOR,
DIOW : out std_logic;
IORDY : in std_logic
);
end entity atahost_pio_actrl;
architecture structural of atahost_pio_actrl is
--
-- Component declarations
--
component atahost_pio_tctrl is
generic(
TWIDTH : natural := 8; -- counter width
-- PIO mode 0 settings (@100MHz clock)
PIO_mode0_T1 : natural := 6; -- 70ns
PIO_mode0_T2 : natural := 28; -- 290ns
PIO_mode0_T4 : natural := 2; -- 30ns
PIO_mode0_Teoc : natural := 23 -- 240ns ==> T0 - T1 - T2 = 600 - 70 - 290 = 240
);
port(
clk : in std_logic; -- master clock
nReset : in std_logic; -- asynchronous active low reset
rst : in std_logic; -- synchronous active high reset
-- timing/control register settings
IORDY_en : in std_logic; -- use IORDY (or not)
T1 : in std_logic_vector(TWIDTH -1 downto 0); -- T1 time (in clk-ticks)
T2 : in std_logic_vector(TWIDTH -1 downto 0); -- T2 time (in clk-ticks)
T4 : in std_logic_vector(TWIDTH -1 downto 0); -- T4 time (in clk-ticks)
Teoc : in std_logic_vector(TWIDTH -1 downto 0); -- end of cycle time
-- control signals
go : in std_logic; -- PIO controller selected (strobe signal)
we : in std_logic; -- write enable signal. '0'=read from device, '1'=write to device
-- return signals
oe : out std_logic; -- output enable signal
done : out std_logic; -- finished cycle
dstrb : out std_logic; -- data strobe, latch data (during read)
-- ATA signals
DIOR, -- IOread signal, active high
DIOW : out std_logic; -- IOwrite signal, active high
IORDY : in std_logic -- IORDY signal
);
end component atahost_pio_tctrl;
signal dstrb : std_logic;
signal T1, T2, T4, Teoc : std_logic_vector(TWIDTH -1 downto 0);
signal IORDYen : std_logic;
begin
--
--------------------------
-- PIO transfer control --
--------------------------
--
-- capture ATA data for PIO access
gen_PIOq: process(clk)
begin
if (clk'event and clk = '1') then
if (dstrb = '1') then
q <= DDi;
end if;
end if;
end process gen_PIOq;
--
-- PIO timing controllers
--
-- select timing settings for the addressed port
sel_port_t: process(clk)
variable Asel : std_logic; -- address selected
variable iT1, iT2, iT4, iTeoc : std_logic_vector(TWIDTH -1 downto 0);
variable iIORDYen : std_logic;
begin
if (clk'event and clk = '1') then
-- initially set timing registers to compatible timing
iT1 := cmdport_T1;
iT2 := cmdport_T2;
iT4 := cmdport_T4;
iTeoc := cmdport_Teoc;
iIORDYen := cmdport_IORDYen;
-- detect data-port access
Asel := not a(3) and not a(2) and not a(1) and not a(0); -- data port
if (Asel = '1') then -- data port selected, 16bit transfers
if ((SelDev = '1') and (IDEctrl_FATR1 = '1')) then -- data port1 selected and enabled ?
iT1 := dport1_T1;
iT2 := dport1_T2;
iT4 := dport1_T4;
iTeoc := dport1_Teoc;
iIORDYen := dport1_IORDYen;
elsif((SelDev = '0') and (IDEctrl_FATR0 = '1')) then -- data port0 selected and enabled ?
iT1 := dport0_T1;
iT2 := dport0_T2;
iT4 := dport0_T4;
iTeoc := dport0_Teoc;
iIORDYen := dport0_IORDYen;
end if;
end if;
T1 <= iT1;
T2 <= iT2;
T4 <= iT4;
Teoc <= iTeoc;
IORDYen <= iIORDYen;
end if;
end process sel_port_t;
--
-- hookup timing controller
--
PIO_timing_controller: atahost_pio_tctrl
generic map (
TWIDTH => TWIDTH,
PIO_mode0_T1 => PIO_mode0_T1,
PIO_mode0_T2 => PIO_mode0_T2,
PIO_mode0_T4 => PIO_mode0_T4,
PIO_mode0_Teoc => PIO_mode0_Teoc
)
port map (
clk => clk,
nReset => nReset,
rst => rst,
IORDY_en => IORDYen,
T1 => T1,
T2 => T2,
T4 => T4,
Teoc => Teoc,
go => go,
we => dir,
oe => oe,
done => done,
dstrb => dstrb,
DIOR => dior,
DIOW => diow,
IORDY => IORDY
);
end architecture structural;
|
---------------------------------------------------------------------
---- ----
---- OpenCores IDE Controller ----
---- PIO Access Controller (common for OCIDEC 2 and above) ----
---- ----
---- Author: Richard Herveille ----
---- [email protected] ----
---- www.asics.ws ----
---- ----
---------------------------------------------------------------------
---- ----
---- Copyright (C) 2001, 2002 Richard Herveille ----
---- [email protected] ---
---- ----
---- This source file may be used and distributed without ----
---- restriction provided that this copyright statement is not ----
---- removed from the file and that any derivative work contains ----
---- the original copyright notice and the associated disclaimer.----
---- ----
---- THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY ----
---- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED ----
---- TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS ----
---- FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR ----
---- OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, ----
---- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES ----
---- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE ----
---- GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR ----
---- BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF ----
---- LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT ----
---- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT ----
---- OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE ----
---- POSSIBILITY OF SUCH DAMAGE. ----
---- ----
---------------------------------------------------------------------
-- rev.: 1.0 march 9th, 2001
-- rev.: 1.0a april 12th, 2001 Removed references to records.vhd
--
--
-- CVS Log
--
-- $Id: atahost_pio_actrl.vhd,v 1.1 2002/02/18 14:32:12 rherveille Exp $
--
-- $Date: 2002/02/18 14:32:12 $
-- $Revision: 1.1 $
-- $Author: rherveille $
-- $Locker: $
-- $State: Exp $
--
-- Change History:
-- $Log: atahost_pio_actrl.vhd,v $
-- Revision 1.1 2002/02/18 14:32:12 rherveille
-- renamed all files to 'atahost_***.vhd'
-- broke-up 'counter.vhd' into 'ud_cnt.vhd' and 'ro_cnt.vhd'
-- changed resD input to generic RESD in ud_cnt.vhd
-- changed ID input to generic ID in ro_cnt.vhd
-- changed core to reflect changes in ro_cnt.vhd
-- removed references to 'count' library
-- changed IO names
-- added disclaimer
-- added CVS log
-- moved registers and wishbone signals into 'atahost_wb_slave.vhd'
--
--
---------------------------
-- PIO Access controller --
---------------------------
--
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
entity atahost_pio_actrl is
generic(
TWIDTH : natural := 8; -- counter width
-- PIO mode 0 settings (@100MHz clock)
PIO_mode0_T1 : natural := 6; -- 70ns
PIO_mode0_T2 : natural := 28; -- 290ns
PIO_mode0_T4 : natural := 2; -- 30ns
PIO_mode0_Teoc : natural := 23 -- 240ns ==> T0 - T1 - T2 = 600 - 70 - 290 = 240
);
port(
clk : in std_logic; -- master clock
nReset : in std_logic; -- asynchronous active low reset
rst : in std_logic; -- synchronous active high reset
IDEctrl_FATR0,
IDEctrl_FATR1 : in std_logic;
cmdport_T1,
cmdport_T2,
cmdport_T4,
cmdport_Teoc : in std_logic_vector(7 downto 0);
cmdport_IORDYen : in std_logic; -- PIO command port / non-fast timing
dport0_T1,
dport0_T2,
dport0_T4,
dport0_Teoc : in std_logic_vector(7 downto 0);
dport0_IORDYen : in std_logic; -- PIO mode data-port / fast timing device 0
dport1_T1,
dport1_T2,
dport1_T4,
dport1_Teoc : in std_logic_vector(7 downto 0);
dport1_IORDYen : in std_logic; -- PIO mode data-port / fast timing device 1
SelDev : in std_logic; -- Selected device
go : in std_logic; -- Start transfer sequence
done : out std_logic; -- Transfer sequence done
dir : in std_logic; -- Transfer direction '1'=write, '0'=read
a : in std_logic_vector(3 downto 0):="0000"; -- PIO transfer address
q : out std_logic_vector(15 downto 0); -- Data read from ATA devices
DDi : in std_logic_vector(15 downto 0); -- Data from ATA DD bus
oe : out std_logic; -- DDbus output-enable signal
DIOR,
DIOW : out std_logic;
IORDY : in std_logic
);
end entity atahost_pio_actrl;
architecture structural of atahost_pio_actrl is
--
-- Component declarations
--
component atahost_pio_tctrl is
generic(
TWIDTH : natural := 8; -- counter width
-- PIO mode 0 settings (@100MHz clock)
PIO_mode0_T1 : natural := 6; -- 70ns
PIO_mode0_T2 : natural := 28; -- 290ns
PIO_mode0_T4 : natural := 2; -- 30ns
PIO_mode0_Teoc : natural := 23 -- 240ns ==> T0 - T1 - T2 = 600 - 70 - 290 = 240
);
port(
clk : in std_logic; -- master clock
nReset : in std_logic; -- asynchronous active low reset
rst : in std_logic; -- synchronous active high reset
-- timing/control register settings
IORDY_en : in std_logic; -- use IORDY (or not)
T1 : in std_logic_vector(TWIDTH -1 downto 0); -- T1 time (in clk-ticks)
T2 : in std_logic_vector(TWIDTH -1 downto 0); -- T2 time (in clk-ticks)
T4 : in std_logic_vector(TWIDTH -1 downto 0); -- T4 time (in clk-ticks)
Teoc : in std_logic_vector(TWIDTH -1 downto 0); -- end of cycle time
-- control signals
go : in std_logic; -- PIO controller selected (strobe signal)
we : in std_logic; -- write enable signal. '0'=read from device, '1'=write to device
-- return signals
oe : out std_logic; -- output enable signal
done : out std_logic; -- finished cycle
dstrb : out std_logic; -- data strobe, latch data (during read)
-- ATA signals
DIOR, -- IOread signal, active high
DIOW : out std_logic; -- IOwrite signal, active high
IORDY : in std_logic -- IORDY signal
);
end component atahost_pio_tctrl;
signal dstrb : std_logic;
signal T1, T2, T4, Teoc : std_logic_vector(TWIDTH -1 downto 0);
signal IORDYen : std_logic;
begin
--
--------------------------
-- PIO transfer control --
--------------------------
--
-- capture ATA data for PIO access
gen_PIOq: process(clk)
begin
if (clk'event and clk = '1') then
if (dstrb = '1') then
q <= DDi;
end if;
end if;
end process gen_PIOq;
--
-- PIO timing controllers
--
-- select timing settings for the addressed port
sel_port_t: process(clk)
variable Asel : std_logic; -- address selected
variable iT1, iT2, iT4, iTeoc : std_logic_vector(TWIDTH -1 downto 0);
variable iIORDYen : std_logic;
begin
if (clk'event and clk = '1') then
-- initially set timing registers to compatible timing
iT1 := cmdport_T1;
iT2 := cmdport_T2;
iT4 := cmdport_T4;
iTeoc := cmdport_Teoc;
iIORDYen := cmdport_IORDYen;
-- detect data-port access
Asel := not a(3) and not a(2) and not a(1) and not a(0); -- data port
if (Asel = '1') then -- data port selected, 16bit transfers
if ((SelDev = '1') and (IDEctrl_FATR1 = '1')) then -- data port1 selected and enabled ?
iT1 := dport1_T1;
iT2 := dport1_T2;
iT4 := dport1_T4;
iTeoc := dport1_Teoc;
iIORDYen := dport1_IORDYen;
elsif((SelDev = '0') and (IDEctrl_FATR0 = '1')) then -- data port0 selected and enabled ?
iT1 := dport0_T1;
iT2 := dport0_T2;
iT4 := dport0_T4;
iTeoc := dport0_Teoc;
iIORDYen := dport0_IORDYen;
end if;
end if;
T1 <= iT1;
T2 <= iT2;
T4 <= iT4;
Teoc <= iTeoc;
IORDYen <= iIORDYen;
end if;
end process sel_port_t;
--
-- hookup timing controller
--
PIO_timing_controller: atahost_pio_tctrl
generic map (
TWIDTH => TWIDTH,
PIO_mode0_T1 => PIO_mode0_T1,
PIO_mode0_T2 => PIO_mode0_T2,
PIO_mode0_T4 => PIO_mode0_T4,
PIO_mode0_Teoc => PIO_mode0_Teoc
)
port map (
clk => clk,
nReset => nReset,
rst => rst,
IORDY_en => IORDYen,
T1 => T1,
T2 => T2,
T4 => T4,
Teoc => Teoc,
go => go,
we => dir,
oe => oe,
done => done,
dstrb => dstrb,
DIOR => dior,
DIOW => diow,
IORDY => IORDY
);
end architecture structural;
|
-- $Id: cmoda7_dummy.vhd 1181 2019-07-08 17:00:50Z mueller $
-- SPDX-License-Identifier: GPL-3.0-or-later
-- Copyright 2017- by Walter F.J. Mueller <[email protected]>
--
------------------------------------------------------------------------------
-- Module Name: cmoda7_dummy - syn
-- Description: cmoda7 minimal target (base; serport loopback)
--
-- Dependencies: -
-- To test: tb_cmoda7
-- Target Devices: generic
-- Tool versions: viv 2016.4; ghdl 0.34
--
-- Revision History:
-- Date Rev Version Comment
-- 2017-06-04 906 1.0 Initial version
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use work.slvtypes.all;
entity cmoda7_dummy is -- CmodA7 dummy (base; loopback)
-- implements cmoda7_aif
port (
I_CLK12 : in slbit; -- 12 MHz board clock
I_RXD : in slbit; -- receive data (board view)
O_TXD : out slbit; -- transmit data (board view)
I_BTN : in slv2; -- c7 buttons
O_LED : out slv2; -- c7 leds
O_RGBLED0_N : out slv3 -- c7 rgb-led 0 (act.low)
);
end cmoda7_dummy;
architecture syn of cmoda7_dummy is
begin
O_TXD <= I_RXD; -- loop back serport
O_LED <= I_BTN; -- mirror BTN on LED
O_RGBLED0_N(0) <= not I_BTN(0); -- mirror BTN on RGBLED 0 -> red
O_RGBLED0_N(1) <= not I_BTN(1); -- 1 -> green
O_RGBLED0_N(2) <= not (I_BTN(0) and I_BTN(1)); -- 0+1 -> white
end syn;
|
-- Copyright (C) 2014 Roland Dobai
--
-- This file is part of ZyEHW.
--
-- ZyEHW is free software: you can redistribute it and/or modify it under the
-- terms of the GNU General Public License as published by the Free Software
-- Foundation, either version 3 of the License, or (at your option) any later
-- version.
--
-- ZyEHW is distributed in the hope that it will be useful, but WITHOUT ANY
-- WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS
-- FOR A PARTICULAR PURPOSE. See the GNU General Public License for more
-- details.
--
-- You should have received a copy of the GNU General Public License along
-- with ZyEHW. If not, see <http://www.gnu.org/licenses/>.
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity counter is
generic (
BITS: integer:= 4
);
port (
clk: in std_logic;
en: in std_logic;
rst: in std_logic;
count: out std_logic_vector(BITS-1 downto 0)
);
end counter;
architecture behav_counter of counter is
signal tmp_count: std_logic_vector(count'range):= (others => '0');
begin
count <= tmp_count;
process (clk)
begin
if clk'event and clk = '1' then
if rst = '1' then
tmp_count <= (others => '0');
elsif en = '1' then
tmp_count <= std_logic_vector(unsigned(tmp_count) + 1);
end if;
end if;
end process;
end behav_counter;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity VHDL_PLANB is
port
(
inclk:in std_logic:='0';
data_buffer_a:out std_logic_vector(39 downto 0):=x"0000000000";
data_buffer_b:out std_logic_vector(39 downto 0):=x"0000000000";
data_buffer_c:out std_logic_vector(39 downto 0):=x"0000000000";
en_row_a:out std_logic:='0';
en_row_b:out std_logic:='0';
en_row_c:out std_logic_vector(1 downto 0):="00"; --------分割为两个管脚,分配同一信号
en_col_a_1,en_col_a_2,en_col_a_3:out std_logic:='0';
en_col_b_1,en_col_b_2,en_col_b_3:out std_logic:='0';
en_col_c_1,en_col_c_2,en_col_c_3:out std_logic:='0'
);
end entity;
architecture RTL of VHDL_PLANB is
component PLL is
port
(
inclk0:in std_logic;
c0,c1,c2:out std_logic
);
end component;
component DECODER is
generic
(
constant fpr:integer:=360
);
port
(
inclk,rst:in std_logic;
control_begin:out std_logic:='0';
load_next:in std_logic;
fifo_aclr:out std_logic:='0';
fifo_en_w_1:out std_logic:='0';
fifo_en_w_2:out std_logic:='0';
fifo_en_w_3:out std_logic:='0';
fifo_data_1:out std_logic_vector(127 downto 0);
fifo_data_2:out std_logic_vector(127 downto 0);
fifo_data_3:out std_logic_vector(127 downto 0)
);
end component;
component LED is
generic
(
constant cpd:integer:=6666 ------------count per one degree(inclk下)
);
port
(
inclk:in std_logic;
rst:in std_logic;
data_buffer_a:out std_logic_vector(39 downto 0):=x"0000000000";
data_buffer_b:out std_logic_vector(39 downto 0):=x"0000000000";
data_buffer_c:out std_logic_vector(39 downto 0):=x"0000000000";
en_row_a:out std_logic:='0';
en_row_b:out std_logic:='0';
en_row_c:out std_logic_vector(1 downto 0):="00"; --------分割为两个管脚,分配同一信号
en_col_a_1,en_col_a_2,en_col_a_3:out std_logic:='0';
en_col_b_1,en_col_b_2,en_col_b_3:out std_logic:='0';
en_col_c_1,en_col_c_2,en_col_c_3:out std_logic:='0';
control_begin:in std_logic;
fifo_change:out std_logic;
fifo_en_r:out std_logic;
fifo_data_1:in std_logic_vector(127 downto 0);
fifo_data_2:in std_logic_vector(127 downto 0);
fifo_data_3:in std_logic_vector(127 downto 0)
);
end component;
component FIFO is
port
(
aclr:in std_logic:= '0';
data:in std_logic_vector(127 downto 0);
rdclk:in std_logic;
rdreq:in std_logic;
wrclk:in std_logic;
wrreq:in std_logic;
q:out std_logic_vector(127 downto 0)
);
end component;
signal rst:std_logic:='0';
signal clk_decoder,clk_led,clk_main:std_logic;
signal control_begin:std_logic;
signal load_next:std_logic:='0';
signal fifo_change,fifo_change_last:std_logic;
signal fifo_aclr:std_logic:='0';
signal fifo_clk_w:std_logic:='0';
signal fifo_en_w_1:std_logic:='0';
signal fifo_en_w_2:std_logic:='0';
signal fifo_en_w_3:std_logic:='0';
signal fifo_data_dec_1:std_logic_vector(127 downto 0);
signal fifo_data_dec_2:std_logic_vector(127 downto 0);
signal fifo_data_dec_3:std_logic_vector(127 downto 0);
signal fifo_en_r:std_logic:='0';
signal fifo_clk_r:std_logic:='0';
signal fifo_data_led_1:std_logic_vector(127 downto 0);
signal fifo_data_led_2:std_logic_vector(127 downto 0);
signal fifo_data_led_3:std_logic_vector(127 downto 0);
signal fifo_aclr_a:std_logic:='0';
signal fifo_en_w_1_a:std_logic:='0';
signal fifo_en_w_2_a:std_logic:='0';
signal fifo_en_w_3_a:std_logic:='0';
signal fifo_data_dec_1_a:std_logic_vector(127 downto 0);
signal fifo_data_dec_2_a:std_logic_vector(127 downto 0);
signal fifo_data_dec_3_a:std_logic_vector(127 downto 0);
signal fifo_en_r_a:std_logic:='0';
signal fifo_data_led_1_a:std_logic_vector(127 downto 0);
signal fifo_data_led_2_a:std_logic_vector(127 downto 0);
signal fifo_data_led_3_a:std_logic_vector(127 downto 0);
signal fifo_aclr_b:std_logic:='0';
signal fifo_en_w_1_b:std_logic:='0';
signal fifo_en_w_2_b:std_logic:='0';
signal fifo_en_w_3_b:std_logic:='0';
signal fifo_data_dec_1_b:std_logic_vector(127 downto 0);
signal fifo_data_dec_2_b:std_logic_vector(127 downto 0);
signal fifo_data_dec_3_b:std_logic_vector(127 downto 0);
signal fifo_en_r_b:std_logic:='0';
signal fifo_data_led_1_b:std_logic_vector(127 downto 0);
signal fifo_data_led_2_b:std_logic_vector(127 downto 0);
signal fifo_data_led_3_b:std_logic_vector(127 downto 0);
begin
CLOCK:PLL
port map
(
inclk0=>inclk,
c0=>clk_led,
c1=>clk_decoder,
c2=>clk_main
);
DECODER1:DECODER
port map
(
inclk=>clk_decoder,
rst=>rst,
control_begin=>control_begin,
load_next=>load_next,
fifo_aclr=>fifo_aclr,
fifo_en_w_1=>fifo_en_w_1,
fifo_en_w_2=>fifo_en_w_2,
fifo_en_w_3=>fifo_en_w_3,
fifo_data_1=>fifo_data_dec_1,
fifo_data_2=>fifo_data_dec_2,
fifo_data_3=>fifo_data_dec_3
);
LED1:LED
port map
(
inclk=>clk_led,
rst=>rst,
data_buffer_a=>data_buffer_a,
data_buffer_b=>data_buffer_b,
data_buffer_c=>data_buffer_c,
en_row_a=>en_row_a,
en_row_b=>en_row_b,
en_row_c=>en_row_c,
en_col_a_1=>en_col_a_1,en_col_a_2=>en_col_a_2,en_col_a_3=>en_col_a_3,
en_col_b_1=>en_col_b_1,en_col_b_2=>en_col_b_2,en_col_b_3=>en_col_b_3,
en_col_c_1=>en_col_c_1,en_col_c_2=>en_col_c_2,en_col_c_3=>en_col_c_3,
control_begin=>control_begin,
fifo_change=>fifo_change,
fifo_en_r=>fifo_en_r,
fifo_data_1=>fifo_data_led_1,
fifo_data_2=>fifo_data_led_2,
fifo_data_3=>fifo_data_led_3
);
FIFO1A:FIFO
port map
(
aclr=>fifo_aclr_a,
data=>fifo_data_dec_1_a,
rdclk=>fifo_clk_r,
rdreq=>fifo_en_r_a,
wrclk=>fifo_clk_w,
wrreq=>fifo_en_w_1_a,
q=>fifo_data_led_1_a
);
FIFO2A:FIFO
port map
(
aclr=>fifo_aclr_a,
data=>fifo_data_dec_2_a,
rdclk=>fifo_clk_r,
rdreq=>fifo_en_r_a,
wrclk=>fifo_clk_w,
wrreq=>fifo_en_w_2_a,
q=>fifo_data_led_2_a
);
FIFO3A:FIFO
port map
(
aclr=>fifo_aclr_a,
data=>fifo_data_dec_3_a,
rdclk=>fifo_clk_r,
rdreq=>fifo_en_r_a,
wrclk=>fifo_clk_w,
wrreq=>fifo_en_w_3_a,
q=>fifo_data_led_3_a
);
FIFO1B:FIFO
port map
(
aclr=>fifo_aclr_b,
data=>fifo_data_dec_1_b,
rdclk=>fifo_clk_r,
rdreq=>fifo_en_r_b,
wrclk=>fifo_clk_w,
wrreq=>fifo_en_w_1_b,
q=>fifo_data_led_1_b
);
FIFO2B:FIFO
port map
(
aclr=>fifo_aclr_b,
data=>fifo_data_dec_2_b,
rdclk=>fifo_clk_r,
rdreq=>fifo_en_r_b,
wrclk=>fifo_clk_w,
wrreq=>fifo_en_w_2_b,
q=>fifo_data_led_2_b
);
FIFO3B:FIFO
port map
(
aclr=>fifo_aclr_b,
data=>fifo_data_dec_3_b,
rdclk=>fifo_clk_r,
rdreq=>fifo_en_r_b,
wrclk=>fifo_clk_w,
wrreq=>fifo_en_w_3_b,
q=>fifo_data_led_3_b
);
fifo_data_dec_1_a<=fifo_data_dec_1;
fifo_data_dec_2_a<=fifo_data_dec_2;
fifo_data_dec_3_a<=fifo_data_dec_3;
fifo_data_dec_1_b<=fifo_data_dec_1;
fifo_data_dec_2_b<=fifo_data_dec_2;
fifo_data_dec_3_b<=fifo_data_dec_3;
fifo_clk_w<=clk_decoder;
fifo_clk_r<=clk_led;
MAIN:process(clk_main,rst)
begin
if rst='1' then
fifo_aclr_a<=fifo_aclr;
fifo_en_r_a<=fifo_en_r;
fifo_en_w_1_a<=fifo_en_w_1;
fifo_en_w_2_a<=fifo_en_w_2;
fifo_en_w_3_a<=fifo_en_w_3;
fifo_data_led_1<=fifo_data_led_1_a;
fifo_data_led_2<=fifo_data_led_2_a;
fifo_data_led_3<=fifo_data_led_3_a;
elsif rising_edge(clk_main) then
fifo_change_last<=fifo_change;
if control_begin='0' then
fifo_aclr_a<=fifo_aclr;
fifo_en_r_a<=fifo_en_r;
fifo_en_w_1_a<=fifo_en_w_1;
fifo_en_w_2_a<=fifo_en_w_2;
fifo_en_w_3_a<=fifo_en_w_3;
fifo_data_led_1<=fifo_data_led_1_a;
fifo_data_led_2<=fifo_data_led_2_a;
fifo_data_led_3<=fifo_data_led_3_a;
elsif fifo_change='1' then
fifo_en_r_b<=fifo_en_r;
fifo_data_led_1<=fifo_data_led_1_b;
fifo_data_led_2<=fifo_data_led_2_b;
fifo_data_led_3<=fifo_data_led_3_b;
fifo_aclr_b<='0';
fifo_en_w_1_b<='0';
fifo_en_w_2_b<='0';
fifo_en_w_3_b<='0';
fifo_aclr_a<=fifo_aclr;
fifo_en_w_1_a<=fifo_en_w_1;
fifo_en_w_2_a<=fifo_en_w_2;
fifo_en_w_3_a<=fifo_en_w_3;
fifo_en_r_a<='0';
elsif fifo_change='0' then
fifo_en_r_a<=fifo_en_r;
fifo_data_led_1<=fifo_data_led_1_a;
fifo_data_led_2<=fifo_data_led_2_a;
fifo_data_led_3<=fifo_data_led_3_a;
fifo_aclr_a<='0';
fifo_en_w_1_a<='0';
fifo_en_w_2_a<='0';
fifo_en_w_3_a<='0';
fifo_aclr_b<=fifo_aclr;
fifo_en_w_1_b<=fifo_en_w_1;
fifo_en_w_2_b<=fifo_en_w_2;
fifo_en_w_3_b<=fifo_en_w_3;
fifo_en_r_b<='0';
end if;
if fifo_change_last/=fifo_change then
load_next<='1';
else
load_next<='0';
end if;
end if;
end process;
end RTL; |
-- Address decoder
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.arch_defs.all;
use work.memory_map.all;
entity addrdec is
port(A : in addr_t;
cs : out memchipsel_t);
end addrdec;
architecture behav of addrdec is
begin
-- FIXME use a loop over the mmap array instead
cs <= mmap(0).chip_select when inside(A, mmap(0).base, mmap(0).size) else -- RAM
mmap(1).chip_select when inside(A, mmap(1).base, mmap(1).size) else -- ROM
mmap(2).chip_select when inside(A, mmap(2).base, mmap(2).size) else -- LEDs
mmap(3).chip_select when inside(A, mmap(3).base, mmap(3).size) else -- DIP-Switch
mmap(4).chip_select when inside(A, mmap(4).base, mmap(4).size) else -- Pushbuttons
mmap(5).chip_select when inside(A, mmap(5).base, mmap(5).size) else -- UART
mmap(6).chip_select when inside(A, mmap(6).base, mmap(6).size) else -- VRAM
mmap(7).chip_select when inside(A, mmap(7).base, mmap(7).size); -- Video configuration
-- We need dual-ported RAM for the framebuffer,
-- lest we've to deal with bus arbitration.
-- That's why the VRAM is separate from the RAM
end behav;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library work;
use work.constants.all;
entity pcu_tb is
end pcu_tb;
architecture Behavior of pcu_tb is
constant I_clk_period : time := 10 ns;
signal I_clk, I_reset : std_logic := '0';
signal I_en: std_logic := '1';
signal I_op: pcuops_t;
signal I_data, O_data, O_trapret: std_logic_vector(XLEN-1 downto 0);
begin
-- instantiate unit under test
uut: entity work.pcu port map(
I_clk => I_clk,
I_en => I_en,
I_reset => I_reset,
I_op => I_op,
I_data => I_data,
O_data => O_data,
O_trapret => O_trapret
);
proc_clock: process
begin
I_clk <= '0';
wait for I_clk_period/2;
I_clk <= '1';
wait for I_clk_period/2;
end process;
proc_stimuli: process
begin
-- test setting the program counter
wait until falling_edge(I_clk);
I_data <= X"CAFEBABE";
I_op <= PCU_SETPC;
wait until falling_edge(I_clk);
assert O_data = X"CAFEBABE" report "wrong value" severity failure;
-- test entering and returning from a trap
wait until falling_edge(I_clk);
I_data <= X"CAFEBABE";
I_op <= PCU_SETPC;
wait until falling_edge(I_clk);
assert O_data = X"CAFEBABE" report "wrong value" severity failure;
I_data <= X"BEEFCAFE";
I_op <= PCU_ENTERTRAP;
wait until falling_edge(I_clk);
assert O_data = TRAP_VECTOR report "wrong value" severity failure;
I_op <= PCU_RETTRAP;
wait until falling_edge(I_clk);
assert O_data = X"BEEFCAFE" report "wrong value" severity failure;
I_data <= X"CAFEBABE";
I_op <= PCU_SETPC;
wait until falling_edge(I_clk);
assert O_data = X"CAFEBABE" report "wrong value" severity failure;
assert O_trapret = X"BEEFCAFE" report "wrong value" severity failure;
-- test entering and returning from an interrupt
wait until falling_edge(I_clk);
I_data <= X"CAFEBABE";
I_op <= PCU_SETPC;
wait until falling_edge(I_clk);
assert O_data = X"CAFEBABE" report "wrong value" severity failure;
I_data <= X"BEEFCAFE";
I_op <= PCU_ENTERINT;
wait until falling_edge(I_clk);
assert O_data = INTERRUPT_VECTOR report "wrong value" severity failure;
I_op <= PCU_RETINT;
wait until falling_edge(I_clk);
assert O_data = X"CAFEBABE" report "wrong value" severity failure;
wait for I_clk_period;
assert false report "end of simulation" severity failure;
end process;
end architecture; |
entity top_vhdl is
generic (WIDTH : integer := 1; INIT : bit := '1'; greeting : string(1 to 9) := "hello ");
port (clk : in bit; i : in bit_vector(WIDTH-1 downto 0); o : out bit := INIT);
end entity;
architecture rtl of top_vhdl is
begin
process
begin
report greeting;
--wait;
end process;
process (clk)
begin
if rising_edge(clk) then
o <= xor i;
end if;
end process;
end rtl;
|
entity top_vhdl is
generic (WIDTH : integer := 1; INIT : bit := '1'; greeting : string(1 to 9) := "hello ");
port (clk : in bit; i : in bit_vector(WIDTH-1 downto 0); o : out bit := INIT);
end entity;
architecture rtl of top_vhdl is
begin
process
begin
report greeting;
--wait;
end process;
process (clk)
begin
if rising_edge(clk) then
o <= xor i;
end if;
end process;
end rtl;
|
-------------------------------------------------------------------------------
--
-- GCpad controller core
--
-- Copyright (c) 2004, Arnim Laeuger ([email protected])
--
-- $Id: gcpad_comp-p.vhd,v 1.1 2004-10-10 10:09:15 arniml Exp $
--
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
package gcpad_comp is
component gcpad_basic
generic (
reset_level_g : integer := 0;
clocks_per_1us_g : integer := 2
);
port (
clk_i : in std_logic;
reset_i : in std_logic;
pad_request_i : in std_logic;
pad_avail_o : out std_logic;
pad_data_io : inout std_logic;
but_a_o : out std_logic;
but_b_o : out std_logic;
but_x_o : out std_logic;
but_y_o : out std_logic;
but_z_o : out std_logic;
but_start_o : out std_logic;
but_tl_o : out std_logic;
but_tr_o : out std_logic;
but_left_o : out std_logic;
but_right_o : out std_logic;
but_up_o : out std_logic;
but_down_o : out std_logic;
ana_joy_x_o : out std_logic_vector(7 downto 0);
ana_joy_y_o : out std_logic_vector(7 downto 0);
ana_c_x_o : out std_logic_vector(7 downto 0);
ana_c_y_o : out std_logic_vector(7 downto 0);
ana_l_o : out std_logic_vector(7 downto 0);
ana_r_o : out std_logic_vector(7 downto 0)
);
end component;
component gcpad_full
generic (
reset_level_g : integer := 0;
clocks_per_1us_g : integer := 2
);
port (
clk_i : in std_logic;
reset_i : in std_logic;
pad_request_i : in std_logic;
pad_avail_o : out std_logic;
pad_timeout_o : out std_logic;
tx_size_i : in std_logic_vector( 1 downto 0);
tx_command_i : in std_logic_vector(23 downto 0);
rx_size_i : in std_logic_vector( 3 downto 0);
rx_data_o : out std_logic_vector(63 downto 0);
pad_data_io : inout std_logic
);
end component;
end gcpad_comp;
|
-- megafunction wizard: %ROM: 1-PORT%
-- GENERATION: STANDARD
-- VERSION: WM1.0
-- MODULE: altsyncram
-- ============================================================
-- File Name: LIST.vhd
-- Megafunction Name(s):
-- altsyncram
--
-- Simulation Library Files(s):
-- altera_mf
-- ============================================================
-- ************************************************************
-- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
--
-- 13.0.1 Build 232 06/12/2013 SP 1 SJ Full Version
-- ************************************************************
--Copyright (C) 1991-2013 Altera Corporation
--Your use of Altera Corporation's design tools, logic functions
--and other software and tools, and its AMPP partner logic
--functions, and any output files from any of the foregoing
--(including device programming or simulation files), and any
--associated documentation or information are expressly subject
--to the terms and conditions of the Altera Program License
--Subscription Agreement, Altera MegaCore Function License
--Agreement, or other applicable license agreement, including,
--without limitation, that your use is for the sole purpose of
--programming logic devices manufactured by Altera and sold by
--Altera or its authorized distributors. Please refer to the
--applicable agreement for further details.
LIBRARY ieee;
USE ieee.std_logic_1164.all;
LIBRARY altera_mf;
USE altera_mf.all;
ENTITY LIST IS
PORT
(
address : IN STD_LOGIC_VECTOR (8 DOWNTO 0);
clock : IN STD_LOGIC := '1';
q : OUT STD_LOGIC_VECTOR (7 DOWNTO 0)
);
END LIST;
ARCHITECTURE SYN OF list IS
SIGNAL sub_wire0 : STD_LOGIC_VECTOR (7 DOWNTO 0);
COMPONENT altsyncram
GENERIC (
clock_enable_input_a : STRING;
clock_enable_output_a : STRING;
init_file : STRING;
intended_device_family : STRING;
lpm_hint : STRING;
lpm_type : STRING;
numwords_a : NATURAL;
operation_mode : STRING;
outdata_aclr_a : STRING;
outdata_reg_a : STRING;
widthad_a : NATURAL;
width_a : NATURAL;
width_byteena_a : NATURAL
);
PORT (
address_a : IN STD_LOGIC_VECTOR (8 DOWNTO 0);
clock0 : IN STD_LOGIC ;
q_a : OUT STD_LOGIC_VECTOR (7 DOWNTO 0)
);
END COMPONENT;
BEGIN
q <= sub_wire0(7 DOWNTO 0);
altsyncram_component : altsyncram
GENERIC MAP (
clock_enable_input_a => "BYPASS",
clock_enable_output_a => "BYPASS",
init_file => "./VHDL/VOICE/LIST.mif",
intended_device_family => "Cyclone II",
lpm_hint => "ENABLE_RUNTIME_MOD=NO",
lpm_type => "altsyncram",
numwords_a => 512,
operation_mode => "ROM",
outdata_aclr_a => "NONE",
outdata_reg_a => "CLOCK0",
widthad_a => 9,
width_a => 8,
width_byteena_a => 1
)
PORT MAP (
address_a => address,
clock0 => clock,
q_a => sub_wire0
);
END SYN;
-- ============================================================
-- CNX file retrieval info
-- ============================================================
-- Retrieval info: PRIVATE: ADDRESSSTALL_A NUMERIC "0"
-- Retrieval info: PRIVATE: AclrAddr NUMERIC "0"
-- Retrieval info: PRIVATE: AclrByte NUMERIC "0"
-- Retrieval info: PRIVATE: AclrOutput NUMERIC "0"
-- Retrieval info: PRIVATE: BYTE_ENABLE NUMERIC "0"
-- Retrieval info: PRIVATE: BYTE_SIZE NUMERIC "8"
-- Retrieval info: PRIVATE: BlankMemory NUMERIC "0"
-- Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_A NUMERIC "0"
-- Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_A NUMERIC "0"
-- Retrieval info: PRIVATE: Clken NUMERIC "0"
-- Retrieval info: PRIVATE: IMPLEMENT_IN_LES NUMERIC "0"
-- Retrieval info: PRIVATE: INIT_FILE_LAYOUT STRING "PORT_A"
-- Retrieval info: PRIVATE: INIT_TO_SIM_X NUMERIC "0"
-- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone II"
-- Retrieval info: PRIVATE: JTAG_ENABLED NUMERIC "0"
-- Retrieval info: PRIVATE: JTAG_ID STRING "NONE"
-- Retrieval info: PRIVATE: MAXIMUM_DEPTH NUMERIC "0"
-- Retrieval info: PRIVATE: MIFfilename STRING "./VHDL/VOICE/LIST.mif"
-- Retrieval info: PRIVATE: NUMWORDS_A NUMERIC "512"
-- Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0"
-- Retrieval info: PRIVATE: RegAddr NUMERIC "1"
-- Retrieval info: PRIVATE: RegOutput NUMERIC "1"
-- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
-- Retrieval info: PRIVATE: SingleClock NUMERIC "1"
-- Retrieval info: PRIVATE: UseDQRAM NUMERIC "0"
-- Retrieval info: PRIVATE: WidthAddr NUMERIC "9"
-- Retrieval info: PRIVATE: WidthData NUMERIC "8"
-- Retrieval info: PRIVATE: rden NUMERIC "0"
-- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
-- Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_A STRING "BYPASS"
-- Retrieval info: CONSTANT: CLOCK_ENABLE_OUTPUT_A STRING "BYPASS"
-- Retrieval info: CONSTANT: INIT_FILE STRING "./VHDL/VOICE/LIST.mif"
-- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone II"
-- Retrieval info: CONSTANT: LPM_HINT STRING "ENABLE_RUNTIME_MOD=NO"
-- Retrieval info: CONSTANT: LPM_TYPE STRING "altsyncram"
-- Retrieval info: CONSTANT: NUMWORDS_A NUMERIC "512"
-- Retrieval info: CONSTANT: OPERATION_MODE STRING "ROM"
-- Retrieval info: CONSTANT: OUTDATA_ACLR_A STRING "NONE"
-- Retrieval info: CONSTANT: OUTDATA_REG_A STRING "CLOCK0"
-- Retrieval info: CONSTANT: WIDTHAD_A NUMERIC "9"
-- Retrieval info: CONSTANT: WIDTH_A NUMERIC "8"
-- Retrieval info: CONSTANT: WIDTH_BYTEENA_A NUMERIC "1"
-- Retrieval info: USED_PORT: address 0 0 9 0 INPUT NODEFVAL "address[8..0]"
-- Retrieval info: USED_PORT: clock 0 0 0 0 INPUT VCC "clock"
-- Retrieval info: USED_PORT: q 0 0 8 0 OUTPUT NODEFVAL "q[7..0]"
-- Retrieval info: CONNECT: @address_a 0 0 9 0 address 0 0 9 0
-- Retrieval info: CONNECT: @clock0 0 0 0 0 clock 0 0 0 0
-- Retrieval info: CONNECT: q 0 0 8 0 @q_a 0 0 8 0
-- Retrieval info: GEN_FILE: TYPE_NORMAL LIST.vhd TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL LIST.inc FALSE
-- Retrieval info: GEN_FILE: TYPE_NORMAL LIST.cmp TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL LIST.bsf FALSE
-- Retrieval info: GEN_FILE: TYPE_NORMAL LIST_inst.vhd FALSE
-- Retrieval info: LIB_FILE: altera_mf
|
-- $Id: pdp11_decode.vhd 1310 2022-10-27 16:15:50Z mueller $
-- SPDX-License-Identifier: GPL-3.0-or-later
-- Copyright 2006-2022 by Walter F.J. Mueller <[email protected]>
--
------------------------------------------------------------------------------
-- Module Name: pdp11_decode - syn
-- Description: pdp11: instruction decoder
--
-- Dependencies: -
-- Test bench: tb/tb_pdp11_core (implicit)
-- Target Devices: generic
-- Tool versions: ise 8.2-14.7; viv 2014.4-2022.1; ghdl 0.18-2.0.0
-- Revision History:
-- Date Rev Version Comment
-- 2022-10-25 1309 1.0.8 rename _gpr -> _gr
-- 2022-10-03 1301 1.0.7 add STAT.is_dstpcmode1
-- 2011-11-18 427 1.0.6 now numeric_std clean
-- 2010-09-18 300 1.0.5 rename (adlm)box->(oalm)unit
-- 2008-11-30 174 1.0.4 BUGFIX: add updt_dstadsrc; set for MFP(I/D)
-- 2008-05-03 143 1.0.3 get fork_srcr,fork_dstr,fork_dsta assign out of if
-- 2008-04-27 139 1.0.2 BUGFIX: mtp now via do_fork_op; is_dsta logic mods
-- 2007-06-14 56 1.0.1 Use slvtypes.all
-- 2007-05-12 26 1.0 Initial version
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.slvtypes.all;
use work.pdp11.all;
-- ----------------------------------------------------------------------------
entity pdp11_decode is -- instruction decoder
port (
IREG : in slv16; -- input instruction word
STAT : out decode_stat_type -- status output
);
end pdp11_decode;
architecture syn of pdp11_decode is
begin
proc_idecode: process (IREG)
alias OPCODE : slv4 is IREG(15 downto 12); -- basic opcode (upper 4 bits)
alias OPPRIM : slv3 is IREG(14 downto 12); -- basic opcode without B bit
alias OPBYTE : slbit is IREG(15); -- byte flag of basic opcode
alias OPEXT1 : slv3 is IREG(11 downto 9); -- extended opcode, part 1
alias OPEXT2 : slv3 is IREG(8 downto 6); -- extended opcode, part 2
alias OPEXT3 : slv3 is IREG(5 downto 3); -- extended opcode, part 3
alias OPEXT4 : slv3 is IREG(2 downto 0); -- extended opcode, part 4
alias SRCMODF : slv3 is IREG(11 downto 9); -- src register full mode
alias DSTMODF : slv3 is IREG(5 downto 3); -- dst register full mode
alias SRCMOD : slv2 is IREG(11 downto 10); -- src register mode high
alias SRCDEF : slbit is IREG(9); -- src register mode defered
alias SRCREG : slv3 is IREG(8 downto 6); -- src register number
alias DSTMOD : slv2 is IREG(5 downto 4); -- dst register mode high
alias DSTDEF : slbit is IREG(3); -- dst register mode defered
alias DSTREG : slv3 is IREG(2 downto 0); -- dst register number
variable nstat : decode_stat_type;
variable is_srcr : slbit := '0'; -- source is read
variable is_dstr : slbit := '0'; -- destination is read
variable is_dstm : slbit := '0'; -- destination is modified
variable is_dstw : slbit := '0'; -- destination is written
variable is_srcmode0 : slbit := '0'; -- source is register mode
variable is_dstmode0notpc : slbit := '0'; -- dest. is register mode, not PC
begin
is_srcr := '0';
is_dstr := '0';
is_dstm := '0';
is_dstw := '0';
is_srcmode0 := '0';
is_dstmode0notpc := '0';
nstat.is_dstmode0 := '0';
nstat.is_srcpc := '0';
nstat.is_srcpcmode1 := '0';
nstat.is_dstpc := '0';
nstat.is_dstpcmode1 := '0';
nstat.is_dstw_reg := '0';
nstat.is_dstw_pc := '0';
nstat.is_rmwop := '0';
nstat.is_bytop := '0';
nstat.is_res := '1';
nstat.op_rtt := '0';
nstat.op_mov := '0';
nstat.trap_vec := "000";
nstat.force_srcsp := '0';
nstat.updt_dstadsrc := '0';
nstat.aunit_srcmod := c_aunit_mod_pass;
nstat.aunit_dstmod := c_aunit_mod_pass;
nstat.aunit_cimod := c_aunit_mod_pass;
nstat.aunit_cc1op := '0';
nstat.aunit_ccmode := IREG(8 downto 6); -- STATIC
nstat.lunit_func := (others=>'0');
nstat.munit_func := (others=>'0');
nstat.res_sel := c_dpath_res_ounit;
nstat.fork_op := (others=>'0');
nstat.fork_srcr := (others=>'0');
nstat.fork_dstr := (others=>'0');
nstat.fork_dsta := (others=>'0');
nstat.fork_opg := (others=>'0');
nstat.fork_opa := (others=>'0');
nstat.do_fork_op := '0';
nstat.do_fork_srcr := '0';
nstat.do_fork_dstr := '0';
nstat.do_fork_dsta := '0';
nstat.do_fork_opg := '0';
nstat.do_pref_dec := '0';
if SRCMODF = "000" then
is_srcmode0 := '1';
end if;
if DSTMODF = "000" then
nstat.is_dstmode0 := '1';
if DSTREG /= c_gr_pc then
is_dstmode0notpc := '1';
end if;
end if;
if SRCREG = c_gr_pc then
nstat.is_srcpc := '1';
if SRCMODF = "001" then
nstat.is_srcpcmode1 := '1';
end if;
end if;
if DSTREG = c_gr_pc then
nstat.is_dstpc := '1';
if DSTMODF = "001" then
nstat.is_dstpcmode1 := '1';
end if;
end if;
if OPPRIM = "000" then
if OPBYTE='0' and OPEXT1="000" then
if OPEXT2="000" and OPEXT3="000" then -- HALT,...,RTT
nstat.is_res := '0';
case OPEXT4 is
when "000" => -- HALT
nstat.fork_op := c_fork_op_halt;
nstat.do_fork_op := '1';
when "001" => -- WAIT
nstat.fork_op := c_fork_op_wait;
nstat.do_fork_op := '1';
when "010" => -- RTI
nstat.force_srcsp := '1';
nstat.fork_op := c_fork_op_rtti;
nstat.do_fork_op := '1';
when "011" => -- BPT (trap to 14)
nstat.trap_vec := "011";
nstat.fork_op := c_fork_op_trap;
nstat.do_fork_op := '1';
when "100" => -- IOT (trap to 20)
nstat.trap_vec := "100";
nstat.fork_op := c_fork_op_trap;
nstat.do_fork_op := '1';
when "101" => -- RESET
nstat.fork_op := c_fork_op_reset;
nstat.do_fork_op := '1';
when "110" => -- RTT
nstat.op_rtt := '1';
nstat.force_srcsp := '1';
nstat.fork_op := c_fork_op_rtti;
nstat.do_fork_op := '1';
when others =>
nstat.is_res := '1';
end case;
end if;
if OPEXT2 = "001" then -- JMP
nstat.is_res := '0';
nstat.fork_opa := c_fork_opa_jmp;
nstat.do_fork_dsta := '1';
end if;
if OPEXT2 = "010" then
if OPEXT3 = "000" then -- RTS
nstat.is_res := '0';
nstat.force_srcsp := '1';
nstat.fork_op := c_fork_op_rts;
nstat.do_fork_op := '1';
end if;
if OPEXT3 = "011" then -- SPL
nstat.is_res := '0';
nstat.fork_op := c_fork_op_spl;
nstat.do_fork_op := '1';
end if;
end if;
if OPEXT2 = "010" then
if OPEXT3(2) = '1' then -- SEx/CLx
nstat.is_res := '0';
nstat.fork_op := c_fork_op_mcc;
nstat.do_fork_op := '1';
--!!!nstat.do_pref_dec := '1'; --??? ensure ireg_we ....
end if;
end if;
if OPEXT2 = "011" then -- SWAP
nstat.is_res := '0';
is_dstm := '1';
nstat.fork_opg := c_fork_opg_gen;
nstat.do_fork_opg := '1';
nstat.do_pref_dec := is_dstmode0notpc;
nstat.lunit_func := c_lunit_func_swap;
nstat.res_sel := c_dpath_res_lunit;
end if;
end if; -- OPBYTE='0' and OPEXT1="000"
if OPEXT1(2)='0' and -- BR class instructions
((OPBYTE='0' and OPEXT2(2)='1') or -- BR
(OPBYTE='0' and (OPEXT1(0)='1' or OPEXT1(1)='1')) or -- BNE,..,BLE
OPBYTE='1') then -- BPL,..,BCS
nstat.is_res := '0';
nstat.fork_op := c_fork_op_br;
nstat.do_fork_op := '1';
end if;
if OPBYTE='0' and OPEXT1="100" then -- JSR
nstat.is_res := '0';
nstat.fork_opa := c_fork_opa_jsr;
nstat.do_fork_dsta := '1';
end if;
if OPBYTE='1' and OPEXT1="100" then -- EMT, TRAP
nstat.is_res := '0';
if OPEXT2(2) = '0' then -- EMT (trap tp 30)
nstat.trap_vec := "110";
else -- TRAP (trap to 34)
nstat.trap_vec := "111";
end if;
nstat.fork_op := c_fork_op_trap;
nstat.do_fork_op := '1';
end if;
if OPEXT1 = "101" then -- CLR(B),...,TST(B)
nstat.is_res := '0';
nstat.res_sel := c_dpath_res_aunit;
if OPBYTE = '1' then
nstat.is_bytop := '1';
end if;
nstat.aunit_cc1op := '1';
case OPEXT2 is
when "000" => -- CLR: 0 + 0 + 0 (0)
is_dstw := '1';
nstat.aunit_srcmod := c_aunit_mod_zero;
nstat.aunit_dstmod := c_aunit_mod_zero;
nstat.aunit_cimod := c_aunit_mod_zero;
when "001" => -- COM: 0 + ~DST + 0 (~dst)
is_dstm := '1';
nstat.aunit_srcmod := c_aunit_mod_zero;
nstat.aunit_dstmod := c_aunit_mod_inv;
nstat.aunit_cimod := c_aunit_mod_zero;
when "010" => -- INC: 0 + DST + 1 (dst+1)
is_dstm := '1';
nstat.aunit_srcmod := c_aunit_mod_zero;
nstat.aunit_dstmod := c_aunit_mod_pass;
nstat.aunit_cimod := c_aunit_mod_one;
when "011" => -- DEC: ~0 + DST + 0 (dst-1)
is_dstm := '1';
nstat.aunit_srcmod := c_aunit_mod_one;
nstat.aunit_dstmod := c_aunit_mod_pass;
nstat.aunit_cimod := c_aunit_mod_zero;
when "100" => -- NEG: 0 + ~DST + 1 (-dst)
is_dstm := '1';
nstat.aunit_srcmod := c_aunit_mod_zero;
nstat.aunit_dstmod := c_aunit_mod_inv;
nstat.aunit_cimod := c_aunit_mod_one;
when "101" => -- ADC: 0 + DST + CI (dst+ci)
is_dstm := '1';
nstat.aunit_srcmod := c_aunit_mod_zero;
nstat.aunit_dstmod := c_aunit_mod_pass;
nstat.aunit_cimod := c_aunit_mod_pass;
when "110" => -- SBC: ~0 + DST + ~CI (dst-ci)
is_dstm := '1';
nstat.aunit_srcmod := c_aunit_mod_one;
nstat.aunit_dstmod := c_aunit_mod_pass;
nstat.aunit_cimod := c_aunit_mod_inv;
when "111" => -- TST: 0 + DST + 0 (dst)
is_dstr := '1';
nstat.aunit_srcmod := c_aunit_mod_zero;
nstat.aunit_dstmod := c_aunit_mod_pass;
nstat.aunit_cimod := c_aunit_mod_zero;
when others => null;
end case;
nstat.fork_opg := c_fork_opg_gen;
nstat.do_fork_opg := '1';
nstat.do_pref_dec := is_dstmode0notpc;
end if;
if OPEXT1 = "110" then
if OPEXT2(2) = '0' then -- ROR(B),...,ASL(B)
nstat.is_res := '0';
is_dstm := '1';
nstat.fork_opg := c_fork_opg_gen;
nstat.do_fork_opg := '1';
nstat.do_pref_dec := is_dstmode0notpc;
if OPBYTE = '1' then
nstat.is_bytop := '1';
end if;
nstat.res_sel := c_dpath_res_lunit;
case OPEXT2(1 downto 0) is
when "00" => -- ROR
nstat.lunit_func := c_lunit_func_ror;
when "01" => -- ROL
nstat.lunit_func := c_lunit_func_rol;
when "10" => -- ASR
nstat.lunit_func := c_lunit_func_asr;
when "11" => -- ASL
nstat.lunit_func := c_lunit_func_asl;
when others => null;
end case;
end if;
if OPBYTE='0' and OPEXT2="100" then -- MARK
nstat.is_res := '0';
nstat.fork_op := c_fork_op_mark;
nstat.do_fork_op := '1';
end if;
if OPEXT2 = "101" then -- MFP(I/D)
nstat.is_res := '0';
nstat.force_srcsp := '1';
if DSTREG = c_gr_sp then -- is dst reg == sp ?
nstat.updt_dstadsrc := '1'; -- ensure DSRC update in dsta flow
end if;
nstat.res_sel := c_dpath_res_ounit;
if nstat.is_dstmode0 = '1' then
nstat.fork_opa := c_fork_opa_mfp_reg;
else
nstat.fork_opa := c_fork_opa_mfp_mem;
end if;
nstat.do_fork_dsta := '1';
end if;
if OPEXT2 = "110" then -- MTP(I/D)
nstat.is_res := '0';
nstat.force_srcsp := '1';
nstat.res_sel := c_dpath_res_ounit;
nstat.fork_opa := c_fork_opa_mtp;
nstat.fork_op := c_fork_op_mtp;
nstat.do_fork_op := '1';
end if;
if OPBYTE='0' and OPEXT2="111" then -- SXT
nstat.is_res := '0';
is_dstw := '1';
nstat.fork_opg := c_fork_opg_gen;
nstat.do_fork_opg := '1';
nstat.do_pref_dec := is_dstmode0notpc;
nstat.lunit_func := c_lunit_func_sxt;
nstat.res_sel := c_dpath_res_lunit;
end if;
end if;
end if; -- OPPRIM="000"
if OPPRIM/="000" and OPPRIM/="111" then
nstat.is_res := '0';
case OPPRIM is
when "001" => -- MOV
is_srcr := '1';
is_dstw := '1';
nstat.op_mov := '1';
nstat.lunit_func := c_lunit_func_mov;
nstat.res_sel := c_dpath_res_lunit;
nstat.is_bytop := OPBYTE;
when "010" => -- CMP
is_srcr := '1';
is_dstr := '1';
nstat.res_sel := c_dpath_res_aunit;
nstat.aunit_srcmod := c_aunit_mod_pass;
nstat.aunit_dstmod := c_aunit_mod_inv;
nstat.aunit_cimod := c_aunit_mod_one;
nstat.is_bytop := OPBYTE;
when "011" => -- BIT
is_srcr := '1';
is_dstr := '1';
nstat.lunit_func := c_lunit_func_bit;
nstat.res_sel := c_dpath_res_lunit;
nstat.is_bytop := OPBYTE;
when "100" => -- BIC
is_srcr := '1';
is_dstm := '1';
nstat.lunit_func := c_lunit_func_bic;
nstat.res_sel := c_dpath_res_lunit;
nstat.is_bytop := OPBYTE;
when "101" => -- BIS
is_srcr := '1';
is_dstm := '1';
nstat.lunit_func := c_lunit_func_bis;
nstat.res_sel := c_dpath_res_lunit;
nstat.is_bytop := OPBYTE;
when "110" =>
is_srcr := '1';
is_dstm := '1';
nstat.res_sel := c_dpath_res_aunit;
if OPBYTE = '0' then -- ADD
nstat.aunit_srcmod := c_aunit_mod_pass;
nstat.aunit_dstmod := c_aunit_mod_pass;
nstat.aunit_cimod := c_aunit_mod_zero;
else -- SUB
nstat.aunit_srcmod := c_aunit_mod_inv;
nstat.aunit_dstmod := c_aunit_mod_pass;
nstat.aunit_cimod := c_aunit_mod_one;
end if;
when others => null;
end case;
nstat.fork_opg := c_fork_opg_gen;
nstat.do_fork_opg := '1';
nstat.do_pref_dec := is_srcmode0 and is_dstmode0notpc;
end if;
if OPBYTE='0' and OPPRIM="111" then
case OPEXT1 is
when "000" => -- MUL
nstat.is_res := '0';
is_dstr := '1';
nstat.munit_func := c_munit_func_mul;
nstat.res_sel := c_dpath_res_munit;
nstat.fork_opg := c_fork_opg_mul;
nstat.do_fork_opg := '1';
when "001" => -- DIV
nstat.is_res := '0';
is_dstr := '1';
nstat.munit_func := c_munit_func_div;
nstat.res_sel := c_dpath_res_munit;
nstat.fork_opg := c_fork_opg_div;
nstat.do_fork_opg := '1';
when "010" => -- ASH
nstat.is_res := '0';
is_dstr := '1';
nstat.munit_func := c_munit_func_ash;
nstat.res_sel := c_dpath_res_munit;
nstat.fork_opg := c_fork_opg_ash;
nstat.do_fork_opg := '1';
when "011" => -- ASHC
nstat.is_res := '0';
is_dstr := '1';
nstat.munit_func := c_munit_func_ashc;
nstat.res_sel := c_dpath_res_munit;
nstat.fork_opg := c_fork_opg_ashc;
nstat.do_fork_opg := '1';
when "100" => -- XOR
nstat.is_res := '0';
is_dstm := '1';
nstat.lunit_func := c_lunit_func_xor;
nstat.res_sel := c_dpath_res_lunit;
nstat.fork_opg := c_fork_opg_gen;
nstat.do_fork_opg := '1';
nstat.do_pref_dec := is_dstmode0notpc;
when "111" => -- SOB: SRC + ~0 + 0 (src-1)
nstat.is_res := '0';
nstat.aunit_srcmod := c_aunit_mod_pass;
nstat.aunit_dstmod := c_aunit_mod_one;
nstat.aunit_cimod := c_aunit_mod_zero;
nstat.res_sel := c_dpath_res_aunit;
nstat.fork_op := c_fork_op_sob;
nstat.do_fork_op := '1';
when others => null;
end case;
end if;
if OPBYTE='1' and OPPRIM="111" then -- FPU
nstat.is_res := '1'; -- ??? FPU not yet handled
end if;
case SRCMOD is
when "00" => nstat.fork_srcr := c_fork_srcr_def;
when "01" => nstat.fork_srcr := c_fork_srcr_inc;
when "10" => nstat.fork_srcr := c_fork_srcr_dec;
when "11" => nstat.fork_srcr := c_fork_srcr_ind;
when others => null;
end case;
if is_srcr='1' and SRCMODF /="000" then
nstat.do_fork_srcr := '1';
end if;
case DSTMOD is
when "00" => nstat.fork_dstr := c_fork_dstr_def;
when "01" => nstat.fork_dstr := c_fork_dstr_inc;
when "10" => nstat.fork_dstr := c_fork_dstr_dec;
when "11" => nstat.fork_dstr := c_fork_dstr_ind;
when others => null;
end case;
if (is_dstr or is_dstm)='1' and nstat.is_dstmode0='0' then
nstat.do_fork_dstr := '1';
end if;
if is_dstw='1' and nstat.is_dstmode0='0' then
case DSTMOD is
when "00" => nstat.fork_opg := c_fork_opg_wdef;
when "01" => nstat.fork_opg := c_fork_opg_winc;
when "10" => nstat.fork_opg := c_fork_opg_wdec;
when "11" => nstat.fork_opg := c_fork_opg_wind;
when others => null;
end case;
end if;
if is_dstm='1' and nstat.is_dstmode0='0' then
nstat.is_rmwop := '1';
end if;
case DSTMOD is
when "00" => nstat.fork_dsta := c_fork_dsta_def;
when "01" => nstat.fork_dsta := c_fork_dsta_inc;
when "10" => nstat.fork_dsta := c_fork_dsta_dec;
when "11" => nstat.fork_dsta := c_fork_dsta_ind;
when others => null;
end case;
if (is_dstw or is_dstm)='1' and nstat.is_dstmode0='1' then
nstat.is_dstw_reg := '1';
if DSTREG = c_gr_pc then
nstat.is_dstw_pc := '1'; --??? hack rename -> is_dstw_pc
end if;
end if;
STAT <= nstat;
end process proc_idecode;
end syn;
|
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2014, Aeroflex Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Entity: ahbjtag
-- File: ahbjtag.vhd
-- Author: Edvin Catovic, Jiri Gaisler - Gaisler Research
-- Description: JTAG communication link with AHB master interface
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.amba.all;
use grlib.stdlib.all;
use grlib.devices.all;
library techmap;
use techmap.gencomp.all;
library gaisler;
use gaisler.misc.all;
use gaisler.libjtagcom.all;
use gaisler.jtag.all;
entity ahbjtag_bsd is
generic (
tech : integer range 0 to NTECH := 0;
hindex : integer := 0;
nsync : integer range 1 to 2 := 1;
ainst : integer range 0 to 255 := 2;
dinst : integer range 0 to 255 := 3);
port (
rst : in std_ulogic;
clk : in std_ulogic;
ahbi : in ahb_mst_in_type;
ahbo : out ahb_mst_out_type;
asel : in std_ulogic;
dsel : in std_ulogic;
tck : in std_ulogic;
regi : in std_ulogic;
shift : in std_ulogic;
rego : out std_ulogic
);
end;
architecture struct of ahbjtag_bsd is
-- Set REREAD to 1 to include support for re-read operation when host reads
-- out data register before jtagcom has completed the current AMBA access and
-- returned to state 'shft'.
constant REREAD : integer := 1;
constant REVISION : integer := REREAD;
signal dmai : ahb_dma_in_type;
signal dmao : ahb_dma_out_type;
signal ltapi : tap_in_type;
signal ltapo : tap_out_type;
signal trst: std_ulogic;
begin
ahbmst0 : ahbmst
generic map (hindex => hindex, venid => VENDOR_GAISLER, devid => GAISLER_AHBJTAG, version => REVISION)
port map (rst, clk, dmai, dmao, ahbi, ahbo);
jtagcom0 : jtagcom generic map (isel => 1, nsync => nsync, ainst => ainst, dinst => dinst, reread => REREAD)
port map (rst, clk, ltapo, ltapi, dmao, dmai, tck, trst);
ltapo.asel <= asel;
ltapo.dsel <= dsel;
ltapo.tck <= tck;
ltapo.tdi <= regi;
ltapo.shift <= shift;
ltapo.reset <= '0';
ltapo.inst <= (others => '0');
rego <= ltapi.tdo;
trst <= '1';
-- pragma translate_off
bootmsg : report_version
generic map ("ahbjtag AHB Debug JTAG rev " & tost(REVISION));
-- pragma translate_on
end;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2552.vhd,v 1.2 2001-10-26 16:30:19 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c07s03b06x00p02n01i02552ent IS
END c07s03b06x00p02n01i02552ent;
ARCHITECTURE c07s03b06x00p02n01i02552arch OF c07s03b06x00p02n01i02552ent IS
BEGIN
TESTING: PROCESS
type CELL;
type LINK is access CELL;
type CELL is
record
VALUE : Integer;
SUCC : LINK;
PRED : LINK;
end record;
variable HEAD : LINK := CELL'(0,null,null); -- Failure_here
BEGIN
assert FALSE
report "***FAILED TEST: c07s03b06x00p02n01i02552 - Missing keyword 'new'."
severity ERROR;
wait;
END PROCESS TESTING;
END c07s03b06x00p02n01i02552arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2552.vhd,v 1.2 2001-10-26 16:30:19 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c07s03b06x00p02n01i02552ent IS
END c07s03b06x00p02n01i02552ent;
ARCHITECTURE c07s03b06x00p02n01i02552arch OF c07s03b06x00p02n01i02552ent IS
BEGIN
TESTING: PROCESS
type CELL;
type LINK is access CELL;
type CELL is
record
VALUE : Integer;
SUCC : LINK;
PRED : LINK;
end record;
variable HEAD : LINK := CELL'(0,null,null); -- Failure_here
BEGIN
assert FALSE
report "***FAILED TEST: c07s03b06x00p02n01i02552 - Missing keyword 'new'."
severity ERROR;
wait;
END PROCESS TESTING;
END c07s03b06x00p02n01i02552arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2552.vhd,v 1.2 2001-10-26 16:30:19 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c07s03b06x00p02n01i02552ent IS
END c07s03b06x00p02n01i02552ent;
ARCHITECTURE c07s03b06x00p02n01i02552arch OF c07s03b06x00p02n01i02552ent IS
BEGIN
TESTING: PROCESS
type CELL;
type LINK is access CELL;
type CELL is
record
VALUE : Integer;
SUCC : LINK;
PRED : LINK;
end record;
variable HEAD : LINK := CELL'(0,null,null); -- Failure_here
BEGIN
assert FALSE
report "***FAILED TEST: c07s03b06x00p02n01i02552 - Missing keyword 'new'."
severity ERROR;
wait;
END PROCESS TESTING;
END c07s03b06x00p02n01i02552arch;
|
architecture rtl of fifo is
variable sig8 : record_type_3(
element1
(7 downto 0),
element2
(4 downto 0)
(7 downto 0)
(
elementA
(7 downto 0)
,
elementB
(3 downto 0)
),
element3
(3 downto 0)(elementC
(4 downto 1), elementD
(1 downto 0)),
element5(
elementE
(3 downto 0)
(6 downto 0)
,
elementF
(7 downto 0)
),
element6
(4 downto 0),
element7
(7 downto 0));
variable sig9 : t_data_struct(data
(7 downto 0));
variable sig9 : t_data_struct(
data
(7 downto 0)
);
begin
end architecture rtl;
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
JbNPBNpXbzuCwqgfHXUo0CKKEKC3Ho/iDaBCfitnTvxhsxRdUSR+0FKa3WYZUyjB2Z1sYIx38tJN
kYcRKuulNw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Xrp9ZJjhK/C3GJtMOsmRzTUEbRl2EBjbyuEuTSHK7VnCStmz9bwb1qqcx42ppFcN4Q+NNJ6flNsF
w+jn1v7FOyUPatbu8FGMX0d+7XgBqyxTKHsKB7a8WxQdj3m7kZ+0Lt8HeEQmDSm1hf92P2UK/lMz
DTJSXRKzQLVDGFy3FhE=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
pUhTZHUcvfC0l0Wv/zVU2R+sEdbuTgRSFqizxBK1jXfPlevnfJLxD3mPcqZUIjfxMcwejwCYoHW9
FUYBs6+JwoKOPVs1+ZtAuD8DD6EmogGPiXZkcv8L6x2RsSmHTS92H6VaLwDblyw/WMIUSUuLdQts
I88fEgHdySUUq6WrYpIXQD0EBJPmKqZJbrFuTtq1PS/qjMol3SEnURJS/dau1Xpd1wWMINfb0/1m
BPYG7W1FMHUQTtD7SXTGmku2M5Y9wTu8Y8ljP+Ge2Ce16NhY+X2ydX53MFvu7ecWL1XvMuBMC4gO
Na0Pg0TU0opPWLn10PDv0Xr1YLpRvRmrKKIShw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
zDVnhZy4E1e3OP9tU497xmUl33nFyu4HKQVE5eObofuMxmGgfby/SvrhmKykT8NrMGtDeBz1dh1/
jNN2T3aJreiokb9l70PMKrlWgJ6R0+xdwt6Y/OEdOvzF4ZEQgdoKWv6J0FOh2ONqNXdjAsaY1X6h
tstFqFix9OWh7UOdO/U=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
a8kHOuTZginpjHtILcVNhLunRQwOe1ES2keYAvWwbqJ1/dP2HR58Y2qrfgkPfgJOhs1Sz8tBpdaz
3b3GZ3CGFdTkcQ6Np1zFLsz5LxqN9CH8/ss5eAKk+0AxCP/1J5ucoIHvImEp6FKZyoT4MujGdzeu
nXKRv9WouxkemNVX5yz0MldORdKM84AbVTMuB7I3XneDsIcti8nMI9aK3STpjhr4OIItlK0MMUQC
JsGn834Bn/QDrR8OKwbRI5OmH6SQkrQ4i+cj9syMNkoNHD1l6squ/XMbUPmco9memZGKhFlj2mF9
yRU/qY/Z3xn3q5sMyZmsaDVrjwUVDyh9RUxqZg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 46416)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
JbNPBNpXbzuCwqgfHXUo0CKKEKC3Ho/iDaBCfitnTvxhsxRdUSR+0FKa3WYZUyjB2Z1sYIx38tJN
kYcRKuulNw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Xrp9ZJjhK/C3GJtMOsmRzTUEbRl2EBjbyuEuTSHK7VnCStmz9bwb1qqcx42ppFcN4Q+NNJ6flNsF
w+jn1v7FOyUPatbu8FGMX0d+7XgBqyxTKHsKB7a8WxQdj3m7kZ+0Lt8HeEQmDSm1hf92P2UK/lMz
DTJSXRKzQLVDGFy3FhE=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
pUhTZHUcvfC0l0Wv/zVU2R+sEdbuTgRSFqizxBK1jXfPlevnfJLxD3mPcqZUIjfxMcwejwCYoHW9
FUYBs6+JwoKOPVs1+ZtAuD8DD6EmogGPiXZkcv8L6x2RsSmHTS92H6VaLwDblyw/WMIUSUuLdQts
I88fEgHdySUUq6WrYpIXQD0EBJPmKqZJbrFuTtq1PS/qjMol3SEnURJS/dau1Xpd1wWMINfb0/1m
BPYG7W1FMHUQTtD7SXTGmku2M5Y9wTu8Y8ljP+Ge2Ce16NhY+X2ydX53MFvu7ecWL1XvMuBMC4gO
Na0Pg0TU0opPWLn10PDv0Xr1YLpRvRmrKKIShw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
zDVnhZy4E1e3OP9tU497xmUl33nFyu4HKQVE5eObofuMxmGgfby/SvrhmKykT8NrMGtDeBz1dh1/
jNN2T3aJreiokb9l70PMKrlWgJ6R0+xdwt6Y/OEdOvzF4ZEQgdoKWv6J0FOh2ONqNXdjAsaY1X6h
tstFqFix9OWh7UOdO/U=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
a8kHOuTZginpjHtILcVNhLunRQwOe1ES2keYAvWwbqJ1/dP2HR58Y2qrfgkPfgJOhs1Sz8tBpdaz
3b3GZ3CGFdTkcQ6Np1zFLsz5LxqN9CH8/ss5eAKk+0AxCP/1J5ucoIHvImEp6FKZyoT4MujGdzeu
nXKRv9WouxkemNVX5yz0MldORdKM84AbVTMuB7I3XneDsIcti8nMI9aK3STpjhr4OIItlK0MMUQC
JsGn834Bn/QDrR8OKwbRI5OmH6SQkrQ4i+cj9syMNkoNHD1l6squ/XMbUPmco9memZGKhFlj2mF9
yRU/qY/Z3xn3q5sMyZmsaDVrjwUVDyh9RUxqZg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 46416)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
JbNPBNpXbzuCwqgfHXUo0CKKEKC3Ho/iDaBCfitnTvxhsxRdUSR+0FKa3WYZUyjB2Z1sYIx38tJN
kYcRKuulNw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Xrp9ZJjhK/C3GJtMOsmRzTUEbRl2EBjbyuEuTSHK7VnCStmz9bwb1qqcx42ppFcN4Q+NNJ6flNsF
w+jn1v7FOyUPatbu8FGMX0d+7XgBqyxTKHsKB7a8WxQdj3m7kZ+0Lt8HeEQmDSm1hf92P2UK/lMz
DTJSXRKzQLVDGFy3FhE=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
pUhTZHUcvfC0l0Wv/zVU2R+sEdbuTgRSFqizxBK1jXfPlevnfJLxD3mPcqZUIjfxMcwejwCYoHW9
FUYBs6+JwoKOPVs1+ZtAuD8DD6EmogGPiXZkcv8L6x2RsSmHTS92H6VaLwDblyw/WMIUSUuLdQts
I88fEgHdySUUq6WrYpIXQD0EBJPmKqZJbrFuTtq1PS/qjMol3SEnURJS/dau1Xpd1wWMINfb0/1m
BPYG7W1FMHUQTtD7SXTGmku2M5Y9wTu8Y8ljP+Ge2Ce16NhY+X2ydX53MFvu7ecWL1XvMuBMC4gO
Na0Pg0TU0opPWLn10PDv0Xr1YLpRvRmrKKIShw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
zDVnhZy4E1e3OP9tU497xmUl33nFyu4HKQVE5eObofuMxmGgfby/SvrhmKykT8NrMGtDeBz1dh1/
jNN2T3aJreiokb9l70PMKrlWgJ6R0+xdwt6Y/OEdOvzF4ZEQgdoKWv6J0FOh2ONqNXdjAsaY1X6h
tstFqFix9OWh7UOdO/U=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
a8kHOuTZginpjHtILcVNhLunRQwOe1ES2keYAvWwbqJ1/dP2HR58Y2qrfgkPfgJOhs1Sz8tBpdaz
3b3GZ3CGFdTkcQ6Np1zFLsz5LxqN9CH8/ss5eAKk+0AxCP/1J5ucoIHvImEp6FKZyoT4MujGdzeu
nXKRv9WouxkemNVX5yz0MldORdKM84AbVTMuB7I3XneDsIcti8nMI9aK3STpjhr4OIItlK0MMUQC
JsGn834Bn/QDrR8OKwbRI5OmH6SQkrQ4i+cj9syMNkoNHD1l6squ/XMbUPmco9memZGKhFlj2mF9
yRU/qY/Z3xn3q5sMyZmsaDVrjwUVDyh9RUxqZg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 46416)
`protect data_block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`protect end_protected
|
-- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:axi_gpio:2.0
-- IP Revision: 6
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY axi_gpio_v2_0;
USE axi_gpio_v2_0.axi_gpio;
ENTITY design_1_axi_gpio_0_0 IS
PORT (
s_axi_aclk : IN STD_LOGIC;
s_axi_aresetn : IN STD_LOGIC;
s_axi_awaddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0);
s_axi_awvalid : IN STD_LOGIC;
s_axi_awready : OUT STD_LOGIC;
s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_wvalid : IN STD_LOGIC;
s_axi_wready : OUT STD_LOGIC;
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_bvalid : OUT STD_LOGIC;
s_axi_bready : IN STD_LOGIC;
s_axi_araddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0);
s_axi_arvalid : IN STD_LOGIC;
s_axi_arready : OUT STD_LOGIC;
s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_rvalid : OUT STD_LOGIC;
s_axi_rready : IN STD_LOGIC;
gpio_io_i : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
gpio_io_o : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
gpio_io_t : OUT STD_LOGIC_VECTOR(7 DOWNTO 0)
);
END design_1_axi_gpio_0_0;
ARCHITECTURE design_1_axi_gpio_0_0_arch OF design_1_axi_gpio_0_0 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : string;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF design_1_axi_gpio_0_0_arch: ARCHITECTURE IS "yes";
COMPONENT axi_gpio IS
GENERIC (
C_FAMILY : STRING;
C_S_AXI_ADDR_WIDTH : INTEGER;
C_S_AXI_DATA_WIDTH : INTEGER;
C_GPIO_WIDTH : INTEGER;
C_GPIO2_WIDTH : INTEGER;
C_ALL_INPUTS : INTEGER;
C_ALL_INPUTS_2 : INTEGER;
C_ALL_OUTPUTS : INTEGER;
C_ALL_OUTPUTS_2 : INTEGER;
C_INTERRUPT_PRESENT : INTEGER;
C_DOUT_DEFAULT : STD_LOGIC_VECTOR(31 DOWNTO 0);
C_TRI_DEFAULT : STD_LOGIC_VECTOR(31 DOWNTO 0);
C_IS_DUAL : INTEGER;
C_DOUT_DEFAULT_2 : STD_LOGIC_VECTOR(31 DOWNTO 0);
C_TRI_DEFAULT_2 : STD_LOGIC_VECTOR(31 DOWNTO 0)
);
PORT (
s_axi_aclk : IN STD_LOGIC;
s_axi_aresetn : IN STD_LOGIC;
s_axi_awaddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0);
s_axi_awvalid : IN STD_LOGIC;
s_axi_awready : OUT STD_LOGIC;
s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_wvalid : IN STD_LOGIC;
s_axi_wready : OUT STD_LOGIC;
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_bvalid : OUT STD_LOGIC;
s_axi_bready : IN STD_LOGIC;
s_axi_araddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0);
s_axi_arvalid : IN STD_LOGIC;
s_axi_arready : OUT STD_LOGIC;
s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_rvalid : OUT STD_LOGIC;
s_axi_rready : IN STD_LOGIC;
ip2intc_irpt : OUT STD_LOGIC;
gpio_io_i : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
gpio_io_o : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
gpio_io_t : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
gpio2_io_i : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
gpio2_io_o : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
gpio2_io_t : OUT STD_LOGIC_VECTOR(31 DOWNTO 0)
);
END COMPONENT axi_gpio;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF design_1_axi_gpio_0_0_arch: ARCHITECTURE IS "axi_gpio,Vivado 2014.4";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF design_1_axi_gpio_0_0_arch : ARCHITECTURE IS "design_1_axi_gpio_0_0,axi_gpio,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF design_1_axi_gpio_0_0_arch: ARCHITECTURE IS "design_1_axi_gpio_0_0,axi_gpio,{x_ipProduct=Vivado 2014.4,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=axi_gpio,x_ipVersion=2.0,x_ipCoreRevision=6,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_FAMILY=zynq,C_S_AXI_ADDR_WIDTH=9,C_S_AXI_DATA_WIDTH=32,C_GPIO_WIDTH=8,C_GPIO2_WIDTH=32,C_ALL_INPUTS=0,C_ALL_INPUTS_2=0,C_ALL_OUTPUTS=0,C_ALL_OUTPUTS_2=0,C_INTERRUPT_PRESENT=0,C_DOUT_DEFAULT=0x00000000,C_TRI_DEFAULT=0xFFFFFFFF,C_IS_DUAL=0,C_DOUT_DEFAULT_2=0x00000000,C_TRI_DEFAULT_2=0xFFFFFFFF}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF s_axi_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 S_AXI_ACLK CLK";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 S_AXI_ARESETN RST";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_awaddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWADDR";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_awvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_awready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_wdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WDATA";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_wstrb: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WSTRB";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_wvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_wready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_bresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BRESP";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_bvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_bready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_araddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARADDR";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_arvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_arready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_rdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RDATA";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_rresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RRESP";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_rvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_rready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RREADY";
ATTRIBUTE X_INTERFACE_INFO OF gpio_io_i: SIGNAL IS "xilinx.com:interface:gpio:1.0 GPIO TRI_I";
ATTRIBUTE X_INTERFACE_INFO OF gpio_io_o: SIGNAL IS "xilinx.com:interface:gpio:1.0 GPIO TRI_O";
ATTRIBUTE X_INTERFACE_INFO OF gpio_io_t: SIGNAL IS "xilinx.com:interface:gpio:1.0 GPIO TRI_T";
BEGIN
U0 : axi_gpio
GENERIC MAP (
C_FAMILY => "zynq",
C_S_AXI_ADDR_WIDTH => 9,
C_S_AXI_DATA_WIDTH => 32,
C_GPIO_WIDTH => 8,
C_GPIO2_WIDTH => 32,
C_ALL_INPUTS => 0,
C_ALL_INPUTS_2 => 0,
C_ALL_OUTPUTS => 0,
C_ALL_OUTPUTS_2 => 0,
C_INTERRUPT_PRESENT => 0,
C_DOUT_DEFAULT => X"00000000",
C_TRI_DEFAULT => X"FFFFFFFF",
C_IS_DUAL => 0,
C_DOUT_DEFAULT_2 => X"00000000",
C_TRI_DEFAULT_2 => X"FFFFFFFF"
)
PORT MAP (
s_axi_aclk => s_axi_aclk,
s_axi_aresetn => s_axi_aresetn,
s_axi_awaddr => s_axi_awaddr,
s_axi_awvalid => s_axi_awvalid,
s_axi_awready => s_axi_awready,
s_axi_wdata => s_axi_wdata,
s_axi_wstrb => s_axi_wstrb,
s_axi_wvalid => s_axi_wvalid,
s_axi_wready => s_axi_wready,
s_axi_bresp => s_axi_bresp,
s_axi_bvalid => s_axi_bvalid,
s_axi_bready => s_axi_bready,
s_axi_araddr => s_axi_araddr,
s_axi_arvalid => s_axi_arvalid,
s_axi_arready => s_axi_arready,
s_axi_rdata => s_axi_rdata,
s_axi_rresp => s_axi_rresp,
s_axi_rvalid => s_axi_rvalid,
s_axi_rready => s_axi_rready,
gpio_io_i => gpio_io_i,
gpio_io_o => gpio_io_o,
gpio_io_t => gpio_io_t,
gpio2_io_i => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32))
);
END design_1_axi_gpio_0_0_arch;
|
----------------------------------------------------------------------------------------------
--
-- Input file : gprf.vhd
-- Design name : gprf
-- Author : Tamar Kranenburg
-- Company : Delft University of Technology
-- : Faculty EEMCS, Department ME&CE
-- : Systems and Circuits group
--
-- Description : The general purpose register infers memory blocks to implement
-- the register file. All outputs are registered, possibly by using
-- registered memory elements.
--
----------------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library mblite;
use mblite.config_Pkg.all;
use mblite.core_Pkg.all;
use mblite.std_Pkg.all;
entity gprf is port
(
gprf_o : out gprf_out_type;
gprf_i : in gprf_in_type;
ena_i : in std_logic;
clk_i : in std_logic
);
end gprf;
-- This architecture is the default implementation. It
-- consists of three dual port memories. Other
-- architectures can be added while configurations can
-- control the implemented architecture.
architecture arch of gprf is
signal write : std_logic;
begin
write <= '1' when (gprf_i.wre_i = '1') and (unsigned(gprf_i.adr_w_i) /= 0) else '0';
a : dsram generic map
(
WIDTH => CFG_DMEM_WIDTH,
SIZE => CFG_GPRF_SIZE
)
port map
(
dat_o => gprf_o.dat_a_o,
adr_i => gprf_i.adr_a_i,
ena_i => ena_i,
dat_w_i => gprf_i.dat_w_i,
adr_w_i => gprf_i.adr_w_i,
wre_i => write,
clk_i => clk_i
);
b : dsram generic map
(
WIDTH => CFG_DMEM_WIDTH,
SIZE => CFG_GPRF_SIZE
)
port map
(
dat_o => gprf_o.dat_b_o,
adr_i => gprf_i.adr_b_i,
ena_i => ena_i,
dat_w_i => gprf_i.dat_w_i,
adr_w_i => gprf_i.adr_w_i,
wre_i => write,
clk_i => clk_i
);
d : dsram generic map
(
WIDTH => CFG_DMEM_WIDTH,
SIZE => CFG_GPRF_SIZE
)
port map
(
dat_o => gprf_o.dat_d_o,
adr_i => gprf_i.adr_d_i,
ena_i => ena_i,
dat_w_i => gprf_i.dat_w_i,
adr_w_i => gprf_i.adr_w_i,
wre_i => write,
clk_i => clk_i
);
end arch;
|
----------------------------------------------------------------------------------------------
--
-- Input file : gprf.vhd
-- Design name : gprf
-- Author : Tamar Kranenburg
-- Company : Delft University of Technology
-- : Faculty EEMCS, Department ME&CE
-- : Systems and Circuits group
--
-- Description : The general purpose register infers memory blocks to implement
-- the register file. All outputs are registered, possibly by using
-- registered memory elements.
--
----------------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library mblite;
use mblite.config_Pkg.all;
use mblite.core_Pkg.all;
use mblite.std_Pkg.all;
entity gprf is port
(
gprf_o : out gprf_out_type;
gprf_i : in gprf_in_type;
ena_i : in std_logic;
clk_i : in std_logic
);
end gprf;
-- This architecture is the default implementation. It
-- consists of three dual port memories. Other
-- architectures can be added while configurations can
-- control the implemented architecture.
architecture arch of gprf is
signal write : std_logic;
begin
write <= '1' when (gprf_i.wre_i = '1') and (unsigned(gprf_i.adr_w_i) /= 0) else '0';
a : dsram generic map
(
WIDTH => CFG_DMEM_WIDTH,
SIZE => CFG_GPRF_SIZE
)
port map
(
dat_o => gprf_o.dat_a_o,
adr_i => gprf_i.adr_a_i,
ena_i => ena_i,
dat_w_i => gprf_i.dat_w_i,
adr_w_i => gprf_i.adr_w_i,
wre_i => write,
clk_i => clk_i
);
b : dsram generic map
(
WIDTH => CFG_DMEM_WIDTH,
SIZE => CFG_GPRF_SIZE
)
port map
(
dat_o => gprf_o.dat_b_o,
adr_i => gprf_i.adr_b_i,
ena_i => ena_i,
dat_w_i => gprf_i.dat_w_i,
adr_w_i => gprf_i.adr_w_i,
wre_i => write,
clk_i => clk_i
);
d : dsram generic map
(
WIDTH => CFG_DMEM_WIDTH,
SIZE => CFG_GPRF_SIZE
)
port map
(
dat_o => gprf_o.dat_d_o,
adr_i => gprf_i.adr_d_i,
ena_i => ena_i,
dat_w_i => gprf_i.dat_w_i,
adr_w_i => gprf_i.adr_w_i,
wre_i => write,
clk_i => clk_i
);
end arch;
|
--================================================================================================================================
-- Copyright 2020 Bitvis
-- Licensed under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License.
-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 and in the provided LICENSE.TXT.
--
-- Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on
-- an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-- See the License for the specific language governing permissions and limitations under the License.
--================================================================================================================================
-- Note : Any functionality not explicitly described in the documentation is subject to change at any time
----------------------------------------------------------------------------------------------------------------------------------
------------------------------------------------------------------------------------------
-- Description : See library quick reference (under 'doc') and README-file(s)
------------------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
library uvvm_util;
context uvvm_util.uvvm_util_context;
library uvvm_vvc_framework;
use uvvm_vvc_framework.ti_vvc_framework_support_pkg.all;
library bitvis_vip_sbi;
context bitvis_vip_sbi.vvc_context;
library bitvis_vip_uart;
context bitvis_vip_uart.vvc_context;
library bitvis_vip_spec_cov;
use bitvis_vip_spec_cov.spec_cov_pkg.all;
use bitvis_vip_spec_cov.local_adaptations_pkg.all;
--hdlunit:tb
-- Test bench entity
entity uart_vvc_tb is
generic (GC_TESTCASE : natural := 0);
end entity;
-- Test bench architecture
architecture func of uart_vvc_tb is
-- Assuming that the testbench is run from the sim folder
constant C_REQ_LIST_FILE : string := "../demo/advanced_usage/req_list_advanced_demo.csv";
constant C_PARTIAL_COV_FILE : string := "../sim/partial_cov_advanced_demo_T" & to_string(GC_TESTCASE) & ".csv";
constant C_SCOPE : string := C_TB_SCOPE_DEFAULT;
-- Clock and bit period settings
constant C_CLK_PERIOD : time := 10 ns;
constant C_BIT_PERIOD : time := 16 * C_CLK_PERIOD;
-- Predefined SBI addresses
constant C_ADDR_RX_DATA : unsigned(2 downto 0) := "000";
constant C_ADDR_RX_DATA_VALID : unsigned(2 downto 0) := "001";
constant C_ADDR_TX_DATA : unsigned(2 downto 0) := "010";
constant C_ADDR_TX_READY : unsigned(2 downto 0) := "011";
begin
-----------------------------------------------------------------------------
-- Instantiate test harness, containing DUT and Executors
-----------------------------------------------------------------------------
i_test_harness : entity work.uart_vvc_th;
------------------------------------------------
-- PROCESS: p_main
------------------------------------------------
p_main: process
begin
-- Wait for UVVM to finish initialization
await_uvvm_initialization(VOID);
-- Print the configuration to the log
report_global_ctrl(VOID);
report_msg_id_panel(VOID);
disable_log_msg(ALL_MESSAGES);
enable_log_msg(ID_LOG_HDR);
enable_log_msg(ID_SEQUENCER);
enable_log_msg(ID_FILE_OPEN_CLOSE); -- Enable the Spec Cov IDs
enable_log_msg(ID_FILE_PARSER); -- Enable the Spec Cov IDs
enable_log_msg(ID_SPEC_COV); -- Enable the Spec Cov IDs
disable_log_msg(SBI_VVCT, 1, ALL_MESSAGES);
enable_log_msg(SBI_VVCT, 1, ID_BFM);
disable_log_msg(UART_VVCT, 1, RX, ALL_MESSAGES);
enable_log_msg(UART_VVCT, 1, RX, ID_BFM);
disable_log_msg(UART_VVCT, 1, TX, ALL_MESSAGES);
enable_log_msg(UART_VVCT, 1, TX, ID_BFM);
log(ID_LOG_HDR, "Starting simulation of TB for UART using VVCs", C_SCOPE);
------------------------------------------------------------
log("Wait 10 clock period for reset to be turned off");
wait for (10 * C_CLK_PERIOD); -- for reset to be turned off
log(ID_LOG_HDR, "Configure UART VVC 1", C_SCOPE);
------------------------------------------------------------
shared_uart_vvc_config(RX,1).bfm_config.bit_time := C_BIT_PERIOD;
shared_uart_vvc_config(TX,1).bfm_config.bit_time := C_BIT_PERIOD;
-- If statement to determine which testcase to run
if (GC_TESTCASE = 0) then
log("Starting the requirement coverage process");
initialize_req_cov("T_UART_DEFAULTS", C_REQ_LIST_FILE, C_PARTIAL_COV_FILE);
log(ID_LOG_HDR, "T_UART_DEFAULTS - Check register defaults", C_SCOPE);
------------------------------------------------------------
sbi_check(SBI_VVCT, 1, C_ADDR_RX_DATA, x"00", "RX_DATA default");
await_completion(SBI_VVCT,1, 10 * C_CLK_PERIOD);
-- Log the requirement FPGA_SPEC_1.a after test has completed
tick_off_req_cov("FPGA_SPEC_1.a");
sbi_check(SBI_VVCT, 1, C_ADDR_TX_READY, x"01", "TX_READY default");
await_completion(SBI_VVCT,1, 10 * C_CLK_PERIOD);
-- Log the requirement FPGA_SPEC_1.b after test has completed
tick_off_req_cov("FPGA_SPEC_1.b");
sbi_check(SBI_VVCT, 1, C_ADDR_RX_DATA_VALID, x"00", "RX_DATA_VALID default");
await_completion(SBI_VVCT,1, 10 * C_CLK_PERIOD);
-- Log the requirement FPGA_SPEC_1.c after test has completed
tick_off_req_cov("FPGA_SPEC_1.c");
-- End the requirement coverage process
finalize_req_cov(VOID);
elsif (GC_TESTCASE = 1) then
log("Starting the requirement coverage process");
initialize_req_cov("T_UART_TX", C_REQ_LIST_FILE, C_PARTIAL_COV_FILE);
log(ID_LOG_HDR, "T_UART_TX - Check simple transmit", C_SCOPE);
------------------------------------------------------------
sbi_write(SBI_VVCT,1, C_ADDR_TX_DATA, x"55", "TX_DATA");
uart_expect(UART_VVCT,1,RX, x"55", "Expecting data on UART RX");
await_completion(UART_VVCT,1,RX, 13 * C_BIT_PERIOD);
-- Log the requirement FPGA_SPEC_2 after test has completed
tick_off_req_cov("FPGA_SPEC_2");
wait for 200 ns; -- margin
-- End the requirement coverage process
finalize_req_cov(VOID);
elsif (GC_TESTCASE = 2) then
log("Starting the requirement coverage process");
initialize_req_cov("T_UART_RX", C_REQ_LIST_FILE, C_PARTIAL_COV_FILE);
log(ID_LOG_HDR, "T_UART_RX - Check simple receive", C_SCOPE);
------------------------------------------------------------
uart_transmit(UART_VVCT,1,TX, x"AA", "UART TX");
await_completion(UART_VVCT,1,TX, 13 * C_BIT_PERIOD);
wait for 200 ns; -- margin
sbi_check(SBI_VVCT,1, C_ADDR_RX_DATA, x"AA", "RX_DATA");
await_completion(SBI_VVCT,1, 13 * C_BIT_PERIOD);
-- Log the requirement FPGA_SPEC_3 after test has completed
tick_off_req_cov("FPGA_SPEC_3");
-- End the requirement coverage process
finalize_req_cov(VOID);
elsif (GC_TESTCASE = 3) then
log("Starting the requirement coverage process");
initialize_req_cov("T_UART_SIMULTANEOUS", C_REQ_LIST_FILE, C_PARTIAL_COV_FILE);
log(ID_LOG_HDR, "T_UART_SIMULTANEOUS - Check single simultaneous transmit and receive", C_SCOPE);
------------------------------------------------------------
sbi_write(SBI_VVCT,1, C_ADDR_TX_DATA, x"B4", "TX_DATA");
uart_transmit(UART_VVCT,1,TX, x"87", "UART TX");
uart_expect(UART_VVCT,1,RX, x"B4", "Expecting data on UART RX");
await_completion(UART_VVCT,1,TX, 13 * C_BIT_PERIOD);
wait for 200 ns; -- margin
sbi_check(SBI_VVCT,1, C_ADDR_RX_DATA, x"87", "RX_DATA");
await_completion(SBI_VVCT,1, 13 * C_BIT_PERIOD);
-- Log the requirement FPGA_SPEC_4 after test has completed
tick_off_req_cov("FPGA_SPEC_4");
-- End the requirement coverage process
finalize_req_cov(VOID);
end if;
-----------------------------------------------------------------------------
-- Ending the simulation
-----------------------------------------------------------------------------
wait for 1000 ns; -- to allow some time for completion
report_alert_counters(FINAL); -- Report final counters and print conclusion for simulation (Success/Fail)
log(ID_LOG_HDR, "SIMULATION COMPLETED", C_SCOPE);
-- Finish the simulation
std.env.stop;
wait; -- to stop completely
end process p_main;
end func; |
-------------------------------------------------------------------------------
--
-- SD/MMC Bootloader
--
-- $Id: tb_elem-minimal-c.vhd,v 1.1 2005/02/08 21:09:20 arniml Exp $
--
-------------------------------------------------------------------------------
configuration tb_elem_behav_minimal of tb_elem is
for behav
for dut_b : chip
use configuration work.chip_minimal_c0;
end for;
for card_b : card
use configuration work.card_behav_c0;
end for;
end for;
end tb_elem_behav_minimal;
|
-- Copyright (c) 2016 CERN
-- Maciej Suminski <[email protected]>
--
-- This source code is free software; you can redistribute it
-- and/or modify it in source code form under the terms of the GNU
-- General Public License as published by the Free Software
-- Foundation; either version 2 of the License, or (at your option)
-- any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA 02111-1307, USA
-- Test file_open() function.
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use std.textio.all;
entity vhdl_file_open is
port(active : in std_logic;
ok : out std_logic);
end vhdl_file_open;
architecture test of vhdl_file_open is
begin
process(active)
file ok_file, bad_file : text;
variable ok_status, bad_status : FILE_OPEN_STATUS;
begin
if rising_edge(active) then
file_open(ok_status, ok_file, "ivltests/vhdl_file_open.vhd", read_mode);
file_open(bad_status, bad_file, "not_existing_file", read_mode);
if ok_status = OPEN_OK and bad_status = NAME_ERROR then
ok := '1';
else
ok := '0';
end if;
file_close(ok_file);
end if;
end process;
end test;
|
-- Copyright (c) 2016 CERN
-- Maciej Suminski <[email protected]>
--
-- This source code is free software; you can redistribute it
-- and/or modify it in source code form under the terms of the GNU
-- General Public License as published by the Free Software
-- Foundation; either version 2 of the License, or (at your option)
-- any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA 02111-1307, USA
-- Test file_open() function.
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use std.textio.all;
entity vhdl_file_open is
port(active : in std_logic;
ok : out std_logic);
end vhdl_file_open;
architecture test of vhdl_file_open is
begin
process(active)
file ok_file, bad_file : text;
variable ok_status, bad_status : FILE_OPEN_STATUS;
begin
if rising_edge(active) then
file_open(ok_status, ok_file, "ivltests/vhdl_file_open.vhd", read_mode);
file_open(bad_status, bad_file, "not_existing_file", read_mode);
if ok_status = OPEN_OK and bad_status = NAME_ERROR then
ok := '1';
else
ok := '0';
end if;
file_close(ok_file);
end if;
end process;
end test;
|
-- Copyright 1986-2015 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2015.1 (win64) Build 1215546 Mon Apr 27 19:22:08 MDT 2015
-- Date : Sat Mar 19 19:16:24 2016
-- Host : DESKTOP-5FTSDRT running 64-bit major release (build 9200)
-- Command : write_vhdl -force -mode synth_stub
-- c:/Users/SKL/Desktop/ECE532/repo/decoder_ip_prj/decoder_ip_prj.srcs/sources_1/ip/dcfifo_32in_32out_8kb_cnt/dcfifo_32in_32out_8kb_cnt_stub.vhdl
-- Design : dcfifo_32in_32out_8kb_cnt
-- Purpose : Stub declaration of top-level module interface
-- Device : xc7a100tcsg324-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity dcfifo_32in_32out_8kb_cnt is
Port (
rst : in STD_LOGIC;
wr_clk : in STD_LOGIC;
rd_clk : in STD_LOGIC;
din : in STD_LOGIC_VECTOR ( 31 downto 0 );
wr_en : in STD_LOGIC;
rd_en : in STD_LOGIC;
dout : out STD_LOGIC_VECTOR ( 31 downto 0 );
full : out STD_LOGIC;
empty : out STD_LOGIC;
rd_data_count : out STD_LOGIC_VECTOR ( 0 to 0 )
);
end dcfifo_32in_32out_8kb_cnt;
architecture stub of dcfifo_32in_32out_8kb_cnt is
attribute syn_black_box : boolean;
attribute black_box_pad_pin : string;
attribute syn_black_box of stub : architecture is true;
attribute black_box_pad_pin of stub : architecture is "rst,wr_clk,rd_clk,din[31:0],wr_en,rd_en,dout[31:0],full,empty,rd_data_count[0:0]";
attribute x_core_info : string;
attribute x_core_info of stub : architecture is "fifo_generator_v12_0,Vivado 2015.1";
begin
end;
|
-- Copyright 1986-2015 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2015.1 (win64) Build 1215546 Mon Apr 27 19:22:08 MDT 2015
-- Date : Sat Mar 19 19:16:24 2016
-- Host : DESKTOP-5FTSDRT running 64-bit major release (build 9200)
-- Command : write_vhdl -force -mode synth_stub
-- c:/Users/SKL/Desktop/ECE532/repo/decoder_ip_prj/decoder_ip_prj.srcs/sources_1/ip/dcfifo_32in_32out_8kb_cnt/dcfifo_32in_32out_8kb_cnt_stub.vhdl
-- Design : dcfifo_32in_32out_8kb_cnt
-- Purpose : Stub declaration of top-level module interface
-- Device : xc7a100tcsg324-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity dcfifo_32in_32out_8kb_cnt is
Port (
rst : in STD_LOGIC;
wr_clk : in STD_LOGIC;
rd_clk : in STD_LOGIC;
din : in STD_LOGIC_VECTOR ( 31 downto 0 );
wr_en : in STD_LOGIC;
rd_en : in STD_LOGIC;
dout : out STD_LOGIC_VECTOR ( 31 downto 0 );
full : out STD_LOGIC;
empty : out STD_LOGIC;
rd_data_count : out STD_LOGIC_VECTOR ( 0 to 0 )
);
end dcfifo_32in_32out_8kb_cnt;
architecture stub of dcfifo_32in_32out_8kb_cnt is
attribute syn_black_box : boolean;
attribute black_box_pad_pin : string;
attribute syn_black_box of stub : architecture is true;
attribute black_box_pad_pin of stub : architecture is "rst,wr_clk,rd_clk,din[31:0],wr_en,rd_en,dout[31:0],full,empty,rd_data_count[0:0]";
attribute x_core_info : string;
attribute x_core_info of stub : architecture is "fifo_generator_v12_0,Vivado 2015.1";
begin
end;
|
--
-- Definition of a single port ROM for KCPSM3 program defined by picocode.psm
--
-- Generated by KCPSM3 Assembler 16Aug2015-19:51:29.
--
-- Standard IEEE libraries
--
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
--
-- The Unisim Library is used to define Xilinx primitives. It is also used during
-- simulation. The source can be viewed at %XILINX%\vhdl\src\unisims\unisim_VCOMP.vhd
--
library unisim;
use unisim.vcomponents.all;
--
--
entity picocode is
Port ( address : in std_logic_vector(9 downto 0);
instruction : out std_logic_vector(17 downto 0);
clk : in std_logic);
end picocode;
--
architecture low_level_definition of picocode is
--
-- Attributes to define ROM contents during implementation synthesis.
-- The information is repeated in the generic map for functional simulation
--
attribute INIT_00 : string;
attribute INIT_01 : string;
attribute INIT_02 : string;
attribute INIT_03 : string;
attribute INIT_04 : string;
attribute INIT_05 : string;
attribute INIT_06 : string;
attribute INIT_07 : string;
attribute INIT_08 : string;
attribute INIT_09 : string;
attribute INIT_0A : string;
attribute INIT_0B : string;
attribute INIT_0C : string;
attribute INIT_0D : string;
attribute INIT_0E : string;
attribute INIT_0F : string;
attribute INIT_10 : string;
attribute INIT_11 : string;
attribute INIT_12 : string;
attribute INIT_13 : string;
attribute INIT_14 : string;
attribute INIT_15 : string;
attribute INIT_16 : string;
attribute INIT_17 : string;
attribute INIT_18 : string;
attribute INIT_19 : string;
attribute INIT_1A : string;
attribute INIT_1B : string;
attribute INIT_1C : string;
attribute INIT_1D : string;
attribute INIT_1E : string;
attribute INIT_1F : string;
attribute INIT_20 : string;
attribute INIT_21 : string;
attribute INIT_22 : string;
attribute INIT_23 : string;
attribute INIT_24 : string;
attribute INIT_25 : string;
attribute INIT_26 : string;
attribute INIT_27 : string;
attribute INIT_28 : string;
attribute INIT_29 : string;
attribute INIT_2A : string;
attribute INIT_2B : string;
attribute INIT_2C : string;
attribute INIT_2D : string;
attribute INIT_2E : string;
attribute INIT_2F : string;
attribute INIT_30 : string;
attribute INIT_31 : string;
attribute INIT_32 : string;
attribute INIT_33 : string;
attribute INIT_34 : string;
attribute INIT_35 : string;
attribute INIT_36 : string;
attribute INIT_37 : string;
attribute INIT_38 : string;
attribute INIT_39 : string;
attribute INIT_3A : string;
attribute INIT_3B : string;
attribute INIT_3C : string;
attribute INIT_3D : string;
attribute INIT_3E : string;
attribute INIT_3F : string;
attribute INITP_00 : string;
attribute INITP_01 : string;
attribute INITP_02 : string;
attribute INITP_03 : string;
attribute INITP_04 : string;
attribute INITP_05 : string;
attribute INITP_06 : string;
attribute INITP_07 : string;
--
-- Attributes to define ROM contents during implementation synthesis.
--
attribute INIT_00 of ram_1024_x_18 : label is "001AC0000010001AC0000008001AC0000004001AC0000002001AC0000001C000";
attribute INIT_01 of ram_1024_x_18 : label is "8001000081010100820102004001001AC0000080001AC0000040001AC0000020";
attribute INIT_02 of ram_1024_x_18 : label is "0000000000000000000000000000000000008001A000541B425E541D4100541F";
attribute INIT_03 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_04 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_05 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_06 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_07 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_08 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_09 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_0A of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_0B of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_0C of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_0D of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_0E of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_0F of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_10 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_11 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_12 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_13 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_14 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_15 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_16 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_17 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_18 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_19 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_1A of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_1B of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_1C of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_1D of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_1E of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_1F of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_20 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_21 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_22 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_23 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_24 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_25 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_26 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_27 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_28 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_29 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_2A of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_2B of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_2C of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_2D of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_2E of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_2F of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_30 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_31 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_32 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_33 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_34 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_35 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_36 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_37 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_38 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_39 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_3A of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_3B of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_3C of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_3D of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_3E of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_3F of ram_1024_x_18 : label is "4026000000000000000000000000000000000000000000000000000000000000";
attribute INITP_00 of ram_1024_x_18 : label is "000000000000000000000000000000000000000000003B77444F8E38E38E38E3";
attribute INITP_01 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INITP_02 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INITP_03 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INITP_04 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INITP_05 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INITP_06 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INITP_07 of ram_1024_x_18 : label is "C000000000000000000000000000000000000000000000000000000000000000";
--
begin
--
--Instantiate the Xilinx primitive for a block RAM
ram_1024_x_18: RAMB16_S18
--synthesis translate_off
--INIT values repeated to define contents for functional simulation
generic map ( INIT_00 => X"001AC0000010001AC0000008001AC0000004001AC0000002001AC0000001C000",
INIT_01 => X"8001000081010100820102004001001AC0000080001AC0000040001AC0000020",
INIT_02 => X"0000000000000000000000000000000000008001A000541B425E541D4100541F",
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"4026000000000000000000000000000000000000000000000000000000000000",
INITP_00 => X"000000000000000000000000000000000000000000003B77444F8E38E38E38E3",
INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_07 => X"C000000000000000000000000000000000000000000000000000000000000000")
--synthesis translate_on
port map( DI => "0000000000000000",
DIP => "00",
EN => '1',
WE => '0',
SSR => '0',
CLK => clk,
ADDR => address,
DO => instruction(15 downto 0),
DOP => instruction(17 downto 16));
--
end low_level_definition;
--
------------------------------------------------------------------------------------
--
-- END OF FILE picocode.vhd
--
------------------------------------------------------------------------------------
|
--! @file fir_ea.vhd
--! @brief Pipelined FIR filter
--! @author Scott Teal ([email protected])
--! @date 2013-12-16
--! @copyright
--! Copyright 2013 Richard Scott Teal, Jr.
--!
--! Licensed under the Apache License, Version 2.0 (the "License"); you may not
--! use this file except in compliance with the License. You may obtain a copy
--! of the License at
--!
--! http://www.apache.org/licenses/LICENSE-2.0
--!
--! Unless required by applicable law or agreed to in writing, software
--! distributed under the License is distributed on an "AS IS" BASIS, WITHOUT
--! WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. See the
--! License for the specific language governing permissions and limitations
--! under the License.
--! Standard IEEE library
library ieee;
use ieee.std_logic_1164.all;
use work.fixed_pkg.all;
use work.util_pkg.all;
--! Pipelined FIR filter. Can be configured to be a symmetric filter, and takes
--! in coefficients as it runs. The upper and lower bits of the fixed-point
--! accumulators must be set by the user. The recommended values of these are
--! vendor- and application-dependent, and thus cannot be calculated within this
--! entity.
--!
--! If using the symmetric option, make sure to correctly set whether there is
--! an even or odd **total** number of coefficients. The entity will not function as
--! expected otherwise.
--!
--! Example: 64-tap filter can be described with 32 coefficients if it is
--! symmetric. Set EVEN to true so that the entity knows it was originally
--! a 64-tap filter.
--!
--! Example: 63-tap filter can also be described with 32 coefficients if it is
--! symmetric. Set EVEN to false so that the entity knows it was originally
--! a 63-tap filter, and not a 64-tap.
--!
entity fir is
generic (
SYMMETRIC : boolean := false; --! Symmetric filter
EVEN : boolean := false; --! Even or odd number of total coefficients
UPPER_BIT : integer; --! Upper bit of accumulator
LOWER_BIT : integer --! Lower bit of accumulator
);
port (
clk : in std_logic; --! Clock line
rst : in std_logic; --! Reset line
coeff : in sfixed_vector; --! Coefficient vector
din : in sfixed; --! Data into FIR filter
dout : out sfixed --! Filtered data
);
end entity fir;
architecture rtl of fir is
signal in_line : sfixed_vector(coeff'range)(din'range);
signal delay0 : sfixed_vector(coeff'range)(din'range);
signal coeff_reg : sfixed_vector(coeff'range)(coeff'element'range);
signal mul_reg : sfixed_vector(coeff'range)(UPPER_BIT downto LOWER_BIT);
signal add_reg : sfixed_vector(coeff'range)(UPPER_BIT downto LOWER_BIT);
signal symmetric_delay : sfixed_vector(0 to (coeff'high * 2 + 1))(din'range);
signal symmetric_input : sfixed_vector(coeff'range)(din'range);
signal preadd_reg : sfixed_vector(coeff'range)(
sfixed_high(din'high, din'low, '+', din'high, din'low) downto
sfixed_low(din'high, din'low, '+', din'high, din'low));
begin
pipeline : process(clk,rst)
begin
if rising_edge(clk) then
if rst = '1' then
-- Zero everything out
in_line <= (others => to_sfixed(0,
in_line'element'high, in_line'element'low));
delay0 <= (others => to_sfixed(0,
delay0'element'high, delay0'element'low));
coeff_reg <= (others => to_sfixed(0,
coeff_reg'element'high, coeff_reg'element'low));
mul_reg <= (others => to_sfixed(0,
mul_reg'element'high, mul_reg'element'low));
add_reg <= (others => to_sfixed(0,
add_reg'element'high, add_reg'element'low));
else
for i in coeff'range loop
-- Input data pipeline
if i = 0 then
in_line(i) <= din; -- Feed data in to delay line
else
in_line(i) <= delay0(i-1); -- Build up delay line registers
end if;
delay0(i) <= in_line(i); -- Delay input by 1
coeff_reg(i) <= coeff(i); -- Register all coefficients
-- Multiplier & preadder are different depending on whether the filter
-- is symmetric or not
if SYMMETRIC then
if ((not EVEN) and (i = coeff'high)) then
preadd_reg(i) <= resize(delay0(i),
preadd_reg'element'high,
preadd_reg'element'low);
else
preadd_reg(i) <= delay0(i) + symmetric_input(i);
end if;
-- Multiply data by coefficients
mul_reg(i) <= resize(preadd_reg(i) * coeff_reg(i),
UPPER_BIT, LOWER_BIT);
else
-- Multiply data by coefficients
mul_reg(i) <= resize(delay0(i) * coeff_reg(i),
UPPER_BIT, LOWER_BIT);
end if;
-- Adders
if i = 0 then
-- First adder has no previous adder output
add_reg(i) <= resize(mul_reg(i),
UPPER_BIT, LOWER_BIT);
else
-- Build up adder chain
add_reg(i) <= resize(mul_reg(i) + add_reg(i-1),
UPPER_BIT, LOWER_BIT);
end if;
end loop;
end if;
end if;
end process;
symmetric_delay_pipeline_gen : if SYMMETRIC generate
symmetric_delay_pipeline : process(clk, rst)
begin
if rising_edge(clk) then
if rst = '1' then
symmetric_delay <= (others => to_sfixed(0,
symmetric_delay'element'high,
symmetric_delay'element'low));
symmetric_input <= (others => to_sfixed(0,
symmetric_input'element'high,
symmetric_input'element'low));
else
for i in symmetric_delay'range loop
if i = 0 then
symmetric_delay(i) <= din;
else
symmetric_delay(i) <= symmetric_delay(i - 1);
end if;
end loop;
for i in symmetric_input'range loop
if EVEN then
symmetric_input(i) <= symmetric_delay(symmetric_delay'high);
else
symmetric_input(i) <= symmetric_delay(symmetric_delay'high-1);
end if;
end loop;
end if; -- rst
end if; -- clk
end process; -- pipeline
end generate; -- generate
--! Final output is output from highest adder
dout <= add_reg(add_reg'high(1))(dout'high downto dout'low);
end rtl;
|
-- ==============================================================
-- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC
-- Version: 2014.1
-- Copyright (C) 2014 Xilinx Inc. All rights reserved.
--
-- ==============================================================
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
entity sample_buffer_if_ap_fifo is
generic (
DATA_WIDTH : integer := 32;
ADDR_WIDTH : integer := 16;
DEPTH : integer := 1);
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
if_empty_n : OUT STD_LOGIC;
if_read_ce : IN STD_LOGIC := '1';
if_read : IN STD_LOGIC;
if_dout : OUT STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0);
if_full_n : OUT STD_LOGIC;
if_write_ce : IN STD_LOGIC := '1';
if_write : IN STD_LOGIC;
if_din : IN STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0));
end entity;
architecture rtl of sample_buffer_if_ap_fifo is
type memtype is array (0 to DEPTH - 1) of STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0);
signal mStorage : memtype := (others => (others => '0'));
signal mInPtr : UNSIGNED(ADDR_WIDTH - 1 downto 0) := (others => '0');
signal mOutPtr : UNSIGNED(ADDR_WIDTH - 1 downto 0) := (others => '0');
signal internal_empty_n, internal_full_n : STD_LOGIC;
signal mFlag_nEF_hint : STD_LOGIC := '0'; -- 0: empty hint, 1: full hint
begin
if_dout <= mStorage(CONV_INTEGER(mOutPtr));
if_empty_n <= internal_empty_n;
if_full_n <= internal_full_n;
internal_empty_n <= '0' when mInPtr = mOutPtr and mFlag_nEF_hint = '0' else '1';
internal_full_n <= '0' when mInptr = mOutPtr and mFlag_nEF_hint = '1' else '1';
process (clk, reset)
begin
if reset = '1' then
mInPtr <= (others => '0');
mOutPtr <= (others => '0');
mFlag_nEF_hint <= '0'; -- empty hint
elsif clk'event and clk = '1' then
if if_read_ce = '1' and if_read = '1' and internal_empty_n = '1' then
if (mOutPtr = DEPTH -1) then
mOutPtr <= (others => '0');
mFlag_nEF_hint <= not mFlag_nEF_hint;
else
mOutPtr <= mOutPtr + 1;
end if;
end if;
if if_write_ce = '1' and if_write = '1' and internal_full_n = '1' then
mStorage(CONV_INTEGER(mInPtr)) <= if_din;
if (mInPtr = DEPTH -1) then
mInPtr <= (others => '0');
mFlag_nEF_hint <= not mFlag_nEF_hint;
else
mInPtr <= mInPtr + 1;
end if;
end if;
end if;
end process;
end architecture;
|
-- ==============================================================
-- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC
-- Version: 2014.1
-- Copyright (C) 2014 Xilinx Inc. All rights reserved.
--
-- ==============================================================
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
entity sample_buffer_if_ap_fifo is
generic (
DATA_WIDTH : integer := 32;
ADDR_WIDTH : integer := 16;
DEPTH : integer := 1);
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
if_empty_n : OUT STD_LOGIC;
if_read_ce : IN STD_LOGIC := '1';
if_read : IN STD_LOGIC;
if_dout : OUT STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0);
if_full_n : OUT STD_LOGIC;
if_write_ce : IN STD_LOGIC := '1';
if_write : IN STD_LOGIC;
if_din : IN STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0));
end entity;
architecture rtl of sample_buffer_if_ap_fifo is
type memtype is array (0 to DEPTH - 1) of STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0);
signal mStorage : memtype := (others => (others => '0'));
signal mInPtr : UNSIGNED(ADDR_WIDTH - 1 downto 0) := (others => '0');
signal mOutPtr : UNSIGNED(ADDR_WIDTH - 1 downto 0) := (others => '0');
signal internal_empty_n, internal_full_n : STD_LOGIC;
signal mFlag_nEF_hint : STD_LOGIC := '0'; -- 0: empty hint, 1: full hint
begin
if_dout <= mStorage(CONV_INTEGER(mOutPtr));
if_empty_n <= internal_empty_n;
if_full_n <= internal_full_n;
internal_empty_n <= '0' when mInPtr = mOutPtr and mFlag_nEF_hint = '0' else '1';
internal_full_n <= '0' when mInptr = mOutPtr and mFlag_nEF_hint = '1' else '1';
process (clk, reset)
begin
if reset = '1' then
mInPtr <= (others => '0');
mOutPtr <= (others => '0');
mFlag_nEF_hint <= '0'; -- empty hint
elsif clk'event and clk = '1' then
if if_read_ce = '1' and if_read = '1' and internal_empty_n = '1' then
if (mOutPtr = DEPTH -1) then
mOutPtr <= (others => '0');
mFlag_nEF_hint <= not mFlag_nEF_hint;
else
mOutPtr <= mOutPtr + 1;
end if;
end if;
if if_write_ce = '1' and if_write = '1' and internal_full_n = '1' then
mStorage(CONV_INTEGER(mInPtr)) <= if_din;
if (mInPtr = DEPTH -1) then
mInPtr <= (others => '0');
mFlag_nEF_hint <= not mFlag_nEF_hint;
else
mInPtr <= mInPtr + 1;
end if;
end if;
end if;
end process;
end architecture;
|
library ieee;
use ieee.std_logic_1164.all;
use work.utils_pkg.all;
entity fractional_clock_divider_tb is
end fractional_clock_divider_tb;
architecture tb of fractional_clock_divider_tb is
signal clk : std_logic := '0';
signal output : std_logic;
begin
clk <= not clk after 10 NS; -- 50 Mhz clock
uut : fractional_clock_divider
generic map (
MUL => 41,
DIV => 31250)
port map(
clk_out_p => output,
clk => clk);
end tb;
|
library ieee;
use ieee.std_logic_1164.all;
library ieee;
use ieee.numeric_std.all;
entity add_382 is
port (
result : out std_logic_vector(31 downto 0);
in_a : in std_logic_vector(31 downto 0);
in_b : in std_logic_vector(31 downto 0)
);
end add_382;
architecture augh of add_382 is
signal carry_inA : std_logic_vector(33 downto 0);
signal carry_inB : std_logic_vector(33 downto 0);
signal carry_res : std_logic_vector(33 downto 0);
begin
-- To handle the CI input, the operation is '1' + CI
-- If CI is not present, the operation is '1' + '0'
carry_inA <= '0' & in_a & '1';
carry_inB <= '0' & in_b & '0';
-- Compute the result
carry_res <= std_logic_vector(unsigned(carry_inA) + unsigned(carry_inB));
-- Set the outputs
result <= carry_res(32 downto 1);
end architecture;
|
library ieee;
use ieee.std_logic_1164.all;
library ieee;
use ieee.numeric_std.all;
entity add_382 is
port (
result : out std_logic_vector(31 downto 0);
in_a : in std_logic_vector(31 downto 0);
in_b : in std_logic_vector(31 downto 0)
);
end add_382;
architecture augh of add_382 is
signal carry_inA : std_logic_vector(33 downto 0);
signal carry_inB : std_logic_vector(33 downto 0);
signal carry_res : std_logic_vector(33 downto 0);
begin
-- To handle the CI input, the operation is '1' + CI
-- If CI is not present, the operation is '1' + '0'
carry_inA <= '0' & in_a & '1';
carry_inB <= '0' & in_b & '0';
-- Compute the result
carry_res <= std_logic_vector(unsigned(carry_inA) + unsigned(carry_inB));
-- Set the outputs
result <= carry_res(32 downto 1);
end architecture;
|
----------------------------------------------------------------------------------
-- Company: The Most Awesome Mad Scientist Ever
-- Engineer: Rongcui Dong
--
-- Create Date: 06/29/2017 07:16:10 PM
-- Design Name:
-- Module Name: BRAM
-- Project Name:
-- Target Devices:
-- Tool Versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx leaf cells in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
-- This is a single port BRAM
entity BRAM_SP is
generic ( WIDTH : integer := 32;
DEPTH : integer := 1024;
DEPTH_LOG : integer := 10
);
port (
clk : in std_logic;
we : in std_logic;
en : in std_logic;
addr : in std_logic_vector(DEPTH_LOG-1 downto 0);
di : in std_logic_vector(WIDTH-1 downto 0);
do : out std_logic_vector(WIDTH-1 downto 0)
);
end BRAM_SP;
-- This is a write first memory which should be inferred as a BRAM
architecture syn of BRAM_SP is
type ram_type is array(DEPTH-1 downto 0) of std_logic_vector (WIDTH-1 downto 0);
signal data: ram_type;
begin
process (clk)
begin
if clk'event and clk = '1' then
if en = '1' then
if we = '1' then
data(to_integer(unsigned(addr))) <= di;
do <= di;
else
do <= data(to_integer(unsigned(addr)));
end if;
end if;
end if;
end process;
end syn;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
use IEEE.NUMERIC_STD.ALL;
-- This is a dual port BRAM
entity BRAM_DP is
generic ( WIDTH : integer := 32;
DEPTH : integer := 1024;
DEPTH_LOG : integer := 10
);
port (
clk : in std_logic;
awe : in std_logic;
aen : in std_logic;
aaddr : in std_logic_vector(DEPTH_LOG-1 downto 0);
adi : in std_logic_vector(WIDTH-1 downto 0);
ado : out std_logic_vector(WIDTH-1 downto 0);
bwe : in std_logic;
ben : in std_logic;
baddr : in std_logic_vector(DEPTH_LOG-1 downto 0);
bdi : in std_logic_vector(WIDTH-1 downto 0);
bdo : out std_logic_vector(WIDTH-1 downto 0)
);
end BRAM_DP;
architecture syn of BRAM_DP is
type ram_type is array(DEPTH-1 downto 0) of std_logic_vector (WIDTH-1 downto 0);
signal RAM: ram_type;
signal read_addra, read_addrb : std_logic_vector(DEPTH_LOG-1 downto 0);
begin
process (clk)
begin
if (clk'event and clk = '1') then
if (aen = '1') then
if (awe = '1') then
RAM(to_integer(unsigned(aaddr))) <= adi;
end if;
read_addra <= aaddr;
end if;
if (ben = '1') then
if (bwe = '1') then
RAM(to_integer(unsigned(baddr))) <= bdi;
end if;
read_addrb <= baddr;
end if;
end if;
end process;
ado <= RAM(to_integer(unsigned(read_addra)));
bdo <= RAM(to_integer(unsigned(read_addrb)));
end architecture syn;
-- architecture syn of BRAM_DP is
-- type ram_type is array(DEPTH-1 downto 0) of std_logic_vector (WIDTH-1 downto 0);
-- signal data: ram_type;
-- begin
-- portA : process (clk)
-- begin
-- if clk'event and clk = '1' then
-- if aen = '1' then
-- if awe = '1' then
-- data(to_integer(unsigned(aaddr))) <= adi;
-- ado <= adi;
-- else
-- ado <= data(to_integer(unsigned(aaddr)));
-- end if;
-- end if;
-- end if;
-- end process portA;
-- portB : process (clk)
-- begin
-- if clk'event and clk = '1' then
-- if ben = '1' then
-- if bwe = '1' then
-- data(to_integer(unsigned(baddr))) <= bdi;
-- bdo <= bdi;
-- else
-- bdo <= data(to_integer(unsigned(baddr)));
-- end if;
-- end if;
-- end if;
-- end process portB;
-- end architecture syn;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1978.vhd,v 1.2 2001-10-26 16:29:44 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c07s02b02x00p01n02i01978ent IS
END c07s02b02x00p01n02i01978ent;
ARCHITECTURE c07s02b02x00p01n02i01978arch OF c07s02b02x00p01n02i01978ent IS
-- architecture declaration section
BEGIN
-- architecture statement part
TESTING: PROCESS
BEGIN
-- testcase code
Assert FALSE
Report "***PASSED TEST: c07s02b02x00p01n02i01978"
Severity NOTE;
-- testcase code
Assert FALSE
Report "***FAILED TEST: c07s02b02x00p01n02i01978"
Severity ERROR;
wait; -- forever
END PROCESS TESTING;
END c07s02b02x00p01n02i01978arch;
-- CONFIGURATION c07s02b02x00p01n02i01978cfg OF c07s02b02x00p01n02i01978ent IS
-- FOR c07s02b02x00p01n02i01978arch
-- END FOR;
-- END c07s02b02x00p01n02i01978cfg;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1978.vhd,v 1.2 2001-10-26 16:29:44 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c07s02b02x00p01n02i01978ent IS
END c07s02b02x00p01n02i01978ent;
ARCHITECTURE c07s02b02x00p01n02i01978arch OF c07s02b02x00p01n02i01978ent IS
-- architecture declaration section
BEGIN
-- architecture statement part
TESTING: PROCESS
BEGIN
-- testcase code
Assert FALSE
Report "***PASSED TEST: c07s02b02x00p01n02i01978"
Severity NOTE;
-- testcase code
Assert FALSE
Report "***FAILED TEST: c07s02b02x00p01n02i01978"
Severity ERROR;
wait; -- forever
END PROCESS TESTING;
END c07s02b02x00p01n02i01978arch;
-- CONFIGURATION c07s02b02x00p01n02i01978cfg OF c07s02b02x00p01n02i01978ent IS
-- FOR c07s02b02x00p01n02i01978arch
-- END FOR;
-- END c07s02b02x00p01n02i01978cfg;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1978.vhd,v 1.2 2001-10-26 16:29:44 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c07s02b02x00p01n02i01978ent IS
END c07s02b02x00p01n02i01978ent;
ARCHITECTURE c07s02b02x00p01n02i01978arch OF c07s02b02x00p01n02i01978ent IS
-- architecture declaration section
BEGIN
-- architecture statement part
TESTING: PROCESS
BEGIN
-- testcase code
Assert FALSE
Report "***PASSED TEST: c07s02b02x00p01n02i01978"
Severity NOTE;
-- testcase code
Assert FALSE
Report "***FAILED TEST: c07s02b02x00p01n02i01978"
Severity ERROR;
wait; -- forever
END PROCESS TESTING;
END c07s02b02x00p01n02i01978arch;
-- CONFIGURATION c07s02b02x00p01n02i01978cfg OF c07s02b02x00p01n02i01978ent IS
-- FOR c07s02b02x00p01n02i01978arch
-- END FOR;
-- END c07s02b02x00p01n02i01978cfg;
|
--
-------------------------------------------------------------------------------------------
-- Copyright © 2010-2013, Xilinx, Inc.
-- This file contains confidential and proprietary information of Xilinx, Inc. and is
-- protected under U.S. and international copyright and other intellectual property laws.
-------------------------------------------------------------------------------------------
--
-- Disclaimer:
-- This disclaimer is not a license and does not grant any rights to the materials
-- distributed herewith. Except as otherwise provided in a valid license issued to
-- you by Xilinx, and to the maximum extent permitted by applicable law: (1) THESE
-- MATERIALS ARE MADE AVAILABLE "AS IS" AND WITH ALL FAULTS, AND XILINX HEREBY
-- DISCLAIMS ALL WARRANTIES AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY,
-- INCLUDING BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-INFRINGEMENT,
-- OR FITNESS FOR ANY PARTICULAR PURPOSE; and (2) Xilinx shall not be liable
-- (whether in contract or tort, including negligence, or under any other theory
-- of liability) for any loss or damage of any kind or nature related to, arising
-- under or in connection with these materials, including for any direct, or any
-- indirect, special, incidental, or consequential loss or damage (including loss
-- of data, profits, goodwill, or any type of loss or damage suffered as a result
-- of any action brought by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-safe, or for use in any
-- application requiring fail-safe performance, such as life-support or safety
-- devices or systems, Class III medical devices, nuclear facilities, applications
-- related to the deployment of airbags, or any other applications that could lead
-- to death, personal injury, or severe property or environmental damage
-- (individually and collectively, "Critical Applications"). Customer assumes the
-- sole risk and liability of any use of Xilinx products in Critical Applications,
-- subject only to applicable laws and regulations governing limitations on product
-- liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS PART OF THIS FILE AT ALL TIMES.
--
-------------------------------------------------------------------------------------------
--
ROM_form.vhd
Production template for a 1K program for KCPSM6 in a 7-Series device using a
RAMB18E1 primitive.
Ken Chapman (Xilinx Ltd)
5th August 2011 - First Release
14th March 2013 - Unused address inputs on BRAMs connected High to reflect
descriptions UG473.
This is a VHDL template file for the KCPSM6 assembler.
This VHDL file is not valid as input directly into a synthesis or a simulation tool.
The assembler will read this template and insert the information required to complete
the definition of program ROM and write it out to a new '.vhd' file that is ready for
synthesis and simulation.
This template can be modified to define alternative memory definitions. However, you are
responsible for ensuring the template is correct as the assembler does not perform any
checking of the VHDL.
The assembler identifies all text enclosed by {} characters, and replaces these
character strings. All templates should include these {} character strings for
the assembler to work correctly.
The next line is used to determine where the template actually starts.
{begin template}
--
-------------------------------------------------------------------------------------------
-- Copyright © 2010-2013, Xilinx, Inc.
-- This file contains confidential and proprietary information of Xilinx, Inc. and is
-- protected under U.S. and international copyright and other intellectual property laws.
-------------------------------------------------------------------------------------------
--
-- Disclaimer:
-- This disclaimer is not a license and does not grant any rights to the materials
-- distributed herewith. Except as otherwise provided in a valid license issued to
-- you by Xilinx, and to the maximum extent permitted by applicable law: (1) THESE
-- MATERIALS ARE MADE AVAILABLE "AS IS" AND WITH ALL FAULTS, AND XILINX HEREBY
-- DISCLAIMS ALL WARRANTIES AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY,
-- INCLUDING BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-INFRINGEMENT,
-- OR FITNESS FOR ANY PARTICULAR PURPOSE; and (2) Xilinx shall not be liable
-- (whether in contract or tort, including negligence, or under any other theory
-- of liability) for any loss or damage of any kind or nature related to, arising
-- under or in connection with these materials, including for any direct, or any
-- indirect, special, incidental, or consequential loss or damage (including loss
-- of data, profits, goodwill, or any type of loss or damage suffered as a result
-- of any action brought by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-safe, or for use in any
-- application requiring fail-safe performance, such as life-support or safety
-- devices or systems, Class III medical devices, nuclear facilities, applications
-- related to the deployment of airbags, or any other applications that could lead
-- to death, personal injury, or severe property or environmental damage
-- (individually and collectively, "Critical Applications"). Customer assumes the
-- sole risk and liability of any use of Xilinx products in Critical Applications,
-- subject only to applicable laws and regulations governing limitations on product
-- liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS PART OF THIS FILE AT ALL TIMES.
--
-------------------------------------------------------------------------------------------
--
--
-- Production definition of a 1K program for KCPSM6 in a 7-Series device using a
-- RAMB18E1 primitive.
--
-- Note: The complete 12-bit address bus is connected to KCPSM6 to facilitate future code
-- expansion with minimum changes being required to the hardware description.
-- Only the lower 10-bits of the address are actually used for the 1K address range
-- 000 to 3FF hex.
--
-- Program defined by '{psmname}.psm'.
--
-- Generated by KCPSM6 Assembler: {timestamp}.
--
-- Assembler used ROM_form template: ROM_form_7S_1K_14March13.vhd
--
--
-- Standard IEEE libraries
--
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
--
-- The Unisim Library is used to define Xilinx primitives. It is also used during
-- simulation. The source can be viewed at %XILINX%\vhdl\src\unisims\unisim_VCOMP.vhd
--
library unisim;
use unisim.vcomponents.all;
--
--
entity {name} is
Port ( address : in std_logic_vector(11 downto 0);
instruction : out std_logic_vector(17 downto 0);
enable : in std_logic;
clk : in std_logic);
end {name};
--
architecture low_level_definition of {name} is
--
signal address_a : std_logic_vector(13 downto 0);
signal data_in_a : std_logic_vector(17 downto 0);
signal data_out_a : std_logic_vector(17 downto 0);
signal address_b : std_logic_vector(13 downto 0);
signal data_in_b : std_logic_vector(17 downto 0);
signal data_out_b : std_logic_vector(17 downto 0);
signal enable_b : std_logic;
signal clk_b : std_logic;
signal we_b : std_logic_vector(3 downto 0);
--
begin
--
address_a <= address(9 downto 0) & "1111";
instruction <= data_out_a(17 downto 0);
data_in_a <= "0000000000000000" & address(11 downto 10);
--
address_b <= "11111111111111";
data_in_b <= data_out_b(17 downto 0);
enable_b <= '0';
we_b <= "0000";
clk_b <= '0';
--
--
--
kcpsm6_rom: RAMB18E1
generic map ( READ_WIDTH_A => 18,
WRITE_WIDTH_A => 18,
DOA_REG => 0,
INIT_A => "000000000000000000",
RSTREG_PRIORITY_A => "REGCE",
SRVAL_A => X"000000000000000000",
WRITE_MODE_A => "WRITE_FIRST",
READ_WIDTH_B => 18,
WRITE_WIDTH_B => 18,
DOB_REG => 0,
INIT_B => X"000000000000000000",
RSTREG_PRIORITY_B => "REGCE",
SRVAL_B => X"000000000000000000",
WRITE_MODE_B => "WRITE_FIRST",
INIT_FILE => "NONE",
SIM_COLLISION_CHECK => "ALL",
RAM_MODE => "TDP",
RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE",
SIM_DEVICE => "7SERIES",
INIT_00 => X"{INIT_00}",
INIT_01 => X"{INIT_01}",
INIT_02 => X"{INIT_02}",
INIT_03 => X"{INIT_03}",
INIT_04 => X"{INIT_04}",
INIT_05 => X"{INIT_05}",
INIT_06 => X"{INIT_06}",
INIT_07 => X"{INIT_07}",
INIT_08 => X"{INIT_08}",
INIT_09 => X"{INIT_09}",
INIT_0A => X"{INIT_0A}",
INIT_0B => X"{INIT_0B}",
INIT_0C => X"{INIT_0C}",
INIT_0D => X"{INIT_0D}",
INIT_0E => X"{INIT_0E}",
INIT_0F => X"{INIT_0F}",
INIT_10 => X"{INIT_10}",
INIT_11 => X"{INIT_11}",
INIT_12 => X"{INIT_12}",
INIT_13 => X"{INIT_13}",
INIT_14 => X"{INIT_14}",
INIT_15 => X"{INIT_15}",
INIT_16 => X"{INIT_16}",
INIT_17 => X"{INIT_17}",
INIT_18 => X"{INIT_18}",
INIT_19 => X"{INIT_19}",
INIT_1A => X"{INIT_1A}",
INIT_1B => X"{INIT_1B}",
INIT_1C => X"{INIT_1C}",
INIT_1D => X"{INIT_1D}",
INIT_1E => X"{INIT_1E}",
INIT_1F => X"{INIT_1F}",
INIT_20 => X"{INIT_20}",
INIT_21 => X"{INIT_21}",
INIT_22 => X"{INIT_22}",
INIT_23 => X"{INIT_23}",
INIT_24 => X"{INIT_24}",
INIT_25 => X"{INIT_25}",
INIT_26 => X"{INIT_26}",
INIT_27 => X"{INIT_27}",
INIT_28 => X"{INIT_28}",
INIT_29 => X"{INIT_29}",
INIT_2A => X"{INIT_2A}",
INIT_2B => X"{INIT_2B}",
INIT_2C => X"{INIT_2C}",
INIT_2D => X"{INIT_2D}",
INIT_2E => X"{INIT_2E}",
INIT_2F => X"{INIT_2F}",
INIT_30 => X"{INIT_30}",
INIT_31 => X"{INIT_31}",
INIT_32 => X"{INIT_32}",
INIT_33 => X"{INIT_33}",
INIT_34 => X"{INIT_34}",
INIT_35 => X"{INIT_35}",
INIT_36 => X"{INIT_36}",
INIT_37 => X"{INIT_37}",
INIT_38 => X"{INIT_38}",
INIT_39 => X"{INIT_39}",
INIT_3A => X"{INIT_3A}",
INIT_3B => X"{INIT_3B}",
INIT_3C => X"{INIT_3C}",
INIT_3D => X"{INIT_3D}",
INIT_3E => X"{INIT_3E}",
INIT_3F => X"{INIT_3F}",
INITP_00 => X"{INITP_00}",
INITP_01 => X"{INITP_01}",
INITP_02 => X"{INITP_02}",
INITP_03 => X"{INITP_03}",
INITP_04 => X"{INITP_04}",
INITP_05 => X"{INITP_05}",
INITP_06 => X"{INITP_06}",
INITP_07 => X"{INITP_07}")
port map( ADDRARDADDR => address_a,
ENARDEN => enable,
CLKARDCLK => clk,
DOADO => data_out_a(15 downto 0),
DOPADOP => data_out_a(17 downto 16),
DIADI => data_in_a(15 downto 0),
DIPADIP => data_in_a(17 downto 16),
WEA => "00",
REGCEAREGCE => '0',
RSTRAMARSTRAM => '0',
RSTREGARSTREG => '0',
ADDRBWRADDR => address_b,
ENBWREN => enable_b,
CLKBWRCLK => clk_b,
DOBDO => data_out_b(15 downto 0),
DOPBDOP => data_out_b(17 downto 16),
DIBDI => data_in_b(15 downto 0),
DIPBDIP => data_in_b(17 downto 16),
WEBWE => we_b,
REGCEB => '0',
RSTRAMB => '0',
RSTREGB => '0');
--
--
end low_level_definition;
--
------------------------------------------------------------------------------------
--
-- END OF FILE {name}.vhd
--
------------------------------------------------------------------------------------
|
-- IT Tijuana, NetList-FPGA-Optimizer 0.01 (printed on 2016-05-12.09:06:03)
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.all;
USE IEEE.NUMERIC_STD.all;
ENTITY hal_wsga_entity IS
PORT (
reset, clk: IN std_logic;
input1, input2, input3, input4, input5: IN unsigned(0 TO 3);
output1, output2, output3: OUT unsigned(0 TO 4));
END hal_wsga_entity;
ARCHITECTURE hal_wsga_description OF hal_wsga_entity IS
SIGNAL current_state : unsigned(0 TO 7) := "00000000";
SHARED VARIABLE register1: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register2: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register3: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register4: unsigned(0 TO 4) := "00000";
BEGIN
moore_machine: PROCESS(clk, reset)
BEGIN
IF reset = '0' THEN
current_state <= "00000000";
ELSIF clk = '1' AND clk'event THEN
IF current_state < 4 THEN
current_state <= current_state + 1;
END IF;
END IF;
END PROCESS moore_machine;
operations: PROCESS(current_state)
BEGIN
CASE current_state IS
WHEN "00000001" =>
register1 := input1 * 1;
register2 := input2 * 2;
WHEN "00000010" =>
register1 := register1 * register2;
register2 := input3 * 3;
register3 := input4 + 4;
WHEN "00000011" =>
register2 := register2 * 6;
register1 := register1 - 8;
register4 := input5 * 9;
IF (register3 < 10) THEN
output1 <= register3;
ELSE
output1 <= "01010";
END IF;
WHEN "00000100" =>
output2 <= register4 + 11;
output3 <= register1 - register2;
WHEN OTHERS =>
NULL;
END CASE;
END PROCESS operations;
END hal_wsga_description; |
package CORE is
type STATUS_TYPE is record
error_count : integer;
end record;
end CORE;
library WORK;
use WORK.CORE.STATUS_TYPE;
entity TEST_1 is
generic (
EXP_STATUS : STATUS_TYPE
);
end TEST_1;
architecture MODEL of TEST_1 is
begin
end MODEL;
library WORK;
use WORK.CORE.STATUS_TYPE;
entity TEST_1_1 is
end TEST_1_1;
architecture MODEL of TEST_1_1 is
constant EXP_STATUS : STATUS_TYPE := (error_count => 0);
component TEST_1
generic (
EXP_STATUS : STATUS_TYPE
);
end component;
begin
U: TEST_1 generic map(EXP_STATUS);
end MODEL;
|
-- -------------------------------------------------------------
--
-- Generated Configuration for ent_aa
--
-- Generated
-- by: wig
-- on: Sat Mar 3 18:34:21 2007
-- cmd: /home/wig/work/MIX/mix_0.pl ../../bitsplice.xls
--
-- !!! Do not edit this file! Autogenerated by MIX !!!
-- $Author: wig $
-- $Id: ent_aa-rtl-conf-c.vhd,v 1.1 2007/03/05 10:01:23 wig Exp $
-- $Date: 2007/03/05 10:01:23 $
-- $Log: ent_aa-rtl-conf-c.vhd,v $
-- Revision 1.1 2007/03/05 10:01:23 wig
-- Create all lowercase filenames since 2007-03-03
--
--
-- Based on Mix Entity Template built into RCSfile: MixWriter.pm,v
-- Id: MixWriter.pm,v 1.104 2007/03/03 17:24:06 wig Exp
--
-- Generator: mix_0.pl Version: Revision: 1.47 , [email protected]
-- (C) 2003,2005 Micronas GmbH
--
-- --------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
-- No project specific VHDL libraries/conf
--
-- Start of Generated Configuration ent_aa_RTL_CONF / ent_aa
--
configuration ent_aa_RTL_CONF of ent_aa is
for rtl
-- Generated Configuration
end for;
end ent_aa_RTL_CONF;
--
-- End of Generated Configuration ent_aa_RTL_CONF
--
--
--!End of Configuration/ies
-- --------------------------------------------------------------
|
library ieee;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity ucecho is
port(
pc : in unsigned(7 downto 0);
pb : out unsigned(7 downto 0);
CLK : in std_logic
);
end ucecho;
architecture RTL of ucecho is
--signal declaration
signal pb_buf : unsigned(7 downto 0);
begin
dpUCECHO: process(CLK)
begin
if CLK' event and CLK = '1' then
if ( pc >= 97 ) and ( pc <= 122)
then
pb_buf <= pc - 32;
else
pb_buf <= pc;
end if;
pb <= pb_buf;
end if;
end process dpUCECHO;
end RTL;
|
library ieee;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity ucecho is
port(
pc : in unsigned(7 downto 0);
pb : out unsigned(7 downto 0);
CLK : in std_logic
);
end ucecho;
architecture RTL of ucecho is
--signal declaration
signal pb_buf : unsigned(7 downto 0);
begin
dpUCECHO: process(CLK)
begin
if CLK' event and CLK = '1' then
if ( pc >= 97 ) and ( pc <= 122)
then
pb_buf <= pc - 32;
else
pb_buf <= pc;
end if;
pb <= pb_buf;
end if;
end process dpUCECHO;
end RTL;
|
library ieee;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity ucecho is
port(
pc : in unsigned(7 downto 0);
pb : out unsigned(7 downto 0);
CLK : in std_logic
);
end ucecho;
architecture RTL of ucecho is
--signal declaration
signal pb_buf : unsigned(7 downto 0);
begin
dpUCECHO: process(CLK)
begin
if CLK' event and CLK = '1' then
if ( pc >= 97 ) and ( pc <= 122)
then
pb_buf <= pc - 32;
else
pb_buf <= pc;
end if;
pb <= pb_buf;
end if;
end process dpUCECHO;
end RTL;
|
library ieee;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity ucecho is
port(
pc : in unsigned(7 downto 0);
pb : out unsigned(7 downto 0);
CLK : in std_logic
);
end ucecho;
architecture RTL of ucecho is
--signal declaration
signal pb_buf : unsigned(7 downto 0);
begin
dpUCECHO: process(CLK)
begin
if CLK' event and CLK = '1' then
if ( pc >= 97 ) and ( pc <= 122)
then
pb_buf <= pc - 32;
else
pb_buf <= pc;
end if;
pb <= pb_buf;
end if;
end process dpUCECHO;
end RTL;
|
library ieee;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity ucecho is
port(
pc : in unsigned(7 downto 0);
pb : out unsigned(7 downto 0);
CLK : in std_logic
);
end ucecho;
architecture RTL of ucecho is
--signal declaration
signal pb_buf : unsigned(7 downto 0);
begin
dpUCECHO: process(CLK)
begin
if CLK' event and CLK = '1' then
if ( pc >= 97 ) and ( pc <= 122)
then
pb_buf <= pc - 32;
else
pb_buf <= pc;
end if;
pb <= pb_buf;
end if;
end process dpUCECHO;
end RTL;
|
library ieee;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity ucecho is
port(
pc : in unsigned(7 downto 0);
pb : out unsigned(7 downto 0);
CLK : in std_logic
);
end ucecho;
architecture RTL of ucecho is
--signal declaration
signal pb_buf : unsigned(7 downto 0);
begin
dpUCECHO: process(CLK)
begin
if CLK' event and CLK = '1' then
if ( pc >= 97 ) and ( pc <= 122)
then
pb_buf <= pc - 32;
else
pb_buf <= pc;
end if;
pb <= pb_buf;
end if;
end process dpUCECHO;
end RTL;
|
library ieee;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity ucecho is
port(
pc : in unsigned(7 downto 0);
pb : out unsigned(7 downto 0);
CLK : in std_logic
);
end ucecho;
architecture RTL of ucecho is
--signal declaration
signal pb_buf : unsigned(7 downto 0);
begin
dpUCECHO: process(CLK)
begin
if CLK' event and CLK = '1' then
if ( pc >= 97 ) and ( pc <= 122)
then
pb_buf <= pc - 32;
else
pb_buf <= pc;
end if;
pb <= pb_buf;
end if;
end process dpUCECHO;
end RTL;
|
library ieee;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity ucecho is
port(
pc : in unsigned(7 downto 0);
pb : out unsigned(7 downto 0);
CLK : in std_logic
);
end ucecho;
architecture RTL of ucecho is
--signal declaration
signal pb_buf : unsigned(7 downto 0);
begin
dpUCECHO: process(CLK)
begin
if CLK' event and CLK = '1' then
if ( pc >= 97 ) and ( pc <= 122)
then
pb_buf <= pc - 32;
else
pb_buf <= pc;
end if;
pb <= pb_buf;
end if;
end process dpUCECHO;
end RTL;
|
library ieee;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity ucecho is
port(
pc : in unsigned(7 downto 0);
pb : out unsigned(7 downto 0);
CLK : in std_logic
);
end ucecho;
architecture RTL of ucecho is
--signal declaration
signal pb_buf : unsigned(7 downto 0);
begin
dpUCECHO: process(CLK)
begin
if CLK' event and CLK = '1' then
if ( pc >= 97 ) and ( pc <= 122)
then
pb_buf <= pc - 32;
else
pb_buf <= pc;
end if;
pb <= pb_buf;
end if;
end process dpUCECHO;
end RTL;
|
library ieee;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity ucecho is
port(
pc : in unsigned(7 downto 0);
pb : out unsigned(7 downto 0);
CLK : in std_logic
);
end ucecho;
architecture RTL of ucecho is
--signal declaration
signal pb_buf : unsigned(7 downto 0);
begin
dpUCECHO: process(CLK)
begin
if CLK' event and CLK = '1' then
if ( pc >= 97 ) and ( pc <= 122)
then
pb_buf <= pc - 32;
else
pb_buf <= pc;
end if;
pb <= pb_buf;
end if;
end process dpUCECHO;
end RTL;
|
library ieee;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity ucecho is
port(
pc : in unsigned(7 downto 0);
pb : out unsigned(7 downto 0);
CLK : in std_logic
);
end ucecho;
architecture RTL of ucecho is
--signal declaration
signal pb_buf : unsigned(7 downto 0);
begin
dpUCECHO: process(CLK)
begin
if CLK' event and CLK = '1' then
if ( pc >= 97 ) and ( pc <= 122)
then
pb_buf <= pc - 32;
else
pb_buf <= pc;
end if;
pb <= pb_buf;
end if;
end process dpUCECHO;
end RTL;
|
library ieee;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity ucecho is
port(
pc : in unsigned(7 downto 0);
pb : out unsigned(7 downto 0);
CLK : in std_logic
);
end ucecho;
architecture RTL of ucecho is
--signal declaration
signal pb_buf : unsigned(7 downto 0);
begin
dpUCECHO: process(CLK)
begin
if CLK' event and CLK = '1' then
if ( pc >= 97 ) and ( pc <= 122)
then
pb_buf <= pc - 32;
else
pb_buf <= pc;
end if;
pb <= pb_buf;
end if;
end process dpUCECHO;
end RTL;
|
library ieee;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity ucecho is
port(
pc : in unsigned(7 downto 0);
pb : out unsigned(7 downto 0);
CLK : in std_logic
);
end ucecho;
architecture RTL of ucecho is
--signal declaration
signal pb_buf : unsigned(7 downto 0);
begin
dpUCECHO: process(CLK)
begin
if CLK' event and CLK = '1' then
if ( pc >= 97 ) and ( pc <= 122)
then
pb_buf <= pc - 32;
else
pb_buf <= pc;
end if;
pb <= pb_buf;
end if;
end process dpUCECHO;
end RTL;
|
library ieee;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity ucecho is
port(
pc : in unsigned(7 downto 0);
pb : out unsigned(7 downto 0);
CLK : in std_logic
);
end ucecho;
architecture RTL of ucecho is
--signal declaration
signal pb_buf : unsigned(7 downto 0);
begin
dpUCECHO: process(CLK)
begin
if CLK' event and CLK = '1' then
if ( pc >= 97 ) and ( pc <= 122)
then
pb_buf <= pc - 32;
else
pb_buf <= pc;
end if;
pb <= pb_buf;
end if;
end process dpUCECHO;
end RTL;
|
library ieee;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity ucecho is
port(
pc : in unsigned(7 downto 0);
pb : out unsigned(7 downto 0);
CLK : in std_logic
);
end ucecho;
architecture RTL of ucecho is
--signal declaration
signal pb_buf : unsigned(7 downto 0);
begin
dpUCECHO: process(CLK)
begin
if CLK' event and CLK = '1' then
if ( pc >= 97 ) and ( pc <= 122)
then
pb_buf <= pc - 32;
else
pb_buf <= pc;
end if;
pb <= pb_buf;
end if;
end process dpUCECHO;
end RTL;
|
library ieee;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity ucecho is
port(
pc : in unsigned(7 downto 0);
pb : out unsigned(7 downto 0);
CLK : in std_logic
);
end ucecho;
architecture RTL of ucecho is
--signal declaration
signal pb_buf : unsigned(7 downto 0);
begin
dpUCECHO: process(CLK)
begin
if CLK' event and CLK = '1' then
if ( pc >= 97 ) and ( pc <= 122)
then
pb_buf <= pc - 32;
else
pb_buf <= pc;
end if;
pb <= pb_buf;
end if;
end process dpUCECHO;
end RTL;
|
library ieee;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity ucecho is
port(
pc : in unsigned(7 downto 0);
pb : out unsigned(7 downto 0);
CLK : in std_logic
);
end ucecho;
architecture RTL of ucecho is
--signal declaration
signal pb_buf : unsigned(7 downto 0);
begin
dpUCECHO: process(CLK)
begin
if CLK' event and CLK = '1' then
if ( pc >= 97 ) and ( pc <= 122)
then
pb_buf <= pc - 32;
else
pb_buf <= pc;
end if;
pb <= pb_buf;
end if;
end process dpUCECHO;
end RTL;
|
library ieee;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity ucecho is
port(
pc : in unsigned(7 downto 0);
pb : out unsigned(7 downto 0);
CLK : in std_logic
);
end ucecho;
architecture RTL of ucecho is
--signal declaration
signal pb_buf : unsigned(7 downto 0);
begin
dpUCECHO: process(CLK)
begin
if CLK' event and CLK = '1' then
if ( pc >= 97 ) and ( pc <= 122)
then
pb_buf <= pc - 32;
else
pb_buf <= pc;
end if;
pb <= pb_buf;
end if;
end process dpUCECHO;
end RTL;
|
library ieee;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity ucecho is
port(
pc : in unsigned(7 downto 0);
pb : out unsigned(7 downto 0);
CLK : in std_logic
);
end ucecho;
architecture RTL of ucecho is
--signal declaration
signal pb_buf : unsigned(7 downto 0);
begin
dpUCECHO: process(CLK)
begin
if CLK' event and CLK = '1' then
if ( pc >= 97 ) and ( pc <= 122)
then
pb_buf <= pc - 32;
else
pb_buf <= pc;
end if;
pb <= pb_buf;
end if;
end process dpUCECHO;
end RTL;
|
library ieee;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity ucecho is
port(
pc : in unsigned(7 downto 0);
pb : out unsigned(7 downto 0);
CLK : in std_logic
);
end ucecho;
architecture RTL of ucecho is
--signal declaration
signal pb_buf : unsigned(7 downto 0);
begin
dpUCECHO: process(CLK)
begin
if CLK' event and CLK = '1' then
if ( pc >= 97 ) and ( pc <= 122)
then
pb_buf <= pc - 32;
else
pb_buf <= pc;
end if;
pb <= pb_buf;
end if;
end process dpUCECHO;
end RTL;
|
library ieee;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity ucecho is
port(
pc : in unsigned(7 downto 0);
pb : out unsigned(7 downto 0);
CLK : in std_logic
);
end ucecho;
architecture RTL of ucecho is
--signal declaration
signal pb_buf : unsigned(7 downto 0);
begin
dpUCECHO: process(CLK)
begin
if CLK' event and CLK = '1' then
if ( pc >= 97 ) and ( pc <= 122)
then
pb_buf <= pc - 32;
else
pb_buf <= pc;
end if;
pb <= pb_buf;
end if;
end process dpUCECHO;
end RTL;
|
library ieee;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity ucecho is
port(
pc : in unsigned(7 downto 0);
pb : out unsigned(7 downto 0);
CLK : in std_logic
);
end ucecho;
architecture RTL of ucecho is
--signal declaration
signal pb_buf : unsigned(7 downto 0);
begin
dpUCECHO: process(CLK)
begin
if CLK' event and CLK = '1' then
if ( pc >= 97 ) and ( pc <= 122)
then
pb_buf <= pc - 32;
else
pb_buf <= pc;
end if;
pb <= pb_buf;
end if;
end process dpUCECHO;
end RTL;
|
library ieee;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity ucecho is
port(
pc : in unsigned(7 downto 0);
pb : out unsigned(7 downto 0);
CLK : in std_logic
);
end ucecho;
architecture RTL of ucecho is
--signal declaration
signal pb_buf : unsigned(7 downto 0);
begin
dpUCECHO: process(CLK)
begin
if CLK' event and CLK = '1' then
if ( pc >= 97 ) and ( pc <= 122)
then
pb_buf <= pc - 32;
else
pb_buf <= pc;
end if;
pb <= pb_buf;
end if;
end process dpUCECHO;
end RTL;
|
library ieee;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity ucecho is
port(
pc : in unsigned(7 downto 0);
pb : out unsigned(7 downto 0);
CLK : in std_logic
);
end ucecho;
architecture RTL of ucecho is
--signal declaration
signal pb_buf : unsigned(7 downto 0);
begin
dpUCECHO: process(CLK)
begin
if CLK' event and CLK = '1' then
if ( pc >= 97 ) and ( pc <= 122)
then
pb_buf <= pc - 32;
else
pb_buf <= pc;
end if;
pb <= pb_buf;
end if;
end process dpUCECHO;
end RTL;
|
library ieee;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity ucecho is
port(
pc : in unsigned(7 downto 0);
pb : out unsigned(7 downto 0);
CLK : in std_logic
);
end ucecho;
architecture RTL of ucecho is
--signal declaration
signal pb_buf : unsigned(7 downto 0);
begin
dpUCECHO: process(CLK)
begin
if CLK' event and CLK = '1' then
if ( pc >= 97 ) and ( pc <= 122)
then
pb_buf <= pc - 32;
else
pb_buf <= pc;
end if;
pb <= pb_buf;
end if;
end process dpUCECHO;
end RTL;
|
library ieee;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity ucecho is
port(
pc : in unsigned(7 downto 0);
pb : out unsigned(7 downto 0);
CLK : in std_logic
);
end ucecho;
architecture RTL of ucecho is
--signal declaration
signal pb_buf : unsigned(7 downto 0);
begin
dpUCECHO: process(CLK)
begin
if CLK' event and CLK = '1' then
if ( pc >= 97 ) and ( pc <= 122)
then
pb_buf <= pc - 32;
else
pb_buf <= pc;
end if;
pb <= pb_buf;
end if;
end process dpUCECHO;
end RTL;
|
library ieee;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity ucecho is
port(
pc : in unsigned(7 downto 0);
pb : out unsigned(7 downto 0);
CLK : in std_logic
);
end ucecho;
architecture RTL of ucecho is
--signal declaration
signal pb_buf : unsigned(7 downto 0);
begin
dpUCECHO: process(CLK)
begin
if CLK' event and CLK = '1' then
if ( pc >= 97 ) and ( pc <= 122)
then
pb_buf <= pc - 32;
else
pb_buf <= pc;
end if;
pb <= pb_buf;
end if;
end process dpUCECHO;
end RTL;
|
library ieee;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity ucecho is
port(
pc : in unsigned(7 downto 0);
pb : out unsigned(7 downto 0);
CLK : in std_logic
);
end ucecho;
architecture RTL of ucecho is
--signal declaration
signal pb_buf : unsigned(7 downto 0);
begin
dpUCECHO: process(CLK)
begin
if CLK' event and CLK = '1' then
if ( pc >= 97 ) and ( pc <= 122)
then
pb_buf <= pc - 32;
else
pb_buf <= pc;
end if;
pb <= pb_buf;
end if;
end process dpUCECHO;
end RTL;
|
library ieee;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity ucecho is
port(
pc : in unsigned(7 downto 0);
pb : out unsigned(7 downto 0);
CLK : in std_logic
);
end ucecho;
architecture RTL of ucecho is
--signal declaration
signal pb_buf : unsigned(7 downto 0);
begin
dpUCECHO: process(CLK)
begin
if CLK' event and CLK = '1' then
if ( pc >= 97 ) and ( pc <= 122)
then
pb_buf <= pc - 32;
else
pb_buf <= pc;
end if;
pb <= pb_buf;
end if;
end process dpUCECHO;
end RTL;
|
library ieee;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity ucecho is
port(
pc : in unsigned(7 downto 0);
pb : out unsigned(7 downto 0);
CLK : in std_logic
);
end ucecho;
architecture RTL of ucecho is
--signal declaration
signal pb_buf : unsigned(7 downto 0);
begin
dpUCECHO: process(CLK)
begin
if CLK' event and CLK = '1' then
if ( pc >= 97 ) and ( pc <= 122)
then
pb_buf <= pc - 32;
else
pb_buf <= pc;
end if;
pb <= pb_buf;
end if;
end process dpUCECHO;
end RTL;
|
library ieee;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity ucecho is
port(
pc : in unsigned(7 downto 0);
pb : out unsigned(7 downto 0);
CLK : in std_logic
);
end ucecho;
architecture RTL of ucecho is
--signal declaration
signal pb_buf : unsigned(7 downto 0);
begin
dpUCECHO: process(CLK)
begin
if CLK' event and CLK = '1' then
if ( pc >= 97 ) and ( pc <= 122)
then
pb_buf <= pc - 32;
else
pb_buf <= pc;
end if;
pb <= pb_buf;
end if;
end process dpUCECHO;
end RTL;
|
library ieee;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity ucecho is
port(
pc : in unsigned(7 downto 0);
pb : out unsigned(7 downto 0);
CLK : in std_logic
);
end ucecho;
architecture RTL of ucecho is
--signal declaration
signal pb_buf : unsigned(7 downto 0);
begin
dpUCECHO: process(CLK)
begin
if CLK' event and CLK = '1' then
if ( pc >= 97 ) and ( pc <= 122)
then
pb_buf <= pc - 32;
else
pb_buf <= pc;
end if;
pb <= pb_buf;
end if;
end process dpUCECHO;
end RTL;
|
library ieee;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity ucecho is
port(
pc : in unsigned(7 downto 0);
pb : out unsigned(7 downto 0);
CLK : in std_logic
);
end ucecho;
architecture RTL of ucecho is
--signal declaration
signal pb_buf : unsigned(7 downto 0);
begin
dpUCECHO: process(CLK)
begin
if CLK' event and CLK = '1' then
if ( pc >= 97 ) and ( pc <= 122)
then
pb_buf <= pc - 32;
else
pb_buf <= pc;
end if;
pb <= pb_buf;
end if;
end process dpUCECHO;
end RTL;
|
library ieee;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity ucecho is
port(
pc : in unsigned(7 downto 0);
pb : out unsigned(7 downto 0);
CLK : in std_logic
);
end ucecho;
architecture RTL of ucecho is
--signal declaration
signal pb_buf : unsigned(7 downto 0);
begin
dpUCECHO: process(CLK)
begin
if CLK' event and CLK = '1' then
if ( pc >= 97 ) and ( pc <= 122)
then
pb_buf <= pc - 32;
else
pb_buf <= pc;
end if;
pb <= pb_buf;
end if;
end process dpUCECHO;
end RTL;
|
library ieee;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity ucecho is
port(
pc : in unsigned(7 downto 0);
pb : out unsigned(7 downto 0);
CLK : in std_logic
);
end ucecho;
architecture RTL of ucecho is
--signal declaration
signal pb_buf : unsigned(7 downto 0);
begin
dpUCECHO: process(CLK)
begin
if CLK' event and CLK = '1' then
if ( pc >= 97 ) and ( pc <= 122)
then
pb_buf <= pc - 32;
else
pb_buf <= pc;
end if;
pb <= pb_buf;
end if;
end process dpUCECHO;
end RTL;
|
library ieee;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity ucecho is
port(
pc : in unsigned(7 downto 0);
pb : out unsigned(7 downto 0);
CLK : in std_logic
);
end ucecho;
architecture RTL of ucecho is
--signal declaration
signal pb_buf : unsigned(7 downto 0);
begin
dpUCECHO: process(CLK)
begin
if CLK' event and CLK = '1' then
if ( pc >= 97 ) and ( pc <= 122)
then
pb_buf <= pc - 32;
else
pb_buf <= pc;
end if;
pb <= pb_buf;
end if;
end process dpUCECHO;
end RTL;
|
library ieee;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity ucecho is
port(
pc : in unsigned(7 downto 0);
pb : out unsigned(7 downto 0);
CLK : in std_logic
);
end ucecho;
architecture RTL of ucecho is
--signal declaration
signal pb_buf : unsigned(7 downto 0);
begin
dpUCECHO: process(CLK)
begin
if CLK' event and CLK = '1' then
if ( pc >= 97 ) and ( pc <= 122)
then
pb_buf <= pc - 32;
else
pb_buf <= pc;
end if;
pb <= pb_buf;
end if;
end process dpUCECHO;
end RTL;
|
library ieee;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity ucecho is
port(
pc : in unsigned(7 downto 0);
pb : out unsigned(7 downto 0);
CLK : in std_logic
);
end ucecho;
architecture RTL of ucecho is
--signal declaration
signal pb_buf : unsigned(7 downto 0);
begin
dpUCECHO: process(CLK)
begin
if CLK' event and CLK = '1' then
if ( pc >= 97 ) and ( pc <= 122)
then
pb_buf <= pc - 32;
else
pb_buf <= pc;
end if;
pb <= pb_buf;
end if;
end process dpUCECHO;
end RTL;
|
library ieee;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity ucecho is
port(
pc : in unsigned(7 downto 0);
pb : out unsigned(7 downto 0);
CLK : in std_logic
);
end ucecho;
architecture RTL of ucecho is
--signal declaration
signal pb_buf : unsigned(7 downto 0);
begin
dpUCECHO: process(CLK)
begin
if CLK' event and CLK = '1' then
if ( pc >= 97 ) and ( pc <= 122)
then
pb_buf <= pc - 32;
else
pb_buf <= pc;
end if;
pb <= pb_buf;
end if;
end process dpUCECHO;
end RTL;
|
library ieee;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity ucecho is
port(
pc : in unsigned(7 downto 0);
pb : out unsigned(7 downto 0);
CLK : in std_logic
);
end ucecho;
architecture RTL of ucecho is
--signal declaration
signal pb_buf : unsigned(7 downto 0);
begin
dpUCECHO: process(CLK)
begin
if CLK' event and CLK = '1' then
if ( pc >= 97 ) and ( pc <= 122)
then
pb_buf <= pc - 32;
else
pb_buf <= pc;
end if;
pb <= pb_buf;
end if;
end process dpUCECHO;
end RTL;
|
library ieee;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity ucecho is
port(
pc : in unsigned(7 downto 0);
pb : out unsigned(7 downto 0);
CLK : in std_logic
);
end ucecho;
architecture RTL of ucecho is
--signal declaration
signal pb_buf : unsigned(7 downto 0);
begin
dpUCECHO: process(CLK)
begin
if CLK' event and CLK = '1' then
if ( pc >= 97 ) and ( pc <= 122)
then
pb_buf <= pc - 32;
else
pb_buf <= pc;
end if;
pb <= pb_buf;
end if;
end process dpUCECHO;
end RTL;
|
library ieee;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity ucecho is
port(
pc : in unsigned(7 downto 0);
pb : out unsigned(7 downto 0);
CLK : in std_logic
);
end ucecho;
architecture RTL of ucecho is
--signal declaration
signal pb_buf : unsigned(7 downto 0);
begin
dpUCECHO: process(CLK)
begin
if CLK' event and CLK = '1' then
if ( pc >= 97 ) and ( pc <= 122)
then
pb_buf <= pc - 32;
else
pb_buf <= pc;
end if;
pb <= pb_buf;
end if;
end process dpUCECHO;
end RTL;
|
-- (c) Copyright 1995-2015 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: avnet:zedboard:zed_hdmi_out:2.0
-- IP Revision: 1
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY work;
USE work.zed_hdmi_out;
ENTITY tutorial_zed_hdmi_out_0_0 IS
PORT (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
audio_spdif : IN STD_LOGIC;
video_vsync : IN STD_LOGIC;
video_hsync : IN STD_LOGIC;
video_de : IN STD_LOGIC;
video_data : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
io_hdmio_spdif : OUT STD_LOGIC;
io_hdmio_video : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
io_hdmio_vsync : OUT STD_LOGIC;
io_hdmio_hsync : OUT STD_LOGIC;
io_hdmio_de : OUT STD_LOGIC;
io_hdmio_clk : OUT STD_LOGIC
);
END tutorial_zed_hdmi_out_0_0;
ARCHITECTURE tutorial_zed_hdmi_out_0_0_arch OF tutorial_zed_hdmi_out_0_0 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : string;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF tutorial_zed_hdmi_out_0_0_arch: ARCHITECTURE IS "yes";
COMPONENT zed_hdmi_out IS
GENERIC (
C_DATA_WIDTH : INTEGER; -- Video Data Width
C_FAMILY : STRING
);
PORT (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
audio_spdif : IN STD_LOGIC;
video_vsync : IN STD_LOGIC;
video_hsync : IN STD_LOGIC;
video_de : IN STD_LOGIC;
video_data : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
io_hdmio_spdif : OUT STD_LOGIC;
io_hdmio_video : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
io_hdmio_vsync : OUT STD_LOGIC;
io_hdmio_hsync : OUT STD_LOGIC;
io_hdmio_de : OUT STD_LOGIC;
io_hdmio_clk : OUT STD_LOGIC
);
END COMPONENT zed_hdmi_out;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF tutorial_zed_hdmi_out_0_0_arch: ARCHITECTURE IS "zed_hdmi_out,Vivado 2014.4.1";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF tutorial_zed_hdmi_out_0_0_arch : ARCHITECTURE IS "tutorial_zed_hdmi_out_0_0,zed_hdmi_out,{}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF video_vsync: SIGNAL IS "xilinx.com:interface:vid_io:1.0 VID_IO_IN VSYNC";
ATTRIBUTE X_INTERFACE_INFO OF video_hsync: SIGNAL IS "xilinx.com:interface:vid_io:1.0 VID_IO_IN HSYNC";
ATTRIBUTE X_INTERFACE_INFO OF video_de: SIGNAL IS "xilinx.com:interface:vid_io:1.0 VID_IO_IN ACTIVE_VIDEO";
ATTRIBUTE X_INTERFACE_INFO OF video_data: SIGNAL IS "xilinx.com:interface:vid_io:1.0 VID_IO_IN DATA";
ATTRIBUTE X_INTERFACE_INFO OF io_hdmio_spdif: SIGNAL IS "avnet.com:interface:avnet_hdmi:1.0 IO_HDMIO SPDIF";
ATTRIBUTE X_INTERFACE_INFO OF io_hdmio_video: SIGNAL IS "avnet.com:interface:avnet_hdmi:1.0 IO_HDMIO DATA";
ATTRIBUTE X_INTERFACE_INFO OF io_hdmio_vsync: SIGNAL IS "avnet.com:interface:avnet_hdmi:1.0 IO_HDMIO VSYNC";
ATTRIBUTE X_INTERFACE_INFO OF io_hdmio_hsync: SIGNAL IS "avnet.com:interface:avnet_hdmi:1.0 IO_HDMIO HSYNC";
ATTRIBUTE X_INTERFACE_INFO OF io_hdmio_de: SIGNAL IS "avnet.com:interface:avnet_hdmi:1.0 IO_HDMIO DE";
ATTRIBUTE X_INTERFACE_INFO OF io_hdmio_clk: SIGNAL IS "avnet.com:interface:avnet_hdmi:1.0 IO_HDMIO CLK";
BEGIN
U0 : zed_hdmi_out
GENERIC MAP (
C_DATA_WIDTH => 16,
C_FAMILY => "zynq"
)
PORT MAP (
clk => clk,
reset => reset,
audio_spdif => audio_spdif,
video_vsync => video_vsync,
video_hsync => video_hsync,
video_de => video_de,
video_data => video_data,
io_hdmio_spdif => io_hdmio_spdif,
io_hdmio_video => io_hdmio_video,
io_hdmio_vsync => io_hdmio_vsync,
io_hdmio_hsync => io_hdmio_hsync,
io_hdmio_de => io_hdmio_de,
io_hdmio_clk => io_hdmio_clk
);
END tutorial_zed_hdmi_out_0_0_arch;
|
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.STD_LOGIC_UNSIGNED.all;
entity MRAM is
port (
RW: in std_logic;
ADR: in std_logic_vector(5 downto 0);
DIN: in std_logic_vector (7 downto 0);
DOUT: out std_logic_vector (7 downto 0)
);
end MRAM;
architecture Beh_Max of MRAM is
subtype byte is std_logic_vector(7 downto 0);
type tRAM is array (0 to 63) of byte;
signal RAM : tRAM :=(
"00000101", -- 5 | 000000 | array length
"00000011", -- 3 | 000001 | a[0]
"00000001", -- 1 | 000010 | a[1]
"00000010", -- 2 | 000011 | a[2]
"00000100", -- 4 | 000100 | a[3]
"00000101", -- 5 | 000101 | a[4]
"00000000", -- 0 | 000110 | result
"00000001", -- 1 | 000111 | 1 for add and sub
others => "00000000"
);
signal data_in: byte;
signal data_out: byte;
Begin
data_in <= Din;
WRITE: process (RW, ADR, data_in)
begin
if (RW = '0') then
RAM(conv_integer(adr)) <= data_in;
end if;
end process;
data_out <= RAM (conv_integer(adr));
ZBUFS: process (RW, data_out)
begin
if (RW = '1') then
DOUT <= data_out;
else
DOUT <= (others => 'Z');
end if;
end process;
end Beh_Max;
architecture Beh_Zer of MRAM is
subtype byte is std_logic_vector(7 downto 0);
type tRAM is array (0 to 63) of byte;
signal RAM : tRAM :=(
"00000101", -- 5 | 000000 | array length
"00000000", -- 0 | 000001 | a[0]
"00000001", -- 1 | 000010 | a[1]
"00000000", -- 0 | 000011 | a[2]
"00000100", -- 4 | 000100 | a[3]
"00000000", -- 5 | 000101 | a[4]
"00000000", -- 0 | 000110 | result
"00000001", -- 1 | 000111 | 1 for add and sub
others => "00000000"
);
signal data_in: byte;
signal data_out: byte;
Begin
data_in <= Din;
WRITE: process (RW, ADR, data_in)
begin
if (RW = '0') then
RAM(conv_integer(adr)) <= data_in;
end if;
end process;
data_out <= RAM (conv_integer(adr));
ZBUFS: process (RW, data_out)
begin
if (RW = '1') then
DOUT <= data_out;
else
DOUT <= (others => 'Z');
end if;
end process;
end Beh_Zer; |
-------------------------------------------------------------------------------
--! @project Iterated hardware implementation of Asconv12864
--! @author Michael Fivez
--! @license This project is released under the GNU Public License.
--! The license and distribution terms for this file may be
--! found in the file LICENSE in this distribution or at
--! http://www.gnu.org/licenses/gpl-3.0.txt
--! @note This is an hardware implementation made for my graduation thesis
--! at the KULeuven, in the COSIC department (year 2015-2016)
--! The thesis is titled 'Energy efficient hardware implementations of CAESAR submissions',
--! and can be found on the COSIC website (www.esat.kuleuven.be/cosic/publications)
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.ALL;
use ieee.numeric_std.all;
entity CipherCore is
generic (
G_NPUB_SIZE : integer := 128; --! Npub size (bits)
G_NSEC_SIZE : integer := 128; --! Nsec size (bits)
G_DBLK_SIZE : integer := 64; --! Data Block size (bits)
G_KEY_SIZE : integer := 128; --! Key size (bits)
G_RDKEY_SIZE : integer := 128; --! Round Key size (bits)
G_TAG_SIZE : integer := 128; --! Tag size (bits)
G_BS_BYTES : integer := 3; --! The number of bits required to hold block size expressed in bytes = log2_ceil(max(G_ABLK_SIZE,G_DBLK_SIZE)/8)
G_CTR_AD_SIZE : integer := 64; --! Maximum size for the counter that keeps track of authenticated data
G_CTR_D_SIZE : integer := 64 --! Maximum size for the counter that keeps track of data
);
port (
clk : in std_logic;
rst : in std_logic;
npub : in std_logic_vector(G_NPUB_SIZE -1 downto 0);
nsec : in std_logic_vector(G_NSEC_SIZE -1 downto 0);
key : in std_logic_vector(G_KEY_SIZE -1 downto 0);
rdkey : in std_logic_vector(G_RDKEY_SIZE -1 downto 0);
bdi : in std_logic_vector(G_DBLK_SIZE -1 downto 0);
exp_tag : in std_logic_vector(G_TAG_SIZE -1 downto 0);
len_a : in std_logic_vector(G_CTR_AD_SIZE -1 downto 0);
len_d : in std_logic_vector(G_CTR_D_SIZE -1 downto 0);
key_ready : in std_logic;
key_updated : out std_logic;
key_needs_update : in std_logic;
rdkey_ready : in std_logic;
rdkey_read : out std_logic;
npub_ready : in std_logic;
npub_read : out std_logic;
nsec_ready : in std_logic;
nsec_read : out std_logic;
bdi_ready : in std_logic;
bdi_proc : in std_logic;
bdi_ad : in std_logic;
bdi_nsec : in std_logic;
bdi_pad : in std_logic;
bdi_decrypt : in std_logic;
bdi_eot : in std_logic;
bdi_eoi : in std_logic;
bdi_read : out std_logic;
bdi_size : in std_logic_vector(G_BS_BYTES -1 downto 0);
bdi_valid_bytes : in std_logic_vector(G_DBLK_SIZE/8 -1 downto 0);
bdi_pad_loc : in std_logic_vector(G_DBLK_SIZE/8 -1 downto 0);
bdi_nodata : in std_logic;
exp_tag_ready : in std_logic;
bdo_ready : in std_logic;
bdo_write : out std_logic;
bdo : out std_logic_vector(G_DBLK_SIZE -1 downto 0);
bdo_size : out std_logic_vector(G_BS_BYTES+1 -1 downto 0);
bdo_nsec : out std_logic;
tag_ready : in std_logic;
tag_write : out std_logic;
tag : out std_logic_vector(G_TAG_SIZE -1 downto 0);
msg_auth_done : out std_logic;
msg_auth_valid : out std_logic
);
end entity CipherCore;
architecture structure of CipherCore is
-- Registers
signal keyreg,npubreg : std_logic_vector(127 downto 0);
-- Control signals AsconCore
signal AsconStart : std_logic;
signal AsconMode : std_logic_vector(3 downto 0);
signal AsconBusy : std_logic;
signal AsconSize : std_logic_vector(2 downto 0);
signal AsconInput : std_logic_vector(63 downto 0);
-- Internal Datapath signals
signal AsconOutput : std_logic_vector(127 downto 0);
begin
-- Morus_core entity
AsconCore : entity work.Ascon_StateUpdate port map(clk,rst,AsconStart,AsconMode,AsconSize,npubreg,keyreg,AsconInput,AsconBusy,AsconOutput);
----------------------------------------
------ DataPath for CipherCore ---------
----------------------------------------
datapath: process(AsconOutput,exp_tag,bdi,AsconInput) is
begin
-- Connect signals to the MorusCore
AsconInput <= bdi;
tag <= AsconOutput;
bdo <= AsconOutput(63 downto 0);
if AsconOutput = exp_tag then
msg_auth_valid <= '1';
else
msg_auth_valid <= '0';
end if;
end process datapath;
----------------------------------------
------ ControlPath for CipherCore ------
----------------------------------------
fsm: process(clk, rst) is
type state_type is (IDLE,INIT_1,INIT_2,PROCESSING,RUN_CIPHER_1,RUN_CIPHER_2,RUN_CIPHER_3,TAG_1,TAG_2);
variable CurrState : state_type := IDLE;
variable firstblock : std_logic;
variable lastblock : std_logic_vector(1 downto 0);
variable afterRunning : std_logic_vector(2 downto 0);
begin
if(clk = '1' and clk'event) then
if rst = '1' then -- synchornous reset
key_updated <= '0';
CurrState := IDLE;
firstblock := '0';
keyreg <= (others => '0');
npubreg <= (others => '0');
AsconMode <= (others => '0'); -- the mode is a register
afterRunning := (others => '0');
else
-- registers above in reset are used
-- Standard values of the control signals are zero
AsconStart <= '0';
bdi_read <= '0';
msg_auth_done <= '0';
bdo_write <= '0';
bdo_size <= "1000";
tag_write <= '0';
npub_read <= '0';
AsconSize <= (others => '0');
FsmLogic: case CurrState is
when IDLE =>
-- if key_needs_update = '1' then -- Key needs updating
-- if key_ready = '1' then
-- key_updated <= '1';
-- keyreg <= key;
-- CurrState := IDLE;
-- else
-- CurrState := IDLE;
-- end if;
if key_needs_update = '1' and key_ready = '1' then -- Key needs updating
key_updated <= '1';
keyreg <= key;
CurrState := IDLE;
elsif bdi_proc = '1' and npub_ready = '1' then -- start of processing
CurrState := INIT_1;
npubreg <= npub;
npub_read <= '1';
AsconMode <= "0010"; -- Mode: initialization
AsconStart <= '1';
else
CurrState := IDLE;
end if;
when INIT_1 =>
if AsconBusy = '1' then
CurrState := INIT_2; -- to INIT_2
else
AsconStart <= '1';
CurrState := INIT_1; -- to INIT_1
end if;
when INIT_2 =>
if AsconBusy = '0' then
CurrState := PROCESSING; -- to PROCESSING
firstblock := '1';
lastblock := "00";
else
CurrState := INIT_2; -- to INIT_2
end if;
-- EVEN SIMPLIFY THIS AFTER YOU SEE IF WORKS
when PROCESSING =>
if lastblock(1) = '1' then -- Generate the Tag
AsconMode <= "0001";
AsconStart <= '1';
CurrState := TAG_1;
elsif bdi_ready = '1' then
if firstblock = '1' and bdi_ad = '0' then -- No associative data (and return in function)
-- SEP_CONST
AsconMode <= "0011";
AsconStart <= '1';
CurrState := PROCESSING;
elsif bdi_ad = '1' then
if bdi_eot = '0' then
-- AD_PROCESS
AsconMode <= "0000";
AsconStart <= '1';
afterRunning := "000";
CurrState := RUN_CIPHER_1;
elsif bdi_eoi = '0' then
if bdi_size = "000" then
-- AD_PROCESS + case2 + SEP_CONST
AsconMode <= "0000";
AsconStart <= '1';
afterRunning := "001";
CurrState := RUN_CIPHER_1;
else
-- AD_PROCESS + SEP_CONST
AsconMode <= "0000";
AsconStart <= '1';
afterRunning := "010";
CurrState := RUN_CIPHER_1;
end if;
else
if bdi_size = "000" then
-- AD_PROCESS + case2 + SEP_CONST + case1
AsconMode <= "0000";
AsconStart <= '1';
afterRunning := "101";
CurrState := RUN_CIPHER_1;
else
-- AD_PROCESS + SEP_CONST + case1
AsconMode <= "0000";
AsconStart <= '1';
afterRunning := "110";
CurrState := RUN_CIPHER_1;
end if;
end if;
else
if bdi_decrypt = '0' then
if bdi_eot = '0' then
-- ENCRYPT
AsconMode <= "0110";
AsconStart <= '1';
afterRunning := "011";
CurrState := RUN_CIPHER_1;
elsif bdi_size = "000" then
-- ENCRYPT + case1
AsconMode <= "0110";
AsconStart <= '1';
afterRunning := "100";
CurrState := RUN_CIPHER_1;
else
-- LAST_BLOCK_ENCRYPT
bdi_read <= '1';
AsconMode <= "0111";
AsconStart <= '1';
afterRunning := "011";
CurrState := RUN_CIPHER_2;
end if;
else
if bdi_eot = '0' then
-- DECRYPT
AsconMode <= "0100";
AsconStart <= '1';
afterRunning := "011";
CurrState := RUN_CIPHER_1;
elsif bdi_size = "000" then
-- DECRYPT + case1
AsconMode <= "0100";
AsconStart <= '1';
afterRunning := "100";
CurrState := RUN_CIPHER_1;
else
-- LAST_BLOCK_DECRYPT
bdi_read <= '1';
AsconMode <= "0101";
AsconStart <= '1';
AsconSize <= bdi_size;
afterRunning := "011";
CurrState := RUN_CIPHER_2;
end if;
end if;
end if;
-- check if tag after (eoi, with special case when no associative data:
-- This is needed, because if no associative data, it will do it's thing and then still the message block is
-- left to be processed
if firstblock = '1' and bdi_ad = '0' then -- lastblock will be set next return in the function
lastblock := "00";
elsif bdi_eoi = '1' and bdi_decrypt = '0' then -- the one after is tag encryption
lastblock := "10";
elsif bdi_eoi = '1' then -- the one after is tag decryption
lastblock := "11";
end if;
-- not firstblock anymore :
firstblock := '0';
end if;
when RUN_CIPHER_1 =>
if AsconBusy = '1' then
CurrState := RUN_CIPHER_2;
bdi_read <= '1';
else
AsconStart <= '1';
CurrState := RUN_CIPHER_1;
end if;
when RUN_CIPHER_3 =>
if AsconBusy = '1' then
CurrState := RUN_CIPHER_2;
else
AsconStart <= '1';
CurrState := RUN_CIPHER_3;
end if;
when RUN_CIPHER_2 =>
if AsconBusy = '0' then
-- logic here:
-- a simple variable is used for the cases where after the cipher something special has to be done:
-- activating authregister after associative data = 1
-- resetting of blocknumber after last associative data = 2 (so also do 1's job)
-- giving of output after encryption/decryption = 3 for encryption, 4 for decryption
-- activating checksum after decription of message = 4
-- special giving of output after padded encryption/decryption = 5 and 6 (determines output_sel), also wait with bdi_read
AfterRunLogic: case afterRunning is
when "000" => -- return to IDLE
CurrState := PROCESSING;
when "001" => -- case2 and sep_cont after
AsconMode <= "1001";
AsconStart <= '1';
CurrState := RUN_CIPHER_3;
afterRunning := "010";
when "010" => -- SEPCONSTANT and return to IDLE
AsconMode <= "0011";
AsconStart <= '1';
CurrState := PROCESSING;
when "011" => -- GIVE OUTPUT and return to IDLE
if bdo_ready = '1' then
bdo_write <= '1';
CurrState := PROCESSING;
else
CurrState := RUN_CIPHER_2;
end if;
when "100" => -- GIVE OUTPUT & case1 and return to IDLE
if bdo_ready = '1' then
bdo_write <= '1';
CurrState := PROCESSING;
AsconMode <= "1000";
AsconStart <= '1';
else
CurrState := RUN_CIPHER_2;
end if;
when "101" => -- case2 and case1 and sep_cont after
AsconMode <= "1001";
AsconStart <= '1';
CurrState := RUN_CIPHER_3;
afterRunning := "110";
when "110" => -- case1 and sep_cont after
AsconMode <= "1000";
AsconStart <= '1';
CurrState := RUN_CIPHER_2;
afterRunning := "010";
when others =>
end case AfterRunLogic;
else
CurrState := RUN_CIPHER_2;
end if;
when TAG_1 =>
if AsconBusy = '1' then
CurrState := TAG_2;
else
AsconStart <= '1';
CurrState := TAG_1;
end if;
when TAG_2 =>
if AsconBusy = '0' and lastblock(0) = '0' then -- Generate Tag
if tag_ready = '1' then
tag_write <= '1';
key_updated <= '0';
CurrState := IDLE;
else
CurrState := TAG_2;
end if;
elsif AsconBusy = '0' then -- Compare Tag
if exp_tag_ready = '1' then
msg_auth_done <= '1';
key_updated <= '0';
CurrState := IDLE;
else
CurrState := TAG_2;
end if;
else
CurrState := TAG_2;
end if;
when others =>
end case FsmLogic;
end if;
end if;
end process fsm;
end architecture structure;
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 22:03:31 07/13/2015
-- Design Name:
-- Module Name: fpgamemory - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
---- Uncomment the following library declaration if instantiating
---- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity fpgamemory is
Port ( k0 : in STD_LOGIC_VECTOR (7 downto 0);
k1 : in STD_LOGIC_VECTOR (7 downto 0);
k2 : in STD_LOGIC_VECTOR (7 downto 0);
k3 : in STD_LOGIC_VECTOR (7 downto 0);
k4 : in STD_LOGIC_VECTOR (7 downto 0);
addr : inout STD_LOGIC_VECTOR (15 downto 0);
datain : inout STD_LOGIC_VECTOR (15 downto 0);
cs : out STD_LOGIC;
wr : out STD_LOGIC;
rd : out STD_LOGIC;
bh : out STD_LOGIC;
bl : out STD_LOGIC;
s0 : out std_logic_vector(7 downto 0);
s1 : out std_logic_vector(7 downto 0));
end fpgamemory;
architecture Behavioral of fpgamemory is
begin
addr<=k3&k2;
cs<=k4(1);
wr<=k4(4);
rd<=k4(0);
bh<=k4(2);
bl<=k4(3);
write_process:process(k0,k1,k2,k3,k4)
begin
if k4(1) = '0' and k4(0) = '1' and k4(4)='0' and k4(3) = '0' and k4(2) = '0' then
datain(7 downto 0) <=k0;
datain(15 downto 8)<=k1;
elsif k4(1) = '0' and k4(0) = '1' and k4(3) = '0' and k4(4)='0' and k4(2) = '1' then
datain(7 downto 0)<=k0;
datain(15 downto 8)<= "ZZZZZZZZ";
elsif k4(1) = '0' and k4(0) = '1' and k4(3) = '1' and k4(4)='0' and k4(2) = '0' then
datain(7 downto 0)<="ZZZZZZZZ";
datain(15 downto 8)<=k1;
else
datain<="ZZZZZZZZZZZZZZZZ";
end if;
end process write_process;
read_process:process(k0,k1,k2,k3,k4)
begin
if k4(1) = '0' and k4(0) = '0' and k4(4)='1' and k4(3) = '0' and k4(2) = '0' then
s0 <= datain(7 downto 0);
s1 <= datain(15 downto 8);
elsif k4(1) = '0' and k4(0) = '0' and k4(3) = '0' and k4(4)='1' and k4(2) = '1' then
s0 <= datain(7 downto 0);
s1 <= "ZZZZZZZZ";
elsif k4(1) = '0' and k4(0) = '0' and k4(3) = '1' and k4(4)='1' and k4(2) = '0' then
s0 <= "ZZZZZZZZ";
s1 <= datain(15 downto 8);
else
s0<="ZZZZZZZZ";
s1<="ZZZZZZZZ";
end if;
end process read_process;
end Behavioral;
|
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003, Gaisler Research
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Entity: inpad_ds
-- File: inpad_ds.vhd
-- Author: Jiri Gaisler - Gaisler Research
-- Description: input pad with technology wrapper
------------------------------------------------------------------------------
library techmap;
library ieee;
use ieee.std_logic_1164.all;
use techmap.gencomp.all;
use techmap.allpads.all;
entity inpad_ds is
generic (tech : integer := 0; level : integer := lvds; voltage : integer := x33v);
port (padp, padn : in std_ulogic; o : out std_ulogic);
end;
architecture rtl of inpad_ds is
signal gnd : std_ulogic;
begin
gnd <= '0';
gen0 : if has_ds_pads(tech) = 0 generate
o <= to_X01(padp) after 1 ns;
end generate;
xcv : if (tech = virtex) or (tech = virtex2) or (tech = spartan3) generate
u0 : virtex_inpad_ds generic map (level, voltage) port map (padp, padn, o);
end generate;
xc4v : if (tech = virtex4) or (tech = spartan3e) or (tech = virtex5) generate
u0 : virtex4_inpad_ds generic map (level, voltage) port map (padp, padn, o);
end generate;
axc : if (tech = axcel) generate
u0 : axcel_inpad_ds generic map (level, voltage) port map (padp, padn, o);
end generate;
rht : if (tech = rhlib18t) generate
u0 : rh_lib18t_inpad_ds port map (padp, padn, o, gnd);
end generate;
end;
library techmap;
library ieee;
use ieee.std_logic_1164.all;
use techmap.gencomp.all;
entity inpad_dsv is
generic (tech : integer := 0; level : integer := lvds;
voltage : integer := x33v; width : integer := 1);
port (
padp : in std_logic_vector(width-1 downto 0);
padn : in std_logic_vector(width-1 downto 0);
o : out std_logic_vector(width-1 downto 0));
end;
architecture rtl of inpad_dsv is
begin
v : for i in width-1 downto 0 generate
u0 : inpad_ds generic map (tech, level, voltage) port map (padp(i), padn(i), o(i));
end generate;
end;
|
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003, Gaisler Research
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Entity: inpad_ds
-- File: inpad_ds.vhd
-- Author: Jiri Gaisler - Gaisler Research
-- Description: input pad with technology wrapper
------------------------------------------------------------------------------
library techmap;
library ieee;
use ieee.std_logic_1164.all;
use techmap.gencomp.all;
use techmap.allpads.all;
entity inpad_ds is
generic (tech : integer := 0; level : integer := lvds; voltage : integer := x33v);
port (padp, padn : in std_ulogic; o : out std_ulogic);
end;
architecture rtl of inpad_ds is
signal gnd : std_ulogic;
begin
gnd <= '0';
gen0 : if has_ds_pads(tech) = 0 generate
o <= to_X01(padp) after 1 ns;
end generate;
xcv : if (tech = virtex) or (tech = virtex2) or (tech = spartan3) generate
u0 : virtex_inpad_ds generic map (level, voltage) port map (padp, padn, o);
end generate;
xc4v : if (tech = virtex4) or (tech = spartan3e) or (tech = virtex5) generate
u0 : virtex4_inpad_ds generic map (level, voltage) port map (padp, padn, o);
end generate;
axc : if (tech = axcel) generate
u0 : axcel_inpad_ds generic map (level, voltage) port map (padp, padn, o);
end generate;
rht : if (tech = rhlib18t) generate
u0 : rh_lib18t_inpad_ds port map (padp, padn, o, gnd);
end generate;
end;
library techmap;
library ieee;
use ieee.std_logic_1164.all;
use techmap.gencomp.all;
entity inpad_dsv is
generic (tech : integer := 0; level : integer := lvds;
voltage : integer := x33v; width : integer := 1);
port (
padp : in std_logic_vector(width-1 downto 0);
padn : in std_logic_vector(width-1 downto 0);
o : out std_logic_vector(width-1 downto 0));
end;
architecture rtl of inpad_dsv is
begin
v : for i in width-1 downto 0 generate
u0 : inpad_ds generic map (tech, level, voltage) port map (padp(i), padn(i), o(i));
end generate;
end;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.wishbone_pkg.all;
entity sdb_rom is
generic(
g_layout : t_sdb_record_array;
g_bus_end : unsigned(63 downto 0));
port(
clk_sys_i : in std_logic;
slave_i : in t_wishbone_slave_in;
slave_o : out t_wishbone_slave_out);
end sdb_rom;
architecture rtl of sdb_rom is
alias c_layout : t_sdb_record_array(g_layout'length downto 1) is g_layout;
-- The ROM must describe all slaves, the crossbar itself and the optional information records
constant c_used_entries : natural := c_layout'length + 1;
constant c_rom_entries : natural := 2**f_ceil_log2(c_used_entries); -- next power of 2
constant c_sdb_words : natural := c_sdb_device_length / c_wishbone_data_width;
constant c_rom_words : natural := c_rom_entries * c_sdb_words;
constant c_rom_depth : natural := f_ceil_log2(c_rom_words);
constant c_rom_lowbits : natural := f_ceil_log2(c_wishbone_data_width / 8);
type t_rom is array(c_rom_words-1 downto 0) of t_wishbone_data;
function f_build_rom
return t_rom
is
variable res : t_rom := (others => (others => '0'));
variable sdb_device : std_logic_vector(c_sdb_device_length-1 downto 0) := (others => '0');
variable sdb_component : t_sdb_component;
begin
sdb_device(511 downto 480) := x"5344422D" ; -- sdb_magic
sdb_device(479 downto 464) := std_logic_vector(to_unsigned(c_used_entries, 16)); -- sdb_records
sdb_device(463 downto 456) := x"01"; -- sdb_version
sdb_device(455 downto 448) := x"00"; -- sdb_bus_type = sdb_wishbone
sdb_device( 7 downto 0) := x"00"; -- record_type = sdb_interconnect
sdb_component.addr_first := (others => '0');
sdb_component.addr_last := std_logic_vector(g_bus_end);
sdb_component.product.vendor_id := x"0000000000000651"; -- GSI
sdb_component.product.device_id := x"e6a542c9";
sdb_component.product.version := x"00000002";
sdb_component.product.date := x"20120511";
sdb_component.product.name := "WB4-Crossbar-GSI ";
sdb_device(447 downto 8) := f_sdb_embed_component(sdb_component, (others => '0'));
for i in 0 to c_sdb_words-1 loop
res(c_sdb_words-1-i) :=
sdb_device((i+1)*c_wishbone_data_width-1 downto i*c_wishbone_data_width);
end loop;
for slave in 1 to c_used_entries-1 loop
sdb_device(511 downto 0) := c_layout(slave);
for i in 0 to c_sdb_words-1 loop
res((slave+1)*c_sdb_words-1-i) :=
sdb_device((i+1)*c_wishbone_data_width-1 downto i*c_wishbone_data_width);
end loop;
end loop;
return res;
end f_build_rom;
signal rom : t_rom := f_build_rom;
signal adr_reg : unsigned(c_rom_depth-1 downto 0);
begin
-- Simple ROM; ignore we/sel/dat
slave_o.err <= '0';
slave_o.rty <= '0';
slave_o.stall <= '0';
slave_o.int <= '0'; -- Tom sucks! This should not be here.
slave_o.dat <= rom(to_integer(adr_reg));
slave_clk : process(clk_sys_i)
begin
if (rising_edge(clk_sys_i)) then
adr_reg <= unsigned(slave_i.adr(c_rom_depth+c_rom_lowbits-1 downto c_rom_lowbits));
slave_o.ack <= slave_i.cyc and slave_i.stb;
end if;
end process;
end rtl;
|
entity FIFO is
port (
I_WR_EN : in std_logic;
I_DATA : out std_logic_vector(31 downto 0);
I_RD_EN : in std_logic;
O_DATA : out std_logic_vector(31 downto 0)
);
end entity FIFO;
entity FIFO is
port (
I_WR_EN : in std_logic;
I_DATA : out std_logic_vector(31 downto 0);
I_RD_EN : in std_logic;
O_DATA : out std_logic_vector(31 downto 0)
);
end entity FIFO;
|
--========================================================================================================================
-- Copyright (c) 2018 by Bitvis AS. All rights reserved.
-- You should have received a copy of the license file containing the MIT License (see LICENSE.TXT), if not,
-- contact Bitvis AS <[email protected]>.
--
-- UVVM AND ANY PART THEREOF ARE PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE
-- WARRANTIES OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS
-- OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR
-- OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH UVVM OR THE USE OR OTHER DEALINGS IN UVVM.
--========================================================================================================================
------------------------------------------------------------------------------------------
-- Description : See library quick reference (under 'doc') and README-file(s)
------------------------------------------------------------------------------------------
context vvc_context is
library bitvis_vip_uart;
use bitvis_vip_uart.uart_bfm_pkg.all;
use bitvis_vip_uart.vvc_cmd_pkg.all;
use bitvis_vip_uart.vvc_methods_pkg.all;
use bitvis_vip_uart.td_vvc_framework_common_methods_pkg.all;
end context; |
------------------------------------------------------------------------------
---- ----
---- Single Port RAM that maps to a Xilinx/Lattice BRAM ----
---- ----
---- This file is part FPGA Libre project http://fpgalibre.sf.net/ ----
---- ----
---- Description: ----
---- This is a program memory for the AVR. It maps to a Xilinx/Lattice ----
---- BRAM. ----
---- This version can be modified by the CPU (i. e. SPM instruction) ----
---- ----
---- To Do: ----
---- - ----
---- ----
---- Author: ----
---- - Salvador E. Tropea, salvador inti.gob.ar ----
---- ----
------------------------------------------------------------------------------
---- ----
---- Copyright (c) 2008-2017 Salvador E. Tropea <salvador inti.gob.ar> ----
---- Copyright (c) 2008-2017 Instituto Nacional de Tecnología Industrial ----
---- ----
---- Distributed under the BSD license ----
---- ----
------------------------------------------------------------------------------
---- ----
---- Design unit: SinglePortPM(Xilinx) (Entity and architecture) ----
---- File name: pm_s_rw.in.vhdl (template used) ----
---- Note: None ----
---- Limitations: None known ----
---- Errors: None known ----
---- Library: work ----
---- Dependencies: IEEE.std_logic_1164 ----
---- Target FPGA: Spartan 3 (XC3S1500-4-FG456) ----
---- iCE40 (iCE40HX4K) ----
---- Language: VHDL ----
---- Wishbone: No ----
---- Synthesis tools: Xilinx Release 9.2.03i - xst J.39 ----
---- iCEcube2.2016.02 ----
---- Simulation tools: GHDL [Sokcho edition] (0.2x) ----
---- Text editor: SETEdit 0.5.x ----
---- ----
------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity @entity.txt@ is
generic(
WORD_SIZE : integer:=16; -- Word Size
FALL_EDGE : std_logic:='0'; -- Ram clock falling edge
ADDR_W : integer:=13); -- Address Width
port(
clk_i : in std_logic;
addr_i : in std_logic_vector(ADDR_W-1 downto 0);
data_o : out std_logic_vector(WORD_SIZE-1 downto 0);
we_i : in std_logic;
data_i : in std_logic_vector(WORD_SIZE-1 downto 0));
end entity @entity.txt@;
architecture Xilinx of @entity.txt@ is
constant ROM_SIZE : natural:=2**ADDR_W;
type rom_t is array(natural range 0 to ROM_SIZE-1) of std_logic_vector(WORD_SIZE-1 downto 0);
signal addr_r : std_logic_vector(ADDR_W-1 downto 0);
signal rom : rom_t :=
(
@rom.dat@
);
begin
use_rising_edge:
if FALL_EDGE='0' generate
do_rom:
process (clk_i)
begin
if rising_edge(clk_i)then
addr_r <= addr_i;
if we_i='1' then
rom(to_integer(unsigned(addr_i))) <= data_i;
end if;
end if;
end process do_rom;
end generate use_rising_edge;
use_falling_edge:
if FALL_EDGE='1' generate
do_rom:
process (clk_i)
begin
if falling_edge(clk_i)then
addr_r <= addr_i;
if we_i='1' then
rom(to_integer(unsigned(addr_i))) <= data_i;
end if;
end if;
end process do_rom;
end generate use_falling_edge;
data_o <= rom(to_integer(unsigned(addr_r)));
end architecture Xilinx; -- Entity: @entity.txt@
|
-- -------------------------------------------------------------
--
-- Generated Architecture Declaration for rtl of inst_eac_e
--
-- Generated
-- by: wig
-- on: Mon Mar 22 13:27:43 2004
-- cmd: H:\work\mix_new\mix\mix_0.pl -strip -nodelta ../../mde_tests.xls
--
-- !!! Do not edit this file! Autogenerated by MIX !!!
-- $Author: wig $
-- $Id: inst_eac_e-rtl-a.vhd,v 1.1 2004/04/06 10:49:58 wig Exp $
-- $Date: 2004/04/06 10:49:58 $
-- $Log: inst_eac_e-rtl-a.vhd,v $
-- Revision 1.1 2004/04/06 10:49:58 wig
-- Adding result/mde_tests
--
--
-- Based on Mix Architecture Template built into RCSfile: MixWriter.pm,v
-- Id: MixWriter.pm,v 1.37 2003/12/23 13:25:21 abauer Exp
--
-- Generator: mix_0.pl Revision: 1.26 , [email protected]
-- (C) 2003 Micronas GmbH
--
-- --------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
-- No project specific VHDL libraries/arch
--
--
-- Start of Generated Architecture rtl of inst_eac_e
--
architecture rtl of inst_eac_e is
-- Generated Constant Declarations
--
-- Components
--
-- Generated Components
--
-- Nets
--
--
-- Generated Signal List
--
--
-- End of Generated Signal List
--
begin
--
-- Generated Concurrent Statements
--
-- Generated Signal Assignments
--
-- Generated Instances
--
-- Generated Instances and Port Mappings
end rtl;
--
--!End of Architecture/s
-- --------------------------------------------------------------
|
-- $Id: fx2lib.vhd 453 2012-01-15 17:51:18Z mueller $
--
-- Copyright 2011-2012 by Walter F.J. Mueller <[email protected]>
--
-- This program is free software; you may redistribute and/or modify it under
-- the terms of the GNU General Public License as published by the Free
-- Software Foundation, either version 2, or at your option any later version.
--
-- This program is distributed in the hope that it will be useful, but
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
-- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for complete details.
--
------------------------------------------------------------------------------
-- Package Name: fx2lib
-- Description: Cypress ez-usb fx2 support
--
-- Dependencies: -
-- Tool versions: xst 12.1, 13.1, 13.3; ghdl 0.26-0.29
--
-- Revision History:
-- Date Rev Version Comment
-- 2012-01-14 453 1.3 use afull/aempty logic instead of exporting size
-- 2012-01-03 449 1.2.1 reorganize fx2ctl_moni; hardcode ep's
-- 2012-01-01 448 1.2 add fx2_2fifoctl_ic
-- 2011-12-25 445 1.1 change pktend iface in fx2_2fifoctl_as
-- 2011-07-17 394 1.0.1 add c_fifo_epx and fx2ctl_moni_type
-- 2011-07-07 389 1.0 Initial version
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use work.slvtypes.all;
package fx2lib is
constant c_fifo_ep2 : slv2 := "00"; -- fifo address: end point 2
constant c_fifo_ep4 : slv2 := "01"; -- fifo address: end point 4
constant c_fifo_ep6 : slv2 := "10"; -- fifo address: end point 6
constant c_fifo_ep8 : slv2 := "11"; -- fifo address: end point 8
type fx2ctl_moni_type is record -- fx2ctl monitor port
fifo_ep4 : slbit; -- fifo 1 (ep4) active;
fifo_ep6 : slbit; -- fifo 2 (ep6) active;
fifo_ep8 : slbit; -- fifo 3 (ep8) active;
flag_ep4_empty : slbit; -- ep4 empty flag (latched);
flag_ep4_almost : slbit; -- ep4 almost empty flag (latched);
flag_ep6_full : slbit; -- ep6 full flag (latched);
flag_ep6_almost : slbit; -- ep6 almost full flag (latched);
flag_ep8_full : slbit; -- ep8 full flag (latched);
flag_ep8_almost : slbit; -- ep8 almost full flag (latched);
slrd : slbit; -- read strobe
slwr : slbit; -- write strobe
pktend : slbit; -- pktend strobe
end record fx2ctl_moni_type;
constant fx2ctl_moni_init : fx2ctl_moni_type := (
'0','0','0', -- fifo_ep[468]
'0','0', -- flag_ep4_(empty|almost)
'0','0', -- flag_ep6_(full|almost)
'0','0', -- flag_ep8_(full|almost)
'0','0','0' -- slrd, slwr, pktend
);
-- -------------------------------------
component fx2_2fifoctl_as is -- EZ-USB FX2 driver (2 fifo; async)
generic (
RXFAWIDTH : positive := 5; -- receive fifo address width
TXFAWIDTH : positive := 5; -- transmit fifo address width
PETOWIDTH : positive := 7; -- packet end time-out counter width
CCWIDTH : positive := 5; -- chunk counter width
RXAEMPTY_THRES : natural := 1; -- threshold for rx aempty flag
TXAFULL_THRES : natural := 1; -- threshold for tx afull flag
RDPWLDELAY : positive := 5; -- slrd low delay in clock cycles
RDPWHDELAY : positive := 5; -- slrd high delay in clock cycles
WRPWLDELAY : positive := 5; -- slwr low delay in clock cycles
WRPWHDELAY : positive := 7; -- slwr high delay in clock cycles
FLAGDELAY : positive := 2); -- flag delay in clock cycles
port (
CLK : in slbit; -- clock
CE_USEC : in slbit; -- 1 usec clock enable
RESET : in slbit := '0'; -- reset
RXDATA : out slv8; -- receive data out
RXVAL : out slbit; -- receive data valid
RXHOLD : in slbit; -- receive data hold
RXAEMPTY : out slbit; -- receive almost empty flag
TXDATA : in slv8; -- transmit data in
TXENA : in slbit; -- transmit data enable
TXBUSY : out slbit; -- transmit data busy
TXAFULL : out slbit; -- transmit almost full flag
MONI : out fx2ctl_moni_type; -- monitor port data
I_FX2_IFCLK : in slbit; -- fx2: interface clock
O_FX2_FIFO : out slv2; -- fx2: fifo address
I_FX2_FLAG : in slv4; -- fx2: fifo flags
O_FX2_SLRD_N : out slbit; -- fx2: read enable (act.low)
O_FX2_SLWR_N : out slbit; -- fx2: write enable (act.low)
O_FX2_SLOE_N : out slbit; -- fx2: output enable (act.low)
O_FX2_PKTEND_N : out slbit; -- fx2: packet end (act.low)
IO_FX2_DATA : inout slv8 -- fx2: data lines
);
end component;
component fx2_2fifoctl_ic is -- EZ-USB FX2 driver (2 fifo; int clk)
generic (
RXFAWIDTH : positive := 5; -- receive fifo address width
TXFAWIDTH : positive := 5; -- transmit fifo address width
PETOWIDTH : positive := 7; -- packet end time-out counter width
CCWIDTH : positive := 5; -- chunk counter width
RXAEMPTY_THRES : natural := 1; -- threshold for rx aempty flag
TXAFULL_THRES : natural := 1); -- threshold for tx afull flag
port (
CLK : in slbit; -- clock
RESET : in slbit := '0'; -- reset
RXDATA : out slv8; -- receive data out
RXVAL : out slbit; -- receive data valid
RXHOLD : in slbit; -- receive data hold
RXAEMPTY : out slbit; -- receive almost empty flag
TXDATA : in slv8; -- transmit data in
TXENA : in slbit; -- transmit data enable
TXBUSY : out slbit; -- transmit data busy
TXAFULL : out slbit; -- transmit almost full flag
MONI : out fx2ctl_moni_type; -- monitor port data
I_FX2_IFCLK : in slbit; -- fx2: interface clock
O_FX2_FIFO : out slv2; -- fx2: fifo address
I_FX2_FLAG : in slv4; -- fx2: fifo flags
O_FX2_SLRD_N : out slbit; -- fx2: read enable (act.low)
O_FX2_SLWR_N : out slbit; -- fx2: write enable (act.low)
O_FX2_SLOE_N : out slbit; -- fx2: output enable (act.low)
O_FX2_PKTEND_N : out slbit; -- fx2: packet end (act.low)
IO_FX2_DATA : inout slv8 -- fx2: data lines
);
end component;
component fx2_3fifoctl_ic is -- EZ-USB FX2 driver (3 fifo; int clk)
generic (
RXFAWIDTH : positive := 5; -- receive fifo address width
TXFAWIDTH : positive := 5; -- transmit fifo address width
PETOWIDTH : positive := 7; -- packet end time-out counter width
CCWIDTH : positive := 5; -- chunk counter width
RXAEMPTY_THRES : natural := 1; -- threshold for rx aempty flag
TXAFULL_THRES : natural := 1; -- threshold for tx afull flag
TX2AFULL_THRES : natural := 1); -- threshold for tx2 afull flag
port (
CLK : in slbit; -- clock
RESET : in slbit := '0'; -- reset
RXDATA : out slv8; -- receive data out
RXVAL : out slbit; -- receive data valid
RXHOLD : in slbit; -- receive data hold
RXAEMPTY : out slbit; -- receive almost empty flag
TXDATA : in slv8; -- transmit 1 data in
TXENA : in slbit; -- transmit 1 data enable
TXBUSY : out slbit; -- transmit 1 data busy
TXAFULL : out slbit; -- transmit 1 almost full flag
TX2DATA : in slv8; -- transmit 2 data in
TX2ENA : in slbit; -- transmit 2 data enable
TX2BUSY : out slbit; -- transmit 2 data busy
TX2AFULL : out slbit; -- transmit 2 almost full flag
MONI : out fx2ctl_moni_type; -- monitor port data
I_FX2_IFCLK : in slbit; -- fx2: interface clock
O_FX2_FIFO : out slv2; -- fx2: fifo address
I_FX2_FLAG : in slv4; -- fx2: fifo flags
O_FX2_SLRD_N : out slbit; -- fx2: read enable (act.low)
O_FX2_SLWR_N : out slbit; -- fx2: write enable (act.low)
O_FX2_SLOE_N : out slbit; -- fx2: output enable (act.low)
O_FX2_PKTEND_N : out slbit; -- fx2: packet end (act.low)
IO_FX2_DATA : inout slv8 -- fx2: data lines
);
end component;
end package fx2lib;
|
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.