content
stringlengths
1
1.04M
library IEEE; use IEEE.std_logic_1164.all; entity DrinksMachine is port( CLOCK_50 : in std_logic; SW : in std_logic_vector(2 downto 0); KEY : in std_logic_vector(0 downto 0); LEDG : out std_logic_vector(0 downto 0)); end DrinksMachine; architecture Shell of DrinksMachine is signal clk50Mhz, s_clk : std_logic; begin clk50MHz <= CLOCK_50; sw_debounce : entity WORK.DebounceUnit(Behavioral) generic map(clkFrekHz => 50000, blindmSec => 100, inPol => '0', outPol => '1') port map(reset => SW(0), refClk => clk50MHz, dirtyIn => KEY(0), pulsedOut => s_clk); drink_core : entity work.DrinksFSM(Behavioral) port map(C => SW(2), V => SW(1), reset => SW(0), clk => s_clk, drink => LEDG(0)); end Shell;
LIBRARY ieee; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use ieee.numeric_std.all; entity bit_DIVV is port (in_Bus : in std_logic_vector(7 downto 0); out_bus : out std_logic_vector(15 downto 0); WindowEnable : in std_logic; clk : in std_logic; U_enable : std_logic; TrainFlag: in std_logic; aclr : in std_logic; AccumulateSIGNAL: in std_logic; CalculateSIGNAL: in std_logic; last_row : in std_logic ); end bit_DIVV; architecture str_AddDiv1 of bit_DIVV is signal data_total : std_logic_vector (15 downto 0); signal add : integer range -16384 to 16383; begin TRAINcalculate: process(TrainFlag,CalculateSIGNAL) -- at end of training period do this, but only at start of a frame (and end of Vsync period) variable Calc_total : std_logic_vector(15 downto 0); begin if (CalculateSIGNAL'event) and (CalculateSIGNAL='1') then data_total <= data_total sll 2; out_bus <= data_total; if (TrainFlag = '1')then -- HERE end if; end if; end process TRAINcalculate; end str_AddDiv1;
-- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:floating_point:7.1 -- IP Revision: 1 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY floating_point_v7_1_1; USE floating_point_v7_1_1.floating_point_v7_1_1; ENTITY ANN_ap_fmul_2_max_dsp_32 IS PORT ( aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; s_axis_a_tvalid : IN STD_LOGIC; s_axis_a_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_b_tvalid : IN STD_LOGIC; s_axis_b_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); m_axis_result_tvalid : OUT STD_LOGIC; m_axis_result_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0) ); END ANN_ap_fmul_2_max_dsp_32; ARCHITECTURE ANN_ap_fmul_2_max_dsp_32_arch OF ANN_ap_fmul_2_max_dsp_32 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF ANN_ap_fmul_2_max_dsp_32_arch: ARCHITECTURE IS "yes"; COMPONENT floating_point_v7_1_1 IS GENERIC ( C_XDEVICEFAMILY : STRING; C_HAS_ADD : INTEGER; C_HAS_SUBTRACT : INTEGER; C_HAS_MULTIPLY : INTEGER; C_HAS_DIVIDE : INTEGER; C_HAS_SQRT : INTEGER; C_HAS_COMPARE : INTEGER; C_HAS_FIX_TO_FLT : INTEGER; C_HAS_FLT_TO_FIX : INTEGER; C_HAS_FLT_TO_FLT : INTEGER; C_HAS_RECIP : INTEGER; C_HAS_RECIP_SQRT : INTEGER; C_HAS_ABSOLUTE : INTEGER; C_HAS_LOGARITHM : INTEGER; C_HAS_EXPONENTIAL : INTEGER; C_HAS_FMA : INTEGER; C_HAS_FMS : INTEGER; C_HAS_ACCUMULATOR_A : INTEGER; C_HAS_ACCUMULATOR_S : INTEGER; C_A_WIDTH : INTEGER; C_A_FRACTION_WIDTH : INTEGER; C_B_WIDTH : INTEGER; C_B_FRACTION_WIDTH : INTEGER; C_C_WIDTH : INTEGER; C_C_FRACTION_WIDTH : INTEGER; C_RESULT_WIDTH : INTEGER; C_RESULT_FRACTION_WIDTH : INTEGER; C_COMPARE_OPERATION : INTEGER; C_LATENCY : INTEGER; C_OPTIMIZATION : INTEGER; C_MULT_USAGE : INTEGER; C_BRAM_USAGE : INTEGER; C_RATE : INTEGER; C_ACCUM_INPUT_MSB : INTEGER; C_ACCUM_MSB : INTEGER; C_ACCUM_LSB : INTEGER; C_HAS_UNDERFLOW : INTEGER; C_HAS_OVERFLOW : INTEGER; C_HAS_INVALID_OP : INTEGER; C_HAS_DIVIDE_BY_ZERO : INTEGER; C_HAS_ACCUM_OVERFLOW : INTEGER; C_HAS_ACCUM_INPUT_OVERFLOW : INTEGER; C_HAS_ACLKEN : INTEGER; C_HAS_ARESETN : INTEGER; C_THROTTLE_SCHEME : INTEGER; C_HAS_A_TUSER : INTEGER; C_HAS_A_TLAST : INTEGER; C_HAS_B : INTEGER; C_HAS_B_TUSER : INTEGER; C_HAS_B_TLAST : INTEGER; C_HAS_C : INTEGER; C_HAS_C_TUSER : INTEGER; C_HAS_C_TLAST : INTEGER; C_HAS_OPERATION : INTEGER; C_HAS_OPERATION_TUSER : INTEGER; C_HAS_OPERATION_TLAST : INTEGER; C_HAS_RESULT_TUSER : INTEGER; C_HAS_RESULT_TLAST : INTEGER; C_TLAST_RESOLUTION : INTEGER; C_A_TDATA_WIDTH : INTEGER; C_A_TUSER_WIDTH : INTEGER; C_B_TDATA_WIDTH : INTEGER; C_B_TUSER_WIDTH : INTEGER; C_C_TDATA_WIDTH : INTEGER; C_C_TUSER_WIDTH : INTEGER; C_OPERATION_TDATA_WIDTH : INTEGER; C_OPERATION_TUSER_WIDTH : INTEGER; C_RESULT_TDATA_WIDTH : INTEGER; C_RESULT_TUSER_WIDTH : INTEGER; C_FIXED_DATA_UNSIGNED : INTEGER ); PORT ( aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; aresetn : IN STD_LOGIC; s_axis_a_tvalid : IN STD_LOGIC; s_axis_a_tready : OUT STD_LOGIC; s_axis_a_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_a_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_a_tlast : IN STD_LOGIC; s_axis_b_tvalid : IN STD_LOGIC; s_axis_b_tready : OUT STD_LOGIC; s_axis_b_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_b_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_b_tlast : IN STD_LOGIC; s_axis_c_tvalid : IN STD_LOGIC; s_axis_c_tready : OUT STD_LOGIC; s_axis_c_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_c_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_c_tlast : IN STD_LOGIC; s_axis_operation_tvalid : IN STD_LOGIC; s_axis_operation_tready : OUT STD_LOGIC; s_axis_operation_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axis_operation_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_operation_tlast : IN STD_LOGIC; m_axis_result_tvalid : OUT STD_LOGIC; m_axis_result_tready : IN STD_LOGIC; m_axis_result_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axis_result_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_result_tlast : OUT STD_LOGIC ); END COMPONENT floating_point_v7_1_1; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF ANN_ap_fmul_2_max_dsp_32_arch: ARCHITECTURE IS "floating_point_v7_1_1,Vivado 2015.4.2"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF ANN_ap_fmul_2_max_dsp_32_arch : ARCHITECTURE IS "ANN_ap_fmul_2_max_dsp_32,floating_point_v7_1_1,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF ANN_ap_fmul_2_max_dsp_32_arch: ARCHITECTURE IS "ANN_ap_fmul_2_max_dsp_32,floating_point_v7_1_1,{x_ipProduct=Vivado 2015.4.2,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=floating_point,x_ipVersion=7.1,x_ipCoreRevision=1,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,C_XDEVICEFAMILY=zynq,C_HAS_ADD=0,C_HAS_SUBTRACT=0,C_HAS_MULTIPLY=1,C_HAS_DIVIDE=0,C_HAS_SQRT=0,C_HAS_COMPARE=0,C_HAS_FIX_TO_FLT=0,C_HAS_FLT_TO_FIX=0,C_HAS_FLT_TO_FLT=0,C_HAS_RECIP=0,C_HAS_RECIP_SQRT=0,C_HAS_ABSOLUTE=0,C_HAS_LOGARITHM=0,C_HAS_EXPONENTIAL=0,C_HAS_FMA=0,C_HAS_FMS=0,C_HAS_ACCUMULATOR_A=0,C_HAS_ACCUMULATOR_S=0,C_A_WIDTH=32,C_A_FRACTION_WIDTH=24,C_B_WIDTH=32,C_B_FRACTION_WIDTH=24,C_C_WIDTH=32,C_C_FRACTION_WIDTH=24,C_RESULT_WIDTH=32,C_RESULT_FRACTION_WIDTH=24,C_COMPARE_OPERATION=8,C_LATENCY=2,C_OPTIMIZATION=1,C_MULT_USAGE=3,C_BRAM_USAGE=0,C_RATE=1,C_ACCUM_INPUT_MSB=32,C_ACCUM_MSB=32,C_ACCUM_LSB=-31,C_HAS_UNDERFLOW=0,C_HAS_OVERFLOW=0,C_HAS_INVALID_OP=0,C_HAS_DIVIDE_BY_ZERO=0,C_HAS_ACCUM_OVERFLOW=0,C_HAS_ACCUM_INPUT_OVERFLOW=0,C_HAS_ACLKEN=1,C_HAS_ARESETN=0,C_THROTTLE_SCHEME=3,C_HAS_A_TUSER=0,C_HAS_A_TLAST=0,C_HAS_B=1,C_HAS_B_TUSER=0,C_HAS_B_TLAST=0,C_HAS_C=0,C_HAS_C_TUSER=0,C_HAS_C_TLAST=0,C_HAS_OPERATION=0,C_HAS_OPERATION_TUSER=0,C_HAS_OPERATION_TLAST=0,C_HAS_RESULT_TUSER=0,C_HAS_RESULT_TLAST=0,C_TLAST_RESOLUTION=0,C_A_TDATA_WIDTH=32,C_A_TUSER_WIDTH=1,C_B_TDATA_WIDTH=32,C_B_TUSER_WIDTH=1,C_C_TDATA_WIDTH=32,C_C_TUSER_WIDTH=1,C_OPERATION_TDATA_WIDTH=8,C_OPERATION_TUSER_WIDTH=1,C_RESULT_TDATA_WIDTH=32,C_RESULT_TUSER_WIDTH=1,C_FIXED_DATA_UNSIGNED=0}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 aclk_intf CLK"; ATTRIBUTE X_INTERFACE_INFO OF aclken: SIGNAL IS "xilinx.com:signal:clockenable:1.0 aclken_intf CE"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_b_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_B TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_b_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_B TDATA"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TDATA"; BEGIN U0 : floating_point_v7_1_1 GENERIC MAP ( C_XDEVICEFAMILY => "zynq", C_HAS_ADD => 0, C_HAS_SUBTRACT => 0, C_HAS_MULTIPLY => 1, C_HAS_DIVIDE => 0, C_HAS_SQRT => 0, C_HAS_COMPARE => 0, C_HAS_FIX_TO_FLT => 0, C_HAS_FLT_TO_FIX => 0, C_HAS_FLT_TO_FLT => 0, C_HAS_RECIP => 0, C_HAS_RECIP_SQRT => 0, C_HAS_ABSOLUTE => 0, C_HAS_LOGARITHM => 0, C_HAS_EXPONENTIAL => 0, C_HAS_FMA => 0, C_HAS_FMS => 0, C_HAS_ACCUMULATOR_A => 0, C_HAS_ACCUMULATOR_S => 0, C_A_WIDTH => 32, C_A_FRACTION_WIDTH => 24, C_B_WIDTH => 32, C_B_FRACTION_WIDTH => 24, C_C_WIDTH => 32, C_C_FRACTION_WIDTH => 24, C_RESULT_WIDTH => 32, C_RESULT_FRACTION_WIDTH => 24, C_COMPARE_OPERATION => 8, C_LATENCY => 2, C_OPTIMIZATION => 1, C_MULT_USAGE => 3, C_BRAM_USAGE => 0, C_RATE => 1, C_ACCUM_INPUT_MSB => 32, C_ACCUM_MSB => 32, C_ACCUM_LSB => -31, C_HAS_UNDERFLOW => 0, C_HAS_OVERFLOW => 0, C_HAS_INVALID_OP => 0, C_HAS_DIVIDE_BY_ZERO => 0, C_HAS_ACCUM_OVERFLOW => 0, C_HAS_ACCUM_INPUT_OVERFLOW => 0, C_HAS_ACLKEN => 1, C_HAS_ARESETN => 0, C_THROTTLE_SCHEME => 3, C_HAS_A_TUSER => 0, C_HAS_A_TLAST => 0, C_HAS_B => 1, C_HAS_B_TUSER => 0, C_HAS_B_TLAST => 0, C_HAS_C => 0, C_HAS_C_TUSER => 0, C_HAS_C_TLAST => 0, C_HAS_OPERATION => 0, C_HAS_OPERATION_TUSER => 0, C_HAS_OPERATION_TLAST => 0, C_HAS_RESULT_TUSER => 0, C_HAS_RESULT_TLAST => 0, C_TLAST_RESOLUTION => 0, C_A_TDATA_WIDTH => 32, C_A_TUSER_WIDTH => 1, C_B_TDATA_WIDTH => 32, C_B_TUSER_WIDTH => 1, C_C_TDATA_WIDTH => 32, C_C_TUSER_WIDTH => 1, C_OPERATION_TDATA_WIDTH => 8, C_OPERATION_TUSER_WIDTH => 1, C_RESULT_TDATA_WIDTH => 32, C_RESULT_TUSER_WIDTH => 1, C_FIXED_DATA_UNSIGNED => 0 ) PORT MAP ( aclk => aclk, aclken => aclken, aresetn => '1', s_axis_a_tvalid => s_axis_a_tvalid, s_axis_a_tdata => s_axis_a_tdata, s_axis_a_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_a_tlast => '0', s_axis_b_tvalid => s_axis_b_tvalid, s_axis_b_tdata => s_axis_b_tdata, s_axis_b_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_b_tlast => '0', s_axis_c_tvalid => '0', s_axis_c_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axis_c_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_c_tlast => '0', s_axis_operation_tvalid => '0', s_axis_operation_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axis_operation_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_operation_tlast => '0', m_axis_result_tvalid => m_axis_result_tvalid, m_axis_result_tready => '0', m_axis_result_tdata => m_axis_result_tdata ); END ANN_ap_fmul_2_max_dsp_32_arch;
-- IT Tijuana, NetList-FPGA-Optimizer 0.01 (printed on 2016-05-13.07:45:24) LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.all; USE IEEE.NUMERIC_STD.all; ENTITY mpegmv_nsga2_entity IS PORT ( reset, clk: IN std_logic; input1, input2, input3, input4, input5, input6, input7, input8, input9, input10, input11, input12, input13, input14: IN unsigned(0 TO 30); output1, output2, output3: OUT unsigned(0 TO 31)); END mpegmv_nsga2_entity; ARCHITECTURE mpegmv_nsga2_description OF mpegmv_nsga2_entity IS SIGNAL current_state : unsigned(0 TO 7) := "00000000"; SHARED VARIABLE register1: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register2: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register3: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register4: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register5: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register6: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register7: unsigned(0 TO 31) := "00000000000000000000000000000000"; BEGIN moore_machine: PROCESS(clk, reset) BEGIN IF reset = '0' THEN current_state <= "00000000"; ELSIF clk = '1' AND clk'event THEN IF current_state < 4 THEN current_state <= current_state + 1; END IF; END IF; END PROCESS moore_machine; operations: PROCESS(current_state) BEGIN CASE current_state IS WHEN "00000001" => register1 := input1 * 1; WHEN "00000010" => register1 := register1 + 3; register2 := input2 * 4; register3 := input3 * 5; WHEN "00000011" => register3 := register3 + 7; register2 := register2 + 9; register4 := input4 * 10; register5 := input5 * 11; WHEN "00000100" => register4 := register4 + 13; register6 := input6 * 14; register1 := register5 + register1; register5 := input7 * 15; register7 := input8 * 16; WHEN "00000101" => register1 := register7 + register1; register7 := input9 * 17; WHEN "00000110" => output1 <= register7 + register3; register3 := input10 * 19; register4 := register6 + register4; register2 := register5 + register2; register1 := ((NOT register1) + 1) XOR register1; register5 := input11 * 22; WHEN "00000111" => register4 := register5 + register4; register5 := input12 * 23; WHEN "00001000" => output2 <= register1(0 TO 15) & register4(0 TO 15); register1 := input13 * 25; register2 := register5 + register2; WHEN "00001001" => register2 := ((NOT register2) + 1) XOR register2; register4 := input14 * 28; register1 := register1 + 30; WHEN "00001010" => register1 := register4 + register1; WHEN "00001011" => register1 := register3 + register1; WHEN "00001100" => output3 <= register2(0 TO 15) & register1(0 TO 15); WHEN OTHERS => NULL; END CASE; END PROCESS operations; END mpegmv_nsga2_description;
-------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 14:31:50 06/04/2015 -- Design Name: -- Module Name: F:/WorkSpace/workspace_ise/Exp/CPU/test_alu.vhd -- Project Name: CPU -- Target Device: -- Tool versions: -- Description: -- -- VHDL Test Bench Created by ISE for module: module_ALU -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- -- Notes: -- This testbench has been automatically generated using types std_logic and -- std_logic_vector for the ports of the unit under test. Xilinx recommends -- that these types always be used for the top-level I/O of a design in order -- to guarantee that the testbench will bind correctly to the post-implementation -- simulation model. -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --USE ieee.numeric_std.ALL; ENTITY test_alu IS END test_alu; ARCHITECTURE behavior OF test_alu IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT module_ALU PORT( clk_ALU : IN std_logic; nreset : IN std_logic; M_A : IN std_logic; M_B : IN std_logic; M_F : IN std_logic; nALU_EN : IN std_logic; nPSW_EN : IN std_logic; C0 : IN std_logic; S : IN std_logic_vector(4 downto 0); F_in : IN std_logic_vector(1 downto 0); datai : IN std_logic_vector(7 downto 0); datao : OUT std_logic_vector(7 downto 0); do : OUT std_logic; AC : OUT std_logic; CY : OUT std_logic; ZN : OUT std_logic; OV : OUT std_logic ); END COMPONENT; --Inputs signal clk_ALU : std_logic := '0'; signal nreset : std_logic := '0'; signal M_A : std_logic := '0'; signal M_B : std_logic := '0'; signal M_F : std_logic := '0'; signal nALU_EN : std_logic := '1'; signal nPSW_EN : std_logic := '1'; signal C0 : std_logic := '0'; signal S : std_logic_vector(4 downto 0) := (others => '0'); signal F_in : std_logic_vector(1 downto 0) := (others => '0'); signal datai : std_logic_vector(7 downto 0) := (others => '0'); --Outputs signal datao : std_logic_vector(7 downto 0); signal do : std_logic; signal AC : std_logic; signal CY : std_logic; signal ZN : std_logic; signal OV : std_logic; -- Clock period definitions constant clk_ALU_period : time := 10 ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut: module_ALU PORT MAP ( clk_ALU => clk_ALU, nreset => nreset, M_A => M_A, M_B => M_B, M_F => M_F, nALU_EN => nALU_EN, nPSW_EN => nPSW_EN, C0 => C0, S => S, F_in => F_in, datai => datai, datao => datao, do => do, AC => AC, CY => CY, ZN => ZN, OV => OV ); -- Clock process definitions clk_ALU_process :process begin clk_ALU <= '0'; wait for clk_ALU_period/2; clk_ALU <= '1'; wait for clk_ALU_period/2; end process; -- Stimulus process stim_proc: process begin -- hold reset state for 100 ns. wait for 100 ns; nreset <= '1'; -- insert stimulus here M_A <= '1'; datai <= X"68"; wait for 100ns; M_A <= '0'; M_B <= '1'; datai <= X"34"; wait for 100ns; M_B <= '0'; nALU_EN <= '0'; S <= "00000"; wait; end process; END;
library ieee; use ieee.std_logic_1164.all; entity test_byte_bus is end test_byte_bus; architecture behavioural of test_byte_bus is component byte_bus is generic ( bus_length : natural ); port ( clock : in std_logic; data : out std_logic_vector(7 downto 0) ); end component byte_bus; signal clock: std_logic; signal data_bus : std_logic_vector(7 downto 0); begin BBUS: byte_bus generic map(4) port map (clock, data_bus); process begin clock <= '0'; wait for 1 ns; clock <= '1'; wait for 1 ns; assert data_bus = "00000000" report "Data should match writer position" severity error; clock <= '0'; wait for 1 ns; clock <= '1'; wait for 1 ns; assert data_bus = "00000001" report "Data should match writer position" severity error; clock <= '0'; wait for 1 ns; clock <= '1'; wait for 1 ns; assert data_bus = "00000010" report "Data should match writer position" severity error; clock <= '0'; wait for 1 ns; clock <= '1'; wait for 1 ns; assert data_bus = "00000011" report "Data should match writer position" severity error; clock <= '0'; wait for 1 ns; clock <= '1'; wait for 1 ns; assert data_bus = "00000000" report "Writer should cycle back to start" severity error; wait; end process; end behavioural;
-- $Id: migui2bram.vhd 1181 2019-07-08 17:00:50Z mueller $ -- SPDX-License-Identifier: GPL-3.0-or-later -- Copyright 2018- by Walter F.J. Mueller <[email protected]> -- ------------------------------------------------------------------------------ -- Module Name: migui2bram - sim -- Description: MIG to BRAM adapter -- -- Dependencies: xlib/s7_cmt_sfs -- memlib/ram_1swsr_wfirst_gen -- cdclib/cdc_signal_s1_as -- Test bench: - -- Target Devices: 7-Series -- Tool versions: viv 2017.2; ghdl 0.34 -- -- Revision History: -- Date Rev Version Comment -- 2018-12-28 1096 1.0 Initial version -- 2018-11-10 1067 0.1 First draft -- ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.slvtypes.all; use work.memlib.all; use work.cdclib.all; use work.xlib.all; entity migui2bram is -- MIG to BRAM adapter generic ( BAWIDTH : positive := 4; -- byte address width MAWIDTH : positive := 28; -- memory address width RAWIDTH : positive := 19; -- BRAM memory address width RDELAY : positive := 5; -- read response delay CLKMUI_MUL : positive := 6; -- multiplier for MIGUI clock CLKMUI_DIV : positive := 12; -- divider for MIGUI clock CLKMSYS_PERIOD : real := 6.000); -- MIG SYS_CLK period port ( SYS_CLK : in slbit; -- system clock SYS_RST : in slbit; -- system reset UI_CLK : out slbit; -- MIGUI clock UI_CLK_SYNC_RST : out slbit; -- MIGUI reset INIT_CALIB_COMPLETE : out slbit; -- MIGUI calibration done APP_RDY : out slbit; -- MIGUI ready for cmd APP_EN : in slbit; -- MIGUI command enable APP_CMD : in slv3; -- MIGUI command APP_ADDR : in slv(MAWIDTH-1 downto 0); -- MIGUI address APP_WDF_RDY : out slbit; -- MIGUI ready for data write APP_WDF_WREN : in slbit; -- MIGUI data write enable APP_WDF_DATA : in slv(8*(2**BAWIDTH)-1 downto 0);-- MIGUI write data APP_WDF_MASK : in slv((2**BAWIDTH)-1 downto 0); -- MIGUI write mask APP_WDF_END : in slbit; -- MIGUI write end APP_RD_DATA_VALID : out slbit; -- MIGUI read valid APP_RD_DATA : out slv(8*(2**BAWIDTH)-1 downto 0);-- MIGUI read data APP_RD_DATA_END : out slbit -- MIGUI read end ); end migui2bram; architecture syn of migui2bram is constant mwidth : positive := 2**BAWIDTH; -- mask width (8 or 16) signal CLKFX : slbit := '0'; signal CLK : slbit := '0'; -- local copy of UI_CLK signal R_RDVAL : slv(RDELAY downto 0) := (others=>'0'); signal LOCKED : slbit := '0'; -- raw from mmcm signal LOCKED_UICLK : slbit := '0'; -- sync'ed to UI_CLK begin assert BAWIDTH = 3 or BAWIDTH = 4 report "assert( BAWIDTH = 3 or 4 )" severity failure; GEN_CLKMUI : s7_cmt_sfs -- ui clock ------------ generic map ( VCO_DIVIDE => 1, VCO_MULTIPLY => CLKMUI_MUL, OUT_DIVIDE => CLKMUI_DIV, CLKIN_PERIOD => CLKMSYS_PERIOD, CLKIN_JITTER => 0.01, STARTUP_WAIT => false, GEN_TYPE => "MMCM") port map ( CLKIN => SYS_CLK, CLKFX => CLKFX, LOCKED => LOCKED ); CLK <= CLKFX; -- !! copy both local CLK and exported UI_CLK <= CLKFX; -- !! UI_CLK to avoid delta cycle diff CDC_LOCKED : cdc_signal_s1_as port map ( CLKO => CLK, DI => LOCKED, DO => LOCKED_UICLK ); MARRAY: for col in mwidth-1 downto 0 generate signal MEM_WE : slbit := '0'; begin MEM_WE <= APP_WDF_WREN and not APP_WDF_MASK(col); -- WE = not MASK ! MCELL : ram_1swsr_wfirst_gen generic map ( AWIDTH => RAWIDTH-BAWIDTH, DWIDTH => 8) -- byte wide port map ( CLK => CLK, EN => APP_EN, WE => MEM_WE, ADDR => APP_ADDR(RAWIDTH-1 downto BAWIDTH), DI => APP_WDF_DATA(8*col+7 downto 8*col), DO => APP_RD_DATA(8*col+7 downto 8*col) ); end generate MARRAY; UI_CLK_SYNC_RST <= not LOCKED_UICLK; INIT_CALIB_COMPLETE <= LOCKED_UICLK; APP_RDY <= '1'; APP_WDF_RDY <= '1'; proc_regs: process (CLK) begin if rising_edge(CLK) then if SYS_RST = '1' then R_RDVAL <= (others=>'0'); else R_RDVAL(0) <= APP_EN and not APP_WDF_WREN; R_RDVAL(RDELAY downto 1) <= R_RDVAL(RDELAY-1 downto 0); end if; end if; end process proc_regs; APP_RD_DATA_VALID <= R_RDVAL(RDELAY); APP_RD_DATA_END <= R_RDVAL(RDELAY); -- synthesis translate_off proc_moni: process (CLK) begin if rising_edge(CLK) then if SYS_RST = '0' then if APP_EN = '1' then assert unsigned(APP_ADDR(MAWIDTH-1 downto RAWIDTH)) = 0 report "migui2bram: FAIL: out of memory size access" severity error; else assert APP_WDF_WREN = '0' report "migui2bram: FAIL: APP_WDF_WREN=1 when APP_EN=0" severity error; end if; assert APP_WDF_WREN = APP_WDF_END report "migui2bram: FAIL: APP_WDF_WREN /= APP_WDF_END" severity error; end if; end if; end process proc_moni; -- synthesis translate_on end syn;
-- VHDL do interface recepcao library ieee; use ieee.std_logic_1164.all; entity interface_recepcao is port( clock: in std_logic; reset: in std_logic; pronto: in std_logic; paridade_ok: in std_logic; recebe_dado: in std_logic; dado_entrada: in std_logic_vector(11 downto 0); tem_dado_rec: out std_logic; dado_rec: out std_logic_vector(11 downto 0) ); end interface_recepcao; architecture estrutural of interface_recepcao is component unidade_controle_interface_recepcao is port( clock: in std_logic; reset: in std_logic; pronto: in std_logic; recebe_dado: in std_logic; tem_dado_rec: out std_logic; habilita_registrador: out std_logic ); end component; component fluxo_dados_interface_recepcao is port( clock: in std_logic; enable: in std_logic; entrada: in std_logic_vector(11 downto 0); saida: out std_logic_vector(11 downto 0) ); end component; signal sinal_habilita_registrador: std_logic; begin unidade_controle: unidade_controle_interface_recepcao port map (clock, reset, pronto, recebe_dado, tem_dado_rec, sinal_habilita_registrador); fluxo_dados: fluxo_dados_interface_recepcao port map(clock, sinal_habilita_registrador, dado_entrada, dado_rec); end estrutural;
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- Copyright (C) 2015, Cobham Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: toutpad_ds -- File: toutpad_ds.vhd -- Author: Jonas Ekergarn - Aeroflex Gaisler -- Description: tri-state differential output pad with technology wrapper ------------------------------------------------------------------------------ library techmap; library ieee; use ieee.std_logic_1164.all; use techmap.gencomp.all; use techmap.allpads.all; entity toutpad_ds is generic (tech : integer := 0; level : integer := 0; slew : integer := 0; voltage : integer := x33v; strength : integer := 12; oepol : integer := 0); port (padp, padn : out std_ulogic; i, en : in std_ulogic); end; architecture rtl of toutpad_ds is signal oen : std_ulogic; signal padx, gnd : std_ulogic; begin gnd <= '0'; oen <= not en when oepol /= padoen_polarity(tech) else en; gen0 : if has_ds_pads(tech) = 0 or (is_unisim(tech) = 1) or tech = axcel or tech = axdsp or tech = rhlib18t or tech = ut25 or tech = ut130 generate padp <= i -- pragma translate_off after 2 ns -- pragma translate_on when oen = '0' -- pragma translate_off else 'X' after 2 ns when is_x(en) -- pragma translate_on else 'Z' -- pragma translate_off after 2 ns -- pragma translate_on ; padn <= not i -- pragma translate_off after 2 ns -- pragma translate_on when oen = '0' -- pragma translate_off else 'X' after 2 ns when is_x(en) -- pragma translate_on else 'Z' -- pragma translate_off after 2 ns -- pragma translate_on ; end generate; pa3 : if (tech = apa3) generate u0 : apa3_toutpad_ds generic map (level) port map (padp, padn, i, oen); end generate; pa3e : if (tech = apa3e) generate u0 : apa3e_toutpad_ds generic map (level) port map (padp, padn, i, oen); end generate; igl2 : if (tech = igloo2) generate u0 : igloo2_toutpad_ds port map (padp, padn, i, oen); end generate; pa3l : if (tech = apa3l) generate u0 : apa3l_toutpad_ds generic map (level) port map (padp, padn, i, oen); end generate; fus : if (tech = actfus) generate u0 : fusion_toutpad_ds generic map (level) port map (padp, padn, i, oen); end generate; end; library techmap; library ieee; use ieee.std_logic_1164.all; use techmap.gencomp.all; entity toutpad_dsv is generic (tech : integer := 0; level : integer := 0; slew : integer := 0; voltage : integer := x33v; strength : integer := 12; width : integer := 1; oepol : integer := 0); port ( padp : out std_logic_vector(width-1 downto 0); padn : out std_logic_vector(width-1 downto 0); i : in std_logic_vector(width-1 downto 0); en : in std_ulogic); end; architecture rtl of toutpad_dsv is begin v : for j in width-1 downto 0 generate u0 : toutpad_ds generic map (tech, level, slew, voltage, strength, oepol) port map (padp(j), padn(j), i(j), en); end generate; end; library techmap; library ieee; use ieee.std_logic_1164.all; use techmap.gencomp.all; entity toutpad_dsvv is generic (tech : integer := 0; level : integer := 0; slew : integer := 0; voltage : integer := x33v; strength : integer := 12; width : integer := 1; oepol : integer := 0); port ( padp : out std_logic_vector(width-1 downto 0); padn : out std_logic_vector(width-1 downto 0); i : in std_logic_vector(width-1 downto 0); en : in std_logic_vector(width-1 downto 0)); end; architecture rtl of toutpad_dsvv is begin v : for j in width-1 downto 0 generate u0 : toutpad_ds generic map (tech, level, slew, voltage, strength, oepol) port map (padp(j), padn(j), i(j), en(j)); end generate; end;
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 12/26/2016 11:44:06 PM -- Design Name: -- Module Name: sim_prf - Behavioral -- Project Name: -- Target Devices: -- Tool Versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use work.sha1_pkg.all; entity sim_prf is end sim_prf; architecture Behavioral of sim_prf is component prf_main is port( clk_i : in std_ulogic; rst_i : in std_ulogic; load_i : in std_ulogic; pmk_i : in w_input; anonce_dat : in nonce_data; cnonce_dat : in nonce_data; amac_dat : in mac_data; cmac_dat : in mac_data; ptk_dat_o : out ptk_data; ptk_valid_o : out std_ulogic ); end component; signal valid : std_ulogic; signal load : std_ulogic := '0'; signal clk_i : std_ulogic := '0'; signal rst_i : std_ulogic := '0'; signal pmk : w_input; signal anonce : nonce_data; signal cnonce : nonce_data; signal amac_dat : mac_data; signal cmac_dat : mac_data; signal ptk : ptk_data; --pmk: 5df920b5481ed70538dd5fd02423d7e2522205feeebb974cad08a52b5613ede2 --a: 5061697277697365206b657920657870616e73696f6e --b: 000b86c2a4850013ce5598efae12a150652e9bc22063720c5081e9eb74077fb19fffe871dc4ca1e6f448af85e8dfa16b8769957d8249a4ec68d2b7641d3782162ef0dc37b014cc48343e8dd2 --r: 5e9805e89cb0e84b45e5f9e4a1a80d9d9958c24e --r: 5e9805e89cb0e84b45e5f9e4a1a80d9d9958c24e2b5ca71661334a890814f53e1d035e8beb4f8361 --r: 5e9805e89cb0e84b45e5f9e4a1a80d9d9958c24e2b5ca71661334a890814f53e1d035e8beb4f83611dc93e2657cecf69a3651bc4fca5880ce9081345 --r: 5e9805e89cb0e84b45e5f9e4a1a80d9d9958c24e2b5ca71661334a890814f53e1d035e8beb4f83611dc93e2657cecf69a3651bc4fca5880ce9081345c5411d489313b29e4aaf287d5231a342b777a67a --ptk: 5e9805e89cb0e84b45e5f9e4a1a80d9d signal i: integer range 0 to 65535; constant clock_period : time := 1 ns; begin prf: prf_main port map (clk_i,rst_i,load,pmk,anonce,cnonce,amac_dat,cmac_dat,ptk,valid); stim_proc: process begin rst_i <= '0'; i <= 0; load <= '0'; --Ordinally will come from PBKDF2 --5df920b5481ed70538dd5fd02423d7e2 522205feeebb974cad08a52b5613ede2 pmk <= (X"5df920b5",X"481ed705",X"38dd5fd0",X"2423d7e2", X"522205fe",X"eebb974c",X"ad08a52b",X"5613ede2", others=>(X"00000000")); --b = min(apMac, cMac) + max(apMac, cMac) + min(apNonce, cNonce) + max(apNonce, cNonce) --We're assuming that min/max will be calculated host-side --Comes directly from handshake on host --000b86c2a485 amac_dat <= (X"00",X"0b",X"86",X"c2",X"a4",X"85"); --0013ce5598ef cmac_dat <= (X"00",X"13",X"ce",X"55",X"98",X"ef"); --ae12a150652e9bc22063720c5081e9eb 74077fb19fffe871dc4ca1e6f448af85 anonce <= (X"ae",X"12",X"a1",X"50",X"65",X"2e",X"9b",X"c2",X"20",X"63",X"72",X"0c",X"50",X"81",X"e9",X"eb", X"74",X"07",X"7f",X"b1",X"9f",X"ff",X"e8",X"71",X"dc",X"4c",X"a1",X"e6",X"f4",X"48",X"af",X"85"); --e8dfa16b8769957d8249a4ec68d2b764 1d3782162ef0dc37b014cc48343e8dd2 cnonce <= (X"e8",X"df",X"a1",X"6b",X"87",X"69",X"95",X"7d",X"82",X"49",X"a4",X"ec",X"68",X"d2",X"b7",X"64", X"1d",X"37",X"82",X"16",X"2e",X"f0",X"dc",X"37",X"b0",X"14",X"cc",X"48",X"34",X"3e",X"8d",X"d2"); wait until rising_edge(clk_i); rst_i <= '1'; wait until rising_edge(clk_i); rst_i <= '0'; wait until rising_edge(clk_i); load <= '1'; wait until rising_edge(clk_i); load <= '0'; wait until rising_edge(clk_i); while valid = '0' loop i <= i + 1; wait until rising_edge(clk_i); end loop; wait; end process; clock_process: process begin clk_i <= '0'; wait for clock_period/2; clk_i <= '1'; wait for clock_period/2; end process; end Behavioral;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc510.vhd,v 1.2 2001-10-26 16:30:26 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c03s02b02x00p06n03i00510ent IS END c03s02b02x00p06n03i00510ent; ARCHITECTURE c03s02b02x00p06n03i00510arch OF c03s02b02x00p06n03i00510ent IS type x is (one,two); type rec_type is record x : bit; y : integer; z : x; -- Failure_here -- ERROR: The use of a name that denotes a record element -- is not allowed within the record type definition that declares the element. end record; BEGIN TESTING: PROCESS variable k : rec_type; BEGIN k.x = '0'; k.y = 123; k.z = one; assert FALSE report "***FAILED TEST: c03s02b02x00p06n03i00510 - The use of a name that denotes a record element is not allowed within the record type definition that declares the element." severity ERROR; wait; END PROCESS TESTING; END c03s02b02x00p06n03i00510arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc510.vhd,v 1.2 2001-10-26 16:30:26 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c03s02b02x00p06n03i00510ent IS END c03s02b02x00p06n03i00510ent; ARCHITECTURE c03s02b02x00p06n03i00510arch OF c03s02b02x00p06n03i00510ent IS type x is (one,two); type rec_type is record x : bit; y : integer; z : x; -- Failure_here -- ERROR: The use of a name that denotes a record element -- is not allowed within the record type definition that declares the element. end record; BEGIN TESTING: PROCESS variable k : rec_type; BEGIN k.x = '0'; k.y = 123; k.z = one; assert FALSE report "***FAILED TEST: c03s02b02x00p06n03i00510 - The use of a name that denotes a record element is not allowed within the record type definition that declares the element." severity ERROR; wait; END PROCESS TESTING; END c03s02b02x00p06n03i00510arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc510.vhd,v 1.2 2001-10-26 16:30:26 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c03s02b02x00p06n03i00510ent IS END c03s02b02x00p06n03i00510ent; ARCHITECTURE c03s02b02x00p06n03i00510arch OF c03s02b02x00p06n03i00510ent IS type x is (one,two); type rec_type is record x : bit; y : integer; z : x; -- Failure_here -- ERROR: The use of a name that denotes a record element -- is not allowed within the record type definition that declares the element. end record; BEGIN TESTING: PROCESS variable k : rec_type; BEGIN k.x = '0'; k.y = 123; k.z = one; assert FALSE report "***FAILED TEST: c03s02b02x00p06n03i00510 - The use of a name that denotes a record element is not allowed within the record type definition that declares the element." severity ERROR; wait; END PROCESS TESTING; END c03s02b02x00p06n03i00510arch;
component qsys is port ( clk_clk : in std_logic := 'X'; -- clk reset_reset_n : in std_logic := 'X'; -- reset_n sdram_clock_areset_conduit_export : in std_logic := 'X'; -- export sdram_clock_c0_clk : out std_logic; -- clk sdram_read_control_fixed_location : in std_logic := 'X'; -- fixed_location sdram_read_control_read_base : in std_logic_vector(31 downto 0) := (others => 'X'); -- read_base sdram_read_control_read_length : in std_logic_vector(31 downto 0) := (others => 'X'); -- read_length sdram_read_control_go : in std_logic := 'X'; -- go sdram_read_control_done : out std_logic; -- done sdram_read_control_early_done : out std_logic; -- early_done sdram_read_user_read_buffer : in std_logic := 'X'; -- read_buffer sdram_read_user_buffer_output_data : out std_logic_vector(63 downto 0); -- buffer_output_data sdram_read_user_data_available : out std_logic; -- data_available sdram_wire_addr : out std_logic_vector(12 downto 0); -- addr sdram_wire_ba : out std_logic_vector(1 downto 0); -- ba sdram_wire_cas_n : out std_logic; -- cas_n sdram_wire_cke : out std_logic; -- cke sdram_wire_cs_n : out std_logic; -- cs_n sdram_wire_dq : inout std_logic_vector(15 downto 0) := (others => 'X'); -- dq sdram_wire_dqm : out std_logic_vector(1 downto 0); -- dqm sdram_wire_ras_n : out std_logic; -- ras_n sdram_wire_we_n : out std_logic; -- we_n sdram_write_control_fixed_location : in std_logic := 'X'; -- fixed_location sdram_write_control_write_base : in std_logic_vector(31 downto 0) := (others => 'X'); -- write_base sdram_write_control_write_length : in std_logic_vector(31 downto 0) := (others => 'X'); -- write_length sdram_write_control_go : in std_logic := 'X'; -- go sdram_write_control_done : out std_logic; -- done sdram_write_user_write_buffer : in std_logic := 'X'; -- write_buffer sdram_write_user_buffer_input_data : in std_logic_vector(15 downto 0) := (others => 'X'); -- buffer_input_data sdram_write_user_buffer_full : out std_logic -- buffer_full ); end component qsys; u0 : component qsys port map ( clk_clk => CONNECTED_TO_clk_clk, -- clk.clk reset_reset_n => CONNECTED_TO_reset_reset_n, -- reset.reset_n sdram_clock_areset_conduit_export => CONNECTED_TO_sdram_clock_areset_conduit_export, -- sdram_clock_areset_conduit.export sdram_clock_c0_clk => CONNECTED_TO_sdram_clock_c0_clk, -- sdram_clock_c0.clk sdram_read_control_fixed_location => CONNECTED_TO_sdram_read_control_fixed_location, -- sdram_read_control.fixed_location sdram_read_control_read_base => CONNECTED_TO_sdram_read_control_read_base, -- .read_base sdram_read_control_read_length => CONNECTED_TO_sdram_read_control_read_length, -- .read_length sdram_read_control_go => CONNECTED_TO_sdram_read_control_go, -- .go sdram_read_control_done => CONNECTED_TO_sdram_read_control_done, -- .done sdram_read_control_early_done => CONNECTED_TO_sdram_read_control_early_done, -- .early_done sdram_read_user_read_buffer => CONNECTED_TO_sdram_read_user_read_buffer, -- sdram_read_user.read_buffer sdram_read_user_buffer_output_data => CONNECTED_TO_sdram_read_user_buffer_output_data, -- .buffer_output_data sdram_read_user_data_available => CONNECTED_TO_sdram_read_user_data_available, -- .data_available sdram_wire_addr => CONNECTED_TO_sdram_wire_addr, -- sdram_wire.addr sdram_wire_ba => CONNECTED_TO_sdram_wire_ba, -- .ba sdram_wire_cas_n => CONNECTED_TO_sdram_wire_cas_n, -- .cas_n sdram_wire_cke => CONNECTED_TO_sdram_wire_cke, -- .cke sdram_wire_cs_n => CONNECTED_TO_sdram_wire_cs_n, -- .cs_n sdram_wire_dq => CONNECTED_TO_sdram_wire_dq, -- .dq sdram_wire_dqm => CONNECTED_TO_sdram_wire_dqm, -- .dqm sdram_wire_ras_n => CONNECTED_TO_sdram_wire_ras_n, -- .ras_n sdram_wire_we_n => CONNECTED_TO_sdram_wire_we_n, -- .we_n sdram_write_control_fixed_location => CONNECTED_TO_sdram_write_control_fixed_location, -- sdram_write_control.fixed_location sdram_write_control_write_base => CONNECTED_TO_sdram_write_control_write_base, -- .write_base sdram_write_control_write_length => CONNECTED_TO_sdram_write_control_write_length, -- .write_length sdram_write_control_go => CONNECTED_TO_sdram_write_control_go, -- .go sdram_write_control_done => CONNECTED_TO_sdram_write_control_done, -- .done sdram_write_user_write_buffer => CONNECTED_TO_sdram_write_user_write_buffer, -- sdram_write_user.write_buffer sdram_write_user_buffer_input_data => CONNECTED_TO_sdram_write_user_buffer_input_data, -- .buffer_input_data sdram_write_user_buffer_full => CONNECTED_TO_sdram_write_user_buffer_full -- .buffer_full );
library verilog; use verilog.vl_types.all; entity adjustAdder4_vlg_vec_tst is end adjustAdder4_vlg_vec_tst;
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity led is port ( led_port_led: out std_logic_vector(0 to 15); led_data: in std_logic_vector(15 downto 0) ); end led; architecture Behavioral of led is begin led_port_led <= led_data; end Behavioral;
------------------------------------------------------------------------------- -- axi_vdma_cdc ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011, 2013 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_vdma_cdc.vhd -- Description: This entity encompases the Clock Domain Crossing Pulse -- Generator -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- axi_vdma.vhd -- |- axi_vdma_pkg.vhd -- |- axi_vdma_intrpt.vhd -- |- axi_vdma_rst_module.vhd -- | |- axi_vdma_reset.vhd (mm2s) -- | | |- axi_vdma_cdc.vhd -- | |- axi_vdma_reset.vhd (s2mm) -- | | |- axi_vdma_cdc.vhd -- | -- |- axi_vdma_reg_if.vhd -- | |- axi_vdma_lite_if.vhd -- | |- axi_vdma_cdc.vhd (mm2s) -- | |- axi_vdma_cdc.vhd (s2mm) -- | -- |- axi_vdma_sg_cdc.vhd (mm2s) -- |- axi_vdma_vid_cdc.vhd (mm2s) -- |- axi_vdma_fsync_gen.vhd (mm2s) -- |- axi_vdma_sof_gen.vhd (mm2s) -- |- axi_vdma_reg_module.vhd (mm2s) -- | |- axi_vdma_register.vhd (mm2s) -- | |- axi_vdma_regdirect.vhd (mm2s) -- |- axi_vdma_mngr.vhd (mm2s) -- | |- axi_vdma_sg_if.vhd (mm2s) -- | |- axi_vdma_sm.vhd (mm2s) -- | |- axi_vdma_cmdsts_if.vhd (mm2s) -- | |- axi_vdma_vidreg_module.vhd (mm2s) -- | | |- axi_vdma_sgregister.vhd (mm2s) -- | | |- axi_vdma_vregister.vhd (mm2s) -- | | |- axi_vdma_vaddrreg_mux.vhd (mm2s) -- | | |- axi_vdma_blkmem.vhd (mm2s) -- | |- axi_vdma_genlock_mngr.vhd (mm2s) -- | |- axi_vdma_genlock_mux.vhd (mm2s) -- | |- axi_vdma_greycoder.vhd (mm2s) -- |- axi_vdma_mm2s_linebuf.vhd (mm2s) -- | |- axi_vdma_sfifo_autord.vhd (mm2s) -- | |- axi_vdma_afifo_autord.vhd (mm2s) -- | |- axi_vdma_skid_buf.vhd (mm2s) -- | |- axi_vdma_cdc.vhd (mm2s) -- | -- |- axi_vdma_sg_cdc.vhd (s2mm) -- |- axi_vdma_vid_cdc.vhd (s2mm) -- |- axi_vdma_fsync_gen.vhd (s2mm) -- |- axi_vdma_sof_gen.vhd (s2mm) -- |- axi_vdma_reg_module.vhd (s2mm) -- | |- axi_vdma_register.vhd (s2mm) -- | |- axi_vdma_regdirect.vhd (s2mm) -- |- axi_vdma_mngr.vhd (s2mm) -- | |- axi_vdma_sg_if.vhd (s2mm) -- | |- axi_vdma_sm.vhd (s2mm) -- | |- axi_vdma_cmdsts_if.vhd (s2mm) -- | |- axi_vdma_vidreg_module.vhd (s2mm) -- | | |- axi_vdma_sgregister.vhd (s2mm) -- | | |- axi_vdma_vregister.vhd (s2mm) -- | | |- axi_vdma_vaddrreg_mux.vhd (s2mm) -- | | |- axi_vdma_blkmem.vhd (s2mm) -- | |- axi_vdma_genlock_mngr.vhd (s2mm) -- | |- axi_vdma_genlock_mux.vhd (s2mm) -- | |- axi_vdma_greycoder.vhd (s2mm) -- |- axi_vdma_s2mm_linebuf.vhd (s2mm) -- | |- axi_vdma_sfifo_autord.vhd (s2mm) -- | |- axi_vdma_afifo_autord.vhd (s2mm) -- | |- axi_vdma_skid_buf.vhd (s2mm) -- | |- axi_vdma_cdc.vhd (s2mm) -- | -- |- axi_datamover_v3_00_a.axi_datamover.vhd (FULL) -- |- axi_sg_v3_00_a.axi_sg.vhd -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library axi_vdma_v6_2; use axi_vdma_v6_2.axi_vdma_pkg.all; ------------------------------------------------------------------------------- entity axi_vdma_cdc is generic ( C_CDC_TYPE : integer range 0 to 15 := 0 ; -- Clock domain crossing type -- 0 = pulse primary to secondary clk -- 1 = level primary to secondary clk -- 2 = pulse secondary to primary clk -- 3 = level secondary to primary clk -- 4 = vectr primary to secondary clk -- 5 = vectr secondary to primary clk -- 6 = pulse primary to secondary clk --No reset -- 7 = level primary to secondary clk --No reset -- 8 = pulse secondary to primary clk --No reset -- 9 = level secondary to primary clk --No reset -- 10 = pulse primary to secondary clk --Low latency -- 11 = pulse secondary to primary clk --Low latency -- 12 = pulse primary to secondary clk open ended -- 13 = pulse secondary to primary clk open ended -- 12 = pulse primary to secondary clk open ended -No reset -- 13 = pulse secondary to primary clk open ended -No reset C_RESET_STATE : integer range 0 to 1 := 0 ; C_VECTOR_WIDTH : integer := 32 -- Vector Data witdth ); port ( prmry_aclk : in std_logic ; -- prmry_resetn : in std_logic ; -- -- scndry_aclk : in std_logic ; -- scndry_resetn : in std_logic ; -- -- -- Secondary to Primary Clock Crossing -- scndry_in : in std_logic ; -- prmry_out : out std_logic ; -- -- -- Primary to Secondary Clock Crossing -- prmry_in : in std_logic ; -- scndry_out : out std_logic ; -- -- scndry_vect_s_h : in std_logic ; -- scndry_vect_in : in std_logic_vector -- (C_VECTOR_WIDTH - 1 downto 0) ; -- prmry_vect_out : out std_logic_vector -- (C_VECTOR_WIDTH - 1 downto 0) ; -- -- prmry_vect_s_h : in std_logic ; -- prmry_vect_in : in std_logic_vector -- (C_VECTOR_WIDTH - 1 downto 0) ; -- scndry_vect_out : out std_logic_vector -- (C_VECTOR_WIDTH - 1 downto 0) -- ); end axi_vdma_cdc; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_vdma_cdc is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- -- No Constants Declared ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin -- Generate PULSE clock domain crossing GENERATE_PULSE_P_S_CDC_OPEN_ENDED : if C_CDC_TYPE = CDC_TYPE_PULSE_P_S_OPEN_ENDED generate -- Primary to Secondary signal s_out_d1_cdc_to : std_logic := '0'; signal s_out_d2 : std_logic := '0'; signal s_out_d3 : std_logic := '0'; signal s_out_d4 : std_logic := '0'; signal s_out_re : std_logic := '0'; signal prmry_in_xored : std_logic := '0'; signal p_in_d1_cdc_from : std_logic := '0'; ----------------------------------------------------------------------------- -- ATTRIBUTE Declarations ----------------------------------------------------------------------------- -- Prevent x-propagation on clock-domain crossing register ATTRIBUTE async_reg : STRING; ATTRIBUTE async_reg OF s_out_d1_cdc_to : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_out_d2 : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_out_d3 : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_out_d4 : SIGNAL IS "true"; begin --***************************************************************************** --** Asynchronous Pulse Clock Crossing ** --** PRIMARY TO SECONDARY OPEN-ENDED ** --***************************************************************************** prmry_in_xored <= prmry_in xor p_in_d1_cdc_from; REG_P_IN : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk ='1')then if(prmry_resetn = '0')then p_in_d1_cdc_from <= '0'; else p_in_d1_cdc_from <= prmry_in_xored; end if; end if; end process REG_P_IN; P_IN_CROSS2SCNDRY : process(scndry_aclk) begin if(scndry_aclk'EVENT and scndry_aclk ='1')then if(scndry_resetn = '0')then s_out_d1_cdc_to <= '0'; s_out_d2 <= '0'; s_out_d3 <= '0'; s_out_d4 <= '0'; else s_out_d1_cdc_to <= p_in_d1_cdc_from; s_out_d2 <= s_out_d1_cdc_to; s_out_d3 <= s_out_d2; s_out_d4 <= s_out_d3; end if; end if; end process P_IN_CROSS2SCNDRY; s_out_re <= s_out_d3 xor s_out_d4; -- Feed secondary pulse out scndry_out <= s_out_re; prmry_out <= '0'; scndry_vect_out <= (others => '0'); prmry_vect_out <= (others => '0'); end generate GENERATE_PULSE_P_S_CDC_OPEN_ENDED; GENERATE_PULSE_P_S_CDC_OPEN_ENDED_NO_RST : if C_CDC_TYPE = CDC_TYPE_PULSE_P_S_OPEN_ENDED_NO_RST generate -- Primary to Secondary signal s_out_d1_cdc_to : std_logic := '0'; signal s_out_d2 : std_logic := '0'; signal s_out_d3 : std_logic := '0'; signal s_out_d4 : std_logic := '0'; signal s_out_re : std_logic := '0'; signal prmry_in_xored : std_logic := '0'; signal p_in_d1_cdc_from : std_logic := '0'; ----------------------------------------------------------------------------- -- ATTRIBUTE Declarations ----------------------------------------------------------------------------- -- Prevent x-propagation on clock-domain crossing register ATTRIBUTE async_reg : STRING; ATTRIBUTE async_reg OF s_out_d1_cdc_to : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_out_d2 : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_out_d3 : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_out_d4 : SIGNAL IS "true"; begin --***************************************************************************** --** Asynchronous Pulse Clock Crossing ** --** PRIMARY TO SECONDARY OPEN-ENDED ** --***************************************************************************** prmry_in_xored <= prmry_in xor p_in_d1_cdc_from; REG_P_IN : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk ='1')then --if(prmry_resetn = '0')then -- p_in_d1_cdc_from <= '0'; --else p_in_d1_cdc_from <= prmry_in_xored; --end if; end if; end process REG_P_IN; P_IN_CROSS2SCNDRY : process(scndry_aclk) begin if(scndry_aclk'EVENT and scndry_aclk ='1')then --if(scndry_resetn = '0')then -- s_out_d1_cdc_to <= '0'; -- s_out_d2 <= '0'; -- s_out_d3 <= '0'; -- s_out_d4 <= '0'; --else s_out_d1_cdc_to <= p_in_d1_cdc_from; s_out_d2 <= s_out_d1_cdc_to; s_out_d3 <= s_out_d2; s_out_d4 <= s_out_d3; --end if; end if; end process P_IN_CROSS2SCNDRY; s_out_re <= s_out_d3 xor s_out_d4; -- Feed secondary pulse out scndry_out <= s_out_re; prmry_out <= '0'; scndry_vect_out <= (others => '0'); prmry_vect_out <= (others => '0'); end generate GENERATE_PULSE_P_S_CDC_OPEN_ENDED_NO_RST; GENERATE_PULSE_S_P_CDC_OPEN_ENDED : if C_CDC_TYPE = CDC_TYPE_PULSE_S_P_OPEN_ENDED generate -- Primary to Secondary signal p_out_d1_cdc_to : std_logic := '0'; signal p_out_d2 : std_logic := '0'; signal p_out_d3 : std_logic := '0'; signal p_out_d4 : std_logic := '0'; signal p_out_re : std_logic := '0'; signal scndry_in_xored : std_logic := '0'; signal s_in_d1_cdc_from : std_logic := '0'; ----------------------------------------------------------------------------- -- ATTRIBUTE Declarations ----------------------------------------------------------------------------- -- Prevent x-propagation on clock-domain crossing register ATTRIBUTE async_reg : STRING; ATTRIBUTE async_reg OF p_out_d1_cdc_to : SIGNAL IS "true"; ATTRIBUTE async_reg OF p_out_d2 : SIGNAL IS "true"; ATTRIBUTE async_reg OF p_out_d3 : SIGNAL IS "true"; ATTRIBUTE async_reg OF p_out_d4 : SIGNAL IS "true"; begin --***************************************************************************** --** Asynchronous Pulse Clock Crossing ** --** PRIMARY TO SECONDARY OPEN-ENDED ** --***************************************************************************** scndry_in_xored <= scndry_in xor s_in_d1_cdc_from; REG_S_IN : process(scndry_aclk) begin if(scndry_aclk'EVENT and scndry_aclk ='1')then if(scndry_resetn = '0')then s_in_d1_cdc_from <= '0'; else s_in_d1_cdc_from <= scndry_in_xored; end if; end if; end process REG_S_IN; S_IN_CROSS2PRMRY : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk ='1')then if(prmry_resetn = '0')then p_out_d1_cdc_to <= '0'; p_out_d2 <= '0'; p_out_d3 <= '0'; p_out_d4 <= '0'; else p_out_d1_cdc_to <= s_in_d1_cdc_from; p_out_d2 <= p_out_d1_cdc_to; p_out_d3 <= p_out_d2; p_out_d4 <= p_out_d3; end if; end if; end process S_IN_CROSS2PRMRY; p_out_re <= p_out_d3 xor p_out_d4; -- Feed secondary pulse out prmry_out <= p_out_re; scndry_out <= '0'; scndry_vect_out <= (others => '0'); prmry_vect_out <= (others => '0'); end generate GENERATE_PULSE_S_P_CDC_OPEN_ENDED; GENERATE_PULSE_S_P_CDC_OPEN_ENDED_NO_RST : if C_CDC_TYPE = CDC_TYPE_PULSE_S_P_OPEN_ENDED_NO_RST generate -- Primary to Secondary signal p_out_d1_cdc_to : std_logic := '0'; signal p_out_d2 : std_logic := '0'; signal p_out_d3 : std_logic := '0'; signal p_out_d4 : std_logic := '0'; signal p_out_re : std_logic := '0'; signal scndry_in_xored : std_logic := '0'; signal s_in_d1_cdc_from : std_logic := '0'; ----------------------------------------------------------------------------- -- ATTRIBUTE Declarations ----------------------------------------------------------------------------- -- Prevent x-propagation on clock-domain crossing register ATTRIBUTE async_reg : STRING; ATTRIBUTE async_reg OF p_out_d1_cdc_to : SIGNAL IS "true"; ATTRIBUTE async_reg OF p_out_d2 : SIGNAL IS "true"; ATTRIBUTE async_reg OF p_out_d3 : SIGNAL IS "true"; ATTRIBUTE async_reg OF p_out_d4 : SIGNAL IS "true"; begin --***************************************************************************** --** Asynchronous Pulse Clock Crossing ** --** PRIMARY TO SECONDARY OPEN-ENDED ** --***************************************************************************** scndry_in_xored <= scndry_in xor s_in_d1_cdc_from; REG_S_IN : process(scndry_aclk) begin if(scndry_aclk'EVENT and scndry_aclk ='1')then --if(scndry_resetn = '0')then -- s_in_d1_cdc_from <= '0'; --else s_in_d1_cdc_from <= scndry_in_xored; --end if; end if; end process REG_S_IN; S_IN_CROSS2PRMRY : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk ='1')then --if(prmry_resetn = '0')then -- p_out_d1_cdc_to <= '0'; -- p_out_d2 <= '0'; -- p_out_d3 <= '0'; -- p_out_d4 <= '0'; --else p_out_d1_cdc_to <= s_in_d1_cdc_from; p_out_d2 <= p_out_d1_cdc_to; p_out_d3 <= p_out_d2; p_out_d4 <= p_out_d3; --end if; end if; end process S_IN_CROSS2PRMRY; p_out_re <= p_out_d3 xor p_out_d4; -- Feed secondary pulse out prmry_out <= p_out_re; scndry_out <= '0'; scndry_vect_out <= (others => '0'); prmry_vect_out <= (others => '0'); end generate GENERATE_PULSE_S_P_CDC_OPEN_ENDED_NO_RST; -- Generate PULSE clock domain crossing GENERATE_PULSE_P_S_CDC : if C_CDC_TYPE = CDC_TYPE_PULSE_P_S generate -- Primary to Secondary signal p_pulse_in_s_h_cdc_from : std_logic := '0'; signal p_pulse_in_s_h_clr : std_logic := '0'; signal s_pulse_out_d1_cdc_to : std_logic := '0'; signal s_pulse_out_d2 : std_logic := '0'; signal s_pulse_out_d3 : std_logic := '0'; signal s_pulse_out_re : std_logic := '0'; signal s_pulse_out_s_h_cdc_from : std_logic := '0'; signal p_pulse_out_s_h_d1_cdc_to : std_logic := '0'; signal p_pulse_out_s_h_d2 : std_logic := '0'; signal p_pulse_out_s_h_d3 : std_logic := '0'; ----------------------------------------------------------------------------- -- ATTRIBUTE Declarations ----------------------------------------------------------------------------- -- Prevent x-propagation on clock-domain crossing register ATTRIBUTE async_reg : STRING; ATTRIBUTE async_reg OF s_pulse_out_d1_cdc_to : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_pulse_out_d2 : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_pulse_out_d3 : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_pulse_out_re : SIGNAL IS "true"; ATTRIBUTE async_reg OF p_pulse_out_s_h_d1_cdc_to : SIGNAL IS "true"; ATTRIBUTE async_reg OF p_pulse_out_s_h_d2 : SIGNAL IS "true"; ATTRIBUTE async_reg OF p_pulse_out_s_h_d3 : SIGNAL IS "true"; begin --***************************************************************************** --** Asynchronous Pulse Clock Crossing ** --** PRIMARY TO SECONDARY ** --***************************************************************************** -- Limitations: -- For prmry_aclk faster than scndry_aclk then limited to pulse period greater -- than (prmry_clk_freq / scndry_clk_freq) * 5 -- For prmry_aclk slower than scndry_aclk then limited to pulse period greater -- than (scndry_clk_freq / prmry_clk_freq) / 5 -- Sample and hold primary pulse PRMRY_IN_S_H_PULSE : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk ='1')then if(prmry_resetn = '0' or p_pulse_in_s_h_clr='1')then p_pulse_in_s_h_cdc_from <= '0'; elsif(prmry_in = '1')then p_pulse_in_s_h_cdc_from <= '1'; end if; end if; end process PRMRY_IN_S_H_PULSE; -- Cross sample and held pulse to secondary domain P_IN_CROSS2SCNDRY : process(scndry_aclk) begin if(scndry_aclk'EVENT and scndry_aclk ='1')then if(scndry_resetn = '0')then s_pulse_out_d1_cdc_to <= '0'; s_pulse_out_d2 <= '0'; s_pulse_out_d3 <= '0'; s_pulse_out_re <= '0'; else s_pulse_out_d1_cdc_to <= p_pulse_in_s_h_cdc_from; s_pulse_out_d2 <= s_pulse_out_d1_cdc_to; s_pulse_out_d3 <= s_pulse_out_d2; s_pulse_out_re <= s_pulse_out_d2 and not s_pulse_out_d3; end if; end if; end process P_IN_CROSS2SCNDRY; -- Sample and hold secondary pulse for clearing primary sampled -- and held pulse SCNDRY_OUT_S_H_PULSE : process(scndry_aclk) begin if(scndry_aclk'EVENT and scndry_aclk ='1')then if(scndry_resetn = '0' or s_pulse_out_d2='0')then s_pulse_out_s_h_cdc_from <= '0'; elsif(s_pulse_out_re = '1')then s_pulse_out_s_h_cdc_from <= '1'; end if; end if; end process SCNDRY_OUT_S_H_PULSE; -- Cross sample and held pulse to primary domain S_OUT_CROSS2PRMRY : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk ='1')then if(prmry_resetn = '0')then p_pulse_out_s_h_d1_cdc_to <= '0'; p_pulse_out_s_h_d2 <= '0'; p_pulse_out_s_h_d3 <= '0'; else p_pulse_out_s_h_d1_cdc_to <= s_pulse_out_s_h_cdc_from; p_pulse_out_s_h_d2 <= p_pulse_out_s_h_d1_cdc_to; p_pulse_out_s_h_d3 <= p_pulse_out_s_h_d2; end if; end if; end process S_OUT_CROSS2PRMRY; -- Create sample and hold clear p_pulse_in_s_h_clr <= p_pulse_out_s_h_d2 and not p_pulse_out_s_h_d3; -- Feed secondary pulse out scndry_out <= s_pulse_out_re; prmry_out <= '0'; scndry_vect_out <= (others => '0'); prmry_vect_out <= (others => '0'); end generate GENERATE_PULSE_P_S_CDC; GENERATE_PULSE_P_S_CDC_LL : if C_CDC_TYPE = CDC_TYPE_PULSE_P_S_LL generate -- Primary to Secondary signal p_pulse_in_s_h_cdc_from : std_logic := '0'; signal p_pulse_in_s_h_clr : std_logic := '0'; signal s_pulse_out_d1_cdc_to : std_logic := '0'; signal s_pulse_out_d2 : std_logic := '0'; signal s_pulse_out_d3 : std_logic := '0'; signal s_pulse_out_re : std_logic := '0'; signal s_pulse_out_s_h_cdc_from : std_logic := '0'; signal p_pulse_out_s_h_d1_cdc_to : std_logic := '0'; signal p_pulse_out_s_h_d2 : std_logic := '0'; signal p_pulse_out_s_h_d3 : std_logic := '0'; ----------------------------------------------------------------------------- -- ATTRIBUTE Declarations ----------------------------------------------------------------------------- -- Prevent x-propagation on clock-domain crossing register ATTRIBUTE async_reg : STRING; ATTRIBUTE async_reg OF s_pulse_out_d1_cdc_to : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_pulse_out_d2 : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_pulse_out_d3 : SIGNAL IS "true"; --ATTRIBUTE async_reg OF s_pulse_out_re : SIGNAL IS "true"; ATTRIBUTE async_reg OF p_pulse_out_s_h_d1_cdc_to : SIGNAL IS "true"; ATTRIBUTE async_reg OF p_pulse_out_s_h_d2 : SIGNAL IS "true"; ATTRIBUTE async_reg OF p_pulse_out_s_h_d3 : SIGNAL IS "true"; begin --***************************************************************************** --** Asynchronous Pulse Clock Crossing ** --** PRIMARY TO SECONDARY ** --***************************************************************************** -- Limitations: -- For prmry_aclk faster than scndry_aclk then limited to pulse period greater -- than (prmry_clk_freq / scndry_clk_freq) * 5 -- For prmry_aclk slower than scndry_aclk then limited to pulse period greater -- than (scndry_clk_freq / prmry_clk_freq) / 5 -- Sample and hold primary pulse PRMRY_IN_S_H_PULSE : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk ='1')then if(prmry_resetn = '0' or p_pulse_in_s_h_clr='1')then p_pulse_in_s_h_cdc_from <= '0'; elsif(prmry_in = '1')then p_pulse_in_s_h_cdc_from <= '1'; end if; end if; end process PRMRY_IN_S_H_PULSE; -- Cross sample and held pulse to secondary domain P_IN_CROSS2SCNDRY : process(scndry_aclk) begin if(scndry_aclk'EVENT and scndry_aclk ='1')then if(scndry_resetn = '0')then s_pulse_out_d1_cdc_to <= '0'; s_pulse_out_d2 <= '0'; s_pulse_out_d3 <= '0'; --s_pulse_out_re <= '0'; else s_pulse_out_d1_cdc_to <= p_pulse_in_s_h_cdc_from; s_pulse_out_d2 <= s_pulse_out_d1_cdc_to; s_pulse_out_d3 <= s_pulse_out_d2; --s_pulse_out_re <= s_pulse_out_d2 and not s_pulse_out_d3; end if; end if; end process P_IN_CROSS2SCNDRY; s_pulse_out_re <= s_pulse_out_d2 and not s_pulse_out_d3; -- Sample and hold secondary pulse for clearing primary sampled -- and held pulse SCNDRY_OUT_S_H_PULSE : process(scndry_aclk) begin if(scndry_aclk'EVENT and scndry_aclk ='1')then if(scndry_resetn = '0' or s_pulse_out_d2='0')then s_pulse_out_s_h_cdc_from <= '0'; elsif(s_pulse_out_re = '1')then s_pulse_out_s_h_cdc_from <= '1'; end if; end if; end process SCNDRY_OUT_S_H_PULSE; -- Cross sample and held pulse to primary domain S_OUT_CROSS2PRMRY : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk ='1')then if(prmry_resetn = '0')then p_pulse_out_s_h_d1_cdc_to <= '0'; p_pulse_out_s_h_d2 <= '0'; p_pulse_out_s_h_d3 <= '0'; else p_pulse_out_s_h_d1_cdc_to <= s_pulse_out_s_h_cdc_from; p_pulse_out_s_h_d2 <= p_pulse_out_s_h_d1_cdc_to; p_pulse_out_s_h_d3 <= p_pulse_out_s_h_d2; end if; end if; end process S_OUT_CROSS2PRMRY; -- Create sample and hold clear p_pulse_in_s_h_clr <= p_pulse_out_s_h_d2 and not p_pulse_out_s_h_d3; -- Feed secondary pulse out scndry_out <= s_pulse_out_re; prmry_out <= '0'; scndry_vect_out <= (others => '0'); prmry_vect_out <= (others => '0'); end generate GENERATE_PULSE_P_S_CDC_LL; -- Generate PULSE clock domain crossing --No reset (resetn = 1) GENERATE_PULSE_P_S_CDC_NO_RST : if C_CDC_TYPE = CDC_TYPE_PULSE_P_S_NO_RST generate -- Primary to Secondary signal p_pulse_in_s_h_cdc_from : std_logic := '0'; signal p_pulse_in_s_h_clr : std_logic := '0'; signal s_pulse_out_d1_cdc_to : std_logic := '0'; signal s_pulse_out_d2 : std_logic := '0'; signal s_pulse_out_d3 : std_logic := '0'; signal s_pulse_out_re : std_logic := '0'; signal s_pulse_out_s_h_cdc_from : std_logic := '0'; signal p_pulse_out_s_h_d1_cdc_to : std_logic := '0'; signal p_pulse_out_s_h_d2 : std_logic := '0'; signal p_pulse_out_s_h_d3 : std_logic := '0'; ----------------------------------------------------------------------------- -- ATTRIBUTE Declarations ----------------------------------------------------------------------------- -- Prevent x-propagation on clock-domain crossing register ATTRIBUTE async_reg : STRING; ATTRIBUTE async_reg OF s_pulse_out_d1_cdc_to : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_pulse_out_d2 : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_pulse_out_d3 : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_pulse_out_re : SIGNAL IS "true"; ATTRIBUTE async_reg OF p_pulse_out_s_h_d1_cdc_to : SIGNAL IS "true"; ATTRIBUTE async_reg OF p_pulse_out_s_h_d2 : SIGNAL IS "true"; ATTRIBUTE async_reg OF p_pulse_out_s_h_d3 : SIGNAL IS "true"; begin --***************************************************************************** --** Asynchronous Pulse Clock Crossing ** --** PRIMARY TO SECONDARY ** --***************************************************************************** -- Limitations: -- For prmry_aclk faster than scndry_aclk then limited to pulse period greater -- than (prmry_clk_freq / scndry_clk_freq) * 5 -- For prmry_aclk slower than scndry_aclk then limited to pulse period greater -- than (scndry_clk_freq / prmry_clk_freq) / 5 -- Sample and hold primary pulse PRMRY_IN_S_H_PULSE : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk ='1')then if(p_pulse_in_s_h_clr='1')then p_pulse_in_s_h_cdc_from <= '0'; elsif(prmry_in = '1')then p_pulse_in_s_h_cdc_from <= '1'; end if; end if; end process PRMRY_IN_S_H_PULSE; -- Cross sample and held pulse to secondary domain P_IN_CROSS2SCNDRY : process(scndry_aclk) begin if(scndry_aclk'EVENT and scndry_aclk ='1')then s_pulse_out_d1_cdc_to <= p_pulse_in_s_h_cdc_from; s_pulse_out_d2 <= s_pulse_out_d1_cdc_to; s_pulse_out_d3 <= s_pulse_out_d2; s_pulse_out_re <= s_pulse_out_d2 and not s_pulse_out_d3; end if; end process P_IN_CROSS2SCNDRY; -- Sample and hold secondary pulse for clearing primary sampled -- and held pulse SCNDRY_OUT_S_H_PULSE : process(scndry_aclk) begin if(scndry_aclk'EVENT and scndry_aclk ='1')then if(s_pulse_out_d2='0')then s_pulse_out_s_h_cdc_from <= '0'; elsif(s_pulse_out_re = '1')then s_pulse_out_s_h_cdc_from <= '1'; end if; end if; end process SCNDRY_OUT_S_H_PULSE; -- Cross sample and held pulse to primary domain S_OUT_CROSS2PRMRY : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk ='1')then p_pulse_out_s_h_d1_cdc_to <= s_pulse_out_s_h_cdc_from; p_pulse_out_s_h_d2 <= p_pulse_out_s_h_d1_cdc_to; p_pulse_out_s_h_d3 <= p_pulse_out_s_h_d2; end if; end process S_OUT_CROSS2PRMRY; -- Create sample and hold clear p_pulse_in_s_h_clr <= p_pulse_out_s_h_d2 and not p_pulse_out_s_h_d3; -- Feed secondary pulse out scndry_out <= s_pulse_out_re; prmry_out <= '0'; scndry_vect_out <= (others => '0'); prmry_vect_out <= (others => '0'); end generate GENERATE_PULSE_P_S_CDC_NO_RST; -- Generate PULSE clock domain crossing GENERATE_PULSE_CDC_S_P : if C_CDC_TYPE = CDC_TYPE_PULSE_S_P generate -- Secondary to Primary signal s_pulse_in_s_h_cdc_from : std_logic := '0'; signal s_pulse_in_s_h_clr : std_logic := '0'; signal p_pulse_out_d1_cdc_to : std_logic := '0'; signal p_pulse_out_d2 : std_logic := '0'; signal p_pulse_out_d3 : std_logic := '0'; signal p_pulse_out_re : std_logic := '0'; signal p_pulse_out_s_h_cdc_from : std_logic := '0'; signal s_pulse_out_s_h_d1_cdc_to : std_logic := '0'; signal s_pulse_out_s_h_d2 : std_logic := '0'; signal s_pulse_out_s_h_d3 : std_logic := '0'; ----------------------------------------------------------------------------- -- ATTRIBUTE Declarations ----------------------------------------------------------------------------- -- Prevent x-propagation on clock-domain crossing register ATTRIBUTE async_reg : STRING; ATTRIBUTE async_reg OF p_pulse_out_d1_cdc_to : SIGNAL IS "true"; ATTRIBUTE async_reg OF p_pulse_out_d2 : SIGNAL IS "true"; ATTRIBUTE async_reg OF p_pulse_out_d3 : SIGNAL IS "true"; ATTRIBUTE async_reg OF p_pulse_out_re : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_pulse_out_s_h_d1_cdc_to : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_pulse_out_s_h_d2 : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_pulse_out_s_h_d3 : SIGNAL IS "true"; begin --***************************************************************************** --** Asynchronous Pulse Clock Crossing ** --** SECONDARY TO PRIMARY ** --***************************************************************************** -- Limitations: -- For scndry_aclk faster than prmry_aclk then limited to pulse period greater -- than (prmry_clk_freq / scndry_clk_freq) * 5 -- For scndry_aclk slower than prmry_aclk then limited to pulse period greater -- than (scndry_clk_freq / prmry_clk_freq) / 5 -- Sample and hold secondary pulse SCNDRY_IN_S_H_PULSE : process(scndry_aclk) begin if(scndry_aclk'EVENT and scndry_aclk ='1')then if(scndry_resetn = '0' or s_pulse_in_s_h_clr='1')then s_pulse_in_s_h_cdc_from <= '0'; elsif(scndry_in = '1')then s_pulse_in_s_h_cdc_from <= '1'; end if; end if; end process SCNDRY_IN_S_H_PULSE; -- Cross sample and held pulse to primary domain S_IN_CROSS2PRMRY : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk ='1')then if(prmry_resetn = '0')then p_pulse_out_d1_cdc_to <= '0'; p_pulse_out_d2 <= '0'; p_pulse_out_d3 <= '0'; p_pulse_out_re <= '0'; else p_pulse_out_d1_cdc_to <= s_pulse_in_s_h_cdc_from; p_pulse_out_d2 <= p_pulse_out_d1_cdc_to; p_pulse_out_d3 <= p_pulse_out_d2; p_pulse_out_re <= p_pulse_out_d2 and not p_pulse_out_d3; end if; end if; end process S_IN_CROSS2PRMRY; -- Sample and hold primary pulse for clearing secondary sampled -- and held pulse PRMRY_OUT_S_H_PULSE : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk ='1')then if(prmry_resetn = '0' or p_pulse_out_d2='0')then p_pulse_out_s_h_cdc_from <= '0'; elsif(p_pulse_out_re = '1')then p_pulse_out_s_h_cdc_from <= '1'; end if; end if; end process PRMRY_OUT_S_H_PULSE; -- Cross sample and held pulse to secondary domain P_OUT_CROSS2SCDNRY : process(scndry_aclk) begin if(scndry_aclk'EVENT and scndry_aclk ='1')then if(scndry_resetn = '0')then s_pulse_out_s_h_d1_cdc_to <= '0'; s_pulse_out_s_h_d2 <= '0'; s_pulse_out_s_h_d3 <= '0'; else s_pulse_out_s_h_d1_cdc_to <= p_pulse_out_s_h_cdc_from; s_pulse_out_s_h_d2 <= s_pulse_out_s_h_d1_cdc_to; s_pulse_out_s_h_d3 <= s_pulse_out_s_h_d2; end if; end if; end process P_OUT_CROSS2SCDNRY; -- Create sample and hold clear s_pulse_in_s_h_clr <= s_pulse_out_s_h_d2 and not s_pulse_out_s_h_d3; -- Feed primary pulse out prmry_out <= p_pulse_out_re; scndry_out <= '0'; scndry_vect_out <= (others => '0'); prmry_vect_out <= (others => '0'); end generate GENERATE_PULSE_CDC_S_P; GENERATE_PULSE_CDC_S_P_LL : if C_CDC_TYPE = CDC_TYPE_PULSE_S_P_LL generate -- Secondary to Primary signal s_pulse_in_s_h_cdc_from : std_logic := '0'; signal s_pulse_in_s_h_clr : std_logic := '0'; signal p_pulse_out_d1_cdc_to : std_logic := '0'; signal p_pulse_out_d2 : std_logic := '0'; signal p_pulse_out_d3 : std_logic := '0'; signal p_pulse_out_re : std_logic := '0'; signal p_pulse_out_s_h_cdc_from : std_logic := '0'; signal s_pulse_out_s_h_d1_cdc_to : std_logic := '0'; signal s_pulse_out_s_h_d2 : std_logic := '0'; signal s_pulse_out_s_h_d3 : std_logic := '0'; ----------------------------------------------------------------------------- -- ATTRIBUTE Declarations ----------------------------------------------------------------------------- -- Prevent x-propagation on clock-domain crossing register ATTRIBUTE async_reg : STRING; ATTRIBUTE async_reg OF p_pulse_out_d1_cdc_to : SIGNAL IS "true"; ATTRIBUTE async_reg OF p_pulse_out_d2 : SIGNAL IS "true"; ATTRIBUTE async_reg OF p_pulse_out_d3 : SIGNAL IS "true"; --ATTRIBUTE async_reg OF p_pulse_out_re : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_pulse_out_s_h_d1_cdc_to : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_pulse_out_s_h_d2 : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_pulse_out_s_h_d3 : SIGNAL IS "true"; begin --***************************************************************************** --** Asynchronous Pulse Clock Crossing ** --** SECONDARY TO PRIMARY ** --***************************************************************************** -- Limitations: -- For scndry_aclk faster than prmry_aclk then limited to pulse period greater -- than (prmry_clk_freq / scndry_clk_freq) * 5 -- For scndry_aclk slower than prmry_aclk then limited to pulse period greater -- than (scndry_clk_freq / prmry_clk_freq) / 5 -- Sample and hold secondary pulse SCNDRY_IN_S_H_PULSE : process(scndry_aclk) begin if(scndry_aclk'EVENT and scndry_aclk ='1')then if(scndry_resetn = '0' or s_pulse_in_s_h_clr='1')then s_pulse_in_s_h_cdc_from <= '0'; elsif(scndry_in = '1')then s_pulse_in_s_h_cdc_from <= '1'; end if; end if; end process SCNDRY_IN_S_H_PULSE; -- Cross sample and held pulse to primary domain S_IN_CROSS2PRMRY : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk ='1')then if(prmry_resetn = '0')then p_pulse_out_d1_cdc_to <= '0'; p_pulse_out_d2 <= '0'; p_pulse_out_d3 <= '0'; --p_pulse_out_re <= '0'; else p_pulse_out_d1_cdc_to <= s_pulse_in_s_h_cdc_from; p_pulse_out_d2 <= p_pulse_out_d1_cdc_to; p_pulse_out_d3 <= p_pulse_out_d2; --p_pulse_out_re <= p_pulse_out_d2 and not p_pulse_out_d3; end if; end if; end process S_IN_CROSS2PRMRY; p_pulse_out_re <= p_pulse_out_d2 and not p_pulse_out_d3; -- Sample and hold primary pulse for clearing secondary sampled -- and held pulse PRMRY_OUT_S_H_PULSE : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk ='1')then if(prmry_resetn = '0' or p_pulse_out_d2='0')then p_pulse_out_s_h_cdc_from <= '0'; elsif(p_pulse_out_re = '1')then p_pulse_out_s_h_cdc_from <= '1'; end if; end if; end process PRMRY_OUT_S_H_PULSE; -- Cross sample and held pulse to secondary domain P_OUT_CROSS2SCDNRY : process(scndry_aclk) begin if(scndry_aclk'EVENT and scndry_aclk ='1')then if(scndry_resetn = '0')then s_pulse_out_s_h_d1_cdc_to <= '0'; s_pulse_out_s_h_d2 <= '0'; s_pulse_out_s_h_d3 <= '0'; else s_pulse_out_s_h_d1_cdc_to <= p_pulse_out_s_h_cdc_from; s_pulse_out_s_h_d2 <= s_pulse_out_s_h_d1_cdc_to; s_pulse_out_s_h_d3 <= s_pulse_out_s_h_d2; end if; end if; end process P_OUT_CROSS2SCDNRY; -- Create sample and hold clear s_pulse_in_s_h_clr <= s_pulse_out_s_h_d2 and not s_pulse_out_s_h_d3; -- Feed primary pulse out prmry_out <= p_pulse_out_re; scndry_out <= '0'; scndry_vect_out <= (others => '0'); prmry_vect_out <= (others => '0'); end generate GENERATE_PULSE_CDC_S_P_LL; -- Generate PULSE clock domain crossing GENERATE_PULSE_CDC_S_P_NO_RST : if C_CDC_TYPE = CDC_TYPE_PULSE_S_P_NO_RST generate -- Secondary to Primary signal s_pulse_in_s_h_cdc_from : std_logic := '0'; signal s_pulse_in_s_h_clr : std_logic := '0'; signal p_pulse_out_d1_cdc_to : std_logic := '0'; signal p_pulse_out_d2 : std_logic := '0'; signal p_pulse_out_d3 : std_logic := '0'; signal p_pulse_out_re : std_logic := '0'; signal p_pulse_out_s_h_cdc_from : std_logic := '0'; signal s_pulse_out_s_h_d1_cdc_to : std_logic := '0'; signal s_pulse_out_s_h_d2 : std_logic := '0'; signal s_pulse_out_s_h_d3 : std_logic := '0'; ----------------------------------------------------------------------------- -- ATTRIBUTE Declarations ----------------------------------------------------------------------------- -- Prevent x-propagation on clock-domain crossing register ATTRIBUTE async_reg : STRING; ATTRIBUTE async_reg OF p_pulse_out_d1_cdc_to : SIGNAL IS "true"; ATTRIBUTE async_reg OF p_pulse_out_d2 : SIGNAL IS "true"; ATTRIBUTE async_reg OF p_pulse_out_d3 : SIGNAL IS "true"; ATTRIBUTE async_reg OF p_pulse_out_re : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_pulse_out_s_h_d1_cdc_to : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_pulse_out_s_h_d2 : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_pulse_out_s_h_d3 : SIGNAL IS "true"; begin --***************************************************************************** --** Asynchronous Pulse Clock Crossing ** --** SECONDARY TO PRIMARY ** --***************************************************************************** -- Limitations: -- For scndry_aclk faster than prmry_aclk then limited to pulse period greater -- than (prmry_clk_freq / scndry_clk_freq) * 5 -- For scndry_aclk slower than prmry_aclk then limited to pulse period greater -- than (scndry_clk_freq / prmry_clk_freq) / 5 -- Sample and hold secondary pulse SCNDRY_IN_S_H_PULSE : process(scndry_aclk) begin if(scndry_aclk'EVENT and scndry_aclk ='1')then if(s_pulse_in_s_h_clr='1')then s_pulse_in_s_h_cdc_from <= '0'; elsif(scndry_in = '1')then s_pulse_in_s_h_cdc_from <= '1'; end if; end if; end process SCNDRY_IN_S_H_PULSE; -- Cross sample and held pulse to primary domain S_IN_CROSS2PRMRY : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk ='1')then p_pulse_out_d1_cdc_to <= s_pulse_in_s_h_cdc_from; p_pulse_out_d2 <= p_pulse_out_d1_cdc_to; p_pulse_out_d3 <= p_pulse_out_d2; p_pulse_out_re <= p_pulse_out_d2 and not p_pulse_out_d3; end if; end process S_IN_CROSS2PRMRY; -- Sample and hold primary pulse for clearing secondary sampled -- and held pulse PRMRY_OUT_S_H_PULSE : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk ='1')then if(p_pulse_out_d2='0')then p_pulse_out_s_h_cdc_from <= '0'; elsif(p_pulse_out_re = '1')then p_pulse_out_s_h_cdc_from <= '1'; end if; end if; end process PRMRY_OUT_S_H_PULSE; -- Cross sample and held pulse to secondary domain P_OUT_CROSS2SCDNRY : process(scndry_aclk) begin if(scndry_aclk'EVENT and scndry_aclk ='1')then s_pulse_out_s_h_d1_cdc_to <= p_pulse_out_s_h_cdc_from; s_pulse_out_s_h_d2 <= s_pulse_out_s_h_d1_cdc_to; s_pulse_out_s_h_d3 <= s_pulse_out_s_h_d2; end if; end process P_OUT_CROSS2SCDNRY; -- Create sample and hold clear s_pulse_in_s_h_clr <= s_pulse_out_s_h_d2 and not s_pulse_out_s_h_d3; -- Feed primary pulse out prmry_out <= p_pulse_out_re; scndry_out <= '0'; scndry_vect_out <= (others => '0'); prmry_vect_out <= (others => '0'); end generate GENERATE_PULSE_CDC_S_P_NO_RST; -- Generate LEVEL clock domain crossing with reset state = 0 GENERATE_LEVEL_P_S_CDC : if C_CDC_TYPE = CDC_TYPE_LEVEL_P_S and C_RESET_STATE = 0 generate -- Primary to Secondary signal p_level_in_d1_cdc_from : std_logic := '0'; signal s_level_out_d1_cdc_to : std_logic := '0'; signal s_level_out_d2 : std_logic := '0'; ----------------------------------------------------------------------------- -- ATTRIBUTE Declarations ----------------------------------------------------------------------------- -- Prevent x-propagation on clock-domain crossing register ATTRIBUTE async_reg : STRING; ATTRIBUTE async_reg OF s_level_out_d1_cdc_to : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_level_out_d2 : SIGNAL IS "true"; begin --***************************************************************************** --** Asynchronous Level Clock Crossing ** --** PRIMARY TO SECONDARY ** --***************************************************************************** -- register is scndry to provide clean ff output to clock crossing logic REG_PLEVEL_IN : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk ='1')then if(prmry_resetn = '0')then p_level_in_d1_cdc_from <= '0'; else p_level_in_d1_cdc_from <= prmry_in; end if; end if; end process REG_PLEVEL_IN; CROSS_PLEVEL_IN2SCNDRY : process(scndry_aclk) begin if(scndry_aclk'EVENT and scndry_aclk ='1')then if(scndry_resetn = '0')then s_level_out_d1_cdc_to <= '0'; s_level_out_d2 <= '0'; else s_level_out_d1_cdc_to <= p_level_in_d1_cdc_from; s_level_out_d2 <= s_level_out_d1_cdc_to; end if; end if; end process CROSS_PLEVEL_IN2SCNDRY; scndry_out <= s_level_out_d2; prmry_out <= '0'; scndry_vect_out <= (others => '0'); prmry_vect_out <= (others => '0'); end generate GENERATE_LEVEL_P_S_CDC; -- Generate LEVEL clock domain crossing with reset state = 0 GENERATE_LEVEL_P_S_CDC_NO_RST : if C_CDC_TYPE = CDC_TYPE_LEVEL_P_S_NO_RST generate -- Primary to Secondary signal p_level_in_d1_cdc_from : std_logic := '0'; signal s_level_out_d1_cdc_to : std_logic := '0'; signal s_level_out_d2 : std_logic := '0'; ----------------------------------------------------------------------------- -- ATTRIBUTE Declarations ----------------------------------------------------------------------------- -- Prevent x-propagation on clock-domain crossing register ATTRIBUTE async_reg : STRING; ATTRIBUTE async_reg OF s_level_out_d1_cdc_to : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_level_out_d2 : SIGNAL IS "true"; begin --***************************************************************************** --** Asynchronous Level Clock Crossing ** --** PRIMARY TO SECONDARY ** --***************************************************************************** -- register is scndry to provide clean ff output to clock crossing logic REG_PLEVEL_IN : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk ='1')then p_level_in_d1_cdc_from <= prmry_in; end if; end process REG_PLEVEL_IN; CROSS_PLEVEL_IN2SCNDRY : process(scndry_aclk) begin if(scndry_aclk'EVENT and scndry_aclk ='1')then s_level_out_d1_cdc_to <= p_level_in_d1_cdc_from; s_level_out_d2 <= s_level_out_d1_cdc_to; end if; end process CROSS_PLEVEL_IN2SCNDRY; scndry_out <= s_level_out_d2; prmry_out <= '0'; scndry_vect_out <= (others => '0'); prmry_vect_out <= (others => '0'); end generate GENERATE_LEVEL_P_S_CDC_NO_RST; -- Generate LEVEL clock domain crossing with reset state = 0 GENERATE_LEVEL_S_P_CDC : if C_CDC_TYPE = CDC_TYPE_LEVEL_S_P and C_RESET_STATE = 0 generate -- Secondray to Primary signal s_level_in_d1_cdc_from : std_logic := '0'; signal p_level_out_d1_cdc_to : std_logic := '0'; signal p_level_out_d2 : std_logic := '0'; ----------------------------------------------------------------------------- -- ATTRIBUTE Declarations ----------------------------------------------------------------------------- -- Prevent x-propagation on clock-domain crossing register ATTRIBUTE async_reg : STRING; ATTRIBUTE async_reg OF p_level_out_d1_cdc_to : SIGNAL IS "true"; ATTRIBUTE async_reg OF p_level_out_d2 : SIGNAL IS "true"; begin --***************************************************************************** --** Asynchronous Level Clock Crossing ** --** SECONDARY TO PRIMARY ** --***************************************************************************** -- register is scndry to provide clean ff output to clock crossing logic REG_SLEVEL_IN : process(scndry_aclk) begin if(scndry_aclk'EVENT and scndry_aclk ='1')then if(scndry_resetn = '0')then s_level_in_d1_cdc_from <= '0'; else s_level_in_d1_cdc_from <= scndry_in; end if; end if; end process REG_SLEVEL_IN; CROSS_SLEVEL_IN2PRMRY : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk ='1')then if(prmry_resetn = '0')then p_level_out_d1_cdc_to <= '0'; p_level_out_d2 <= '0'; else p_level_out_d1_cdc_to <= s_level_in_d1_cdc_from; p_level_out_d2 <= p_level_out_d1_cdc_to; end if; end if; end process CROSS_SLEVEL_IN2PRMRY; prmry_out <= p_level_out_d2; scndry_out <= '0'; scndry_vect_out <= (others => '0'); prmry_vect_out <= (others => '0'); end generate GENERATE_LEVEL_S_P_CDC; -- Generate LEVEL clock domain crossing with reset state = 0 GENERATE_LEVEL_S_P_CDC_NO_RST : if C_CDC_TYPE = CDC_TYPE_LEVEL_S_P_NO_RST generate -- Secondray to Primary signal s_level_in_d1_cdc_from : std_logic := '0'; signal p_level_out_d1_cdc_to : std_logic := '0'; signal p_level_out_d2 : std_logic := '0'; ----------------------------------------------------------------------------- -- ATTRIBUTE Declarations ----------------------------------------------------------------------------- -- Prevent x-propagation on clock-domain crossing register ATTRIBUTE async_reg : STRING; ATTRIBUTE async_reg OF p_level_out_d1_cdc_to : SIGNAL IS "true"; ATTRIBUTE async_reg OF p_level_out_d2 : SIGNAL IS "true"; begin --***************************************************************************** --** Asynchronous Level Clock Crossing ** --** SECONDARY TO PRIMARY ** --***************************************************************************** -- register is scndry to provide clean ff output to clock crossing logic REG_SLEVEL_IN : process(scndry_aclk) begin if(scndry_aclk'EVENT and scndry_aclk ='1')then s_level_in_d1_cdc_from <= scndry_in; end if; end process REG_SLEVEL_IN; CROSS_SLEVEL_IN2PRMRY : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk ='1')then p_level_out_d1_cdc_to <= s_level_in_d1_cdc_from; p_level_out_d2 <= p_level_out_d1_cdc_to; end if; end process CROSS_SLEVEL_IN2PRMRY; prmry_out <= p_level_out_d2; scndry_out <= '0'; scndry_vect_out <= (others => '0'); prmry_vect_out <= (others => '0'); end generate GENERATE_LEVEL_S_P_CDC_NO_RST; -- Generate LEVEL clock domain crossing with reset state = 1 GENERATE_LEVEL_S_P_CDC2 : if C_CDC_TYPE = CDC_TYPE_LEVEL_S_P and C_RESET_STATE = 1 generate -- Secondray to Primary signal s_level_in_d1_cdc_from : std_logic := '0'; signal p_level_out_d1_cdc_to : std_logic := '0'; signal p_level_out_d2 : std_logic := '0'; ----------------------------------------------------------------------------- -- ATTRIBUTE Declarations ----------------------------------------------------------------------------- -- Prevent x-propagation on clock-domain crossing register ATTRIBUTE async_reg : STRING; ATTRIBUTE async_reg OF p_level_out_d1_cdc_to : SIGNAL IS "true"; ATTRIBUTE async_reg OF p_level_out_d2 : SIGNAL IS "true"; begin --***************************************************************************** --** Asynchronous Level Clock Crossing ** --** SECONDARY TO PRIMARY ** --***************************************************************************** -- register is scndry to provide clean ff output to clock crossing logic REG_SLEVEL_IN : process(scndry_aclk) begin if(scndry_aclk'EVENT and scndry_aclk ='1')then if(scndry_resetn = '0')then s_level_in_d1_cdc_from <= '1'; else s_level_in_d1_cdc_from <= scndry_in; end if; end if; end process REG_SLEVEL_IN; CROSS_SLEVEL_IN2PRMRY : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk ='1')then if(prmry_resetn = '0')then p_level_out_d1_cdc_to <= '1'; p_level_out_d2 <= '1'; else p_level_out_d1_cdc_to <= s_level_in_d1_cdc_from; p_level_out_d2 <= p_level_out_d1_cdc_to; end if; end if; end process CROSS_SLEVEL_IN2PRMRY; prmry_out <= p_level_out_d2; scndry_out <= '0'; scndry_vect_out <= (others => '0'); prmry_vect_out <= (others => '0'); end generate GENERATE_LEVEL_S_P_CDC2; GENERATE_VECT_P_S_CDC : if C_CDC_TYPE = CDC_TYPE_VECTR_P_S generate ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- signal p_vect_in_d1_cdc_from : std_logic_vector(C_VECTOR_WIDTH-1 downto 0) := (others => '0'); signal s_vect_out_d1_cdc_to : std_logic_vector(C_VECTOR_WIDTH-1 downto 0) := (others => '0'); signal s_vect_out_d2 : std_logic_vector(C_VECTOR_WIDTH-1 downto 0) := (others => '0'); ----------------------------------------------------------------------------- -- ATTRIBUTE Declarations ----------------------------------------------------------------------------- -- Prevent x-propagation on clock-domain crossing register ATTRIBUTE async_reg : STRING; ATTRIBUTE async_reg OF s_vect_out_d1_cdc_to : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_vect_out_d2 : SIGNAL IS "true"; begin -- Register signal in to give clear FF output to CDC P_REG_GREY_IN : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk ='1')then if(prmry_resetn = '0')then p_vect_in_d1_cdc_from <= (others => '0'); elsif(prmry_vect_s_h = '1')then p_vect_in_d1_cdc_from <= prmry_vect_in; end if; end if; end process P_REG_GREY_IN; -- Double register to secondary S_REG_GREY_OUT : process(scndry_aclk) begin if(scndry_aclk'EVENT and scndry_aclk ='1')then if(scndry_resetn = '0')then s_vect_out_d1_cdc_to <= (others => '0'); s_vect_out_d2 <= (others => '0'); else s_vect_out_d1_cdc_to <= p_vect_in_d1_cdc_from; s_vect_out_d2 <= s_vect_out_d1_cdc_to; end if; end if; end process S_REG_GREY_OUT; scndry_vect_out <= s_vect_out_d2; prmry_vect_out <= (others => '0'); scndry_out <= '0'; prmry_out <= '0'; end generate GENERATE_VECT_P_S_CDC; --***************************************************************************** --** Asynchronous Level Clock Crossing ** --** SECONDARY TO PRIMARY ** --***************************************************************************** GENERATE_VECT_S_P_CDC : if C_CDC_TYPE = CDC_TYPE_VECTR_S_P generate signal s_vect_in_d1_cdc_from : std_logic_vector(C_VECTOR_WIDTH-1 downto 0) := (others => '0'); signal p_vect_out_d1_cdc_to : std_logic_vector(C_VECTOR_WIDTH-1 downto 0) := (others => '0'); signal p_vect_out_d2 : std_logic_vector(C_VECTOR_WIDTH-1 downto 0) := (others => '0'); ----------------------------------------------------------------------------- -- ATTRIBUTE Declarations ----------------------------------------------------------------------------- -- Prevent x-propagation on clock-domain crossing register ATTRIBUTE async_reg : STRING; ATTRIBUTE async_reg OF p_vect_out_d1_cdc_to : SIGNAL IS "true"; ATTRIBUTE async_reg OF p_vect_out_d2 : SIGNAL IS "true"; begin -- Register signal in to give clear FF output to CDC S_REG_GREY_IN : process(scndry_aclk) begin if(scndry_aclk'EVENT and scndry_aclk ='1')then if(scndry_resetn = '0')then s_vect_in_d1_cdc_from <= (others => '0'); elsif(scndry_vect_s_h = '1')then s_vect_in_d1_cdc_from <= scndry_vect_in; end if; end if; end process S_REG_GREY_IN; -- Double register to primary P_REG_GREY_OUT : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk ='1')then if(prmry_resetn = '0')then p_vect_out_d1_cdc_to <= (others => '0'); p_vect_out_d2 <= (others => '0'); else p_vect_out_d1_cdc_to <= s_vect_in_d1_cdc_from; p_vect_out_d2 <= p_vect_out_d1_cdc_to; end if; end if; end process P_REG_GREY_OUT; prmry_vect_out <= p_vect_out_d2; scndry_vect_out <= (others => '0'); scndry_out <= '0'; prmry_out <= '0'; end generate GENERATE_VECT_S_P_CDC; --GENERATE_AFIFO_CDC : -- end implementation;
------------------------------------------------------------------------------- -- axi_vdma_cdc ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011, 2013 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_vdma_cdc.vhd -- Description: This entity encompases the Clock Domain Crossing Pulse -- Generator -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- axi_vdma.vhd -- |- axi_vdma_pkg.vhd -- |- axi_vdma_intrpt.vhd -- |- axi_vdma_rst_module.vhd -- | |- axi_vdma_reset.vhd (mm2s) -- | | |- axi_vdma_cdc.vhd -- | |- axi_vdma_reset.vhd (s2mm) -- | | |- axi_vdma_cdc.vhd -- | -- |- axi_vdma_reg_if.vhd -- | |- axi_vdma_lite_if.vhd -- | |- axi_vdma_cdc.vhd (mm2s) -- | |- axi_vdma_cdc.vhd (s2mm) -- | -- |- axi_vdma_sg_cdc.vhd (mm2s) -- |- axi_vdma_vid_cdc.vhd (mm2s) -- |- axi_vdma_fsync_gen.vhd (mm2s) -- |- axi_vdma_sof_gen.vhd (mm2s) -- |- axi_vdma_reg_module.vhd (mm2s) -- | |- axi_vdma_register.vhd (mm2s) -- | |- axi_vdma_regdirect.vhd (mm2s) -- |- axi_vdma_mngr.vhd (mm2s) -- | |- axi_vdma_sg_if.vhd (mm2s) -- | |- axi_vdma_sm.vhd (mm2s) -- | |- axi_vdma_cmdsts_if.vhd (mm2s) -- | |- axi_vdma_vidreg_module.vhd (mm2s) -- | | |- axi_vdma_sgregister.vhd (mm2s) -- | | |- axi_vdma_vregister.vhd (mm2s) -- | | |- axi_vdma_vaddrreg_mux.vhd (mm2s) -- | | |- axi_vdma_blkmem.vhd (mm2s) -- | |- axi_vdma_genlock_mngr.vhd (mm2s) -- | |- axi_vdma_genlock_mux.vhd (mm2s) -- | |- axi_vdma_greycoder.vhd (mm2s) -- |- axi_vdma_mm2s_linebuf.vhd (mm2s) -- | |- axi_vdma_sfifo_autord.vhd (mm2s) -- | |- axi_vdma_afifo_autord.vhd (mm2s) -- | |- axi_vdma_skid_buf.vhd (mm2s) -- | |- axi_vdma_cdc.vhd (mm2s) -- | -- |- axi_vdma_sg_cdc.vhd (s2mm) -- |- axi_vdma_vid_cdc.vhd (s2mm) -- |- axi_vdma_fsync_gen.vhd (s2mm) -- |- axi_vdma_sof_gen.vhd (s2mm) -- |- axi_vdma_reg_module.vhd (s2mm) -- | |- axi_vdma_register.vhd (s2mm) -- | |- axi_vdma_regdirect.vhd (s2mm) -- |- axi_vdma_mngr.vhd (s2mm) -- | |- axi_vdma_sg_if.vhd (s2mm) -- | |- axi_vdma_sm.vhd (s2mm) -- | |- axi_vdma_cmdsts_if.vhd (s2mm) -- | |- axi_vdma_vidreg_module.vhd (s2mm) -- | | |- axi_vdma_sgregister.vhd (s2mm) -- | | |- axi_vdma_vregister.vhd (s2mm) -- | | |- axi_vdma_vaddrreg_mux.vhd (s2mm) -- | | |- axi_vdma_blkmem.vhd (s2mm) -- | |- axi_vdma_genlock_mngr.vhd (s2mm) -- | |- axi_vdma_genlock_mux.vhd (s2mm) -- | |- axi_vdma_greycoder.vhd (s2mm) -- |- axi_vdma_s2mm_linebuf.vhd (s2mm) -- | |- axi_vdma_sfifo_autord.vhd (s2mm) -- | |- axi_vdma_afifo_autord.vhd (s2mm) -- | |- axi_vdma_skid_buf.vhd (s2mm) -- | |- axi_vdma_cdc.vhd (s2mm) -- | -- |- axi_datamover_v3_00_a.axi_datamover.vhd (FULL) -- |- axi_sg_v3_00_a.axi_sg.vhd -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library axi_vdma_v6_2; use axi_vdma_v6_2.axi_vdma_pkg.all; ------------------------------------------------------------------------------- entity axi_vdma_cdc is generic ( C_CDC_TYPE : integer range 0 to 15 := 0 ; -- Clock domain crossing type -- 0 = pulse primary to secondary clk -- 1 = level primary to secondary clk -- 2 = pulse secondary to primary clk -- 3 = level secondary to primary clk -- 4 = vectr primary to secondary clk -- 5 = vectr secondary to primary clk -- 6 = pulse primary to secondary clk --No reset -- 7 = level primary to secondary clk --No reset -- 8 = pulse secondary to primary clk --No reset -- 9 = level secondary to primary clk --No reset -- 10 = pulse primary to secondary clk --Low latency -- 11 = pulse secondary to primary clk --Low latency -- 12 = pulse primary to secondary clk open ended -- 13 = pulse secondary to primary clk open ended -- 12 = pulse primary to secondary clk open ended -No reset -- 13 = pulse secondary to primary clk open ended -No reset C_RESET_STATE : integer range 0 to 1 := 0 ; C_VECTOR_WIDTH : integer := 32 -- Vector Data witdth ); port ( prmry_aclk : in std_logic ; -- prmry_resetn : in std_logic ; -- -- scndry_aclk : in std_logic ; -- scndry_resetn : in std_logic ; -- -- -- Secondary to Primary Clock Crossing -- scndry_in : in std_logic ; -- prmry_out : out std_logic ; -- -- -- Primary to Secondary Clock Crossing -- prmry_in : in std_logic ; -- scndry_out : out std_logic ; -- -- scndry_vect_s_h : in std_logic ; -- scndry_vect_in : in std_logic_vector -- (C_VECTOR_WIDTH - 1 downto 0) ; -- prmry_vect_out : out std_logic_vector -- (C_VECTOR_WIDTH - 1 downto 0) ; -- -- prmry_vect_s_h : in std_logic ; -- prmry_vect_in : in std_logic_vector -- (C_VECTOR_WIDTH - 1 downto 0) ; -- scndry_vect_out : out std_logic_vector -- (C_VECTOR_WIDTH - 1 downto 0) -- ); end axi_vdma_cdc; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_vdma_cdc is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- -- No Constants Declared ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin -- Generate PULSE clock domain crossing GENERATE_PULSE_P_S_CDC_OPEN_ENDED : if C_CDC_TYPE = CDC_TYPE_PULSE_P_S_OPEN_ENDED generate -- Primary to Secondary signal s_out_d1_cdc_to : std_logic := '0'; signal s_out_d2 : std_logic := '0'; signal s_out_d3 : std_logic := '0'; signal s_out_d4 : std_logic := '0'; signal s_out_re : std_logic := '0'; signal prmry_in_xored : std_logic := '0'; signal p_in_d1_cdc_from : std_logic := '0'; ----------------------------------------------------------------------------- -- ATTRIBUTE Declarations ----------------------------------------------------------------------------- -- Prevent x-propagation on clock-domain crossing register ATTRIBUTE async_reg : STRING; ATTRIBUTE async_reg OF s_out_d1_cdc_to : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_out_d2 : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_out_d3 : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_out_d4 : SIGNAL IS "true"; begin --***************************************************************************** --** Asynchronous Pulse Clock Crossing ** --** PRIMARY TO SECONDARY OPEN-ENDED ** --***************************************************************************** prmry_in_xored <= prmry_in xor p_in_d1_cdc_from; REG_P_IN : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk ='1')then if(prmry_resetn = '0')then p_in_d1_cdc_from <= '0'; else p_in_d1_cdc_from <= prmry_in_xored; end if; end if; end process REG_P_IN; P_IN_CROSS2SCNDRY : process(scndry_aclk) begin if(scndry_aclk'EVENT and scndry_aclk ='1')then if(scndry_resetn = '0')then s_out_d1_cdc_to <= '0'; s_out_d2 <= '0'; s_out_d3 <= '0'; s_out_d4 <= '0'; else s_out_d1_cdc_to <= p_in_d1_cdc_from; s_out_d2 <= s_out_d1_cdc_to; s_out_d3 <= s_out_d2; s_out_d4 <= s_out_d3; end if; end if; end process P_IN_CROSS2SCNDRY; s_out_re <= s_out_d3 xor s_out_d4; -- Feed secondary pulse out scndry_out <= s_out_re; prmry_out <= '0'; scndry_vect_out <= (others => '0'); prmry_vect_out <= (others => '0'); end generate GENERATE_PULSE_P_S_CDC_OPEN_ENDED; GENERATE_PULSE_P_S_CDC_OPEN_ENDED_NO_RST : if C_CDC_TYPE = CDC_TYPE_PULSE_P_S_OPEN_ENDED_NO_RST generate -- Primary to Secondary signal s_out_d1_cdc_to : std_logic := '0'; signal s_out_d2 : std_logic := '0'; signal s_out_d3 : std_logic := '0'; signal s_out_d4 : std_logic := '0'; signal s_out_re : std_logic := '0'; signal prmry_in_xored : std_logic := '0'; signal p_in_d1_cdc_from : std_logic := '0'; ----------------------------------------------------------------------------- -- ATTRIBUTE Declarations ----------------------------------------------------------------------------- -- Prevent x-propagation on clock-domain crossing register ATTRIBUTE async_reg : STRING; ATTRIBUTE async_reg OF s_out_d1_cdc_to : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_out_d2 : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_out_d3 : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_out_d4 : SIGNAL IS "true"; begin --***************************************************************************** --** Asynchronous Pulse Clock Crossing ** --** PRIMARY TO SECONDARY OPEN-ENDED ** --***************************************************************************** prmry_in_xored <= prmry_in xor p_in_d1_cdc_from; REG_P_IN : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk ='1')then --if(prmry_resetn = '0')then -- p_in_d1_cdc_from <= '0'; --else p_in_d1_cdc_from <= prmry_in_xored; --end if; end if; end process REG_P_IN; P_IN_CROSS2SCNDRY : process(scndry_aclk) begin if(scndry_aclk'EVENT and scndry_aclk ='1')then --if(scndry_resetn = '0')then -- s_out_d1_cdc_to <= '0'; -- s_out_d2 <= '0'; -- s_out_d3 <= '0'; -- s_out_d4 <= '0'; --else s_out_d1_cdc_to <= p_in_d1_cdc_from; s_out_d2 <= s_out_d1_cdc_to; s_out_d3 <= s_out_d2; s_out_d4 <= s_out_d3; --end if; end if; end process P_IN_CROSS2SCNDRY; s_out_re <= s_out_d3 xor s_out_d4; -- Feed secondary pulse out scndry_out <= s_out_re; prmry_out <= '0'; scndry_vect_out <= (others => '0'); prmry_vect_out <= (others => '0'); end generate GENERATE_PULSE_P_S_CDC_OPEN_ENDED_NO_RST; GENERATE_PULSE_S_P_CDC_OPEN_ENDED : if C_CDC_TYPE = CDC_TYPE_PULSE_S_P_OPEN_ENDED generate -- Primary to Secondary signal p_out_d1_cdc_to : std_logic := '0'; signal p_out_d2 : std_logic := '0'; signal p_out_d3 : std_logic := '0'; signal p_out_d4 : std_logic := '0'; signal p_out_re : std_logic := '0'; signal scndry_in_xored : std_logic := '0'; signal s_in_d1_cdc_from : std_logic := '0'; ----------------------------------------------------------------------------- -- ATTRIBUTE Declarations ----------------------------------------------------------------------------- -- Prevent x-propagation on clock-domain crossing register ATTRIBUTE async_reg : STRING; ATTRIBUTE async_reg OF p_out_d1_cdc_to : SIGNAL IS "true"; ATTRIBUTE async_reg OF p_out_d2 : SIGNAL IS "true"; ATTRIBUTE async_reg OF p_out_d3 : SIGNAL IS "true"; ATTRIBUTE async_reg OF p_out_d4 : SIGNAL IS "true"; begin --***************************************************************************** --** Asynchronous Pulse Clock Crossing ** --** PRIMARY TO SECONDARY OPEN-ENDED ** --***************************************************************************** scndry_in_xored <= scndry_in xor s_in_d1_cdc_from; REG_S_IN : process(scndry_aclk) begin if(scndry_aclk'EVENT and scndry_aclk ='1')then if(scndry_resetn = '0')then s_in_d1_cdc_from <= '0'; else s_in_d1_cdc_from <= scndry_in_xored; end if; end if; end process REG_S_IN; S_IN_CROSS2PRMRY : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk ='1')then if(prmry_resetn = '0')then p_out_d1_cdc_to <= '0'; p_out_d2 <= '0'; p_out_d3 <= '0'; p_out_d4 <= '0'; else p_out_d1_cdc_to <= s_in_d1_cdc_from; p_out_d2 <= p_out_d1_cdc_to; p_out_d3 <= p_out_d2; p_out_d4 <= p_out_d3; end if; end if; end process S_IN_CROSS2PRMRY; p_out_re <= p_out_d3 xor p_out_d4; -- Feed secondary pulse out prmry_out <= p_out_re; scndry_out <= '0'; scndry_vect_out <= (others => '0'); prmry_vect_out <= (others => '0'); end generate GENERATE_PULSE_S_P_CDC_OPEN_ENDED; GENERATE_PULSE_S_P_CDC_OPEN_ENDED_NO_RST : if C_CDC_TYPE = CDC_TYPE_PULSE_S_P_OPEN_ENDED_NO_RST generate -- Primary to Secondary signal p_out_d1_cdc_to : std_logic := '0'; signal p_out_d2 : std_logic := '0'; signal p_out_d3 : std_logic := '0'; signal p_out_d4 : std_logic := '0'; signal p_out_re : std_logic := '0'; signal scndry_in_xored : std_logic := '0'; signal s_in_d1_cdc_from : std_logic := '0'; ----------------------------------------------------------------------------- -- ATTRIBUTE Declarations ----------------------------------------------------------------------------- -- Prevent x-propagation on clock-domain crossing register ATTRIBUTE async_reg : STRING; ATTRIBUTE async_reg OF p_out_d1_cdc_to : SIGNAL IS "true"; ATTRIBUTE async_reg OF p_out_d2 : SIGNAL IS "true"; ATTRIBUTE async_reg OF p_out_d3 : SIGNAL IS "true"; ATTRIBUTE async_reg OF p_out_d4 : SIGNAL IS "true"; begin --***************************************************************************** --** Asynchronous Pulse Clock Crossing ** --** PRIMARY TO SECONDARY OPEN-ENDED ** --***************************************************************************** scndry_in_xored <= scndry_in xor s_in_d1_cdc_from; REG_S_IN : process(scndry_aclk) begin if(scndry_aclk'EVENT and scndry_aclk ='1')then --if(scndry_resetn = '0')then -- s_in_d1_cdc_from <= '0'; --else s_in_d1_cdc_from <= scndry_in_xored; --end if; end if; end process REG_S_IN; S_IN_CROSS2PRMRY : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk ='1')then --if(prmry_resetn = '0')then -- p_out_d1_cdc_to <= '0'; -- p_out_d2 <= '0'; -- p_out_d3 <= '0'; -- p_out_d4 <= '0'; --else p_out_d1_cdc_to <= s_in_d1_cdc_from; p_out_d2 <= p_out_d1_cdc_to; p_out_d3 <= p_out_d2; p_out_d4 <= p_out_d3; --end if; end if; end process S_IN_CROSS2PRMRY; p_out_re <= p_out_d3 xor p_out_d4; -- Feed secondary pulse out prmry_out <= p_out_re; scndry_out <= '0'; scndry_vect_out <= (others => '0'); prmry_vect_out <= (others => '0'); end generate GENERATE_PULSE_S_P_CDC_OPEN_ENDED_NO_RST; -- Generate PULSE clock domain crossing GENERATE_PULSE_P_S_CDC : if C_CDC_TYPE = CDC_TYPE_PULSE_P_S generate -- Primary to Secondary signal p_pulse_in_s_h_cdc_from : std_logic := '0'; signal p_pulse_in_s_h_clr : std_logic := '0'; signal s_pulse_out_d1_cdc_to : std_logic := '0'; signal s_pulse_out_d2 : std_logic := '0'; signal s_pulse_out_d3 : std_logic := '0'; signal s_pulse_out_re : std_logic := '0'; signal s_pulse_out_s_h_cdc_from : std_logic := '0'; signal p_pulse_out_s_h_d1_cdc_to : std_logic := '0'; signal p_pulse_out_s_h_d2 : std_logic := '0'; signal p_pulse_out_s_h_d3 : std_logic := '0'; ----------------------------------------------------------------------------- -- ATTRIBUTE Declarations ----------------------------------------------------------------------------- -- Prevent x-propagation on clock-domain crossing register ATTRIBUTE async_reg : STRING; ATTRIBUTE async_reg OF s_pulse_out_d1_cdc_to : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_pulse_out_d2 : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_pulse_out_d3 : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_pulse_out_re : SIGNAL IS "true"; ATTRIBUTE async_reg OF p_pulse_out_s_h_d1_cdc_to : SIGNAL IS "true"; ATTRIBUTE async_reg OF p_pulse_out_s_h_d2 : SIGNAL IS "true"; ATTRIBUTE async_reg OF p_pulse_out_s_h_d3 : SIGNAL IS "true"; begin --***************************************************************************** --** Asynchronous Pulse Clock Crossing ** --** PRIMARY TO SECONDARY ** --***************************************************************************** -- Limitations: -- For prmry_aclk faster than scndry_aclk then limited to pulse period greater -- than (prmry_clk_freq / scndry_clk_freq) * 5 -- For prmry_aclk slower than scndry_aclk then limited to pulse period greater -- than (scndry_clk_freq / prmry_clk_freq) / 5 -- Sample and hold primary pulse PRMRY_IN_S_H_PULSE : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk ='1')then if(prmry_resetn = '0' or p_pulse_in_s_h_clr='1')then p_pulse_in_s_h_cdc_from <= '0'; elsif(prmry_in = '1')then p_pulse_in_s_h_cdc_from <= '1'; end if; end if; end process PRMRY_IN_S_H_PULSE; -- Cross sample and held pulse to secondary domain P_IN_CROSS2SCNDRY : process(scndry_aclk) begin if(scndry_aclk'EVENT and scndry_aclk ='1')then if(scndry_resetn = '0')then s_pulse_out_d1_cdc_to <= '0'; s_pulse_out_d2 <= '0'; s_pulse_out_d3 <= '0'; s_pulse_out_re <= '0'; else s_pulse_out_d1_cdc_to <= p_pulse_in_s_h_cdc_from; s_pulse_out_d2 <= s_pulse_out_d1_cdc_to; s_pulse_out_d3 <= s_pulse_out_d2; s_pulse_out_re <= s_pulse_out_d2 and not s_pulse_out_d3; end if; end if; end process P_IN_CROSS2SCNDRY; -- Sample and hold secondary pulse for clearing primary sampled -- and held pulse SCNDRY_OUT_S_H_PULSE : process(scndry_aclk) begin if(scndry_aclk'EVENT and scndry_aclk ='1')then if(scndry_resetn = '0' or s_pulse_out_d2='0')then s_pulse_out_s_h_cdc_from <= '0'; elsif(s_pulse_out_re = '1')then s_pulse_out_s_h_cdc_from <= '1'; end if; end if; end process SCNDRY_OUT_S_H_PULSE; -- Cross sample and held pulse to primary domain S_OUT_CROSS2PRMRY : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk ='1')then if(prmry_resetn = '0')then p_pulse_out_s_h_d1_cdc_to <= '0'; p_pulse_out_s_h_d2 <= '0'; p_pulse_out_s_h_d3 <= '0'; else p_pulse_out_s_h_d1_cdc_to <= s_pulse_out_s_h_cdc_from; p_pulse_out_s_h_d2 <= p_pulse_out_s_h_d1_cdc_to; p_pulse_out_s_h_d3 <= p_pulse_out_s_h_d2; end if; end if; end process S_OUT_CROSS2PRMRY; -- Create sample and hold clear p_pulse_in_s_h_clr <= p_pulse_out_s_h_d2 and not p_pulse_out_s_h_d3; -- Feed secondary pulse out scndry_out <= s_pulse_out_re; prmry_out <= '0'; scndry_vect_out <= (others => '0'); prmry_vect_out <= (others => '0'); end generate GENERATE_PULSE_P_S_CDC; GENERATE_PULSE_P_S_CDC_LL : if C_CDC_TYPE = CDC_TYPE_PULSE_P_S_LL generate -- Primary to Secondary signal p_pulse_in_s_h_cdc_from : std_logic := '0'; signal p_pulse_in_s_h_clr : std_logic := '0'; signal s_pulse_out_d1_cdc_to : std_logic := '0'; signal s_pulse_out_d2 : std_logic := '0'; signal s_pulse_out_d3 : std_logic := '0'; signal s_pulse_out_re : std_logic := '0'; signal s_pulse_out_s_h_cdc_from : std_logic := '0'; signal p_pulse_out_s_h_d1_cdc_to : std_logic := '0'; signal p_pulse_out_s_h_d2 : std_logic := '0'; signal p_pulse_out_s_h_d3 : std_logic := '0'; ----------------------------------------------------------------------------- -- ATTRIBUTE Declarations ----------------------------------------------------------------------------- -- Prevent x-propagation on clock-domain crossing register ATTRIBUTE async_reg : STRING; ATTRIBUTE async_reg OF s_pulse_out_d1_cdc_to : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_pulse_out_d2 : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_pulse_out_d3 : SIGNAL IS "true"; --ATTRIBUTE async_reg OF s_pulse_out_re : SIGNAL IS "true"; ATTRIBUTE async_reg OF p_pulse_out_s_h_d1_cdc_to : SIGNAL IS "true"; ATTRIBUTE async_reg OF p_pulse_out_s_h_d2 : SIGNAL IS "true"; ATTRIBUTE async_reg OF p_pulse_out_s_h_d3 : SIGNAL IS "true"; begin --***************************************************************************** --** Asynchronous Pulse Clock Crossing ** --** PRIMARY TO SECONDARY ** --***************************************************************************** -- Limitations: -- For prmry_aclk faster than scndry_aclk then limited to pulse period greater -- than (prmry_clk_freq / scndry_clk_freq) * 5 -- For prmry_aclk slower than scndry_aclk then limited to pulse period greater -- than (scndry_clk_freq / prmry_clk_freq) / 5 -- Sample and hold primary pulse PRMRY_IN_S_H_PULSE : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk ='1')then if(prmry_resetn = '0' or p_pulse_in_s_h_clr='1')then p_pulse_in_s_h_cdc_from <= '0'; elsif(prmry_in = '1')then p_pulse_in_s_h_cdc_from <= '1'; end if; end if; end process PRMRY_IN_S_H_PULSE; -- Cross sample and held pulse to secondary domain P_IN_CROSS2SCNDRY : process(scndry_aclk) begin if(scndry_aclk'EVENT and scndry_aclk ='1')then if(scndry_resetn = '0')then s_pulse_out_d1_cdc_to <= '0'; s_pulse_out_d2 <= '0'; s_pulse_out_d3 <= '0'; --s_pulse_out_re <= '0'; else s_pulse_out_d1_cdc_to <= p_pulse_in_s_h_cdc_from; s_pulse_out_d2 <= s_pulse_out_d1_cdc_to; s_pulse_out_d3 <= s_pulse_out_d2; --s_pulse_out_re <= s_pulse_out_d2 and not s_pulse_out_d3; end if; end if; end process P_IN_CROSS2SCNDRY; s_pulse_out_re <= s_pulse_out_d2 and not s_pulse_out_d3; -- Sample and hold secondary pulse for clearing primary sampled -- and held pulse SCNDRY_OUT_S_H_PULSE : process(scndry_aclk) begin if(scndry_aclk'EVENT and scndry_aclk ='1')then if(scndry_resetn = '0' or s_pulse_out_d2='0')then s_pulse_out_s_h_cdc_from <= '0'; elsif(s_pulse_out_re = '1')then s_pulse_out_s_h_cdc_from <= '1'; end if; end if; end process SCNDRY_OUT_S_H_PULSE; -- Cross sample and held pulse to primary domain S_OUT_CROSS2PRMRY : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk ='1')then if(prmry_resetn = '0')then p_pulse_out_s_h_d1_cdc_to <= '0'; p_pulse_out_s_h_d2 <= '0'; p_pulse_out_s_h_d3 <= '0'; else p_pulse_out_s_h_d1_cdc_to <= s_pulse_out_s_h_cdc_from; p_pulse_out_s_h_d2 <= p_pulse_out_s_h_d1_cdc_to; p_pulse_out_s_h_d3 <= p_pulse_out_s_h_d2; end if; end if; end process S_OUT_CROSS2PRMRY; -- Create sample and hold clear p_pulse_in_s_h_clr <= p_pulse_out_s_h_d2 and not p_pulse_out_s_h_d3; -- Feed secondary pulse out scndry_out <= s_pulse_out_re; prmry_out <= '0'; scndry_vect_out <= (others => '0'); prmry_vect_out <= (others => '0'); end generate GENERATE_PULSE_P_S_CDC_LL; -- Generate PULSE clock domain crossing --No reset (resetn = 1) GENERATE_PULSE_P_S_CDC_NO_RST : if C_CDC_TYPE = CDC_TYPE_PULSE_P_S_NO_RST generate -- Primary to Secondary signal p_pulse_in_s_h_cdc_from : std_logic := '0'; signal p_pulse_in_s_h_clr : std_logic := '0'; signal s_pulse_out_d1_cdc_to : std_logic := '0'; signal s_pulse_out_d2 : std_logic := '0'; signal s_pulse_out_d3 : std_logic := '0'; signal s_pulse_out_re : std_logic := '0'; signal s_pulse_out_s_h_cdc_from : std_logic := '0'; signal p_pulse_out_s_h_d1_cdc_to : std_logic := '0'; signal p_pulse_out_s_h_d2 : std_logic := '0'; signal p_pulse_out_s_h_d3 : std_logic := '0'; ----------------------------------------------------------------------------- -- ATTRIBUTE Declarations ----------------------------------------------------------------------------- -- Prevent x-propagation on clock-domain crossing register ATTRIBUTE async_reg : STRING; ATTRIBUTE async_reg OF s_pulse_out_d1_cdc_to : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_pulse_out_d2 : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_pulse_out_d3 : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_pulse_out_re : SIGNAL IS "true"; ATTRIBUTE async_reg OF p_pulse_out_s_h_d1_cdc_to : SIGNAL IS "true"; ATTRIBUTE async_reg OF p_pulse_out_s_h_d2 : SIGNAL IS "true"; ATTRIBUTE async_reg OF p_pulse_out_s_h_d3 : SIGNAL IS "true"; begin --***************************************************************************** --** Asynchronous Pulse Clock Crossing ** --** PRIMARY TO SECONDARY ** --***************************************************************************** -- Limitations: -- For prmry_aclk faster than scndry_aclk then limited to pulse period greater -- than (prmry_clk_freq / scndry_clk_freq) * 5 -- For prmry_aclk slower than scndry_aclk then limited to pulse period greater -- than (scndry_clk_freq / prmry_clk_freq) / 5 -- Sample and hold primary pulse PRMRY_IN_S_H_PULSE : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk ='1')then if(p_pulse_in_s_h_clr='1')then p_pulse_in_s_h_cdc_from <= '0'; elsif(prmry_in = '1')then p_pulse_in_s_h_cdc_from <= '1'; end if; end if; end process PRMRY_IN_S_H_PULSE; -- Cross sample and held pulse to secondary domain P_IN_CROSS2SCNDRY : process(scndry_aclk) begin if(scndry_aclk'EVENT and scndry_aclk ='1')then s_pulse_out_d1_cdc_to <= p_pulse_in_s_h_cdc_from; s_pulse_out_d2 <= s_pulse_out_d1_cdc_to; s_pulse_out_d3 <= s_pulse_out_d2; s_pulse_out_re <= s_pulse_out_d2 and not s_pulse_out_d3; end if; end process P_IN_CROSS2SCNDRY; -- Sample and hold secondary pulse for clearing primary sampled -- and held pulse SCNDRY_OUT_S_H_PULSE : process(scndry_aclk) begin if(scndry_aclk'EVENT and scndry_aclk ='1')then if(s_pulse_out_d2='0')then s_pulse_out_s_h_cdc_from <= '0'; elsif(s_pulse_out_re = '1')then s_pulse_out_s_h_cdc_from <= '1'; end if; end if; end process SCNDRY_OUT_S_H_PULSE; -- Cross sample and held pulse to primary domain S_OUT_CROSS2PRMRY : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk ='1')then p_pulse_out_s_h_d1_cdc_to <= s_pulse_out_s_h_cdc_from; p_pulse_out_s_h_d2 <= p_pulse_out_s_h_d1_cdc_to; p_pulse_out_s_h_d3 <= p_pulse_out_s_h_d2; end if; end process S_OUT_CROSS2PRMRY; -- Create sample and hold clear p_pulse_in_s_h_clr <= p_pulse_out_s_h_d2 and not p_pulse_out_s_h_d3; -- Feed secondary pulse out scndry_out <= s_pulse_out_re; prmry_out <= '0'; scndry_vect_out <= (others => '0'); prmry_vect_out <= (others => '0'); end generate GENERATE_PULSE_P_S_CDC_NO_RST; -- Generate PULSE clock domain crossing GENERATE_PULSE_CDC_S_P : if C_CDC_TYPE = CDC_TYPE_PULSE_S_P generate -- Secondary to Primary signal s_pulse_in_s_h_cdc_from : std_logic := '0'; signal s_pulse_in_s_h_clr : std_logic := '0'; signal p_pulse_out_d1_cdc_to : std_logic := '0'; signal p_pulse_out_d2 : std_logic := '0'; signal p_pulse_out_d3 : std_logic := '0'; signal p_pulse_out_re : std_logic := '0'; signal p_pulse_out_s_h_cdc_from : std_logic := '0'; signal s_pulse_out_s_h_d1_cdc_to : std_logic := '0'; signal s_pulse_out_s_h_d2 : std_logic := '0'; signal s_pulse_out_s_h_d3 : std_logic := '0'; ----------------------------------------------------------------------------- -- ATTRIBUTE Declarations ----------------------------------------------------------------------------- -- Prevent x-propagation on clock-domain crossing register ATTRIBUTE async_reg : STRING; ATTRIBUTE async_reg OF p_pulse_out_d1_cdc_to : SIGNAL IS "true"; ATTRIBUTE async_reg OF p_pulse_out_d2 : SIGNAL IS "true"; ATTRIBUTE async_reg OF p_pulse_out_d3 : SIGNAL IS "true"; ATTRIBUTE async_reg OF p_pulse_out_re : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_pulse_out_s_h_d1_cdc_to : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_pulse_out_s_h_d2 : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_pulse_out_s_h_d3 : SIGNAL IS "true"; begin --***************************************************************************** --** Asynchronous Pulse Clock Crossing ** --** SECONDARY TO PRIMARY ** --***************************************************************************** -- Limitations: -- For scndry_aclk faster than prmry_aclk then limited to pulse period greater -- than (prmry_clk_freq / scndry_clk_freq) * 5 -- For scndry_aclk slower than prmry_aclk then limited to pulse period greater -- than (scndry_clk_freq / prmry_clk_freq) / 5 -- Sample and hold secondary pulse SCNDRY_IN_S_H_PULSE : process(scndry_aclk) begin if(scndry_aclk'EVENT and scndry_aclk ='1')then if(scndry_resetn = '0' or s_pulse_in_s_h_clr='1')then s_pulse_in_s_h_cdc_from <= '0'; elsif(scndry_in = '1')then s_pulse_in_s_h_cdc_from <= '1'; end if; end if; end process SCNDRY_IN_S_H_PULSE; -- Cross sample and held pulse to primary domain S_IN_CROSS2PRMRY : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk ='1')then if(prmry_resetn = '0')then p_pulse_out_d1_cdc_to <= '0'; p_pulse_out_d2 <= '0'; p_pulse_out_d3 <= '0'; p_pulse_out_re <= '0'; else p_pulse_out_d1_cdc_to <= s_pulse_in_s_h_cdc_from; p_pulse_out_d2 <= p_pulse_out_d1_cdc_to; p_pulse_out_d3 <= p_pulse_out_d2; p_pulse_out_re <= p_pulse_out_d2 and not p_pulse_out_d3; end if; end if; end process S_IN_CROSS2PRMRY; -- Sample and hold primary pulse for clearing secondary sampled -- and held pulse PRMRY_OUT_S_H_PULSE : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk ='1')then if(prmry_resetn = '0' or p_pulse_out_d2='0')then p_pulse_out_s_h_cdc_from <= '0'; elsif(p_pulse_out_re = '1')then p_pulse_out_s_h_cdc_from <= '1'; end if; end if; end process PRMRY_OUT_S_H_PULSE; -- Cross sample and held pulse to secondary domain P_OUT_CROSS2SCDNRY : process(scndry_aclk) begin if(scndry_aclk'EVENT and scndry_aclk ='1')then if(scndry_resetn = '0')then s_pulse_out_s_h_d1_cdc_to <= '0'; s_pulse_out_s_h_d2 <= '0'; s_pulse_out_s_h_d3 <= '0'; else s_pulse_out_s_h_d1_cdc_to <= p_pulse_out_s_h_cdc_from; s_pulse_out_s_h_d2 <= s_pulse_out_s_h_d1_cdc_to; s_pulse_out_s_h_d3 <= s_pulse_out_s_h_d2; end if; end if; end process P_OUT_CROSS2SCDNRY; -- Create sample and hold clear s_pulse_in_s_h_clr <= s_pulse_out_s_h_d2 and not s_pulse_out_s_h_d3; -- Feed primary pulse out prmry_out <= p_pulse_out_re; scndry_out <= '0'; scndry_vect_out <= (others => '0'); prmry_vect_out <= (others => '0'); end generate GENERATE_PULSE_CDC_S_P; GENERATE_PULSE_CDC_S_P_LL : if C_CDC_TYPE = CDC_TYPE_PULSE_S_P_LL generate -- Secondary to Primary signal s_pulse_in_s_h_cdc_from : std_logic := '0'; signal s_pulse_in_s_h_clr : std_logic := '0'; signal p_pulse_out_d1_cdc_to : std_logic := '0'; signal p_pulse_out_d2 : std_logic := '0'; signal p_pulse_out_d3 : std_logic := '0'; signal p_pulse_out_re : std_logic := '0'; signal p_pulse_out_s_h_cdc_from : std_logic := '0'; signal s_pulse_out_s_h_d1_cdc_to : std_logic := '0'; signal s_pulse_out_s_h_d2 : std_logic := '0'; signal s_pulse_out_s_h_d3 : std_logic := '0'; ----------------------------------------------------------------------------- -- ATTRIBUTE Declarations ----------------------------------------------------------------------------- -- Prevent x-propagation on clock-domain crossing register ATTRIBUTE async_reg : STRING; ATTRIBUTE async_reg OF p_pulse_out_d1_cdc_to : SIGNAL IS "true"; ATTRIBUTE async_reg OF p_pulse_out_d2 : SIGNAL IS "true"; ATTRIBUTE async_reg OF p_pulse_out_d3 : SIGNAL IS "true"; --ATTRIBUTE async_reg OF p_pulse_out_re : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_pulse_out_s_h_d1_cdc_to : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_pulse_out_s_h_d2 : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_pulse_out_s_h_d3 : SIGNAL IS "true"; begin --***************************************************************************** --** Asynchronous Pulse Clock Crossing ** --** SECONDARY TO PRIMARY ** --***************************************************************************** -- Limitations: -- For scndry_aclk faster than prmry_aclk then limited to pulse period greater -- than (prmry_clk_freq / scndry_clk_freq) * 5 -- For scndry_aclk slower than prmry_aclk then limited to pulse period greater -- than (scndry_clk_freq / prmry_clk_freq) / 5 -- Sample and hold secondary pulse SCNDRY_IN_S_H_PULSE : process(scndry_aclk) begin if(scndry_aclk'EVENT and scndry_aclk ='1')then if(scndry_resetn = '0' or s_pulse_in_s_h_clr='1')then s_pulse_in_s_h_cdc_from <= '0'; elsif(scndry_in = '1')then s_pulse_in_s_h_cdc_from <= '1'; end if; end if; end process SCNDRY_IN_S_H_PULSE; -- Cross sample and held pulse to primary domain S_IN_CROSS2PRMRY : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk ='1')then if(prmry_resetn = '0')then p_pulse_out_d1_cdc_to <= '0'; p_pulse_out_d2 <= '0'; p_pulse_out_d3 <= '0'; --p_pulse_out_re <= '0'; else p_pulse_out_d1_cdc_to <= s_pulse_in_s_h_cdc_from; p_pulse_out_d2 <= p_pulse_out_d1_cdc_to; p_pulse_out_d3 <= p_pulse_out_d2; --p_pulse_out_re <= p_pulse_out_d2 and not p_pulse_out_d3; end if; end if; end process S_IN_CROSS2PRMRY; p_pulse_out_re <= p_pulse_out_d2 and not p_pulse_out_d3; -- Sample and hold primary pulse for clearing secondary sampled -- and held pulse PRMRY_OUT_S_H_PULSE : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk ='1')then if(prmry_resetn = '0' or p_pulse_out_d2='0')then p_pulse_out_s_h_cdc_from <= '0'; elsif(p_pulse_out_re = '1')then p_pulse_out_s_h_cdc_from <= '1'; end if; end if; end process PRMRY_OUT_S_H_PULSE; -- Cross sample and held pulse to secondary domain P_OUT_CROSS2SCDNRY : process(scndry_aclk) begin if(scndry_aclk'EVENT and scndry_aclk ='1')then if(scndry_resetn = '0')then s_pulse_out_s_h_d1_cdc_to <= '0'; s_pulse_out_s_h_d2 <= '0'; s_pulse_out_s_h_d3 <= '0'; else s_pulse_out_s_h_d1_cdc_to <= p_pulse_out_s_h_cdc_from; s_pulse_out_s_h_d2 <= s_pulse_out_s_h_d1_cdc_to; s_pulse_out_s_h_d3 <= s_pulse_out_s_h_d2; end if; end if; end process P_OUT_CROSS2SCDNRY; -- Create sample and hold clear s_pulse_in_s_h_clr <= s_pulse_out_s_h_d2 and not s_pulse_out_s_h_d3; -- Feed primary pulse out prmry_out <= p_pulse_out_re; scndry_out <= '0'; scndry_vect_out <= (others => '0'); prmry_vect_out <= (others => '0'); end generate GENERATE_PULSE_CDC_S_P_LL; -- Generate PULSE clock domain crossing GENERATE_PULSE_CDC_S_P_NO_RST : if C_CDC_TYPE = CDC_TYPE_PULSE_S_P_NO_RST generate -- Secondary to Primary signal s_pulse_in_s_h_cdc_from : std_logic := '0'; signal s_pulse_in_s_h_clr : std_logic := '0'; signal p_pulse_out_d1_cdc_to : std_logic := '0'; signal p_pulse_out_d2 : std_logic := '0'; signal p_pulse_out_d3 : std_logic := '0'; signal p_pulse_out_re : std_logic := '0'; signal p_pulse_out_s_h_cdc_from : std_logic := '0'; signal s_pulse_out_s_h_d1_cdc_to : std_logic := '0'; signal s_pulse_out_s_h_d2 : std_logic := '0'; signal s_pulse_out_s_h_d3 : std_logic := '0'; ----------------------------------------------------------------------------- -- ATTRIBUTE Declarations ----------------------------------------------------------------------------- -- Prevent x-propagation on clock-domain crossing register ATTRIBUTE async_reg : STRING; ATTRIBUTE async_reg OF p_pulse_out_d1_cdc_to : SIGNAL IS "true"; ATTRIBUTE async_reg OF p_pulse_out_d2 : SIGNAL IS "true"; ATTRIBUTE async_reg OF p_pulse_out_d3 : SIGNAL IS "true"; ATTRIBUTE async_reg OF p_pulse_out_re : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_pulse_out_s_h_d1_cdc_to : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_pulse_out_s_h_d2 : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_pulse_out_s_h_d3 : SIGNAL IS "true"; begin --***************************************************************************** --** Asynchronous Pulse Clock Crossing ** --** SECONDARY TO PRIMARY ** --***************************************************************************** -- Limitations: -- For scndry_aclk faster than prmry_aclk then limited to pulse period greater -- than (prmry_clk_freq / scndry_clk_freq) * 5 -- For scndry_aclk slower than prmry_aclk then limited to pulse period greater -- than (scndry_clk_freq / prmry_clk_freq) / 5 -- Sample and hold secondary pulse SCNDRY_IN_S_H_PULSE : process(scndry_aclk) begin if(scndry_aclk'EVENT and scndry_aclk ='1')then if(s_pulse_in_s_h_clr='1')then s_pulse_in_s_h_cdc_from <= '0'; elsif(scndry_in = '1')then s_pulse_in_s_h_cdc_from <= '1'; end if; end if; end process SCNDRY_IN_S_H_PULSE; -- Cross sample and held pulse to primary domain S_IN_CROSS2PRMRY : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk ='1')then p_pulse_out_d1_cdc_to <= s_pulse_in_s_h_cdc_from; p_pulse_out_d2 <= p_pulse_out_d1_cdc_to; p_pulse_out_d3 <= p_pulse_out_d2; p_pulse_out_re <= p_pulse_out_d2 and not p_pulse_out_d3; end if; end process S_IN_CROSS2PRMRY; -- Sample and hold primary pulse for clearing secondary sampled -- and held pulse PRMRY_OUT_S_H_PULSE : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk ='1')then if(p_pulse_out_d2='0')then p_pulse_out_s_h_cdc_from <= '0'; elsif(p_pulse_out_re = '1')then p_pulse_out_s_h_cdc_from <= '1'; end if; end if; end process PRMRY_OUT_S_H_PULSE; -- Cross sample and held pulse to secondary domain P_OUT_CROSS2SCDNRY : process(scndry_aclk) begin if(scndry_aclk'EVENT and scndry_aclk ='1')then s_pulse_out_s_h_d1_cdc_to <= p_pulse_out_s_h_cdc_from; s_pulse_out_s_h_d2 <= s_pulse_out_s_h_d1_cdc_to; s_pulse_out_s_h_d3 <= s_pulse_out_s_h_d2; end if; end process P_OUT_CROSS2SCDNRY; -- Create sample and hold clear s_pulse_in_s_h_clr <= s_pulse_out_s_h_d2 and not s_pulse_out_s_h_d3; -- Feed primary pulse out prmry_out <= p_pulse_out_re; scndry_out <= '0'; scndry_vect_out <= (others => '0'); prmry_vect_out <= (others => '0'); end generate GENERATE_PULSE_CDC_S_P_NO_RST; -- Generate LEVEL clock domain crossing with reset state = 0 GENERATE_LEVEL_P_S_CDC : if C_CDC_TYPE = CDC_TYPE_LEVEL_P_S and C_RESET_STATE = 0 generate -- Primary to Secondary signal p_level_in_d1_cdc_from : std_logic := '0'; signal s_level_out_d1_cdc_to : std_logic := '0'; signal s_level_out_d2 : std_logic := '0'; ----------------------------------------------------------------------------- -- ATTRIBUTE Declarations ----------------------------------------------------------------------------- -- Prevent x-propagation on clock-domain crossing register ATTRIBUTE async_reg : STRING; ATTRIBUTE async_reg OF s_level_out_d1_cdc_to : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_level_out_d2 : SIGNAL IS "true"; begin --***************************************************************************** --** Asynchronous Level Clock Crossing ** --** PRIMARY TO SECONDARY ** --***************************************************************************** -- register is scndry to provide clean ff output to clock crossing logic REG_PLEVEL_IN : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk ='1')then if(prmry_resetn = '0')then p_level_in_d1_cdc_from <= '0'; else p_level_in_d1_cdc_from <= prmry_in; end if; end if; end process REG_PLEVEL_IN; CROSS_PLEVEL_IN2SCNDRY : process(scndry_aclk) begin if(scndry_aclk'EVENT and scndry_aclk ='1')then if(scndry_resetn = '0')then s_level_out_d1_cdc_to <= '0'; s_level_out_d2 <= '0'; else s_level_out_d1_cdc_to <= p_level_in_d1_cdc_from; s_level_out_d2 <= s_level_out_d1_cdc_to; end if; end if; end process CROSS_PLEVEL_IN2SCNDRY; scndry_out <= s_level_out_d2; prmry_out <= '0'; scndry_vect_out <= (others => '0'); prmry_vect_out <= (others => '0'); end generate GENERATE_LEVEL_P_S_CDC; -- Generate LEVEL clock domain crossing with reset state = 0 GENERATE_LEVEL_P_S_CDC_NO_RST : if C_CDC_TYPE = CDC_TYPE_LEVEL_P_S_NO_RST generate -- Primary to Secondary signal p_level_in_d1_cdc_from : std_logic := '0'; signal s_level_out_d1_cdc_to : std_logic := '0'; signal s_level_out_d2 : std_logic := '0'; ----------------------------------------------------------------------------- -- ATTRIBUTE Declarations ----------------------------------------------------------------------------- -- Prevent x-propagation on clock-domain crossing register ATTRIBUTE async_reg : STRING; ATTRIBUTE async_reg OF s_level_out_d1_cdc_to : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_level_out_d2 : SIGNAL IS "true"; begin --***************************************************************************** --** Asynchronous Level Clock Crossing ** --** PRIMARY TO SECONDARY ** --***************************************************************************** -- register is scndry to provide clean ff output to clock crossing logic REG_PLEVEL_IN : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk ='1')then p_level_in_d1_cdc_from <= prmry_in; end if; end process REG_PLEVEL_IN; CROSS_PLEVEL_IN2SCNDRY : process(scndry_aclk) begin if(scndry_aclk'EVENT and scndry_aclk ='1')then s_level_out_d1_cdc_to <= p_level_in_d1_cdc_from; s_level_out_d2 <= s_level_out_d1_cdc_to; end if; end process CROSS_PLEVEL_IN2SCNDRY; scndry_out <= s_level_out_d2; prmry_out <= '0'; scndry_vect_out <= (others => '0'); prmry_vect_out <= (others => '0'); end generate GENERATE_LEVEL_P_S_CDC_NO_RST; -- Generate LEVEL clock domain crossing with reset state = 0 GENERATE_LEVEL_S_P_CDC : if C_CDC_TYPE = CDC_TYPE_LEVEL_S_P and C_RESET_STATE = 0 generate -- Secondray to Primary signal s_level_in_d1_cdc_from : std_logic := '0'; signal p_level_out_d1_cdc_to : std_logic := '0'; signal p_level_out_d2 : std_logic := '0'; ----------------------------------------------------------------------------- -- ATTRIBUTE Declarations ----------------------------------------------------------------------------- -- Prevent x-propagation on clock-domain crossing register ATTRIBUTE async_reg : STRING; ATTRIBUTE async_reg OF p_level_out_d1_cdc_to : SIGNAL IS "true"; ATTRIBUTE async_reg OF p_level_out_d2 : SIGNAL IS "true"; begin --***************************************************************************** --** Asynchronous Level Clock Crossing ** --** SECONDARY TO PRIMARY ** --***************************************************************************** -- register is scndry to provide clean ff output to clock crossing logic REG_SLEVEL_IN : process(scndry_aclk) begin if(scndry_aclk'EVENT and scndry_aclk ='1')then if(scndry_resetn = '0')then s_level_in_d1_cdc_from <= '0'; else s_level_in_d1_cdc_from <= scndry_in; end if; end if; end process REG_SLEVEL_IN; CROSS_SLEVEL_IN2PRMRY : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk ='1')then if(prmry_resetn = '0')then p_level_out_d1_cdc_to <= '0'; p_level_out_d2 <= '0'; else p_level_out_d1_cdc_to <= s_level_in_d1_cdc_from; p_level_out_d2 <= p_level_out_d1_cdc_to; end if; end if; end process CROSS_SLEVEL_IN2PRMRY; prmry_out <= p_level_out_d2; scndry_out <= '0'; scndry_vect_out <= (others => '0'); prmry_vect_out <= (others => '0'); end generate GENERATE_LEVEL_S_P_CDC; -- Generate LEVEL clock domain crossing with reset state = 0 GENERATE_LEVEL_S_P_CDC_NO_RST : if C_CDC_TYPE = CDC_TYPE_LEVEL_S_P_NO_RST generate -- Secondray to Primary signal s_level_in_d1_cdc_from : std_logic := '0'; signal p_level_out_d1_cdc_to : std_logic := '0'; signal p_level_out_d2 : std_logic := '0'; ----------------------------------------------------------------------------- -- ATTRIBUTE Declarations ----------------------------------------------------------------------------- -- Prevent x-propagation on clock-domain crossing register ATTRIBUTE async_reg : STRING; ATTRIBUTE async_reg OF p_level_out_d1_cdc_to : SIGNAL IS "true"; ATTRIBUTE async_reg OF p_level_out_d2 : SIGNAL IS "true"; begin --***************************************************************************** --** Asynchronous Level Clock Crossing ** --** SECONDARY TO PRIMARY ** --***************************************************************************** -- register is scndry to provide clean ff output to clock crossing logic REG_SLEVEL_IN : process(scndry_aclk) begin if(scndry_aclk'EVENT and scndry_aclk ='1')then s_level_in_d1_cdc_from <= scndry_in; end if; end process REG_SLEVEL_IN; CROSS_SLEVEL_IN2PRMRY : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk ='1')then p_level_out_d1_cdc_to <= s_level_in_d1_cdc_from; p_level_out_d2 <= p_level_out_d1_cdc_to; end if; end process CROSS_SLEVEL_IN2PRMRY; prmry_out <= p_level_out_d2; scndry_out <= '0'; scndry_vect_out <= (others => '0'); prmry_vect_out <= (others => '0'); end generate GENERATE_LEVEL_S_P_CDC_NO_RST; -- Generate LEVEL clock domain crossing with reset state = 1 GENERATE_LEVEL_S_P_CDC2 : if C_CDC_TYPE = CDC_TYPE_LEVEL_S_P and C_RESET_STATE = 1 generate -- Secondray to Primary signal s_level_in_d1_cdc_from : std_logic := '0'; signal p_level_out_d1_cdc_to : std_logic := '0'; signal p_level_out_d2 : std_logic := '0'; ----------------------------------------------------------------------------- -- ATTRIBUTE Declarations ----------------------------------------------------------------------------- -- Prevent x-propagation on clock-domain crossing register ATTRIBUTE async_reg : STRING; ATTRIBUTE async_reg OF p_level_out_d1_cdc_to : SIGNAL IS "true"; ATTRIBUTE async_reg OF p_level_out_d2 : SIGNAL IS "true"; begin --***************************************************************************** --** Asynchronous Level Clock Crossing ** --** SECONDARY TO PRIMARY ** --***************************************************************************** -- register is scndry to provide clean ff output to clock crossing logic REG_SLEVEL_IN : process(scndry_aclk) begin if(scndry_aclk'EVENT and scndry_aclk ='1')then if(scndry_resetn = '0')then s_level_in_d1_cdc_from <= '1'; else s_level_in_d1_cdc_from <= scndry_in; end if; end if; end process REG_SLEVEL_IN; CROSS_SLEVEL_IN2PRMRY : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk ='1')then if(prmry_resetn = '0')then p_level_out_d1_cdc_to <= '1'; p_level_out_d2 <= '1'; else p_level_out_d1_cdc_to <= s_level_in_d1_cdc_from; p_level_out_d2 <= p_level_out_d1_cdc_to; end if; end if; end process CROSS_SLEVEL_IN2PRMRY; prmry_out <= p_level_out_d2; scndry_out <= '0'; scndry_vect_out <= (others => '0'); prmry_vect_out <= (others => '0'); end generate GENERATE_LEVEL_S_P_CDC2; GENERATE_VECT_P_S_CDC : if C_CDC_TYPE = CDC_TYPE_VECTR_P_S generate ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- signal p_vect_in_d1_cdc_from : std_logic_vector(C_VECTOR_WIDTH-1 downto 0) := (others => '0'); signal s_vect_out_d1_cdc_to : std_logic_vector(C_VECTOR_WIDTH-1 downto 0) := (others => '0'); signal s_vect_out_d2 : std_logic_vector(C_VECTOR_WIDTH-1 downto 0) := (others => '0'); ----------------------------------------------------------------------------- -- ATTRIBUTE Declarations ----------------------------------------------------------------------------- -- Prevent x-propagation on clock-domain crossing register ATTRIBUTE async_reg : STRING; ATTRIBUTE async_reg OF s_vect_out_d1_cdc_to : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_vect_out_d2 : SIGNAL IS "true"; begin -- Register signal in to give clear FF output to CDC P_REG_GREY_IN : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk ='1')then if(prmry_resetn = '0')then p_vect_in_d1_cdc_from <= (others => '0'); elsif(prmry_vect_s_h = '1')then p_vect_in_d1_cdc_from <= prmry_vect_in; end if; end if; end process P_REG_GREY_IN; -- Double register to secondary S_REG_GREY_OUT : process(scndry_aclk) begin if(scndry_aclk'EVENT and scndry_aclk ='1')then if(scndry_resetn = '0')then s_vect_out_d1_cdc_to <= (others => '0'); s_vect_out_d2 <= (others => '0'); else s_vect_out_d1_cdc_to <= p_vect_in_d1_cdc_from; s_vect_out_d2 <= s_vect_out_d1_cdc_to; end if; end if; end process S_REG_GREY_OUT; scndry_vect_out <= s_vect_out_d2; prmry_vect_out <= (others => '0'); scndry_out <= '0'; prmry_out <= '0'; end generate GENERATE_VECT_P_S_CDC; --***************************************************************************** --** Asynchronous Level Clock Crossing ** --** SECONDARY TO PRIMARY ** --***************************************************************************** GENERATE_VECT_S_P_CDC : if C_CDC_TYPE = CDC_TYPE_VECTR_S_P generate signal s_vect_in_d1_cdc_from : std_logic_vector(C_VECTOR_WIDTH-1 downto 0) := (others => '0'); signal p_vect_out_d1_cdc_to : std_logic_vector(C_VECTOR_WIDTH-1 downto 0) := (others => '0'); signal p_vect_out_d2 : std_logic_vector(C_VECTOR_WIDTH-1 downto 0) := (others => '0'); ----------------------------------------------------------------------------- -- ATTRIBUTE Declarations ----------------------------------------------------------------------------- -- Prevent x-propagation on clock-domain crossing register ATTRIBUTE async_reg : STRING; ATTRIBUTE async_reg OF p_vect_out_d1_cdc_to : SIGNAL IS "true"; ATTRIBUTE async_reg OF p_vect_out_d2 : SIGNAL IS "true"; begin -- Register signal in to give clear FF output to CDC S_REG_GREY_IN : process(scndry_aclk) begin if(scndry_aclk'EVENT and scndry_aclk ='1')then if(scndry_resetn = '0')then s_vect_in_d1_cdc_from <= (others => '0'); elsif(scndry_vect_s_h = '1')then s_vect_in_d1_cdc_from <= scndry_vect_in; end if; end if; end process S_REG_GREY_IN; -- Double register to primary P_REG_GREY_OUT : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk ='1')then if(prmry_resetn = '0')then p_vect_out_d1_cdc_to <= (others => '0'); p_vect_out_d2 <= (others => '0'); else p_vect_out_d1_cdc_to <= s_vect_in_d1_cdc_from; p_vect_out_d2 <= p_vect_out_d1_cdc_to; end if; end if; end process P_REG_GREY_OUT; prmry_vect_out <= p_vect_out_d2; scndry_vect_out <= (others => '0'); scndry_out <= '0'; prmry_out <= '0'; end generate GENERATE_VECT_S_P_CDC; --GENERATE_AFIFO_CDC : -- end implementation;
-- tracking_camera_system.vhd -- Generated using ACDS version 12.1sp1 243 at 2015.02.13.13:59:38 library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity tracking_camera_system is port ( altpll_0_c0_clk : out std_logic; -- altpll_0_c0.clk character_lcd_0_external_interface_DATA : inout std_logic_vector(7 downto 0) := (others => '0'); -- character_lcd_0_external_interface.DATA character_lcd_0_external_interface_ON : out std_logic; -- .ON character_lcd_0_external_interface_BLON : out std_logic; -- .BLON character_lcd_0_external_interface_EN : out std_logic; -- .EN character_lcd_0_external_interface_RS : out std_logic; -- .RS character_lcd_0_external_interface_RW : out std_logic; -- .RW switch_0_external_connection_export : in std_logic := '0'; -- switch_0_external_connection.export servo_pwm_0_conduit_end_0_export : out std_logic; -- servo_pwm_0_conduit_end_0.export reset_reset_n : in std_logic := '0'; -- reset.reset_n switch_external_connection_export : in std_logic := '0'; -- switch_external_connection.export clk_clk : in std_logic := '0'; -- clk.clk sdram_0_wire_addr : out std_logic_vector(11 downto 0); -- sdram_0_wire.addr sdram_0_wire_ba : out std_logic_vector(1 downto 0); -- .ba sdram_0_wire_cas_n : out std_logic; -- .cas_n sdram_0_wire_cke : out std_logic; -- .cke sdram_0_wire_cs_n : out std_logic; -- .cs_n sdram_0_wire_dq : inout std_logic_vector(15 downto 0) := (others => '0'); -- .dq sdram_0_wire_dqm : out std_logic_vector(1 downto 0); -- .dqm sdram_0_wire_ras_n : out std_logic; -- .ras_n sdram_0_wire_we_n : out std_logic; -- .we_n green_leds_external_connection_export : out std_logic_vector(7 downto 0); -- green_leds_external_connection.export sram_0_external_interface_DQ : inout std_logic_vector(15 downto 0) := (others => '0'); -- sram_0_external_interface.DQ sram_0_external_interface_ADDR : out std_logic_vector(17 downto 0); -- .ADDR sram_0_external_interface_LB_N : out std_logic; -- .LB_N sram_0_external_interface_UB_N : out std_logic; -- .UB_N sram_0_external_interface_CE_N : out std_logic; -- .CE_N sram_0_external_interface_OE_N : out std_logic; -- .OE_N sram_0_external_interface_WE_N : out std_logic -- .WE_N ); end entity tracking_camera_system; architecture rtl of tracking_camera_system is component tracking_camera_system_nios2_qsys_0 is port ( clk : in std_logic := 'X'; -- clk reset_n : in std_logic := 'X'; -- reset_n d_address : out std_logic_vector(24 downto 0); -- address d_byteenable : out std_logic_vector(3 downto 0); -- byteenable d_read : out std_logic; -- read d_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata d_waitrequest : in std_logic := 'X'; -- waitrequest d_write : out std_logic; -- write d_writedata : out std_logic_vector(31 downto 0); -- writedata d_readdatavalid : in std_logic := 'X'; -- readdatavalid jtag_debug_module_debugaccess_to_roms : out std_logic; -- debugaccess i_address : out std_logic_vector(24 downto 0); -- address i_read : out std_logic; -- read i_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata i_waitrequest : in std_logic := 'X'; -- waitrequest i_readdatavalid : in std_logic := 'X'; -- readdatavalid d_irq : in std_logic_vector(31 downto 0) := (others => 'X'); -- irq jtag_debug_module_resetrequest : out std_logic; -- reset jtag_debug_module_address : in std_logic_vector(8 downto 0) := (others => 'X'); -- address jtag_debug_module_begintransfer : in std_logic := 'X'; -- begintransfer jtag_debug_module_byteenable : in std_logic_vector(3 downto 0) := (others => 'X'); -- byteenable jtag_debug_module_debugaccess : in std_logic := 'X'; -- debugaccess jtag_debug_module_readdata : out std_logic_vector(31 downto 0); -- readdata jtag_debug_module_select : in std_logic := 'X'; -- chipselect jtag_debug_module_write : in std_logic := 'X'; -- write jtag_debug_module_writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata no_ci_readra : out std_logic -- readra ); end component tracking_camera_system_nios2_qsys_0; component tracking_camera_system_onchip_memory2_0 is port ( clk : in std_logic := 'X'; -- clk address : in std_logic_vector(11 downto 0) := (others => 'X'); -- address chipselect : in std_logic := 'X'; -- chipselect clken : in std_logic := 'X'; -- clken readdata : out std_logic_vector(31 downto 0); -- readdata write : in std_logic := 'X'; -- write writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata byteenable : in std_logic_vector(3 downto 0) := (others => 'X'); -- byteenable reset : in std_logic := 'X' -- reset ); end component tracking_camera_system_onchip_memory2_0; component tracking_camera_system_sysid_qsys_0 is port ( clock : in std_logic := 'X'; -- clk reset_n : in std_logic := 'X'; -- reset_n readdata : out std_logic_vector(31 downto 0); -- readdata address : in std_logic := 'X' -- address ); end component tracking_camera_system_sysid_qsys_0; component tracking_camera_system_timer_0 is port ( clk : in std_logic := 'X'; -- clk reset_n : in std_logic := 'X'; -- reset_n address : in std_logic_vector(2 downto 0) := (others => 'X'); -- address writedata : in std_logic_vector(15 downto 0) := (others => 'X'); -- writedata readdata : out std_logic_vector(15 downto 0); -- readdata chipselect : in std_logic := 'X'; -- chipselect write_n : in std_logic := 'X'; -- write_n irq : out std_logic -- irq ); end component tracking_camera_system_timer_0; component tracking_camera_system_jtag_uart_0 is port ( clk : in std_logic := 'X'; -- clk rst_n : in std_logic := 'X'; -- reset_n av_chipselect : in std_logic := 'X'; -- chipselect av_address : in std_logic := 'X'; -- address av_read_n : in std_logic := 'X'; -- read_n av_readdata : out std_logic_vector(31 downto 0); -- readdata av_write_n : in std_logic := 'X'; -- write_n av_writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata av_waitrequest : out std_logic; -- waitrequest av_irq : out std_logic -- irq ); end component tracking_camera_system_jtag_uart_0; component tracking_camera_system_character_lcd_0 is port ( clk : in std_logic := 'X'; -- clk reset : in std_logic := 'X'; -- reset address : in std_logic := 'X'; -- address chipselect : in std_logic := 'X'; -- chipselect read : in std_logic := 'X'; -- read write : in std_logic := 'X'; -- write writedata : in std_logic_vector(7 downto 0) := (others => 'X'); -- writedata readdata : out std_logic_vector(7 downto 0); -- readdata waitrequest : out std_logic; -- waitrequest LCD_DATA : inout std_logic_vector(7 downto 0) := (others => 'X'); -- export LCD_ON : out std_logic; -- export LCD_BLON : out std_logic; -- export LCD_EN : out std_logic; -- export LCD_RS : out std_logic; -- export LCD_RW : out std_logic -- export ); end component tracking_camera_system_character_lcd_0; component tracking_camera_system_green_leds is port ( clk : in std_logic := 'X'; -- clk reset_n : in std_logic := 'X'; -- reset_n address : in std_logic_vector(1 downto 0) := (others => 'X'); -- address write_n : in std_logic := 'X'; -- write_n writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata chipselect : in std_logic := 'X'; -- chipselect readdata : out std_logic_vector(31 downto 0); -- readdata out_port : out std_logic_vector(7 downto 0) -- export ); end component tracking_camera_system_green_leds; component tracking_camera_system_switch is port ( clk : in std_logic := 'X'; -- clk reset_n : in std_logic := 'X'; -- reset_n address : in std_logic_vector(1 downto 0) := (others => 'X'); -- address readdata : out std_logic_vector(31 downto 0); -- readdata in_port : in std_logic := 'X' -- export ); end component tracking_camera_system_switch; component tracking_camera_system_altpll_0 is port ( clk : in std_logic := 'X'; -- clk reset : in std_logic := 'X'; -- reset read : in std_logic := 'X'; -- read write : in std_logic := 'X'; -- write address : in std_logic_vector(1 downto 0) := (others => 'X'); -- address readdata : out std_logic_vector(31 downto 0); -- readdata writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata c0 : out std_logic; -- clk c1 : out std_logic; -- clk areset : in std_logic := 'X'; -- export locked : out std_logic; -- export phasedone : out std_logic -- export ); end component tracking_camera_system_altpll_0; component tracking_camera_system_sdram_0 is port ( clk : in std_logic := 'X'; -- clk reset_n : in std_logic := 'X'; -- reset_n az_addr : in std_logic_vector(21 downto 0) := (others => 'X'); -- address az_be_n : in std_logic_vector(1 downto 0) := (others => 'X'); -- byteenable_n az_cs : in std_logic := 'X'; -- chipselect az_data : in std_logic_vector(15 downto 0) := (others => 'X'); -- writedata az_rd_n : in std_logic := 'X'; -- read_n az_wr_n : in std_logic := 'X'; -- write_n za_data : out std_logic_vector(15 downto 0); -- readdata za_valid : out std_logic; -- readdatavalid za_waitrequest : out std_logic; -- waitrequest zs_addr : out std_logic_vector(11 downto 0); -- export zs_ba : out std_logic_vector(1 downto 0); -- export zs_cas_n : out std_logic; -- export zs_cke : out std_logic; -- export zs_cs_n : out std_logic; -- export zs_dq : inout std_logic_vector(15 downto 0) := (others => 'X'); -- export zs_dqm : out std_logic_vector(1 downto 0); -- export zs_ras_n : out std_logic; -- export zs_we_n : out std_logic -- export ); end component tracking_camera_system_sdram_0; component tracking_camera_system_sram_0 is port ( clk : in std_logic := 'X'; -- clk reset : in std_logic := 'X'; -- reset SRAM_DQ : inout std_logic_vector(15 downto 0) := (others => 'X'); -- export SRAM_ADDR : out std_logic_vector(17 downto 0); -- export SRAM_LB_N : out std_logic; -- export SRAM_UB_N : out std_logic; -- export SRAM_CE_N : out std_logic; -- export SRAM_OE_N : out std_logic; -- export SRAM_WE_N : out std_logic; -- export address : in std_logic_vector(17 downto 0) := (others => 'X'); -- address byteenable : in std_logic_vector(1 downto 0) := (others => 'X'); -- byteenable read : in std_logic := 'X'; -- read write : in std_logic := 'X'; -- write writedata : in std_logic_vector(15 downto 0) := (others => 'X'); -- writedata readdata : out std_logic_vector(15 downto 0); -- readdata readdatavalid : out std_logic -- readdatavalid ); end component tracking_camera_system_sram_0; component tracking_camera_system_servo_pwm_0 is port ( clk : in std_logic := 'X'; -- clk reset_n : in std_logic := 'X'; -- reset_n coe_servo : out std_logic; -- export avs_s0_write_n : in std_logic := 'X'; -- write_n avs_s0_writedata : in std_logic_vector(7 downto 0) := (others => 'X') -- writedata ); end component tracking_camera_system_servo_pwm_0; component tracking_camera_system_nios2_qsys_0_instruction_master_translator is port ( clk : in std_logic := 'X'; -- clk reset : in std_logic := 'X'; -- reset uav_address : out std_logic_vector(24 downto 0); -- address uav_burstcount : out std_logic_vector(2 downto 0); -- burstcount uav_read : out std_logic; -- read uav_write : out std_logic; -- write uav_waitrequest : in std_logic := 'X'; -- waitrequest uav_readdatavalid : in std_logic := 'X'; -- readdatavalid uav_byteenable : out std_logic_vector(3 downto 0); -- byteenable uav_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata uav_writedata : out std_logic_vector(31 downto 0); -- writedata uav_lock : out std_logic; -- lock uav_debugaccess : out std_logic; -- debugaccess av_address : in std_logic_vector(24 downto 0) := (others => 'X'); -- address av_waitrequest : out std_logic; -- waitrequest av_read : in std_logic := 'X'; -- read av_readdata : out std_logic_vector(31 downto 0); -- readdata av_readdatavalid : out std_logic -- readdatavalid ); end component tracking_camera_system_nios2_qsys_0_instruction_master_translator; component tracking_camera_system_nios2_qsys_0_data_master_translator is port ( clk : in std_logic := 'X'; -- clk reset : in std_logic := 'X'; -- reset uav_address : out std_logic_vector(24 downto 0); -- address uav_burstcount : out std_logic_vector(2 downto 0); -- burstcount uav_read : out std_logic; -- read uav_write : out std_logic; -- write uav_waitrequest : in std_logic := 'X'; -- waitrequest uav_readdatavalid : in std_logic := 'X'; -- readdatavalid uav_byteenable : out std_logic_vector(3 downto 0); -- byteenable uav_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata uav_writedata : out std_logic_vector(31 downto 0); -- writedata uav_lock : out std_logic; -- lock uav_debugaccess : out std_logic; -- debugaccess av_address : in std_logic_vector(24 downto 0) := (others => 'X'); -- address av_waitrequest : out std_logic; -- waitrequest av_byteenable : in std_logic_vector(3 downto 0) := (others => 'X'); -- byteenable av_read : in std_logic := 'X'; -- read av_readdata : out std_logic_vector(31 downto 0); -- readdata av_readdatavalid : out std_logic; -- readdatavalid av_write : in std_logic := 'X'; -- write av_writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata av_debugaccess : in std_logic := 'X' -- debugaccess ); end component tracking_camera_system_nios2_qsys_0_data_master_translator; component tracking_camera_system_nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_agent is port ( clk : in std_logic := 'X'; -- clk reset : in std_logic := 'X'; -- reset av_address : in std_logic_vector(24 downto 0) := (others => 'X'); -- address av_write : in std_logic := 'X'; -- write av_read : in std_logic := 'X'; -- read av_writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata av_readdata : out std_logic_vector(31 downto 0); -- readdata av_waitrequest : out std_logic; -- waitrequest av_readdatavalid : out std_logic; -- readdatavalid av_byteenable : in std_logic_vector(3 downto 0) := (others => 'X'); -- byteenable av_burstcount : in std_logic_vector(2 downto 0) := (others => 'X'); -- burstcount av_debugaccess : in std_logic := 'X'; -- debugaccess av_lock : in std_logic := 'X'; -- lock cp_valid : out std_logic; -- valid cp_data : out std_logic_vector(99 downto 0); -- data cp_startofpacket : out std_logic; -- startofpacket cp_endofpacket : out std_logic; -- endofpacket cp_ready : in std_logic := 'X'; -- ready rp_valid : in std_logic := 'X'; -- valid rp_data : in std_logic_vector(99 downto 0) := (others => 'X'); -- data rp_channel : in std_logic_vector(12 downto 0) := (others => 'X'); -- channel rp_startofpacket : in std_logic := 'X'; -- startofpacket rp_endofpacket : in std_logic := 'X'; -- endofpacket rp_ready : out std_logic -- ready ); end component tracking_camera_system_nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_agent; component tracking_camera_system_nios2_qsys_0_data_master_translator_avalon_universal_master_0_agent is port ( clk : in std_logic := 'X'; -- clk reset : in std_logic := 'X'; -- reset av_address : in std_logic_vector(24 downto 0) := (others => 'X'); -- address av_write : in std_logic := 'X'; -- write av_read : in std_logic := 'X'; -- read av_writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata av_readdata : out std_logic_vector(31 downto 0); -- readdata av_waitrequest : out std_logic; -- waitrequest av_readdatavalid : out std_logic; -- readdatavalid av_byteenable : in std_logic_vector(3 downto 0) := (others => 'X'); -- byteenable av_burstcount : in std_logic_vector(2 downto 0) := (others => 'X'); -- burstcount av_debugaccess : in std_logic := 'X'; -- debugaccess av_lock : in std_logic := 'X'; -- lock cp_valid : out std_logic; -- valid cp_data : out std_logic_vector(99 downto 0); -- data cp_startofpacket : out std_logic; -- startofpacket cp_endofpacket : out std_logic; -- endofpacket cp_ready : in std_logic := 'X'; -- ready rp_valid : in std_logic := 'X'; -- valid rp_data : in std_logic_vector(99 downto 0) := (others => 'X'); -- data rp_channel : in std_logic_vector(12 downto 0) := (others => 'X'); -- channel rp_startofpacket : in std_logic := 'X'; -- startofpacket rp_endofpacket : in std_logic := 'X'; -- endofpacket rp_ready : out std_logic -- ready ); end component tracking_camera_system_nios2_qsys_0_data_master_translator_avalon_universal_master_0_agent; component tracking_camera_system_nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent is port ( clk : in std_logic := 'X'; -- clk reset : in std_logic := 'X'; -- reset m0_address : out std_logic_vector(24 downto 0); -- address m0_burstcount : out std_logic_vector(2 downto 0); -- burstcount m0_byteenable : out std_logic_vector(3 downto 0); -- byteenable m0_debugaccess : out std_logic; -- debugaccess m0_lock : out std_logic; -- lock m0_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata m0_readdatavalid : in std_logic := 'X'; -- readdatavalid m0_read : out std_logic; -- read m0_waitrequest : in std_logic := 'X'; -- waitrequest m0_writedata : out std_logic_vector(31 downto 0); -- writedata m0_write : out std_logic; -- write rp_endofpacket : out std_logic; -- endofpacket rp_ready : in std_logic := 'X'; -- ready rp_valid : out std_logic; -- valid rp_data : out std_logic_vector(99 downto 0); -- data rp_startofpacket : out std_logic; -- startofpacket cp_ready : out std_logic; -- ready cp_valid : in std_logic := 'X'; -- valid cp_data : in std_logic_vector(99 downto 0) := (others => 'X'); -- data cp_startofpacket : in std_logic := 'X'; -- startofpacket cp_endofpacket : in std_logic := 'X'; -- endofpacket cp_channel : in std_logic_vector(12 downto 0) := (others => 'X'); -- channel rf_sink_ready : out std_logic; -- ready rf_sink_valid : in std_logic := 'X'; -- valid rf_sink_startofpacket : in std_logic := 'X'; -- startofpacket rf_sink_endofpacket : in std_logic := 'X'; -- endofpacket rf_sink_data : in std_logic_vector(100 downto 0) := (others => 'X'); -- data rf_source_ready : in std_logic := 'X'; -- ready rf_source_valid : out std_logic; -- valid rf_source_startofpacket : out std_logic; -- startofpacket rf_source_endofpacket : out std_logic; -- endofpacket rf_source_data : out std_logic_vector(100 downto 0); -- data rdata_fifo_sink_ready : out std_logic; -- ready rdata_fifo_sink_valid : in std_logic := 'X'; -- valid rdata_fifo_sink_data : in std_logic_vector(31 downto 0) := (others => 'X'); -- data rdata_fifo_src_ready : in std_logic := 'X'; -- ready rdata_fifo_src_valid : out std_logic; -- valid rdata_fifo_src_data : out std_logic_vector(31 downto 0) -- data ); end component tracking_camera_system_nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent; component tracking_camera_system_nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo is port ( clk : in std_logic := 'X'; -- clk reset : in std_logic := 'X'; -- reset in_data : in std_logic_vector(100 downto 0) := (others => 'X'); -- data in_valid : in std_logic := 'X'; -- valid in_ready : out std_logic; -- ready in_startofpacket : in std_logic := 'X'; -- startofpacket in_endofpacket : in std_logic := 'X'; -- endofpacket out_data : out std_logic_vector(100 downto 0); -- data out_valid : out std_logic; -- valid out_ready : in std_logic := 'X'; -- ready out_startofpacket : out std_logic; -- startofpacket out_endofpacket : out std_logic -- endofpacket ); end component tracking_camera_system_nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo; component tracking_camera_system_onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent is port ( clk : in std_logic := 'X'; -- clk reset : in std_logic := 'X'; -- reset m0_address : out std_logic_vector(24 downto 0); -- address m0_burstcount : out std_logic_vector(2 downto 0); -- burstcount m0_byteenable : out std_logic_vector(3 downto 0); -- byteenable m0_debugaccess : out std_logic; -- debugaccess m0_lock : out std_logic; -- lock m0_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata m0_readdatavalid : in std_logic := 'X'; -- readdatavalid m0_read : out std_logic; -- read m0_waitrequest : in std_logic := 'X'; -- waitrequest m0_writedata : out std_logic_vector(31 downto 0); -- writedata m0_write : out std_logic; -- write rp_endofpacket : out std_logic; -- endofpacket rp_ready : in std_logic := 'X'; -- ready rp_valid : out std_logic; -- valid rp_data : out std_logic_vector(99 downto 0); -- data rp_startofpacket : out std_logic; -- startofpacket cp_ready : out std_logic; -- ready cp_valid : in std_logic := 'X'; -- valid cp_data : in std_logic_vector(99 downto 0) := (others => 'X'); -- data cp_startofpacket : in std_logic := 'X'; -- startofpacket cp_endofpacket : in std_logic := 'X'; -- endofpacket cp_channel : in std_logic_vector(12 downto 0) := (others => 'X'); -- channel rf_sink_ready : out std_logic; -- ready rf_sink_valid : in std_logic := 'X'; -- valid rf_sink_startofpacket : in std_logic := 'X'; -- startofpacket rf_sink_endofpacket : in std_logic := 'X'; -- endofpacket rf_sink_data : in std_logic_vector(100 downto 0) := (others => 'X'); -- data rf_source_ready : in std_logic := 'X'; -- ready rf_source_valid : out std_logic; -- valid rf_source_startofpacket : out std_logic; -- startofpacket rf_source_endofpacket : out std_logic; -- endofpacket rf_source_data : out std_logic_vector(100 downto 0); -- data rdata_fifo_sink_ready : out std_logic; -- ready rdata_fifo_sink_valid : in std_logic := 'X'; -- valid rdata_fifo_sink_data : in std_logic_vector(31 downto 0) := (others => 'X'); -- data rdata_fifo_src_ready : in std_logic := 'X'; -- ready rdata_fifo_src_valid : out std_logic; -- valid rdata_fifo_src_data : out std_logic_vector(31 downto 0) -- data ); end component tracking_camera_system_onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent; component tracking_camera_system_sdram_0_s1_translator_avalon_universal_slave_0_agent is port ( clk : in std_logic := 'X'; -- clk reset : in std_logic := 'X'; -- reset m0_address : out std_logic_vector(24 downto 0); -- address m0_burstcount : out std_logic_vector(1 downto 0); -- burstcount m0_byteenable : out std_logic_vector(1 downto 0); -- byteenable m0_debugaccess : out std_logic; -- debugaccess m0_lock : out std_logic; -- lock m0_readdata : in std_logic_vector(15 downto 0) := (others => 'X'); -- readdata m0_readdatavalid : in std_logic := 'X'; -- readdatavalid m0_read : out std_logic; -- read m0_waitrequest : in std_logic := 'X'; -- waitrequest m0_writedata : out std_logic_vector(15 downto 0); -- writedata m0_write : out std_logic; -- write rp_endofpacket : out std_logic; -- endofpacket rp_ready : in std_logic := 'X'; -- ready rp_valid : out std_logic; -- valid rp_data : out std_logic_vector(81 downto 0); -- data rp_startofpacket : out std_logic; -- startofpacket cp_ready : out std_logic; -- ready cp_valid : in std_logic := 'X'; -- valid cp_data : in std_logic_vector(81 downto 0) := (others => 'X'); -- data cp_startofpacket : in std_logic := 'X'; -- startofpacket cp_endofpacket : in std_logic := 'X'; -- endofpacket cp_channel : in std_logic_vector(12 downto 0) := (others => 'X'); -- channel rf_sink_ready : out std_logic; -- ready rf_sink_valid : in std_logic := 'X'; -- valid rf_sink_startofpacket : in std_logic := 'X'; -- startofpacket rf_sink_endofpacket : in std_logic := 'X'; -- endofpacket rf_sink_data : in std_logic_vector(82 downto 0) := (others => 'X'); -- data rf_source_ready : in std_logic := 'X'; -- ready rf_source_valid : out std_logic; -- valid rf_source_startofpacket : out std_logic; -- startofpacket rf_source_endofpacket : out std_logic; -- endofpacket rf_source_data : out std_logic_vector(82 downto 0); -- data rdata_fifo_sink_ready : out std_logic; -- ready rdata_fifo_sink_valid : in std_logic := 'X'; -- valid rdata_fifo_sink_data : in std_logic_vector(15 downto 0) := (others => 'X'); -- data rdata_fifo_src_ready : in std_logic := 'X'; -- ready rdata_fifo_src_valid : out std_logic; -- valid rdata_fifo_src_data : out std_logic_vector(15 downto 0) -- data ); end component tracking_camera_system_sdram_0_s1_translator_avalon_universal_slave_0_agent; component tracking_camera_system_sdram_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo is port ( clk : in std_logic := 'X'; -- clk reset : in std_logic := 'X'; -- reset in_data : in std_logic_vector(82 downto 0) := (others => 'X'); -- data in_valid : in std_logic := 'X'; -- valid in_ready : out std_logic; -- ready in_startofpacket : in std_logic := 'X'; -- startofpacket in_endofpacket : in std_logic := 'X'; -- endofpacket out_data : out std_logic_vector(82 downto 0); -- data out_valid : out std_logic; -- valid out_ready : in std_logic := 'X'; -- ready out_startofpacket : out std_logic; -- startofpacket out_endofpacket : out std_logic -- endofpacket ); end component tracking_camera_system_sdram_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo; component tracking_camera_system_sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent is port ( clk : in std_logic := 'X'; -- clk reset : in std_logic := 'X'; -- reset m0_address : out std_logic_vector(24 downto 0); -- address m0_burstcount : out std_logic_vector(1 downto 0); -- burstcount m0_byteenable : out std_logic_vector(1 downto 0); -- byteenable m0_debugaccess : out std_logic; -- debugaccess m0_lock : out std_logic; -- lock m0_readdata : in std_logic_vector(15 downto 0) := (others => 'X'); -- readdata m0_readdatavalid : in std_logic := 'X'; -- readdatavalid m0_read : out std_logic; -- read m0_waitrequest : in std_logic := 'X'; -- waitrequest m0_writedata : out std_logic_vector(15 downto 0); -- writedata m0_write : out std_logic; -- write rp_endofpacket : out std_logic; -- endofpacket rp_ready : in std_logic := 'X'; -- ready rp_valid : out std_logic; -- valid rp_data : out std_logic_vector(81 downto 0); -- data rp_startofpacket : out std_logic; -- startofpacket cp_ready : out std_logic; -- ready cp_valid : in std_logic := 'X'; -- valid cp_data : in std_logic_vector(81 downto 0) := (others => 'X'); -- data cp_startofpacket : in std_logic := 'X'; -- startofpacket cp_endofpacket : in std_logic := 'X'; -- endofpacket cp_channel : in std_logic_vector(12 downto 0) := (others => 'X'); -- channel rf_sink_ready : out std_logic; -- ready rf_sink_valid : in std_logic := 'X'; -- valid rf_sink_startofpacket : in std_logic := 'X'; -- startofpacket rf_sink_endofpacket : in std_logic := 'X'; -- endofpacket rf_sink_data : in std_logic_vector(82 downto 0) := (others => 'X'); -- data rf_source_ready : in std_logic := 'X'; -- ready rf_source_valid : out std_logic; -- valid rf_source_startofpacket : out std_logic; -- startofpacket rf_source_endofpacket : out std_logic; -- endofpacket rf_source_data : out std_logic_vector(82 downto 0); -- data rdata_fifo_sink_ready : out std_logic; -- ready rdata_fifo_sink_valid : in std_logic := 'X'; -- valid rdata_fifo_sink_data : in std_logic_vector(15 downto 0) := (others => 'X'); -- data rdata_fifo_src_ready : in std_logic := 'X'; -- ready rdata_fifo_src_valid : out std_logic; -- valid rdata_fifo_src_data : out std_logic_vector(15 downto 0) -- data ); end component tracking_camera_system_sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent; component tracking_camera_system_sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rsp_fifo is port ( clk : in std_logic := 'X'; -- clk reset : in std_logic := 'X'; -- reset in_data : in std_logic_vector(82 downto 0) := (others => 'X'); -- data in_valid : in std_logic := 'X'; -- valid in_ready : out std_logic; -- ready in_startofpacket : in std_logic := 'X'; -- startofpacket in_endofpacket : in std_logic := 'X'; -- endofpacket out_data : out std_logic_vector(82 downto 0); -- data out_valid : out std_logic; -- valid out_ready : in std_logic := 'X'; -- ready out_startofpacket : out std_logic; -- startofpacket out_endofpacket : out std_logic -- endofpacket ); end component tracking_camera_system_sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rsp_fifo; component tracking_camera_system_altpll_0_pll_slave_translator_avalon_universal_slave_0_agent is port ( clk : in std_logic := 'X'; -- clk reset : in std_logic := 'X'; -- reset m0_address : out std_logic_vector(24 downto 0); -- address m0_burstcount : out std_logic_vector(2 downto 0); -- burstcount m0_byteenable : out std_logic_vector(3 downto 0); -- byteenable m0_debugaccess : out std_logic; -- debugaccess m0_lock : out std_logic; -- lock m0_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata m0_readdatavalid : in std_logic := 'X'; -- readdatavalid m0_read : out std_logic; -- read m0_waitrequest : in std_logic := 'X'; -- waitrequest m0_writedata : out std_logic_vector(31 downto 0); -- writedata m0_write : out std_logic; -- write rp_endofpacket : out std_logic; -- endofpacket rp_ready : in std_logic := 'X'; -- ready rp_valid : out std_logic; -- valid rp_data : out std_logic_vector(99 downto 0); -- data rp_startofpacket : out std_logic; -- startofpacket cp_ready : out std_logic; -- ready cp_valid : in std_logic := 'X'; -- valid cp_data : in std_logic_vector(99 downto 0) := (others => 'X'); -- data cp_startofpacket : in std_logic := 'X'; -- startofpacket cp_endofpacket : in std_logic := 'X'; -- endofpacket cp_channel : in std_logic_vector(12 downto 0) := (others => 'X'); -- channel rf_sink_ready : out std_logic; -- ready rf_sink_valid : in std_logic := 'X'; -- valid rf_sink_startofpacket : in std_logic := 'X'; -- startofpacket rf_sink_endofpacket : in std_logic := 'X'; -- endofpacket rf_sink_data : in std_logic_vector(100 downto 0) := (others => 'X'); -- data rf_source_ready : in std_logic := 'X'; -- ready rf_source_valid : out std_logic; -- valid rf_source_startofpacket : out std_logic; -- startofpacket rf_source_endofpacket : out std_logic; -- endofpacket rf_source_data : out std_logic_vector(100 downto 0); -- data rdata_fifo_sink_ready : out std_logic; -- ready rdata_fifo_sink_valid : in std_logic := 'X'; -- valid rdata_fifo_sink_data : in std_logic_vector(31 downto 0) := (others => 'X'); -- data rdata_fifo_src_ready : in std_logic := 'X'; -- ready rdata_fifo_src_valid : out std_logic; -- valid rdata_fifo_src_data : out std_logic_vector(31 downto 0) -- data ); end component tracking_camera_system_altpll_0_pll_slave_translator_avalon_universal_slave_0_agent; component tracking_camera_system_altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rdata_fifo is port ( clk : in std_logic := 'X'; -- clk reset : in std_logic := 'X'; -- reset in_data : in std_logic_vector(31 downto 0) := (others => 'X'); -- data in_valid : in std_logic := 'X'; -- valid in_ready : out std_logic; -- ready out_data : out std_logic_vector(31 downto 0); -- data out_valid : out std_logic; -- valid out_ready : in std_logic := 'X' -- ready ); end component tracking_camera_system_altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rdata_fifo; component tracking_camera_system_sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent is port ( clk : in std_logic := 'X'; -- clk reset : in std_logic := 'X'; -- reset m0_address : out std_logic_vector(24 downto 0); -- address m0_burstcount : out std_logic_vector(2 downto 0); -- burstcount m0_byteenable : out std_logic_vector(3 downto 0); -- byteenable m0_debugaccess : out std_logic; -- debugaccess m0_lock : out std_logic; -- lock m0_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata m0_readdatavalid : in std_logic := 'X'; -- readdatavalid m0_read : out std_logic; -- read m0_waitrequest : in std_logic := 'X'; -- waitrequest m0_writedata : out std_logic_vector(31 downto 0); -- writedata m0_write : out std_logic; -- write rp_endofpacket : out std_logic; -- endofpacket rp_ready : in std_logic := 'X'; -- ready rp_valid : out std_logic; -- valid rp_data : out std_logic_vector(99 downto 0); -- data rp_startofpacket : out std_logic; -- startofpacket cp_ready : out std_logic; -- ready cp_valid : in std_logic := 'X'; -- valid cp_data : in std_logic_vector(99 downto 0) := (others => 'X'); -- data cp_startofpacket : in std_logic := 'X'; -- startofpacket cp_endofpacket : in std_logic := 'X'; -- endofpacket cp_channel : in std_logic_vector(12 downto 0) := (others => 'X'); -- channel rf_sink_ready : out std_logic; -- ready rf_sink_valid : in std_logic := 'X'; -- valid rf_sink_startofpacket : in std_logic := 'X'; -- startofpacket rf_sink_endofpacket : in std_logic := 'X'; -- endofpacket rf_sink_data : in std_logic_vector(100 downto 0) := (others => 'X'); -- data rf_source_ready : in std_logic := 'X'; -- ready rf_source_valid : out std_logic; -- valid rf_source_startofpacket : out std_logic; -- startofpacket rf_source_endofpacket : out std_logic; -- endofpacket rf_source_data : out std_logic_vector(100 downto 0); -- data rdata_fifo_sink_ready : out std_logic; -- ready rdata_fifo_sink_valid : in std_logic := 'X'; -- valid rdata_fifo_sink_data : in std_logic_vector(31 downto 0) := (others => 'X'); -- data rdata_fifo_src_ready : in std_logic := 'X'; -- ready rdata_fifo_src_valid : out std_logic; -- valid rdata_fifo_src_data : out std_logic_vector(31 downto 0) -- data ); end component tracking_camera_system_sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent; component tracking_camera_system_timer_0_s1_translator_avalon_universal_slave_0_agent is port ( clk : in std_logic := 'X'; -- clk reset : in std_logic := 'X'; -- reset m0_address : out std_logic_vector(24 downto 0); -- address m0_burstcount : out std_logic_vector(2 downto 0); -- burstcount m0_byteenable : out std_logic_vector(3 downto 0); -- byteenable m0_debugaccess : out std_logic; -- debugaccess m0_lock : out std_logic; -- lock m0_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata m0_readdatavalid : in std_logic := 'X'; -- readdatavalid m0_read : out std_logic; -- read m0_waitrequest : in std_logic := 'X'; -- waitrequest m0_writedata : out std_logic_vector(31 downto 0); -- writedata m0_write : out std_logic; -- write rp_endofpacket : out std_logic; -- endofpacket rp_ready : in std_logic := 'X'; -- ready rp_valid : out std_logic; -- valid rp_data : out std_logic_vector(99 downto 0); -- data rp_startofpacket : out std_logic; -- startofpacket cp_ready : out std_logic; -- ready cp_valid : in std_logic := 'X'; -- valid cp_data : in std_logic_vector(99 downto 0) := (others => 'X'); -- data cp_startofpacket : in std_logic := 'X'; -- startofpacket cp_endofpacket : in std_logic := 'X'; -- endofpacket cp_channel : in std_logic_vector(12 downto 0) := (others => 'X'); -- channel rf_sink_ready : out std_logic; -- ready rf_sink_valid : in std_logic := 'X'; -- valid rf_sink_startofpacket : in std_logic := 'X'; -- startofpacket rf_sink_endofpacket : in std_logic := 'X'; -- endofpacket rf_sink_data : in std_logic_vector(100 downto 0) := (others => 'X'); -- data rf_source_ready : in std_logic := 'X'; -- ready rf_source_valid : out std_logic; -- valid rf_source_startofpacket : out std_logic; -- startofpacket rf_source_endofpacket : out std_logic; -- endofpacket rf_source_data : out std_logic_vector(100 downto 0); -- data rdata_fifo_sink_ready : out std_logic; -- ready rdata_fifo_sink_valid : in std_logic := 'X'; -- valid rdata_fifo_sink_data : in std_logic_vector(31 downto 0) := (others => 'X'); -- data rdata_fifo_src_ready : in std_logic := 'X'; -- ready rdata_fifo_src_valid : out std_logic; -- valid rdata_fifo_src_data : out std_logic_vector(31 downto 0) -- data ); end component tracking_camera_system_timer_0_s1_translator_avalon_universal_slave_0_agent; component tracking_camera_system_jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent is port ( clk : in std_logic := 'X'; -- clk reset : in std_logic := 'X'; -- reset m0_address : out std_logic_vector(24 downto 0); -- address m0_burstcount : out std_logic_vector(2 downto 0); -- burstcount m0_byteenable : out std_logic_vector(3 downto 0); -- byteenable m0_debugaccess : out std_logic; -- debugaccess m0_lock : out std_logic; -- lock m0_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata m0_readdatavalid : in std_logic := 'X'; -- readdatavalid m0_read : out std_logic; -- read m0_waitrequest : in std_logic := 'X'; -- waitrequest m0_writedata : out std_logic_vector(31 downto 0); -- writedata m0_write : out std_logic; -- write rp_endofpacket : out std_logic; -- endofpacket rp_ready : in std_logic := 'X'; -- ready rp_valid : out std_logic; -- valid rp_data : out std_logic_vector(99 downto 0); -- data rp_startofpacket : out std_logic; -- startofpacket cp_ready : out std_logic; -- ready cp_valid : in std_logic := 'X'; -- valid cp_data : in std_logic_vector(99 downto 0) := (others => 'X'); -- data cp_startofpacket : in std_logic := 'X'; -- startofpacket cp_endofpacket : in std_logic := 'X'; -- endofpacket cp_channel : in std_logic_vector(12 downto 0) := (others => 'X'); -- channel rf_sink_ready : out std_logic; -- ready rf_sink_valid : in std_logic := 'X'; -- valid rf_sink_startofpacket : in std_logic := 'X'; -- startofpacket rf_sink_endofpacket : in std_logic := 'X'; -- endofpacket rf_sink_data : in std_logic_vector(100 downto 0) := (others => 'X'); -- data rf_source_ready : in std_logic := 'X'; -- ready rf_source_valid : out std_logic; -- valid rf_source_startofpacket : out std_logic; -- startofpacket rf_source_endofpacket : out std_logic; -- endofpacket rf_source_data : out std_logic_vector(100 downto 0); -- data rdata_fifo_sink_ready : out std_logic; -- ready rdata_fifo_sink_valid : in std_logic := 'X'; -- valid rdata_fifo_sink_data : in std_logic_vector(31 downto 0) := (others => 'X'); -- data rdata_fifo_src_ready : in std_logic := 'X'; -- ready rdata_fifo_src_valid : out std_logic; -- valid rdata_fifo_src_data : out std_logic_vector(31 downto 0) -- data ); end component tracking_camera_system_jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent; component tracking_camera_system_character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent is port ( clk : in std_logic := 'X'; -- clk reset : in std_logic := 'X'; -- reset m0_address : out std_logic_vector(24 downto 0); -- address m0_burstcount : out std_logic; -- burstcount m0_byteenable : out std_logic; -- byteenable m0_debugaccess : out std_logic; -- debugaccess m0_lock : out std_logic; -- lock m0_readdata : in std_logic_vector(7 downto 0) := (others => 'X'); -- readdata m0_readdatavalid : in std_logic := 'X'; -- readdatavalid m0_read : out std_logic; -- read m0_waitrequest : in std_logic := 'X'; -- waitrequest m0_writedata : out std_logic_vector(7 downto 0); -- writedata m0_write : out std_logic; -- write rp_endofpacket : out std_logic; -- endofpacket rp_ready : in std_logic := 'X'; -- ready rp_valid : out std_logic; -- valid rp_data : out std_logic_vector(72 downto 0); -- data rp_startofpacket : out std_logic; -- startofpacket cp_ready : out std_logic; -- ready cp_valid : in std_logic := 'X'; -- valid cp_data : in std_logic_vector(72 downto 0) := (others => 'X'); -- data cp_startofpacket : in std_logic := 'X'; -- startofpacket cp_endofpacket : in std_logic := 'X'; -- endofpacket cp_channel : in std_logic_vector(12 downto 0) := (others => 'X'); -- channel rf_sink_ready : out std_logic; -- ready rf_sink_valid : in std_logic := 'X'; -- valid rf_sink_startofpacket : in std_logic := 'X'; -- startofpacket rf_sink_endofpacket : in std_logic := 'X'; -- endofpacket rf_sink_data : in std_logic_vector(73 downto 0) := (others => 'X'); -- data rf_source_ready : in std_logic := 'X'; -- ready rf_source_valid : out std_logic; -- valid rf_source_startofpacket : out std_logic; -- startofpacket rf_source_endofpacket : out std_logic; -- endofpacket rf_source_data : out std_logic_vector(73 downto 0); -- data rdata_fifo_sink_ready : out std_logic; -- ready rdata_fifo_sink_valid : in std_logic := 'X'; -- valid rdata_fifo_sink_data : in std_logic_vector(7 downto 0) := (others => 'X'); -- data rdata_fifo_src_ready : in std_logic := 'X'; -- ready rdata_fifo_src_valid : out std_logic; -- valid rdata_fifo_src_data : out std_logic_vector(7 downto 0) -- data ); end component tracking_camera_system_character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent; component tracking_camera_system_character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rsp_fifo is port ( clk : in std_logic := 'X'; -- clk reset : in std_logic := 'X'; -- reset in_data : in std_logic_vector(73 downto 0) := (others => 'X'); -- data in_valid : in std_logic := 'X'; -- valid in_ready : out std_logic; -- ready in_startofpacket : in std_logic := 'X'; -- startofpacket in_endofpacket : in std_logic := 'X'; -- endofpacket out_data : out std_logic_vector(73 downto 0); -- data out_valid : out std_logic; -- valid out_ready : in std_logic := 'X'; -- ready out_startofpacket : out std_logic; -- startofpacket out_endofpacket : out std_logic -- endofpacket ); end component tracking_camera_system_character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rsp_fifo; component tracking_camera_system_green_leds_s1_translator_avalon_universal_slave_0_agent is port ( clk : in std_logic := 'X'; -- clk reset : in std_logic := 'X'; -- reset m0_address : out std_logic_vector(24 downto 0); -- address m0_burstcount : out std_logic_vector(2 downto 0); -- burstcount m0_byteenable : out std_logic_vector(3 downto 0); -- byteenable m0_debugaccess : out std_logic; -- debugaccess m0_lock : out std_logic; -- lock m0_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata m0_readdatavalid : in std_logic := 'X'; -- readdatavalid m0_read : out std_logic; -- read m0_waitrequest : in std_logic := 'X'; -- waitrequest m0_writedata : out std_logic_vector(31 downto 0); -- writedata m0_write : out std_logic; -- write rp_endofpacket : out std_logic; -- endofpacket rp_ready : in std_logic := 'X'; -- ready rp_valid : out std_logic; -- valid rp_data : out std_logic_vector(99 downto 0); -- data rp_startofpacket : out std_logic; -- startofpacket cp_ready : out std_logic; -- ready cp_valid : in std_logic := 'X'; -- valid cp_data : in std_logic_vector(99 downto 0) := (others => 'X'); -- data cp_startofpacket : in std_logic := 'X'; -- startofpacket cp_endofpacket : in std_logic := 'X'; -- endofpacket cp_channel : in std_logic_vector(12 downto 0) := (others => 'X'); -- channel rf_sink_ready : out std_logic; -- ready rf_sink_valid : in std_logic := 'X'; -- valid rf_sink_startofpacket : in std_logic := 'X'; -- startofpacket rf_sink_endofpacket : in std_logic := 'X'; -- endofpacket rf_sink_data : in std_logic_vector(100 downto 0) := (others => 'X'); -- data rf_source_ready : in std_logic := 'X'; -- ready rf_source_valid : out std_logic; -- valid rf_source_startofpacket : out std_logic; -- startofpacket rf_source_endofpacket : out std_logic; -- endofpacket rf_source_data : out std_logic_vector(100 downto 0); -- data rdata_fifo_sink_ready : out std_logic; -- ready rdata_fifo_sink_valid : in std_logic := 'X'; -- valid rdata_fifo_sink_data : in std_logic_vector(31 downto 0) := (others => 'X'); -- data rdata_fifo_src_ready : in std_logic := 'X'; -- ready rdata_fifo_src_valid : out std_logic; -- valid rdata_fifo_src_data : out std_logic_vector(31 downto 0) -- data ); end component tracking_camera_system_green_leds_s1_translator_avalon_universal_slave_0_agent; component tracking_camera_system_switch_s1_translator_avalon_universal_slave_0_agent is port ( clk : in std_logic := 'X'; -- clk reset : in std_logic := 'X'; -- reset m0_address : out std_logic_vector(24 downto 0); -- address m0_burstcount : out std_logic_vector(2 downto 0); -- burstcount m0_byteenable : out std_logic_vector(3 downto 0); -- byteenable m0_debugaccess : out std_logic; -- debugaccess m0_lock : out std_logic; -- lock m0_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata m0_readdatavalid : in std_logic := 'X'; -- readdatavalid m0_read : out std_logic; -- read m0_waitrequest : in std_logic := 'X'; -- waitrequest m0_writedata : out std_logic_vector(31 downto 0); -- writedata m0_write : out std_logic; -- write rp_endofpacket : out std_logic; -- endofpacket rp_ready : in std_logic := 'X'; -- ready rp_valid : out std_logic; -- valid rp_data : out std_logic_vector(99 downto 0); -- data rp_startofpacket : out std_logic; -- startofpacket cp_ready : out std_logic; -- ready cp_valid : in std_logic := 'X'; -- valid cp_data : in std_logic_vector(99 downto 0) := (others => 'X'); -- data cp_startofpacket : in std_logic := 'X'; -- startofpacket cp_endofpacket : in std_logic := 'X'; -- endofpacket cp_channel : in std_logic_vector(12 downto 0) := (others => 'X'); -- channel rf_sink_ready : out std_logic; -- ready rf_sink_valid : in std_logic := 'X'; -- valid rf_sink_startofpacket : in std_logic := 'X'; -- startofpacket rf_sink_endofpacket : in std_logic := 'X'; -- endofpacket rf_sink_data : in std_logic_vector(100 downto 0) := (others => 'X'); -- data rf_source_ready : in std_logic := 'X'; -- ready rf_source_valid : out std_logic; -- valid rf_source_startofpacket : out std_logic; -- startofpacket rf_source_endofpacket : out std_logic; -- endofpacket rf_source_data : out std_logic_vector(100 downto 0); -- data rdata_fifo_sink_ready : out std_logic; -- ready rdata_fifo_sink_valid : in std_logic := 'X'; -- valid rdata_fifo_sink_data : in std_logic_vector(31 downto 0) := (others => 'X'); -- data rdata_fifo_src_ready : in std_logic := 'X'; -- ready rdata_fifo_src_valid : out std_logic; -- valid rdata_fifo_src_data : out std_logic_vector(31 downto 0) -- data ); end component tracking_camera_system_switch_s1_translator_avalon_universal_slave_0_agent; component tracking_camera_system_servo_pwm_0_s0_translator_avalon_universal_slave_0_agent is port ( clk : in std_logic := 'X'; -- clk reset : in std_logic := 'X'; -- reset m0_address : out std_logic_vector(24 downto 0); -- address m0_burstcount : out std_logic; -- burstcount m0_byteenable : out std_logic; -- byteenable m0_debugaccess : out std_logic; -- debugaccess m0_lock : out std_logic; -- lock m0_readdata : in std_logic_vector(7 downto 0) := (others => 'X'); -- readdata m0_readdatavalid : in std_logic := 'X'; -- readdatavalid m0_read : out std_logic; -- read m0_waitrequest : in std_logic := 'X'; -- waitrequest m0_writedata : out std_logic_vector(7 downto 0); -- writedata m0_write : out std_logic; -- write rp_endofpacket : out std_logic; -- endofpacket rp_ready : in std_logic := 'X'; -- ready rp_valid : out std_logic; -- valid rp_data : out std_logic_vector(72 downto 0); -- data rp_startofpacket : out std_logic; -- startofpacket cp_ready : out std_logic; -- ready cp_valid : in std_logic := 'X'; -- valid cp_data : in std_logic_vector(72 downto 0) := (others => 'X'); -- data cp_startofpacket : in std_logic := 'X'; -- startofpacket cp_endofpacket : in std_logic := 'X'; -- endofpacket cp_channel : in std_logic_vector(12 downto 0) := (others => 'X'); -- channel rf_sink_ready : out std_logic; -- ready rf_sink_valid : in std_logic := 'X'; -- valid rf_sink_startofpacket : in std_logic := 'X'; -- startofpacket rf_sink_endofpacket : in std_logic := 'X'; -- endofpacket rf_sink_data : in std_logic_vector(73 downto 0) := (others => 'X'); -- data rf_source_ready : in std_logic := 'X'; -- ready rf_source_valid : out std_logic; -- valid rf_source_startofpacket : out std_logic; -- startofpacket rf_source_endofpacket : out std_logic; -- endofpacket rf_source_data : out std_logic_vector(73 downto 0); -- data rdata_fifo_sink_ready : out std_logic; -- ready rdata_fifo_sink_valid : in std_logic := 'X'; -- valid rdata_fifo_sink_data : in std_logic_vector(7 downto 0) := (others => 'X'); -- data rdata_fifo_src_ready : in std_logic := 'X'; -- ready rdata_fifo_src_valid : out std_logic; -- valid rdata_fifo_src_data : out std_logic_vector(7 downto 0) -- data ); end component tracking_camera_system_servo_pwm_0_s0_translator_avalon_universal_slave_0_agent; component tracking_camera_system_switch_0_s1_translator_avalon_universal_slave_0_agent is port ( clk : in std_logic := 'X'; -- clk reset : in std_logic := 'X'; -- reset m0_address : out std_logic_vector(24 downto 0); -- address m0_burstcount : out std_logic_vector(2 downto 0); -- burstcount m0_byteenable : out std_logic_vector(3 downto 0); -- byteenable m0_debugaccess : out std_logic; -- debugaccess m0_lock : out std_logic; -- lock m0_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata m0_readdatavalid : in std_logic := 'X'; -- readdatavalid m0_read : out std_logic; -- read m0_waitrequest : in std_logic := 'X'; -- waitrequest m0_writedata : out std_logic_vector(31 downto 0); -- writedata m0_write : out std_logic; -- write rp_endofpacket : out std_logic; -- endofpacket rp_ready : in std_logic := 'X'; -- ready rp_valid : out std_logic; -- valid rp_data : out std_logic_vector(99 downto 0); -- data rp_startofpacket : out std_logic; -- startofpacket cp_ready : out std_logic; -- ready cp_valid : in std_logic := 'X'; -- valid cp_data : in std_logic_vector(99 downto 0) := (others => 'X'); -- data cp_startofpacket : in std_logic := 'X'; -- startofpacket cp_endofpacket : in std_logic := 'X'; -- endofpacket cp_channel : in std_logic_vector(12 downto 0) := (others => 'X'); -- channel rf_sink_ready : out std_logic; -- ready rf_sink_valid : in std_logic := 'X'; -- valid rf_sink_startofpacket : in std_logic := 'X'; -- startofpacket rf_sink_endofpacket : in std_logic := 'X'; -- endofpacket rf_sink_data : in std_logic_vector(100 downto 0) := (others => 'X'); -- data rf_source_ready : in std_logic := 'X'; -- ready rf_source_valid : out std_logic; -- valid rf_source_startofpacket : out std_logic; -- startofpacket rf_source_endofpacket : out std_logic; -- endofpacket rf_source_data : out std_logic_vector(100 downto 0); -- data rdata_fifo_sink_ready : out std_logic; -- ready rdata_fifo_sink_valid : in std_logic := 'X'; -- valid rdata_fifo_sink_data : in std_logic_vector(31 downto 0) := (others => 'X'); -- data rdata_fifo_src_ready : in std_logic := 'X'; -- ready rdata_fifo_src_valid : out std_logic; -- valid rdata_fifo_src_data : out std_logic_vector(31 downto 0) -- data ); end component tracking_camera_system_switch_0_s1_translator_avalon_universal_slave_0_agent; component tracking_camera_system_addr_router is port ( sink_ready : out std_logic; -- ready sink_valid : in std_logic := 'X'; -- valid sink_data : in std_logic_vector(99 downto 0) := (others => 'X'); -- data sink_startofpacket : in std_logic := 'X'; -- startofpacket sink_endofpacket : in std_logic := 'X'; -- endofpacket clk : in std_logic := 'X'; -- clk reset : in std_logic := 'X'; -- reset src_ready : in std_logic := 'X'; -- ready src_valid : out std_logic; -- valid src_data : out std_logic_vector(99 downto 0); -- data src_channel : out std_logic_vector(12 downto 0); -- channel src_startofpacket : out std_logic; -- startofpacket src_endofpacket : out std_logic -- endofpacket ); end component tracking_camera_system_addr_router; component tracking_camera_system_addr_router_001 is port ( sink_ready : out std_logic; -- ready sink_valid : in std_logic := 'X'; -- valid sink_data : in std_logic_vector(99 downto 0) := (others => 'X'); -- data sink_startofpacket : in std_logic := 'X'; -- startofpacket sink_endofpacket : in std_logic := 'X'; -- endofpacket clk : in std_logic := 'X'; -- clk reset : in std_logic := 'X'; -- reset src_ready : in std_logic := 'X'; -- ready src_valid : out std_logic; -- valid src_data : out std_logic_vector(99 downto 0); -- data src_channel : out std_logic_vector(12 downto 0); -- channel src_startofpacket : out std_logic; -- startofpacket src_endofpacket : out std_logic -- endofpacket ); end component tracking_camera_system_addr_router_001; component tracking_camera_system_id_router is port ( sink_ready : out std_logic; -- ready sink_valid : in std_logic := 'X'; -- valid sink_data : in std_logic_vector(99 downto 0) := (others => 'X'); -- data sink_startofpacket : in std_logic := 'X'; -- startofpacket sink_endofpacket : in std_logic := 'X'; -- endofpacket clk : in std_logic := 'X'; -- clk reset : in std_logic := 'X'; -- reset src_ready : in std_logic := 'X'; -- ready src_valid : out std_logic; -- valid src_data : out std_logic_vector(99 downto 0); -- data src_channel : out std_logic_vector(12 downto 0); -- channel src_startofpacket : out std_logic; -- startofpacket src_endofpacket : out std_logic -- endofpacket ); end component tracking_camera_system_id_router; component tracking_camera_system_id_router_002 is port ( sink_ready : out std_logic; -- ready sink_valid : in std_logic := 'X'; -- valid sink_data : in std_logic_vector(81 downto 0) := (others => 'X'); -- data sink_startofpacket : in std_logic := 'X'; -- startofpacket sink_endofpacket : in std_logic := 'X'; -- endofpacket clk : in std_logic := 'X'; -- clk reset : in std_logic := 'X'; -- reset src_ready : in std_logic := 'X'; -- ready src_valid : out std_logic; -- valid src_data : out std_logic_vector(81 downto 0); -- data src_channel : out std_logic_vector(12 downto 0); -- channel src_startofpacket : out std_logic; -- startofpacket src_endofpacket : out std_logic -- endofpacket ); end component tracking_camera_system_id_router_002; component tracking_camera_system_id_router_004 is port ( sink_ready : out std_logic; -- ready sink_valid : in std_logic := 'X'; -- valid sink_data : in std_logic_vector(99 downto 0) := (others => 'X'); -- data sink_startofpacket : in std_logic := 'X'; -- startofpacket sink_endofpacket : in std_logic := 'X'; -- endofpacket clk : in std_logic := 'X'; -- clk reset : in std_logic := 'X'; -- reset src_ready : in std_logic := 'X'; -- ready src_valid : out std_logic; -- valid src_data : out std_logic_vector(99 downto 0); -- data src_channel : out std_logic_vector(12 downto 0); -- channel src_startofpacket : out std_logic; -- startofpacket src_endofpacket : out std_logic -- endofpacket ); end component tracking_camera_system_id_router_004; component tracking_camera_system_id_router_008 is port ( sink_ready : out std_logic; -- ready sink_valid : in std_logic := 'X'; -- valid sink_data : in std_logic_vector(72 downto 0) := (others => 'X'); -- data sink_startofpacket : in std_logic := 'X'; -- startofpacket sink_endofpacket : in std_logic := 'X'; -- endofpacket clk : in std_logic := 'X'; -- clk reset : in std_logic := 'X'; -- reset src_ready : in std_logic := 'X'; -- ready src_valid : out std_logic; -- valid src_data : out std_logic_vector(72 downto 0); -- data src_channel : out std_logic_vector(12 downto 0); -- channel src_startofpacket : out std_logic; -- startofpacket src_endofpacket : out std_logic -- endofpacket ); end component tracking_camera_system_id_router_008; component tracking_camera_system_limiter is port ( clk : in std_logic := 'X'; -- clk reset : in std_logic := 'X'; -- reset cmd_sink_ready : out std_logic; -- ready cmd_sink_valid : in std_logic := 'X'; -- valid cmd_sink_data : in std_logic_vector(99 downto 0) := (others => 'X'); -- data cmd_sink_channel : in std_logic_vector(12 downto 0) := (others => 'X'); -- channel cmd_sink_startofpacket : in std_logic := 'X'; -- startofpacket cmd_sink_endofpacket : in std_logic := 'X'; -- endofpacket cmd_src_ready : in std_logic := 'X'; -- ready cmd_src_data : out std_logic_vector(99 downto 0); -- data cmd_src_channel : out std_logic_vector(12 downto 0); -- channel cmd_src_startofpacket : out std_logic; -- startofpacket cmd_src_endofpacket : out std_logic; -- endofpacket rsp_sink_ready : out std_logic; -- ready rsp_sink_valid : in std_logic := 'X'; -- valid rsp_sink_channel : in std_logic_vector(12 downto 0) := (others => 'X'); -- channel rsp_sink_data : in std_logic_vector(99 downto 0) := (others => 'X'); -- data rsp_sink_startofpacket : in std_logic := 'X'; -- startofpacket rsp_sink_endofpacket : in std_logic := 'X'; -- endofpacket rsp_src_ready : in std_logic := 'X'; -- ready rsp_src_valid : out std_logic; -- valid rsp_src_data : out std_logic_vector(99 downto 0); -- data rsp_src_channel : out std_logic_vector(12 downto 0); -- channel rsp_src_startofpacket : out std_logic; -- startofpacket rsp_src_endofpacket : out std_logic; -- endofpacket cmd_src_valid : out std_logic_vector(12 downto 0) -- data ); end component tracking_camera_system_limiter; component tracking_camera_system_burst_adapter is port ( clk : in std_logic := 'X'; -- clk reset : in std_logic := 'X'; -- reset sink0_valid : in std_logic := 'X'; -- valid sink0_data : in std_logic_vector(81 downto 0) := (others => 'X'); -- data sink0_channel : in std_logic_vector(12 downto 0) := (others => 'X'); -- channel sink0_startofpacket : in std_logic := 'X'; -- startofpacket sink0_endofpacket : in std_logic := 'X'; -- endofpacket sink0_ready : out std_logic; -- ready source0_valid : out std_logic; -- valid source0_data : out std_logic_vector(81 downto 0); -- data source0_channel : out std_logic_vector(12 downto 0); -- channel source0_startofpacket : out std_logic; -- startofpacket source0_endofpacket : out std_logic; -- endofpacket source0_ready : in std_logic := 'X' -- ready ); end component tracking_camera_system_burst_adapter; component tracking_camera_system_burst_adapter_002 is port ( clk : in std_logic := 'X'; -- clk reset : in std_logic := 'X'; -- reset sink0_valid : in std_logic := 'X'; -- valid sink0_data : in std_logic_vector(72 downto 0) := (others => 'X'); -- data sink0_channel : in std_logic_vector(12 downto 0) := (others => 'X'); -- channel sink0_startofpacket : in std_logic := 'X'; -- startofpacket sink0_endofpacket : in std_logic := 'X'; -- endofpacket sink0_ready : out std_logic; -- ready source0_valid : out std_logic; -- valid source0_data : out std_logic_vector(72 downto 0); -- data source0_channel : out std_logic_vector(12 downto 0); -- channel source0_startofpacket : out std_logic; -- startofpacket source0_endofpacket : out std_logic; -- endofpacket source0_ready : in std_logic := 'X' -- ready ); end component tracking_camera_system_burst_adapter_002; component tracking_camera_system_rst_controller is port ( reset_in0 : in std_logic := 'X'; -- reset reset_in1 : in std_logic := 'X'; -- reset clk : in std_logic := 'X'; -- clk reset_out : out std_logic -- reset ); end component tracking_camera_system_rst_controller; component tracking_camera_system_cmd_xbar_demux is port ( clk : in std_logic := 'X'; -- clk reset : in std_logic := 'X'; -- reset sink_ready : out std_logic; -- ready sink_channel : in std_logic_vector(12 downto 0) := (others => 'X'); -- channel sink_data : in std_logic_vector(99 downto 0) := (others => 'X'); -- data sink_startofpacket : in std_logic := 'X'; -- startofpacket sink_endofpacket : in std_logic := 'X'; -- endofpacket sink_valid : in std_logic_vector(12 downto 0) := (others => 'X'); -- data src0_ready : in std_logic := 'X'; -- ready src0_valid : out std_logic; -- valid src0_data : out std_logic_vector(99 downto 0); -- data src0_channel : out std_logic_vector(12 downto 0); -- channel src0_startofpacket : out std_logic; -- startofpacket src0_endofpacket : out std_logic; -- endofpacket src1_ready : in std_logic := 'X'; -- ready src1_valid : out std_logic; -- valid src1_data : out std_logic_vector(99 downto 0); -- data src1_channel : out std_logic_vector(12 downto 0); -- channel src1_startofpacket : out std_logic; -- startofpacket src1_endofpacket : out std_logic; -- endofpacket src2_ready : in std_logic := 'X'; -- ready src2_valid : out std_logic; -- valid src2_data : out std_logic_vector(99 downto 0); -- data src2_channel : out std_logic_vector(12 downto 0); -- channel src2_startofpacket : out std_logic; -- startofpacket src2_endofpacket : out std_logic; -- endofpacket src3_ready : in std_logic := 'X'; -- ready src3_valid : out std_logic; -- valid src3_data : out std_logic_vector(99 downto 0); -- data src3_channel : out std_logic_vector(12 downto 0); -- channel src3_startofpacket : out std_logic; -- startofpacket src3_endofpacket : out std_logic -- endofpacket ); end component tracking_camera_system_cmd_xbar_demux; component tracking_camera_system_cmd_xbar_demux_001 is port ( clk : in std_logic := 'X'; -- clk reset : in std_logic := 'X'; -- reset sink_ready : out std_logic; -- ready sink_channel : in std_logic_vector(12 downto 0) := (others => 'X'); -- channel sink_data : in std_logic_vector(99 downto 0) := (others => 'X'); -- data sink_startofpacket : in std_logic := 'X'; -- startofpacket sink_endofpacket : in std_logic := 'X'; -- endofpacket sink_valid : in std_logic_vector(12 downto 0) := (others => 'X'); -- data src0_ready : in std_logic := 'X'; -- ready src0_valid : out std_logic; -- valid src0_data : out std_logic_vector(99 downto 0); -- data src0_channel : out std_logic_vector(12 downto 0); -- channel src0_startofpacket : out std_logic; -- startofpacket src0_endofpacket : out std_logic; -- endofpacket src1_ready : in std_logic := 'X'; -- ready src1_valid : out std_logic; -- valid src1_data : out std_logic_vector(99 downto 0); -- data src1_channel : out std_logic_vector(12 downto 0); -- channel src1_startofpacket : out std_logic; -- startofpacket src1_endofpacket : out std_logic; -- endofpacket src2_ready : in std_logic := 'X'; -- ready src2_valid : out std_logic; -- valid src2_data : out std_logic_vector(99 downto 0); -- data src2_channel : out std_logic_vector(12 downto 0); -- channel src2_startofpacket : out std_logic; -- startofpacket src2_endofpacket : out std_logic; -- endofpacket src3_ready : in std_logic := 'X'; -- ready src3_valid : out std_logic; -- valid src3_data : out std_logic_vector(99 downto 0); -- data src3_channel : out std_logic_vector(12 downto 0); -- channel src3_startofpacket : out std_logic; -- startofpacket src3_endofpacket : out std_logic; -- endofpacket src4_ready : in std_logic := 'X'; -- ready src4_valid : out std_logic; -- valid src4_data : out std_logic_vector(99 downto 0); -- data src4_channel : out std_logic_vector(12 downto 0); -- channel src4_startofpacket : out std_logic; -- startofpacket src4_endofpacket : out std_logic; -- endofpacket src5_ready : in std_logic := 'X'; -- ready src5_valid : out std_logic; -- valid src5_data : out std_logic_vector(99 downto 0); -- data src5_channel : out std_logic_vector(12 downto 0); -- channel src5_startofpacket : out std_logic; -- startofpacket src5_endofpacket : out std_logic; -- endofpacket src6_ready : in std_logic := 'X'; -- ready src6_valid : out std_logic; -- valid src6_data : out std_logic_vector(99 downto 0); -- data src6_channel : out std_logic_vector(12 downto 0); -- channel src6_startofpacket : out std_logic; -- startofpacket src6_endofpacket : out std_logic; -- endofpacket src7_ready : in std_logic := 'X'; -- ready src7_valid : out std_logic; -- valid src7_data : out std_logic_vector(99 downto 0); -- data src7_channel : out std_logic_vector(12 downto 0); -- channel src7_startofpacket : out std_logic; -- startofpacket src7_endofpacket : out std_logic; -- endofpacket src8_ready : in std_logic := 'X'; -- ready src8_valid : out std_logic; -- valid src8_data : out std_logic_vector(99 downto 0); -- data src8_channel : out std_logic_vector(12 downto 0); -- channel src8_startofpacket : out std_logic; -- startofpacket src8_endofpacket : out std_logic; -- endofpacket src9_ready : in std_logic := 'X'; -- ready src9_valid : out std_logic; -- valid src9_data : out std_logic_vector(99 downto 0); -- data src9_channel : out std_logic_vector(12 downto 0); -- channel src9_startofpacket : out std_logic; -- startofpacket src9_endofpacket : out std_logic; -- endofpacket src10_ready : in std_logic := 'X'; -- ready src10_valid : out std_logic; -- valid src10_data : out std_logic_vector(99 downto 0); -- data src10_channel : out std_logic_vector(12 downto 0); -- channel src10_startofpacket : out std_logic; -- startofpacket src10_endofpacket : out std_logic; -- endofpacket src11_ready : in std_logic := 'X'; -- ready src11_valid : out std_logic; -- valid src11_data : out std_logic_vector(99 downto 0); -- data src11_channel : out std_logic_vector(12 downto 0); -- channel src11_startofpacket : out std_logic; -- startofpacket src11_endofpacket : out std_logic; -- endofpacket src12_ready : in std_logic := 'X'; -- ready src12_valid : out std_logic; -- valid src12_data : out std_logic_vector(99 downto 0); -- data src12_channel : out std_logic_vector(12 downto 0); -- channel src12_startofpacket : out std_logic; -- startofpacket src12_endofpacket : out std_logic -- endofpacket ); end component tracking_camera_system_cmd_xbar_demux_001; component tracking_camera_system_cmd_xbar_mux is port ( clk : in std_logic := 'X'; -- clk reset : in std_logic := 'X'; -- reset src_ready : in std_logic := 'X'; -- ready src_valid : out std_logic; -- valid src_data : out std_logic_vector(99 downto 0); -- data src_channel : out std_logic_vector(12 downto 0); -- channel src_startofpacket : out std_logic; -- startofpacket src_endofpacket : out std_logic; -- endofpacket sink0_ready : out std_logic; -- ready sink0_valid : in std_logic := 'X'; -- valid sink0_channel : in std_logic_vector(12 downto 0) := (others => 'X'); -- channel sink0_data : in std_logic_vector(99 downto 0) := (others => 'X'); -- data sink0_startofpacket : in std_logic := 'X'; -- startofpacket sink0_endofpacket : in std_logic := 'X'; -- endofpacket sink1_ready : out std_logic; -- ready sink1_valid : in std_logic := 'X'; -- valid sink1_channel : in std_logic_vector(12 downto 0) := (others => 'X'); -- channel sink1_data : in std_logic_vector(99 downto 0) := (others => 'X'); -- data sink1_startofpacket : in std_logic := 'X'; -- startofpacket sink1_endofpacket : in std_logic := 'X' -- endofpacket ); end component tracking_camera_system_cmd_xbar_mux; component tracking_camera_system_rsp_xbar_demux is port ( clk : in std_logic := 'X'; -- clk reset : in std_logic := 'X'; -- reset sink_ready : out std_logic; -- ready sink_channel : in std_logic_vector(12 downto 0) := (others => 'X'); -- channel sink_data : in std_logic_vector(99 downto 0) := (others => 'X'); -- data sink_startofpacket : in std_logic := 'X'; -- startofpacket sink_endofpacket : in std_logic := 'X'; -- endofpacket sink_valid : in std_logic_vector(0 downto 0) := (others => 'X'); -- valid src0_ready : in std_logic := 'X'; -- ready src0_valid : out std_logic; -- valid src0_data : out std_logic_vector(99 downto 0); -- data src0_channel : out std_logic_vector(12 downto 0); -- channel src0_startofpacket : out std_logic; -- startofpacket src0_endofpacket : out std_logic; -- endofpacket src1_ready : in std_logic := 'X'; -- ready src1_valid : out std_logic; -- valid src1_data : out std_logic_vector(99 downto 0); -- data src1_channel : out std_logic_vector(12 downto 0); -- channel src1_startofpacket : out std_logic; -- startofpacket src1_endofpacket : out std_logic -- endofpacket ); end component tracking_camera_system_rsp_xbar_demux; component tracking_camera_system_rsp_xbar_demux_004 is port ( clk : in std_logic := 'X'; -- clk reset : in std_logic := 'X'; -- reset sink_ready : out std_logic; -- ready sink_channel : in std_logic_vector(12 downto 0) := (others => 'X'); -- channel sink_data : in std_logic_vector(99 downto 0) := (others => 'X'); -- data sink_startofpacket : in std_logic := 'X'; -- startofpacket sink_endofpacket : in std_logic := 'X'; -- endofpacket sink_valid : in std_logic_vector(0 downto 0) := (others => 'X'); -- valid src0_ready : in std_logic := 'X'; -- ready src0_valid : out std_logic; -- valid src0_data : out std_logic_vector(99 downto 0); -- data src0_channel : out std_logic_vector(12 downto 0); -- channel src0_startofpacket : out std_logic; -- startofpacket src0_endofpacket : out std_logic -- endofpacket ); end component tracking_camera_system_rsp_xbar_demux_004; component tracking_camera_system_rsp_xbar_mux is port ( clk : in std_logic := 'X'; -- clk reset : in std_logic := 'X'; -- reset src_ready : in std_logic := 'X'; -- ready src_valid : out std_logic; -- valid src_data : out std_logic_vector(99 downto 0); -- data src_channel : out std_logic_vector(12 downto 0); -- channel src_startofpacket : out std_logic; -- startofpacket src_endofpacket : out std_logic; -- endofpacket sink0_ready : out std_logic; -- ready sink0_valid : in std_logic := 'X'; -- valid sink0_channel : in std_logic_vector(12 downto 0) := (others => 'X'); -- channel sink0_data : in std_logic_vector(99 downto 0) := (others => 'X'); -- data sink0_startofpacket : in std_logic := 'X'; -- startofpacket sink0_endofpacket : in std_logic := 'X'; -- endofpacket sink1_ready : out std_logic; -- ready sink1_valid : in std_logic := 'X'; -- valid sink1_channel : in std_logic_vector(12 downto 0) := (others => 'X'); -- channel sink1_data : in std_logic_vector(99 downto 0) := (others => 'X'); -- data sink1_startofpacket : in std_logic := 'X'; -- startofpacket sink1_endofpacket : in std_logic := 'X'; -- endofpacket sink2_ready : out std_logic; -- ready sink2_valid : in std_logic := 'X'; -- valid sink2_channel : in std_logic_vector(12 downto 0) := (others => 'X'); -- channel sink2_data : in std_logic_vector(99 downto 0) := (others => 'X'); -- data sink2_startofpacket : in std_logic := 'X'; -- startofpacket sink2_endofpacket : in std_logic := 'X'; -- endofpacket sink3_ready : out std_logic; -- ready sink3_valid : in std_logic := 'X'; -- valid sink3_channel : in std_logic_vector(12 downto 0) := (others => 'X'); -- channel sink3_data : in std_logic_vector(99 downto 0) := (others => 'X'); -- data sink3_startofpacket : in std_logic := 'X'; -- startofpacket sink3_endofpacket : in std_logic := 'X' -- endofpacket ); end component tracking_camera_system_rsp_xbar_mux; component tracking_camera_system_rsp_xbar_mux_001 is port ( clk : in std_logic := 'X'; -- clk reset : in std_logic := 'X'; -- reset src_ready : in std_logic := 'X'; -- ready src_valid : out std_logic; -- valid src_data : out std_logic_vector(99 downto 0); -- data src_channel : out std_logic_vector(12 downto 0); -- channel src_startofpacket : out std_logic; -- startofpacket src_endofpacket : out std_logic; -- endofpacket sink0_ready : out std_logic; -- ready sink0_valid : in std_logic := 'X'; -- valid sink0_channel : in std_logic_vector(12 downto 0) := (others => 'X'); -- channel sink0_data : in std_logic_vector(99 downto 0) := (others => 'X'); -- data sink0_startofpacket : in std_logic := 'X'; -- startofpacket sink0_endofpacket : in std_logic := 'X'; -- endofpacket sink1_ready : out std_logic; -- ready sink1_valid : in std_logic := 'X'; -- valid sink1_channel : in std_logic_vector(12 downto 0) := (others => 'X'); -- channel sink1_data : in std_logic_vector(99 downto 0) := (others => 'X'); -- data sink1_startofpacket : in std_logic := 'X'; -- startofpacket sink1_endofpacket : in std_logic := 'X'; -- endofpacket sink2_ready : out std_logic; -- ready sink2_valid : in std_logic := 'X'; -- valid sink2_channel : in std_logic_vector(12 downto 0) := (others => 'X'); -- channel sink2_data : in std_logic_vector(99 downto 0) := (others => 'X'); -- data sink2_startofpacket : in std_logic := 'X'; -- startofpacket sink2_endofpacket : in std_logic := 'X'; -- endofpacket sink3_ready : out std_logic; -- ready sink3_valid : in std_logic := 'X'; -- valid sink3_channel : in std_logic_vector(12 downto 0) := (others => 'X'); -- channel sink3_data : in std_logic_vector(99 downto 0) := (others => 'X'); -- data sink3_startofpacket : in std_logic := 'X'; -- startofpacket sink3_endofpacket : in std_logic := 'X'; -- endofpacket sink4_ready : out std_logic; -- ready sink4_valid : in std_logic := 'X'; -- valid sink4_channel : in std_logic_vector(12 downto 0) := (others => 'X'); -- channel sink4_data : in std_logic_vector(99 downto 0) := (others => 'X'); -- data sink4_startofpacket : in std_logic := 'X'; -- startofpacket sink4_endofpacket : in std_logic := 'X'; -- endofpacket sink5_ready : out std_logic; -- ready sink5_valid : in std_logic := 'X'; -- valid sink5_channel : in std_logic_vector(12 downto 0) := (others => 'X'); -- channel sink5_data : in std_logic_vector(99 downto 0) := (others => 'X'); -- data sink5_startofpacket : in std_logic := 'X'; -- startofpacket sink5_endofpacket : in std_logic := 'X'; -- endofpacket sink6_ready : out std_logic; -- ready sink6_valid : in std_logic := 'X'; -- valid sink6_channel : in std_logic_vector(12 downto 0) := (others => 'X'); -- channel sink6_data : in std_logic_vector(99 downto 0) := (others => 'X'); -- data sink6_startofpacket : in std_logic := 'X'; -- startofpacket sink6_endofpacket : in std_logic := 'X'; -- endofpacket sink7_ready : out std_logic; -- ready sink7_valid : in std_logic := 'X'; -- valid sink7_channel : in std_logic_vector(12 downto 0) := (others => 'X'); -- channel sink7_data : in std_logic_vector(99 downto 0) := (others => 'X'); -- data sink7_startofpacket : in std_logic := 'X'; -- startofpacket sink7_endofpacket : in std_logic := 'X'; -- endofpacket sink8_ready : out std_logic; -- ready sink8_valid : in std_logic := 'X'; -- valid sink8_channel : in std_logic_vector(12 downto 0) := (others => 'X'); -- channel sink8_data : in std_logic_vector(99 downto 0) := (others => 'X'); -- data sink8_startofpacket : in std_logic := 'X'; -- startofpacket sink8_endofpacket : in std_logic := 'X'; -- endofpacket sink9_ready : out std_logic; -- ready sink9_valid : in std_logic := 'X'; -- valid sink9_channel : in std_logic_vector(12 downto 0) := (others => 'X'); -- channel sink9_data : in std_logic_vector(99 downto 0) := (others => 'X'); -- data sink9_startofpacket : in std_logic := 'X'; -- startofpacket sink9_endofpacket : in std_logic := 'X'; -- endofpacket sink10_ready : out std_logic; -- ready sink10_valid : in std_logic := 'X'; -- valid sink10_channel : in std_logic_vector(12 downto 0) := (others => 'X'); -- channel sink10_data : in std_logic_vector(99 downto 0) := (others => 'X'); -- data sink10_startofpacket : in std_logic := 'X'; -- startofpacket sink10_endofpacket : in std_logic := 'X'; -- endofpacket sink11_ready : out std_logic; -- ready sink11_valid : in std_logic := 'X'; -- valid sink11_channel : in std_logic_vector(12 downto 0) := (others => 'X'); -- channel sink11_data : in std_logic_vector(99 downto 0) := (others => 'X'); -- data sink11_startofpacket : in std_logic := 'X'; -- startofpacket sink11_endofpacket : in std_logic := 'X'; -- endofpacket sink12_ready : out std_logic; -- ready sink12_valid : in std_logic := 'X'; -- valid sink12_channel : in std_logic_vector(12 downto 0) := (others => 'X'); -- channel sink12_data : in std_logic_vector(99 downto 0) := (others => 'X'); -- data sink12_startofpacket : in std_logic := 'X'; -- startofpacket sink12_endofpacket : in std_logic := 'X' -- endofpacket ); end component tracking_camera_system_rsp_xbar_mux_001; component tracking_camera_system_width_adapter is port ( clk : in std_logic := 'X'; -- clk reset : in std_logic := 'X'; -- reset in_valid : in std_logic := 'X'; -- valid in_channel : in std_logic_vector(12 downto 0) := (others => 'X'); -- channel in_startofpacket : in std_logic := 'X'; -- startofpacket in_endofpacket : in std_logic := 'X'; -- endofpacket in_ready : out std_logic; -- ready in_data : in std_logic_vector(99 downto 0) := (others => 'X'); -- data out_endofpacket : out std_logic; -- endofpacket out_data : out std_logic_vector(81 downto 0); -- data out_channel : out std_logic_vector(12 downto 0); -- channel out_valid : out std_logic; -- valid out_ready : in std_logic := 'X'; -- ready out_startofpacket : out std_logic -- startofpacket ); end component tracking_camera_system_width_adapter; component tracking_camera_system_width_adapter_001 is port ( clk : in std_logic := 'X'; -- clk reset : in std_logic := 'X'; -- reset in_valid : in std_logic := 'X'; -- valid in_channel : in std_logic_vector(12 downto 0) := (others => 'X'); -- channel in_startofpacket : in std_logic := 'X'; -- startofpacket in_endofpacket : in std_logic := 'X'; -- endofpacket in_ready : out std_logic; -- ready in_data : in std_logic_vector(81 downto 0) := (others => 'X'); -- data out_endofpacket : out std_logic; -- endofpacket out_data : out std_logic_vector(99 downto 0); -- data out_channel : out std_logic_vector(12 downto 0); -- channel out_valid : out std_logic; -- valid out_ready : in std_logic := 'X'; -- ready out_startofpacket : out std_logic -- startofpacket ); end component tracking_camera_system_width_adapter_001; component tracking_camera_system_width_adapter_004 is port ( clk : in std_logic := 'X'; -- clk reset : in std_logic := 'X'; -- reset in_valid : in std_logic := 'X'; -- valid in_channel : in std_logic_vector(12 downto 0) := (others => 'X'); -- channel in_startofpacket : in std_logic := 'X'; -- startofpacket in_endofpacket : in std_logic := 'X'; -- endofpacket in_ready : out std_logic; -- ready in_data : in std_logic_vector(99 downto 0) := (others => 'X'); -- data out_endofpacket : out std_logic; -- endofpacket out_data : out std_logic_vector(72 downto 0); -- data out_channel : out std_logic_vector(12 downto 0); -- channel out_valid : out std_logic; -- valid out_ready : in std_logic := 'X'; -- ready out_startofpacket : out std_logic -- startofpacket ); end component tracking_camera_system_width_adapter_004; component tracking_camera_system_width_adapter_005 is port ( clk : in std_logic := 'X'; -- clk reset : in std_logic := 'X'; -- reset in_valid : in std_logic := 'X'; -- valid in_channel : in std_logic_vector(12 downto 0) := (others => 'X'); -- channel in_startofpacket : in std_logic := 'X'; -- startofpacket in_endofpacket : in std_logic := 'X'; -- endofpacket in_ready : out std_logic; -- ready in_data : in std_logic_vector(72 downto 0) := (others => 'X'); -- data out_endofpacket : out std_logic; -- endofpacket out_data : out std_logic_vector(99 downto 0); -- data out_channel : out std_logic_vector(12 downto 0); -- channel out_valid : out std_logic; -- valid out_ready : in std_logic := 'X'; -- ready out_startofpacket : out std_logic -- startofpacket ); end component tracking_camera_system_width_adapter_005; component tracking_camera_system_crosser is port ( in_clk : in std_logic := 'X'; -- clk in_reset : in std_logic := 'X'; -- reset out_clk : in std_logic := 'X'; -- clk out_reset : in std_logic := 'X'; -- reset in_ready : out std_logic; -- ready in_valid : in std_logic := 'X'; -- valid in_startofpacket : in std_logic := 'X'; -- startofpacket in_endofpacket : in std_logic := 'X'; -- endofpacket in_channel : in std_logic_vector(12 downto 0) := (others => 'X'); -- channel in_data : in std_logic_vector(99 downto 0) := (others => 'X'); -- data out_ready : in std_logic := 'X'; -- ready out_valid : out std_logic; -- valid out_startofpacket : out std_logic; -- startofpacket out_endofpacket : out std_logic; -- endofpacket out_channel : out std_logic_vector(12 downto 0); -- channel out_data : out std_logic_vector(99 downto 0) -- data ); end component tracking_camera_system_crosser; component tracking_camera_system_irq_mapper is port ( clk : in std_logic := 'X'; -- clk reset : in std_logic := 'X'; -- reset receiver0_irq : in std_logic := 'X'; -- irq receiver1_irq : in std_logic := 'X'; -- irq sender_irq : out std_logic_vector(31 downto 0) -- irq ); end component tracking_camera_system_irq_mapper; component tracking_camera_system_nios2_qsys_0_jtag_debug_module_translator is generic ( AV_ADDRESS_W : integer := 30; AV_DATA_W : integer := 32; UAV_DATA_W : integer := 32; AV_BURSTCOUNT_W : integer := 4; AV_BYTEENABLE_W : integer := 4; UAV_BYTEENABLE_W : integer := 4; UAV_ADDRESS_W : integer := 32; UAV_BURSTCOUNT_W : integer := 4; AV_READLATENCY : integer := 0; USE_READDATAVALID : integer := 1; USE_WAITREQUEST : integer := 1; USE_UAV_CLKEN : integer := 0; AV_SYMBOLS_PER_WORD : integer := 4; AV_ADDRESS_SYMBOLS : integer := 0; AV_BURSTCOUNT_SYMBOLS : integer := 0; AV_CONSTANT_BURST_BEHAVIOR : integer := 0; UAV_CONSTANT_BURST_BEHAVIOR : integer := 0; AV_REQUIRE_UNALIGNED_ADDRESSES : integer := 0; CHIPSELECT_THROUGH_READLATENCY : integer := 0; AV_READ_WAIT_CYCLES : integer := 0; AV_WRITE_WAIT_CYCLES : integer := 0; AV_SETUP_WAIT_CYCLES : integer := 0; AV_DATA_HOLD_CYCLES : integer := 0 ); port ( clk : in std_logic := 'X'; -- clk reset : in std_logic := 'X'; -- reset uav_address : in std_logic_vector(24 downto 0) := (others => 'X'); -- address uav_burstcount : in std_logic_vector(2 downto 0) := (others => 'X'); -- burstcount uav_read : in std_logic := 'X'; -- read uav_write : in std_logic := 'X'; -- write uav_waitrequest : out std_logic; -- waitrequest uav_readdatavalid : out std_logic; -- readdatavalid uav_byteenable : in std_logic_vector(3 downto 0) := (others => 'X'); -- byteenable uav_readdata : out std_logic_vector(31 downto 0); -- readdata uav_writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata uav_lock : in std_logic := 'X'; -- lock uav_debugaccess : in std_logic := 'X'; -- debugaccess av_address : out std_logic_vector(8 downto 0); -- address av_write : out std_logic; -- write av_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata av_writedata : out std_logic_vector(31 downto 0); -- writedata av_begintransfer : out std_logic; -- begintransfer av_byteenable : out std_logic_vector(3 downto 0); -- byteenable av_chipselect : out std_logic; -- chipselect av_debugaccess : out std_logic; -- debugaccess av_read : out std_logic; -- read av_beginbursttransfer : out std_logic; -- beginbursttransfer av_burstcount : out std_logic_vector(0 downto 0); -- burstcount av_readdatavalid : in std_logic := 'X'; -- readdatavalid av_waitrequest : in std_logic := 'X'; -- waitrequest av_writebyteenable : out std_logic_vector(3 downto 0); -- writebyteenable av_lock : out std_logic; -- lock av_clken : out std_logic; -- clken uav_clken : in std_logic := 'X'; -- clken av_outputenable : out std_logic -- outputenable ); end component tracking_camera_system_nios2_qsys_0_jtag_debug_module_translator; component tracking_camera_system_onchip_memory2_0_s1_translator is generic ( AV_ADDRESS_W : integer := 30; AV_DATA_W : integer := 32; UAV_DATA_W : integer := 32; AV_BURSTCOUNT_W : integer := 4; AV_BYTEENABLE_W : integer := 4; UAV_BYTEENABLE_W : integer := 4; UAV_ADDRESS_W : integer := 32; UAV_BURSTCOUNT_W : integer := 4; AV_READLATENCY : integer := 0; USE_READDATAVALID : integer := 1; USE_WAITREQUEST : integer := 1; USE_UAV_CLKEN : integer := 0; AV_SYMBOLS_PER_WORD : integer := 4; AV_ADDRESS_SYMBOLS : integer := 0; AV_BURSTCOUNT_SYMBOLS : integer := 0; AV_CONSTANT_BURST_BEHAVIOR : integer := 0; UAV_CONSTANT_BURST_BEHAVIOR : integer := 0; AV_REQUIRE_UNALIGNED_ADDRESSES : integer := 0; CHIPSELECT_THROUGH_READLATENCY : integer := 0; AV_READ_WAIT_CYCLES : integer := 0; AV_WRITE_WAIT_CYCLES : integer := 0; AV_SETUP_WAIT_CYCLES : integer := 0; AV_DATA_HOLD_CYCLES : integer := 0 ); port ( clk : in std_logic := 'X'; -- clk reset : in std_logic := 'X'; -- reset uav_address : in std_logic_vector(24 downto 0) := (others => 'X'); -- address uav_burstcount : in std_logic_vector(2 downto 0) := (others => 'X'); -- burstcount uav_read : in std_logic := 'X'; -- read uav_write : in std_logic := 'X'; -- write uav_waitrequest : out std_logic; -- waitrequest uav_readdatavalid : out std_logic; -- readdatavalid uav_byteenable : in std_logic_vector(3 downto 0) := (others => 'X'); -- byteenable uav_readdata : out std_logic_vector(31 downto 0); -- readdata uav_writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata uav_lock : in std_logic := 'X'; -- lock uav_debugaccess : in std_logic := 'X'; -- debugaccess av_address : out std_logic_vector(11 downto 0); -- address av_write : out std_logic; -- write av_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata av_writedata : out std_logic_vector(31 downto 0); -- writedata av_byteenable : out std_logic_vector(3 downto 0); -- byteenable av_chipselect : out std_logic; -- chipselect av_clken : out std_logic; -- clken av_read : out std_logic; -- read av_begintransfer : out std_logic; -- begintransfer av_beginbursttransfer : out std_logic; -- beginbursttransfer av_burstcount : out std_logic_vector(0 downto 0); -- burstcount av_readdatavalid : in std_logic := 'X'; -- readdatavalid av_waitrequest : in std_logic := 'X'; -- waitrequest av_writebyteenable : out std_logic_vector(3 downto 0); -- writebyteenable av_lock : out std_logic; -- lock uav_clken : in std_logic := 'X'; -- clken av_debugaccess : out std_logic; -- debugaccess av_outputenable : out std_logic -- outputenable ); end component tracking_camera_system_onchip_memory2_0_s1_translator; component tracking_camera_system_sdram_0_s1_translator is generic ( AV_ADDRESS_W : integer := 30; AV_DATA_W : integer := 32; UAV_DATA_W : integer := 32; AV_BURSTCOUNT_W : integer := 4; AV_BYTEENABLE_W : integer := 4; UAV_BYTEENABLE_W : integer := 4; UAV_ADDRESS_W : integer := 32; UAV_BURSTCOUNT_W : integer := 4; AV_READLATENCY : integer := 0; USE_READDATAVALID : integer := 1; USE_WAITREQUEST : integer := 1; USE_UAV_CLKEN : integer := 0; AV_SYMBOLS_PER_WORD : integer := 4; AV_ADDRESS_SYMBOLS : integer := 0; AV_BURSTCOUNT_SYMBOLS : integer := 0; AV_CONSTANT_BURST_BEHAVIOR : integer := 0; UAV_CONSTANT_BURST_BEHAVIOR : integer := 0; AV_REQUIRE_UNALIGNED_ADDRESSES : integer := 0; CHIPSELECT_THROUGH_READLATENCY : integer := 0; AV_READ_WAIT_CYCLES : integer := 0; AV_WRITE_WAIT_CYCLES : integer := 0; AV_SETUP_WAIT_CYCLES : integer := 0; AV_DATA_HOLD_CYCLES : integer := 0 ); port ( clk : in std_logic := 'X'; -- clk reset : in std_logic := 'X'; -- reset uav_address : in std_logic_vector(24 downto 0) := (others => 'X'); -- address uav_burstcount : in std_logic_vector(1 downto 0) := (others => 'X'); -- burstcount uav_read : in std_logic := 'X'; -- read uav_write : in std_logic := 'X'; -- write uav_waitrequest : out std_logic; -- waitrequest uav_readdatavalid : out std_logic; -- readdatavalid uav_byteenable : in std_logic_vector(1 downto 0) := (others => 'X'); -- byteenable uav_readdata : out std_logic_vector(15 downto 0); -- readdata uav_writedata : in std_logic_vector(15 downto 0) := (others => 'X'); -- writedata uav_lock : in std_logic := 'X'; -- lock uav_debugaccess : in std_logic := 'X'; -- debugaccess av_address : out std_logic_vector(21 downto 0); -- address av_write : out std_logic; -- write av_read : out std_logic; -- read av_readdata : in std_logic_vector(15 downto 0) := (others => 'X'); -- readdata av_writedata : out std_logic_vector(15 downto 0); -- writedata av_byteenable : out std_logic_vector(1 downto 0); -- byteenable av_readdatavalid : in std_logic := 'X'; -- readdatavalid av_waitrequest : in std_logic := 'X'; -- waitrequest av_chipselect : out std_logic; -- chipselect av_begintransfer : out std_logic; -- begintransfer av_beginbursttransfer : out std_logic; -- beginbursttransfer av_burstcount : out std_logic_vector(0 downto 0); -- burstcount av_writebyteenable : out std_logic_vector(1 downto 0); -- writebyteenable av_lock : out std_logic; -- lock av_clken : out std_logic; -- clken uav_clken : in std_logic := 'X'; -- clken av_debugaccess : out std_logic; -- debugaccess av_outputenable : out std_logic -- outputenable ); end component tracking_camera_system_sdram_0_s1_translator; component tracking_camera_system_sram_0_avalon_sram_slave_translator is generic ( AV_ADDRESS_W : integer := 30; AV_DATA_W : integer := 32; UAV_DATA_W : integer := 32; AV_BURSTCOUNT_W : integer := 4; AV_BYTEENABLE_W : integer := 4; UAV_BYTEENABLE_W : integer := 4; UAV_ADDRESS_W : integer := 32; UAV_BURSTCOUNT_W : integer := 4; AV_READLATENCY : integer := 0; USE_READDATAVALID : integer := 1; USE_WAITREQUEST : integer := 1; USE_UAV_CLKEN : integer := 0; AV_SYMBOLS_PER_WORD : integer := 4; AV_ADDRESS_SYMBOLS : integer := 0; AV_BURSTCOUNT_SYMBOLS : integer := 0; AV_CONSTANT_BURST_BEHAVIOR : integer := 0; UAV_CONSTANT_BURST_BEHAVIOR : integer := 0; AV_REQUIRE_UNALIGNED_ADDRESSES : integer := 0; CHIPSELECT_THROUGH_READLATENCY : integer := 0; AV_READ_WAIT_CYCLES : integer := 0; AV_WRITE_WAIT_CYCLES : integer := 0; AV_SETUP_WAIT_CYCLES : integer := 0; AV_DATA_HOLD_CYCLES : integer := 0 ); port ( clk : in std_logic := 'X'; -- clk reset : in std_logic := 'X'; -- reset uav_address : in std_logic_vector(24 downto 0) := (others => 'X'); -- address uav_burstcount : in std_logic_vector(1 downto 0) := (others => 'X'); -- burstcount uav_read : in std_logic := 'X'; -- read uav_write : in std_logic := 'X'; -- write uav_waitrequest : out std_logic; -- waitrequest uav_readdatavalid : out std_logic; -- readdatavalid uav_byteenable : in std_logic_vector(1 downto 0) := (others => 'X'); -- byteenable uav_readdata : out std_logic_vector(15 downto 0); -- readdata uav_writedata : in std_logic_vector(15 downto 0) := (others => 'X'); -- writedata uav_lock : in std_logic := 'X'; -- lock uav_debugaccess : in std_logic := 'X'; -- debugaccess av_address : out std_logic_vector(17 downto 0); -- address av_write : out std_logic; -- write av_read : out std_logic; -- read av_readdata : in std_logic_vector(15 downto 0) := (others => 'X'); -- readdata av_writedata : out std_logic_vector(15 downto 0); -- writedata av_byteenable : out std_logic_vector(1 downto 0); -- byteenable av_readdatavalid : in std_logic := 'X'; -- readdatavalid av_begintransfer : out std_logic; -- begintransfer av_beginbursttransfer : out std_logic; -- beginbursttransfer av_burstcount : out std_logic_vector(0 downto 0); -- burstcount av_waitrequest : in std_logic := 'X'; -- waitrequest av_writebyteenable : out std_logic_vector(1 downto 0); -- writebyteenable av_lock : out std_logic; -- lock av_chipselect : out std_logic; -- chipselect av_clken : out std_logic; -- clken uav_clken : in std_logic := 'X'; -- clken av_debugaccess : out std_logic; -- debugaccess av_outputenable : out std_logic -- outputenable ); end component tracking_camera_system_sram_0_avalon_sram_slave_translator; component tracking_camera_system_altpll_0_pll_slave_translator is generic ( AV_ADDRESS_W : integer := 30; AV_DATA_W : integer := 32; UAV_DATA_W : integer := 32; AV_BURSTCOUNT_W : integer := 4; AV_BYTEENABLE_W : integer := 4; UAV_BYTEENABLE_W : integer := 4; UAV_ADDRESS_W : integer := 32; UAV_BURSTCOUNT_W : integer := 4; AV_READLATENCY : integer := 0; USE_READDATAVALID : integer := 1; USE_WAITREQUEST : integer := 1; USE_UAV_CLKEN : integer := 0; AV_SYMBOLS_PER_WORD : integer := 4; AV_ADDRESS_SYMBOLS : integer := 0; AV_BURSTCOUNT_SYMBOLS : integer := 0; AV_CONSTANT_BURST_BEHAVIOR : integer := 0; UAV_CONSTANT_BURST_BEHAVIOR : integer := 0; AV_REQUIRE_UNALIGNED_ADDRESSES : integer := 0; CHIPSELECT_THROUGH_READLATENCY : integer := 0; AV_READ_WAIT_CYCLES : integer := 0; AV_WRITE_WAIT_CYCLES : integer := 0; AV_SETUP_WAIT_CYCLES : integer := 0; AV_DATA_HOLD_CYCLES : integer := 0 ); port ( clk : in std_logic := 'X'; -- clk reset : in std_logic := 'X'; -- reset uav_address : in std_logic_vector(24 downto 0) := (others => 'X'); -- address uav_burstcount : in std_logic_vector(2 downto 0) := (others => 'X'); -- burstcount uav_read : in std_logic := 'X'; -- read uav_write : in std_logic := 'X'; -- write uav_waitrequest : out std_logic; -- waitrequest uav_readdatavalid : out std_logic; -- readdatavalid uav_byteenable : in std_logic_vector(3 downto 0) := (others => 'X'); -- byteenable uav_readdata : out std_logic_vector(31 downto 0); -- readdata uav_writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata uav_lock : in std_logic := 'X'; -- lock uav_debugaccess : in std_logic := 'X'; -- debugaccess av_address : out std_logic_vector(1 downto 0); -- address av_write : out std_logic; -- write av_read : out std_logic; -- read av_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata av_writedata : out std_logic_vector(31 downto 0); -- writedata av_begintransfer : out std_logic; -- begintransfer av_beginbursttransfer : out std_logic; -- beginbursttransfer av_burstcount : out std_logic_vector(0 downto 0); -- burstcount av_byteenable : out std_logic_vector(3 downto 0); -- byteenable av_readdatavalid : in std_logic := 'X'; -- readdatavalid av_waitrequest : in std_logic := 'X'; -- waitrequest av_writebyteenable : out std_logic_vector(3 downto 0); -- writebyteenable av_lock : out std_logic; -- lock av_chipselect : out std_logic; -- chipselect av_clken : out std_logic; -- clken uav_clken : in std_logic := 'X'; -- clken av_debugaccess : out std_logic; -- debugaccess av_outputenable : out std_logic -- outputenable ); end component tracking_camera_system_altpll_0_pll_slave_translator; component tracking_camera_system_sysid_qsys_0_control_slave_translator is generic ( AV_ADDRESS_W : integer := 30; AV_DATA_W : integer := 32; UAV_DATA_W : integer := 32; AV_BURSTCOUNT_W : integer := 4; AV_BYTEENABLE_W : integer := 4; UAV_BYTEENABLE_W : integer := 4; UAV_ADDRESS_W : integer := 32; UAV_BURSTCOUNT_W : integer := 4; AV_READLATENCY : integer := 0; USE_READDATAVALID : integer := 1; USE_WAITREQUEST : integer := 1; USE_UAV_CLKEN : integer := 0; AV_SYMBOLS_PER_WORD : integer := 4; AV_ADDRESS_SYMBOLS : integer := 0; AV_BURSTCOUNT_SYMBOLS : integer := 0; AV_CONSTANT_BURST_BEHAVIOR : integer := 0; UAV_CONSTANT_BURST_BEHAVIOR : integer := 0; AV_REQUIRE_UNALIGNED_ADDRESSES : integer := 0; CHIPSELECT_THROUGH_READLATENCY : integer := 0; AV_READ_WAIT_CYCLES : integer := 0; AV_WRITE_WAIT_CYCLES : integer := 0; AV_SETUP_WAIT_CYCLES : integer := 0; AV_DATA_HOLD_CYCLES : integer := 0 ); port ( clk : in std_logic := 'X'; -- clk reset : in std_logic := 'X'; -- reset uav_address : in std_logic_vector(24 downto 0) := (others => 'X'); -- address uav_burstcount : in std_logic_vector(2 downto 0) := (others => 'X'); -- burstcount uav_read : in std_logic := 'X'; -- read uav_write : in std_logic := 'X'; -- write uav_waitrequest : out std_logic; -- waitrequest uav_readdatavalid : out std_logic; -- readdatavalid uav_byteenable : in std_logic_vector(3 downto 0) := (others => 'X'); -- byteenable uav_readdata : out std_logic_vector(31 downto 0); -- readdata uav_writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata uav_lock : in std_logic := 'X'; -- lock uav_debugaccess : in std_logic := 'X'; -- debugaccess av_address : out std_logic_vector(0 downto 0); -- address av_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata av_write : out std_logic; -- write av_read : out std_logic; -- read av_writedata : out std_logic_vector(31 downto 0); -- writedata av_begintransfer : out std_logic; -- begintransfer av_beginbursttransfer : out std_logic; -- beginbursttransfer av_burstcount : out std_logic_vector(0 downto 0); -- burstcount av_byteenable : out std_logic_vector(3 downto 0); -- byteenable av_readdatavalid : in std_logic := 'X'; -- readdatavalid av_waitrequest : in std_logic := 'X'; -- waitrequest av_writebyteenable : out std_logic_vector(3 downto 0); -- writebyteenable av_lock : out std_logic; -- lock av_chipselect : out std_logic; -- chipselect av_clken : out std_logic; -- clken uav_clken : in std_logic := 'X'; -- clken av_debugaccess : out std_logic; -- debugaccess av_outputenable : out std_logic -- outputenable ); end component tracking_camera_system_sysid_qsys_0_control_slave_translator; component tracking_camera_system_timer_0_s1_translator is generic ( AV_ADDRESS_W : integer := 30; AV_DATA_W : integer := 32; UAV_DATA_W : integer := 32; AV_BURSTCOUNT_W : integer := 4; AV_BYTEENABLE_W : integer := 4; UAV_BYTEENABLE_W : integer := 4; UAV_ADDRESS_W : integer := 32; UAV_BURSTCOUNT_W : integer := 4; AV_READLATENCY : integer := 0; USE_READDATAVALID : integer := 1; USE_WAITREQUEST : integer := 1; USE_UAV_CLKEN : integer := 0; AV_SYMBOLS_PER_WORD : integer := 4; AV_ADDRESS_SYMBOLS : integer := 0; AV_BURSTCOUNT_SYMBOLS : integer := 0; AV_CONSTANT_BURST_BEHAVIOR : integer := 0; UAV_CONSTANT_BURST_BEHAVIOR : integer := 0; AV_REQUIRE_UNALIGNED_ADDRESSES : integer := 0; CHIPSELECT_THROUGH_READLATENCY : integer := 0; AV_READ_WAIT_CYCLES : integer := 0; AV_WRITE_WAIT_CYCLES : integer := 0; AV_SETUP_WAIT_CYCLES : integer := 0; AV_DATA_HOLD_CYCLES : integer := 0 ); port ( clk : in std_logic := 'X'; -- clk reset : in std_logic := 'X'; -- reset uav_address : in std_logic_vector(24 downto 0) := (others => 'X'); -- address uav_burstcount : in std_logic_vector(2 downto 0) := (others => 'X'); -- burstcount uav_read : in std_logic := 'X'; -- read uav_write : in std_logic := 'X'; -- write uav_waitrequest : out std_logic; -- waitrequest uav_readdatavalid : out std_logic; -- readdatavalid uav_byteenable : in std_logic_vector(3 downto 0) := (others => 'X'); -- byteenable uav_readdata : out std_logic_vector(31 downto 0); -- readdata uav_writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata uav_lock : in std_logic := 'X'; -- lock uav_debugaccess : in std_logic := 'X'; -- debugaccess av_address : out std_logic_vector(2 downto 0); -- address av_write : out std_logic; -- write av_readdata : in std_logic_vector(15 downto 0) := (others => 'X'); -- readdata av_writedata : out std_logic_vector(15 downto 0); -- writedata av_chipselect : out std_logic; -- chipselect av_read : out std_logic; -- read av_begintransfer : out std_logic; -- begintransfer av_beginbursttransfer : out std_logic; -- beginbursttransfer av_burstcount : out std_logic_vector(0 downto 0); -- burstcount av_byteenable : out std_logic_vector(0 downto 0); -- byteenable av_readdatavalid : in std_logic := 'X'; -- readdatavalid av_waitrequest : in std_logic := 'X'; -- waitrequest av_writebyteenable : out std_logic_vector(0 downto 0); -- writebyteenable av_lock : out std_logic; -- lock av_clken : out std_logic; -- clken uav_clken : in std_logic := 'X'; -- clken av_debugaccess : out std_logic; -- debugaccess av_outputenable : out std_logic -- outputenable ); end component tracking_camera_system_timer_0_s1_translator; component tracking_camera_system_jtag_uart_0_avalon_jtag_slave_translator is generic ( AV_ADDRESS_W : integer := 30; AV_DATA_W : integer := 32; UAV_DATA_W : integer := 32; AV_BURSTCOUNT_W : integer := 4; AV_BYTEENABLE_W : integer := 4; UAV_BYTEENABLE_W : integer := 4; UAV_ADDRESS_W : integer := 32; UAV_BURSTCOUNT_W : integer := 4; AV_READLATENCY : integer := 0; USE_READDATAVALID : integer := 1; USE_WAITREQUEST : integer := 1; USE_UAV_CLKEN : integer := 0; AV_SYMBOLS_PER_WORD : integer := 4; AV_ADDRESS_SYMBOLS : integer := 0; AV_BURSTCOUNT_SYMBOLS : integer := 0; AV_CONSTANT_BURST_BEHAVIOR : integer := 0; UAV_CONSTANT_BURST_BEHAVIOR : integer := 0; AV_REQUIRE_UNALIGNED_ADDRESSES : integer := 0; CHIPSELECT_THROUGH_READLATENCY : integer := 0; AV_READ_WAIT_CYCLES : integer := 0; AV_WRITE_WAIT_CYCLES : integer := 0; AV_SETUP_WAIT_CYCLES : integer := 0; AV_DATA_HOLD_CYCLES : integer := 0 ); port ( clk : in std_logic := 'X'; -- clk reset : in std_logic := 'X'; -- reset uav_address : in std_logic_vector(24 downto 0) := (others => 'X'); -- address uav_burstcount : in std_logic_vector(2 downto 0) := (others => 'X'); -- burstcount uav_read : in std_logic := 'X'; -- read uav_write : in std_logic := 'X'; -- write uav_waitrequest : out std_logic; -- waitrequest uav_readdatavalid : out std_logic; -- readdatavalid uav_byteenable : in std_logic_vector(3 downto 0) := (others => 'X'); -- byteenable uav_readdata : out std_logic_vector(31 downto 0); -- readdata uav_writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata uav_lock : in std_logic := 'X'; -- lock uav_debugaccess : in std_logic := 'X'; -- debugaccess av_address : out std_logic_vector(0 downto 0); -- address av_write : out std_logic; -- write av_read : out std_logic; -- read av_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata av_writedata : out std_logic_vector(31 downto 0); -- writedata av_waitrequest : in std_logic := 'X'; -- waitrequest av_chipselect : out std_logic; -- chipselect av_begintransfer : out std_logic; -- begintransfer av_beginbursttransfer : out std_logic; -- beginbursttransfer av_burstcount : out std_logic_vector(0 downto 0); -- burstcount av_byteenable : out std_logic_vector(0 downto 0); -- byteenable av_readdatavalid : in std_logic := 'X'; -- readdatavalid av_writebyteenable : out std_logic_vector(0 downto 0); -- writebyteenable av_lock : out std_logic; -- lock av_clken : out std_logic; -- clken uav_clken : in std_logic := 'X'; -- clken av_debugaccess : out std_logic; -- debugaccess av_outputenable : out std_logic -- outputenable ); end component tracking_camera_system_jtag_uart_0_avalon_jtag_slave_translator; component tracking_camera_system_character_lcd_0_avalon_lcd_slave_translator is generic ( AV_ADDRESS_W : integer := 30; AV_DATA_W : integer := 32; UAV_DATA_W : integer := 32; AV_BURSTCOUNT_W : integer := 4; AV_BYTEENABLE_W : integer := 4; UAV_BYTEENABLE_W : integer := 4; UAV_ADDRESS_W : integer := 32; UAV_BURSTCOUNT_W : integer := 4; AV_READLATENCY : integer := 0; USE_READDATAVALID : integer := 1; USE_WAITREQUEST : integer := 1; USE_UAV_CLKEN : integer := 0; AV_SYMBOLS_PER_WORD : integer := 4; AV_ADDRESS_SYMBOLS : integer := 0; AV_BURSTCOUNT_SYMBOLS : integer := 0; AV_CONSTANT_BURST_BEHAVIOR : integer := 0; UAV_CONSTANT_BURST_BEHAVIOR : integer := 0; AV_REQUIRE_UNALIGNED_ADDRESSES : integer := 0; CHIPSELECT_THROUGH_READLATENCY : integer := 0; AV_READ_WAIT_CYCLES : integer := 0; AV_WRITE_WAIT_CYCLES : integer := 0; AV_SETUP_WAIT_CYCLES : integer := 0; AV_DATA_HOLD_CYCLES : integer := 0 ); port ( clk : in std_logic := 'X'; -- clk reset : in std_logic := 'X'; -- reset uav_address : in std_logic_vector(24 downto 0) := (others => 'X'); -- address uav_burstcount : in std_logic_vector(0 downto 0) := (others => 'X'); -- burstcount uav_read : in std_logic := 'X'; -- read uav_write : in std_logic := 'X'; -- write uav_waitrequest : out std_logic; -- waitrequest uav_readdatavalid : out std_logic; -- readdatavalid uav_byteenable : in std_logic_vector(0 downto 0) := (others => 'X'); -- byteenable uav_readdata : out std_logic_vector(7 downto 0); -- readdata uav_writedata : in std_logic_vector(7 downto 0) := (others => 'X'); -- writedata uav_lock : in std_logic := 'X'; -- lock uav_debugaccess : in std_logic := 'X'; -- debugaccess av_address : out std_logic_vector(0 downto 0); -- address av_write : out std_logic; -- write av_read : out std_logic; -- read av_readdata : in std_logic_vector(7 downto 0) := (others => 'X'); -- readdata av_writedata : out std_logic_vector(7 downto 0); -- writedata av_waitrequest : in std_logic := 'X'; -- waitrequest av_chipselect : out std_logic; -- chipselect av_begintransfer : out std_logic; -- begintransfer av_beginbursttransfer : out std_logic; -- beginbursttransfer av_burstcount : out std_logic_vector(0 downto 0); -- burstcount av_byteenable : out std_logic_vector(0 downto 0); -- byteenable av_readdatavalid : in std_logic := 'X'; -- readdatavalid av_writebyteenable : out std_logic_vector(0 downto 0); -- writebyteenable av_lock : out std_logic; -- lock av_clken : out std_logic; -- clken uav_clken : in std_logic := 'X'; -- clken av_debugaccess : out std_logic; -- debugaccess av_outputenable : out std_logic -- outputenable ); end component tracking_camera_system_character_lcd_0_avalon_lcd_slave_translator; component tracking_camera_system_green_leds_s1_translator is generic ( AV_ADDRESS_W : integer := 30; AV_DATA_W : integer := 32; UAV_DATA_W : integer := 32; AV_BURSTCOUNT_W : integer := 4; AV_BYTEENABLE_W : integer := 4; UAV_BYTEENABLE_W : integer := 4; UAV_ADDRESS_W : integer := 32; UAV_BURSTCOUNT_W : integer := 4; AV_READLATENCY : integer := 0; USE_READDATAVALID : integer := 1; USE_WAITREQUEST : integer := 1; USE_UAV_CLKEN : integer := 0; AV_SYMBOLS_PER_WORD : integer := 4; AV_ADDRESS_SYMBOLS : integer := 0; AV_BURSTCOUNT_SYMBOLS : integer := 0; AV_CONSTANT_BURST_BEHAVIOR : integer := 0; UAV_CONSTANT_BURST_BEHAVIOR : integer := 0; AV_REQUIRE_UNALIGNED_ADDRESSES : integer := 0; CHIPSELECT_THROUGH_READLATENCY : integer := 0; AV_READ_WAIT_CYCLES : integer := 0; AV_WRITE_WAIT_CYCLES : integer := 0; AV_SETUP_WAIT_CYCLES : integer := 0; AV_DATA_HOLD_CYCLES : integer := 0 ); port ( clk : in std_logic := 'X'; -- clk reset : in std_logic := 'X'; -- reset uav_address : in std_logic_vector(24 downto 0) := (others => 'X'); -- address uav_burstcount : in std_logic_vector(2 downto 0) := (others => 'X'); -- burstcount uav_read : in std_logic := 'X'; -- read uav_write : in std_logic := 'X'; -- write uav_waitrequest : out std_logic; -- waitrequest uav_readdatavalid : out std_logic; -- readdatavalid uav_byteenable : in std_logic_vector(3 downto 0) := (others => 'X'); -- byteenable uav_readdata : out std_logic_vector(31 downto 0); -- readdata uav_writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata uav_lock : in std_logic := 'X'; -- lock uav_debugaccess : in std_logic := 'X'; -- debugaccess av_address : out std_logic_vector(1 downto 0); -- address av_write : out std_logic; -- write av_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata av_writedata : out std_logic_vector(31 downto 0); -- writedata av_chipselect : out std_logic; -- chipselect av_read : out std_logic; -- read av_begintransfer : out std_logic; -- begintransfer av_beginbursttransfer : out std_logic; -- beginbursttransfer av_burstcount : out std_logic_vector(0 downto 0); -- burstcount av_byteenable : out std_logic_vector(0 downto 0); -- byteenable av_readdatavalid : in std_logic := 'X'; -- readdatavalid av_waitrequest : in std_logic := 'X'; -- waitrequest av_writebyteenable : out std_logic_vector(0 downto 0); -- writebyteenable av_lock : out std_logic; -- lock av_clken : out std_logic; -- clken uav_clken : in std_logic := 'X'; -- clken av_debugaccess : out std_logic; -- debugaccess av_outputenable : out std_logic -- outputenable ); end component tracking_camera_system_green_leds_s1_translator; signal altpll_0_c1_clk : std_logic; -- altpll_0:c1 -> [addr_router:clk, addr_router_001:clk, burst_adapter:clk, burst_adapter_001:clk, burst_adapter_002:clk, burst_adapter_003:clk, character_lcd_0:clk, character_lcd_0_avalon_lcd_slave_translator:clk, character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent:clk, character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:clk, cmd_xbar_demux:clk, cmd_xbar_demux_001:clk, cmd_xbar_mux:clk, cmd_xbar_mux_001:clk, cmd_xbar_mux_002:clk, cmd_xbar_mux_003:clk, crosser:in_clk, crosser_001:out_clk, green_leds:clk, green_leds_s1_translator:clk, green_leds_s1_translator_avalon_universal_slave_0_agent:clk, green_leds_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:clk, id_router:clk, id_router_001:clk, id_router_002:clk, id_router_003:clk, id_router_005:clk, id_router_006:clk, id_router_007:clk, id_router_008:clk, id_router_009:clk, id_router_010:clk, id_router_011:clk, id_router_012:clk, irq_mapper:clk, jtag_uart_0:clk, jtag_uart_0_avalon_jtag_slave_translator:clk, jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:clk, jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:clk, limiter:clk, limiter_001:clk, nios2_qsys_0:clk, nios2_qsys_0_data_master_translator:clk, nios2_qsys_0_data_master_translator_avalon_universal_master_0_agent:clk, nios2_qsys_0_instruction_master_translator:clk, nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_agent:clk, nios2_qsys_0_jtag_debug_module_translator:clk, nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent:clk, nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo:clk, onchip_memory2_0:clk, onchip_memory2_0_s1_translator:clk, onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent:clk, onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:clk, rsp_xbar_demux:clk, rsp_xbar_demux_001:clk, rsp_xbar_demux_002:clk, rsp_xbar_demux_003:clk, rsp_xbar_demux_005:clk, rsp_xbar_demux_006:clk, rsp_xbar_demux_007:clk, rsp_xbar_demux_008:clk, rsp_xbar_demux_009:clk, rsp_xbar_demux_010:clk, rsp_xbar_demux_011:clk, rsp_xbar_demux_012:clk, rsp_xbar_mux:clk, rsp_xbar_mux_001:clk, rst_controller:clk, sdram_0:clk, sdram_0_s1_translator:clk, sdram_0_s1_translator_avalon_universal_slave_0_agent:clk, sdram_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:clk, servo_pwm_0:clk, servo_pwm_0_s0_translator:clk, servo_pwm_0_s0_translator_avalon_universal_slave_0_agent:clk, servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rsp_fifo:clk, sram_0:clk, sram_0_avalon_sram_slave_translator:clk, sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent:clk, sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:clk, switch:clk, switch_0:clk, switch_0_s1_translator:clk, switch_0_s1_translator_avalon_universal_slave_0_agent:clk, switch_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:clk, switch_s1_translator:clk, switch_s1_translator_avalon_universal_slave_0_agent:clk, switch_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:clk, sysid_qsys_0:clock, sysid_qsys_0_control_slave_translator:clk, sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent:clk, sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:clk, timer_0:clk, timer_0_s1_translator:clk, timer_0_s1_translator_avalon_universal_slave_0_agent:clk, timer_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:clk, width_adapter:clk, width_adapter_001:clk, width_adapter_002:clk, width_adapter_003:clk, width_adapter_004:clk, width_adapter_005:clk, width_adapter_006:clk, width_adapter_007:clk] signal nios2_qsys_0_instruction_master_waitrequest : std_logic; -- nios2_qsys_0_instruction_master_translator:av_waitrequest -> nios2_qsys_0:i_waitrequest signal nios2_qsys_0_instruction_master_address : std_logic_vector(24 downto 0); -- nios2_qsys_0:i_address -> nios2_qsys_0_instruction_master_translator:av_address signal nios2_qsys_0_instruction_master_read : std_logic; -- nios2_qsys_0:i_read -> nios2_qsys_0_instruction_master_translator:av_read signal nios2_qsys_0_instruction_master_readdata : std_logic_vector(31 downto 0); -- nios2_qsys_0_instruction_master_translator:av_readdata -> nios2_qsys_0:i_readdata signal nios2_qsys_0_instruction_master_readdatavalid : std_logic; -- nios2_qsys_0_instruction_master_translator:av_readdatavalid -> nios2_qsys_0:i_readdatavalid signal nios2_qsys_0_data_master_waitrequest : std_logic; -- nios2_qsys_0_data_master_translator:av_waitrequest -> nios2_qsys_0:d_waitrequest signal nios2_qsys_0_data_master_writedata : std_logic_vector(31 downto 0); -- nios2_qsys_0:d_writedata -> nios2_qsys_0_data_master_translator:av_writedata signal nios2_qsys_0_data_master_address : std_logic_vector(24 downto 0); -- nios2_qsys_0:d_address -> nios2_qsys_0_data_master_translator:av_address signal nios2_qsys_0_data_master_write : std_logic; -- nios2_qsys_0:d_write -> nios2_qsys_0_data_master_translator:av_write signal nios2_qsys_0_data_master_read : std_logic; -- nios2_qsys_0:d_read -> nios2_qsys_0_data_master_translator:av_read signal nios2_qsys_0_data_master_readdata : std_logic_vector(31 downto 0); -- nios2_qsys_0_data_master_translator:av_readdata -> nios2_qsys_0:d_readdata signal nios2_qsys_0_data_master_debugaccess : std_logic; -- nios2_qsys_0:jtag_debug_module_debugaccess_to_roms -> nios2_qsys_0_data_master_translator:av_debugaccess signal nios2_qsys_0_data_master_readdatavalid : std_logic; -- nios2_qsys_0_data_master_translator:av_readdatavalid -> nios2_qsys_0:d_readdatavalid signal nios2_qsys_0_data_master_byteenable : std_logic_vector(3 downto 0); -- nios2_qsys_0:d_byteenable -> nios2_qsys_0_data_master_translator:av_byteenable signal nios2_qsys_0_jtag_debug_module_translator_avalon_anti_slave_0_writedata : std_logic_vector(31 downto 0); -- nios2_qsys_0_jtag_debug_module_translator:av_writedata -> nios2_qsys_0:jtag_debug_module_writedata signal nios2_qsys_0_jtag_debug_module_translator_avalon_anti_slave_0_address : std_logic_vector(8 downto 0); -- nios2_qsys_0_jtag_debug_module_translator:av_address -> nios2_qsys_0:jtag_debug_module_address signal nios2_qsys_0_jtag_debug_module_translator_avalon_anti_slave_0_chipselect : std_logic; -- nios2_qsys_0_jtag_debug_module_translator:av_chipselect -> nios2_qsys_0:jtag_debug_module_select signal nios2_qsys_0_jtag_debug_module_translator_avalon_anti_slave_0_write : std_logic; -- nios2_qsys_0_jtag_debug_module_translator:av_write -> nios2_qsys_0:jtag_debug_module_write signal nios2_qsys_0_jtag_debug_module_translator_avalon_anti_slave_0_readdata : std_logic_vector(31 downto 0); -- nios2_qsys_0:jtag_debug_module_readdata -> nios2_qsys_0_jtag_debug_module_translator:av_readdata signal nios2_qsys_0_jtag_debug_module_translator_avalon_anti_slave_0_begintransfer : std_logic; -- nios2_qsys_0_jtag_debug_module_translator:av_begintransfer -> nios2_qsys_0:jtag_debug_module_begintransfer signal nios2_qsys_0_jtag_debug_module_translator_avalon_anti_slave_0_debugaccess : std_logic; -- nios2_qsys_0_jtag_debug_module_translator:av_debugaccess -> nios2_qsys_0:jtag_debug_module_debugaccess signal nios2_qsys_0_jtag_debug_module_translator_avalon_anti_slave_0_byteenable : std_logic_vector(3 downto 0); -- nios2_qsys_0_jtag_debug_module_translator:av_byteenable -> nios2_qsys_0:jtag_debug_module_byteenable signal onchip_memory2_0_s1_translator_avalon_anti_slave_0_writedata : std_logic_vector(31 downto 0); -- onchip_memory2_0_s1_translator:av_writedata -> onchip_memory2_0:writedata signal onchip_memory2_0_s1_translator_avalon_anti_slave_0_address : std_logic_vector(11 downto 0); -- onchip_memory2_0_s1_translator:av_address -> onchip_memory2_0:address signal onchip_memory2_0_s1_translator_avalon_anti_slave_0_chipselect : std_logic; -- onchip_memory2_0_s1_translator:av_chipselect -> onchip_memory2_0:chipselect signal onchip_memory2_0_s1_translator_avalon_anti_slave_0_clken : std_logic; -- onchip_memory2_0_s1_translator:av_clken -> onchip_memory2_0:clken signal onchip_memory2_0_s1_translator_avalon_anti_slave_0_write : std_logic; -- onchip_memory2_0_s1_translator:av_write -> onchip_memory2_0:write signal onchip_memory2_0_s1_translator_avalon_anti_slave_0_readdata : std_logic_vector(31 downto 0); -- onchip_memory2_0:readdata -> onchip_memory2_0_s1_translator:av_readdata signal onchip_memory2_0_s1_translator_avalon_anti_slave_0_byteenable : std_logic_vector(3 downto 0); -- onchip_memory2_0_s1_translator:av_byteenable -> onchip_memory2_0:byteenable signal sdram_0_s1_translator_avalon_anti_slave_0_waitrequest : std_logic; -- sdram_0:za_waitrequest -> sdram_0_s1_translator:av_waitrequest signal sdram_0_s1_translator_avalon_anti_slave_0_writedata : std_logic_vector(15 downto 0); -- sdram_0_s1_translator:av_writedata -> sdram_0:az_data signal sdram_0_s1_translator_avalon_anti_slave_0_address : std_logic_vector(21 downto 0); -- sdram_0_s1_translator:av_address -> sdram_0:az_addr signal sdram_0_s1_translator_avalon_anti_slave_0_chipselect : std_logic; -- sdram_0_s1_translator:av_chipselect -> sdram_0:az_cs signal sdram_0_s1_translator_avalon_anti_slave_0_write : std_logic; -- sdram_0_s1_translator:av_write -> sdram_0_s1_translator_avalon_anti_slave_0_write:in signal sdram_0_s1_translator_avalon_anti_slave_0_read : std_logic; -- sdram_0_s1_translator:av_read -> sdram_0_s1_translator_avalon_anti_slave_0_read:in signal sdram_0_s1_translator_avalon_anti_slave_0_readdata : std_logic_vector(15 downto 0); -- sdram_0:za_data -> sdram_0_s1_translator:av_readdata signal sdram_0_s1_translator_avalon_anti_slave_0_readdatavalid : std_logic; -- sdram_0:za_valid -> sdram_0_s1_translator:av_readdatavalid signal sdram_0_s1_translator_avalon_anti_slave_0_byteenable : std_logic_vector(1 downto 0); -- sdram_0_s1_translator:av_byteenable -> sdram_0_s1_translator_avalon_anti_slave_0_byteenable:in signal sram_0_avalon_sram_slave_translator_avalon_anti_slave_0_writedata : std_logic_vector(15 downto 0); -- sram_0_avalon_sram_slave_translator:av_writedata -> sram_0:writedata signal sram_0_avalon_sram_slave_translator_avalon_anti_slave_0_address : std_logic_vector(17 downto 0); -- sram_0_avalon_sram_slave_translator:av_address -> sram_0:address signal sram_0_avalon_sram_slave_translator_avalon_anti_slave_0_write : std_logic; -- sram_0_avalon_sram_slave_translator:av_write -> sram_0:write signal sram_0_avalon_sram_slave_translator_avalon_anti_slave_0_read : std_logic; -- sram_0_avalon_sram_slave_translator:av_read -> sram_0:read signal sram_0_avalon_sram_slave_translator_avalon_anti_slave_0_readdata : std_logic_vector(15 downto 0); -- sram_0:readdata -> sram_0_avalon_sram_slave_translator:av_readdata signal sram_0_avalon_sram_slave_translator_avalon_anti_slave_0_readdatavalid : std_logic; -- sram_0:readdatavalid -> sram_0_avalon_sram_slave_translator:av_readdatavalid signal sram_0_avalon_sram_slave_translator_avalon_anti_slave_0_byteenable : std_logic_vector(1 downto 0); -- sram_0_avalon_sram_slave_translator:av_byteenable -> sram_0:byteenable signal altpll_0_pll_slave_translator_avalon_anti_slave_0_writedata : std_logic_vector(31 downto 0); -- altpll_0_pll_slave_translator:av_writedata -> altpll_0:writedata signal altpll_0_pll_slave_translator_avalon_anti_slave_0_address : std_logic_vector(1 downto 0); -- altpll_0_pll_slave_translator:av_address -> altpll_0:address signal altpll_0_pll_slave_translator_avalon_anti_slave_0_write : std_logic; -- altpll_0_pll_slave_translator:av_write -> altpll_0:write signal altpll_0_pll_slave_translator_avalon_anti_slave_0_read : std_logic; -- altpll_0_pll_slave_translator:av_read -> altpll_0:read signal altpll_0_pll_slave_translator_avalon_anti_slave_0_readdata : std_logic_vector(31 downto 0); -- altpll_0:readdata -> altpll_0_pll_slave_translator:av_readdata signal sysid_qsys_0_control_slave_translator_avalon_anti_slave_0_address : std_logic_vector(0 downto 0); -- sysid_qsys_0_control_slave_translator:av_address -> sysid_qsys_0:address signal sysid_qsys_0_control_slave_translator_avalon_anti_slave_0_readdata : std_logic_vector(31 downto 0); -- sysid_qsys_0:readdata -> sysid_qsys_0_control_slave_translator:av_readdata signal timer_0_s1_translator_avalon_anti_slave_0_writedata : std_logic_vector(15 downto 0); -- timer_0_s1_translator:av_writedata -> timer_0:writedata signal timer_0_s1_translator_avalon_anti_slave_0_address : std_logic_vector(2 downto 0); -- timer_0_s1_translator:av_address -> timer_0:address signal timer_0_s1_translator_avalon_anti_slave_0_chipselect : std_logic; -- timer_0_s1_translator:av_chipselect -> timer_0:chipselect signal timer_0_s1_translator_avalon_anti_slave_0_write : std_logic; -- timer_0_s1_translator:av_write -> timer_0_s1_translator_avalon_anti_slave_0_write:in signal timer_0_s1_translator_avalon_anti_slave_0_readdata : std_logic_vector(15 downto 0); -- timer_0:readdata -> timer_0_s1_translator:av_readdata signal jtag_uart_0_avalon_jtag_slave_translator_avalon_anti_slave_0_waitrequest : std_logic; -- jtag_uart_0:av_waitrequest -> jtag_uart_0_avalon_jtag_slave_translator:av_waitrequest signal jtag_uart_0_avalon_jtag_slave_translator_avalon_anti_slave_0_writedata : std_logic_vector(31 downto 0); -- jtag_uart_0_avalon_jtag_slave_translator:av_writedata -> jtag_uart_0:av_writedata signal jtag_uart_0_avalon_jtag_slave_translator_avalon_anti_slave_0_address : std_logic_vector(0 downto 0); -- jtag_uart_0_avalon_jtag_slave_translator:av_address -> jtag_uart_0:av_address signal jtag_uart_0_avalon_jtag_slave_translator_avalon_anti_slave_0_chipselect : std_logic; -- jtag_uart_0_avalon_jtag_slave_translator:av_chipselect -> jtag_uart_0:av_chipselect signal jtag_uart_0_avalon_jtag_slave_translator_avalon_anti_slave_0_write : std_logic; -- jtag_uart_0_avalon_jtag_slave_translator:av_write -> jtag_uart_0_avalon_jtag_slave_translator_avalon_anti_slave_0_write:in signal jtag_uart_0_avalon_jtag_slave_translator_avalon_anti_slave_0_read : std_logic; -- jtag_uart_0_avalon_jtag_slave_translator:av_read -> jtag_uart_0_avalon_jtag_slave_translator_avalon_anti_slave_0_read:in signal jtag_uart_0_avalon_jtag_slave_translator_avalon_anti_slave_0_readdata : std_logic_vector(31 downto 0); -- jtag_uart_0:av_readdata -> jtag_uart_0_avalon_jtag_slave_translator:av_readdata signal character_lcd_0_avalon_lcd_slave_translator_avalon_anti_slave_0_waitrequest : std_logic; -- character_lcd_0:waitrequest -> character_lcd_0_avalon_lcd_slave_translator:av_waitrequest signal character_lcd_0_avalon_lcd_slave_translator_avalon_anti_slave_0_writedata : std_logic_vector(7 downto 0); -- character_lcd_0_avalon_lcd_slave_translator:av_writedata -> character_lcd_0:writedata signal character_lcd_0_avalon_lcd_slave_translator_avalon_anti_slave_0_address : std_logic_vector(0 downto 0); -- character_lcd_0_avalon_lcd_slave_translator:av_address -> character_lcd_0:address signal character_lcd_0_avalon_lcd_slave_translator_avalon_anti_slave_0_chipselect : std_logic; -- character_lcd_0_avalon_lcd_slave_translator:av_chipselect -> character_lcd_0:chipselect signal character_lcd_0_avalon_lcd_slave_translator_avalon_anti_slave_0_write : std_logic; -- character_lcd_0_avalon_lcd_slave_translator:av_write -> character_lcd_0:write signal character_lcd_0_avalon_lcd_slave_translator_avalon_anti_slave_0_read : std_logic; -- character_lcd_0_avalon_lcd_slave_translator:av_read -> character_lcd_0:read signal character_lcd_0_avalon_lcd_slave_translator_avalon_anti_slave_0_readdata : std_logic_vector(7 downto 0); -- character_lcd_0:readdata -> character_lcd_0_avalon_lcd_slave_translator:av_readdata signal green_leds_s1_translator_avalon_anti_slave_0_writedata : std_logic_vector(31 downto 0); -- green_leds_s1_translator:av_writedata -> green_leds:writedata signal green_leds_s1_translator_avalon_anti_slave_0_address : std_logic_vector(1 downto 0); -- green_leds_s1_translator:av_address -> green_leds:address signal green_leds_s1_translator_avalon_anti_slave_0_chipselect : std_logic; -- green_leds_s1_translator:av_chipselect -> green_leds:chipselect signal green_leds_s1_translator_avalon_anti_slave_0_write : std_logic; -- green_leds_s1_translator:av_write -> green_leds_s1_translator_avalon_anti_slave_0_write:in signal green_leds_s1_translator_avalon_anti_slave_0_readdata : std_logic_vector(31 downto 0); -- green_leds:readdata -> green_leds_s1_translator:av_readdata signal switch_s1_translator_avalon_anti_slave_0_address : std_logic_vector(1 downto 0); -- switch_s1_translator:av_address -> switch:address signal switch_s1_translator_avalon_anti_slave_0_readdata : std_logic_vector(31 downto 0); -- switch:readdata -> switch_s1_translator:av_readdata signal servo_pwm_0_s0_translator_avalon_anti_slave_0_writedata : std_logic_vector(7 downto 0); -- servo_pwm_0_s0_translator:av_writedata -> servo_pwm_0:avs_s0_writedata signal servo_pwm_0_s0_translator_avalon_anti_slave_0_write : std_logic; -- servo_pwm_0_s0_translator:av_write -> servo_pwm_0_s0_translator_avalon_anti_slave_0_write:in signal switch_0_s1_translator_avalon_anti_slave_0_address : std_logic_vector(1 downto 0); -- switch_0_s1_translator:av_address -> switch_0:address signal switch_0_s1_translator_avalon_anti_slave_0_readdata : std_logic_vector(31 downto 0); -- switch_0:readdata -> switch_0_s1_translator:av_readdata signal nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_waitrequest : std_logic; -- nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_agent:av_waitrequest -> nios2_qsys_0_instruction_master_translator:uav_waitrequest signal nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_burstcount : std_logic_vector(2 downto 0); -- nios2_qsys_0_instruction_master_translator:uav_burstcount -> nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_agent:av_burstcount signal nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_writedata : std_logic_vector(31 downto 0); -- nios2_qsys_0_instruction_master_translator:uav_writedata -> nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_agent:av_writedata signal nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_address : std_logic_vector(24 downto 0); -- nios2_qsys_0_instruction_master_translator:uav_address -> nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_agent:av_address signal nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_lock : std_logic; -- nios2_qsys_0_instruction_master_translator:uav_lock -> nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_agent:av_lock signal nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_write : std_logic; -- nios2_qsys_0_instruction_master_translator:uav_write -> nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_agent:av_write signal nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_read : std_logic; -- nios2_qsys_0_instruction_master_translator:uav_read -> nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_agent:av_read signal nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_readdata : std_logic_vector(31 downto 0); -- nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_agent:av_readdata -> nios2_qsys_0_instruction_master_translator:uav_readdata signal nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_debugaccess : std_logic; -- nios2_qsys_0_instruction_master_translator:uav_debugaccess -> nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_agent:av_debugaccess signal nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_byteenable : std_logic_vector(3 downto 0); -- nios2_qsys_0_instruction_master_translator:uav_byteenable -> nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_agent:av_byteenable signal nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_readdatavalid : std_logic; -- nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_agent:av_readdatavalid -> nios2_qsys_0_instruction_master_translator:uav_readdatavalid signal nios2_qsys_0_data_master_translator_avalon_universal_master_0_waitrequest : std_logic; -- nios2_qsys_0_data_master_translator_avalon_universal_master_0_agent:av_waitrequest -> nios2_qsys_0_data_master_translator:uav_waitrequest signal nios2_qsys_0_data_master_translator_avalon_universal_master_0_burstcount : std_logic_vector(2 downto 0); -- nios2_qsys_0_data_master_translator:uav_burstcount -> nios2_qsys_0_data_master_translator_avalon_universal_master_0_agent:av_burstcount signal nios2_qsys_0_data_master_translator_avalon_universal_master_0_writedata : std_logic_vector(31 downto 0); -- nios2_qsys_0_data_master_translator:uav_writedata -> nios2_qsys_0_data_master_translator_avalon_universal_master_0_agent:av_writedata signal nios2_qsys_0_data_master_translator_avalon_universal_master_0_address : std_logic_vector(24 downto 0); -- nios2_qsys_0_data_master_translator:uav_address -> nios2_qsys_0_data_master_translator_avalon_universal_master_0_agent:av_address signal nios2_qsys_0_data_master_translator_avalon_universal_master_0_lock : std_logic; -- nios2_qsys_0_data_master_translator:uav_lock -> nios2_qsys_0_data_master_translator_avalon_universal_master_0_agent:av_lock signal nios2_qsys_0_data_master_translator_avalon_universal_master_0_write : std_logic; -- nios2_qsys_0_data_master_translator:uav_write -> nios2_qsys_0_data_master_translator_avalon_universal_master_0_agent:av_write signal nios2_qsys_0_data_master_translator_avalon_universal_master_0_read : std_logic; -- nios2_qsys_0_data_master_translator:uav_read -> nios2_qsys_0_data_master_translator_avalon_universal_master_0_agent:av_read signal nios2_qsys_0_data_master_translator_avalon_universal_master_0_readdata : std_logic_vector(31 downto 0); -- nios2_qsys_0_data_master_translator_avalon_universal_master_0_agent:av_readdata -> nios2_qsys_0_data_master_translator:uav_readdata signal nios2_qsys_0_data_master_translator_avalon_universal_master_0_debugaccess : std_logic; -- nios2_qsys_0_data_master_translator:uav_debugaccess -> nios2_qsys_0_data_master_translator_avalon_universal_master_0_agent:av_debugaccess signal nios2_qsys_0_data_master_translator_avalon_universal_master_0_byteenable : std_logic_vector(3 downto 0); -- nios2_qsys_0_data_master_translator:uav_byteenable -> nios2_qsys_0_data_master_translator_avalon_universal_master_0_agent:av_byteenable signal nios2_qsys_0_data_master_translator_avalon_universal_master_0_readdatavalid : std_logic; -- nios2_qsys_0_data_master_translator_avalon_universal_master_0_agent:av_readdatavalid -> nios2_qsys_0_data_master_translator:uav_readdatavalid signal nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_waitrequest : std_logic; -- nios2_qsys_0_jtag_debug_module_translator:uav_waitrequest -> nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent:m0_waitrequest signal nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_burstcount : std_logic_vector(2 downto 0); -- nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent:m0_burstcount -> nios2_qsys_0_jtag_debug_module_translator:uav_burstcount signal nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_writedata : std_logic_vector(31 downto 0); -- nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent:m0_writedata -> nios2_qsys_0_jtag_debug_module_translator:uav_writedata signal nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_address : std_logic_vector(24 downto 0); -- nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent:m0_address -> nios2_qsys_0_jtag_debug_module_translator:uav_address signal nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_write : std_logic; -- nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent:m0_write -> nios2_qsys_0_jtag_debug_module_translator:uav_write signal nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_lock : std_logic; -- nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent:m0_lock -> nios2_qsys_0_jtag_debug_module_translator:uav_lock signal nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_read : std_logic; -- nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent:m0_read -> nios2_qsys_0_jtag_debug_module_translator:uav_read signal nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_readdata : std_logic_vector(31 downto 0); -- nios2_qsys_0_jtag_debug_module_translator:uav_readdata -> nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent:m0_readdata signal nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_readdatavalid : std_logic; -- nios2_qsys_0_jtag_debug_module_translator:uav_readdatavalid -> nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent:m0_readdatavalid signal nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_debugaccess : std_logic; -- nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent:m0_debugaccess -> nios2_qsys_0_jtag_debug_module_translator:uav_debugaccess signal nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_byteenable : std_logic_vector(3 downto 0); -- nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent:m0_byteenable -> nios2_qsys_0_jtag_debug_module_translator:uav_byteenable signal nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rf_source_endofpacket : std_logic; -- nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent:rf_source_endofpacket -> nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo:in_endofpacket signal nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rf_source_valid : std_logic; -- nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent:rf_source_valid -> nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo:in_valid signal nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rf_source_startofpacket : std_logic; -- nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent:rf_source_startofpacket -> nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo:in_startofpacket signal nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rf_source_data : std_logic_vector(100 downto 0); -- nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent:rf_source_data -> nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo:in_data signal nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rf_source_ready : std_logic; -- nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo:in_ready -> nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent:rf_source_ready signal nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket : std_logic; -- nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo:out_endofpacket -> nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent:rf_sink_endofpacket signal nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid : std_logic; -- nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo:out_valid -> nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent:rf_sink_valid signal nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket : std_logic; -- nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo:out_startofpacket -> nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent:rf_sink_startofpacket signal nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data : std_logic_vector(100 downto 0); -- nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo:out_data -> nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent:rf_sink_data signal nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready : std_logic; -- nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent:rf_sink_ready -> nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo:out_ready signal nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid : std_logic; -- nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent:rdata_fifo_src_valid -> nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_valid signal nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data : std_logic_vector(31 downto 0); -- nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent:rdata_fifo_src_data -> nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_data signal nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready : std_logic; -- nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_ready -> nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent:rdata_fifo_src_ready signal onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest : std_logic; -- onchip_memory2_0_s1_translator:uav_waitrequest -> onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent:m0_waitrequest signal onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_m0_burstcount : std_logic_vector(2 downto 0); -- onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent:m0_burstcount -> onchip_memory2_0_s1_translator:uav_burstcount signal onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_m0_writedata : std_logic_vector(31 downto 0); -- onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent:m0_writedata -> onchip_memory2_0_s1_translator:uav_writedata signal onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_m0_address : std_logic_vector(24 downto 0); -- onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent:m0_address -> onchip_memory2_0_s1_translator:uav_address signal onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_m0_write : std_logic; -- onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent:m0_write -> onchip_memory2_0_s1_translator:uav_write signal onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_m0_lock : std_logic; -- onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent:m0_lock -> onchip_memory2_0_s1_translator:uav_lock signal onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_m0_read : std_logic; -- onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent:m0_read -> onchip_memory2_0_s1_translator:uav_read signal onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_m0_readdata : std_logic_vector(31 downto 0); -- onchip_memory2_0_s1_translator:uav_readdata -> onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent:m0_readdata signal onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid : std_logic; -- onchip_memory2_0_s1_translator:uav_readdatavalid -> onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent:m0_readdatavalid signal onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess : std_logic; -- onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent:m0_debugaccess -> onchip_memory2_0_s1_translator:uav_debugaccess signal onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_m0_byteenable : std_logic_vector(3 downto 0); -- onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent:m0_byteenable -> onchip_memory2_0_s1_translator:uav_byteenable signal onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket : std_logic; -- onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent:rf_source_endofpacket -> onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_endofpacket signal onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rf_source_valid : std_logic; -- onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent:rf_source_valid -> onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_valid signal onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket : std_logic; -- onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent:rf_source_startofpacket -> onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_startofpacket signal onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rf_source_data : std_logic_vector(100 downto 0); -- onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent:rf_source_data -> onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_data signal onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rf_source_ready : std_logic; -- onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_ready -> onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent:rf_source_ready signal onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket : std_logic; -- onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_endofpacket -> onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent:rf_sink_endofpacket signal onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid : std_logic; -- onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_valid -> onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent:rf_sink_valid signal onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket : std_logic; -- onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_startofpacket -> onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent:rf_sink_startofpacket signal onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data : std_logic_vector(100 downto 0); -- onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_data -> onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent:rf_sink_data signal onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready : std_logic; -- onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent:rf_sink_ready -> onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_ready signal onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid : std_logic; -- onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_valid -> onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_valid signal onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data : std_logic_vector(31 downto 0); -- onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_data -> onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_data signal onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready : std_logic; -- onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_ready -> onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_ready signal sdram_0_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest : std_logic; -- sdram_0_s1_translator:uav_waitrequest -> sdram_0_s1_translator_avalon_universal_slave_0_agent:m0_waitrequest signal sdram_0_s1_translator_avalon_universal_slave_0_agent_m0_burstcount : std_logic_vector(1 downto 0); -- sdram_0_s1_translator_avalon_universal_slave_0_agent:m0_burstcount -> sdram_0_s1_translator:uav_burstcount signal sdram_0_s1_translator_avalon_universal_slave_0_agent_m0_writedata : std_logic_vector(15 downto 0); -- sdram_0_s1_translator_avalon_universal_slave_0_agent:m0_writedata -> sdram_0_s1_translator:uav_writedata signal sdram_0_s1_translator_avalon_universal_slave_0_agent_m0_address : std_logic_vector(24 downto 0); -- sdram_0_s1_translator_avalon_universal_slave_0_agent:m0_address -> sdram_0_s1_translator:uav_address signal sdram_0_s1_translator_avalon_universal_slave_0_agent_m0_write : std_logic; -- sdram_0_s1_translator_avalon_universal_slave_0_agent:m0_write -> sdram_0_s1_translator:uav_write signal sdram_0_s1_translator_avalon_universal_slave_0_agent_m0_lock : std_logic; -- sdram_0_s1_translator_avalon_universal_slave_0_agent:m0_lock -> sdram_0_s1_translator:uav_lock signal sdram_0_s1_translator_avalon_universal_slave_0_agent_m0_read : std_logic; -- sdram_0_s1_translator_avalon_universal_slave_0_agent:m0_read -> sdram_0_s1_translator:uav_read signal sdram_0_s1_translator_avalon_universal_slave_0_agent_m0_readdata : std_logic_vector(15 downto 0); -- sdram_0_s1_translator:uav_readdata -> sdram_0_s1_translator_avalon_universal_slave_0_agent:m0_readdata signal sdram_0_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid : std_logic; -- sdram_0_s1_translator:uav_readdatavalid -> sdram_0_s1_translator_avalon_universal_slave_0_agent:m0_readdatavalid signal sdram_0_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess : std_logic; -- sdram_0_s1_translator_avalon_universal_slave_0_agent:m0_debugaccess -> sdram_0_s1_translator:uav_debugaccess signal sdram_0_s1_translator_avalon_universal_slave_0_agent_m0_byteenable : std_logic_vector(1 downto 0); -- sdram_0_s1_translator_avalon_universal_slave_0_agent:m0_byteenable -> sdram_0_s1_translator:uav_byteenable signal sdram_0_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket : std_logic; -- sdram_0_s1_translator_avalon_universal_slave_0_agent:rf_source_endofpacket -> sdram_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_endofpacket signal sdram_0_s1_translator_avalon_universal_slave_0_agent_rf_source_valid : std_logic; -- sdram_0_s1_translator_avalon_universal_slave_0_agent:rf_source_valid -> sdram_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_valid signal sdram_0_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket : std_logic; -- sdram_0_s1_translator_avalon_universal_slave_0_agent:rf_source_startofpacket -> sdram_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_startofpacket signal sdram_0_s1_translator_avalon_universal_slave_0_agent_rf_source_data : std_logic_vector(82 downto 0); -- sdram_0_s1_translator_avalon_universal_slave_0_agent:rf_source_data -> sdram_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_data signal sdram_0_s1_translator_avalon_universal_slave_0_agent_rf_source_ready : std_logic; -- sdram_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_ready -> sdram_0_s1_translator_avalon_universal_slave_0_agent:rf_source_ready signal sdram_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket : std_logic; -- sdram_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_endofpacket -> sdram_0_s1_translator_avalon_universal_slave_0_agent:rf_sink_endofpacket signal sdram_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid : std_logic; -- sdram_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_valid -> sdram_0_s1_translator_avalon_universal_slave_0_agent:rf_sink_valid signal sdram_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket : std_logic; -- sdram_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_startofpacket -> sdram_0_s1_translator_avalon_universal_slave_0_agent:rf_sink_startofpacket signal sdram_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data : std_logic_vector(82 downto 0); -- sdram_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_data -> sdram_0_s1_translator_avalon_universal_slave_0_agent:rf_sink_data signal sdram_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready : std_logic; -- sdram_0_s1_translator_avalon_universal_slave_0_agent:rf_sink_ready -> sdram_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_ready signal sdram_0_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid : std_logic; -- sdram_0_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_valid -> sdram_0_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_valid signal sdram_0_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data : std_logic_vector(15 downto 0); -- sdram_0_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_data -> sdram_0_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_data signal sdram_0_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready : std_logic; -- sdram_0_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_ready -> sdram_0_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_ready signal sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_m0_waitrequest : std_logic; -- sram_0_avalon_sram_slave_translator:uav_waitrequest -> sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent:m0_waitrequest signal sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_m0_burstcount : std_logic_vector(1 downto 0); -- sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent:m0_burstcount -> sram_0_avalon_sram_slave_translator:uav_burstcount signal sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_m0_writedata : std_logic_vector(15 downto 0); -- sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent:m0_writedata -> sram_0_avalon_sram_slave_translator:uav_writedata signal sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_m0_address : std_logic_vector(24 downto 0); -- sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent:m0_address -> sram_0_avalon_sram_slave_translator:uav_address signal sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_m0_write : std_logic; -- sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent:m0_write -> sram_0_avalon_sram_slave_translator:uav_write signal sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_m0_lock : std_logic; -- sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent:m0_lock -> sram_0_avalon_sram_slave_translator:uav_lock signal sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_m0_read : std_logic; -- sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent:m0_read -> sram_0_avalon_sram_slave_translator:uav_read signal sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_m0_readdata : std_logic_vector(15 downto 0); -- sram_0_avalon_sram_slave_translator:uav_readdata -> sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent:m0_readdata signal sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_m0_readdatavalid : std_logic; -- sram_0_avalon_sram_slave_translator:uav_readdatavalid -> sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent:m0_readdatavalid signal sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_m0_debugaccess : std_logic; -- sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent:m0_debugaccess -> sram_0_avalon_sram_slave_translator:uav_debugaccess signal sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_m0_byteenable : std_logic_vector(1 downto 0); -- sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent:m0_byteenable -> sram_0_avalon_sram_slave_translator:uav_byteenable signal sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rf_source_endofpacket : std_logic; -- sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent:rf_source_endofpacket -> sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:in_endofpacket signal sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rf_source_valid : std_logic; -- sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent:rf_source_valid -> sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:in_valid signal sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rf_source_startofpacket : std_logic; -- sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent:rf_source_startofpacket -> sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:in_startofpacket signal sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rf_source_data : std_logic_vector(82 downto 0); -- sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent:rf_source_data -> sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:in_data signal sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rf_source_ready : std_logic; -- sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:in_ready -> sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent:rf_source_ready signal sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket : std_logic; -- sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:out_endofpacket -> sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent:rf_sink_endofpacket signal sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid : std_logic; -- sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:out_valid -> sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent:rf_sink_valid signal sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket : std_logic; -- sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:out_startofpacket -> sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent:rf_sink_startofpacket signal sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data : std_logic_vector(82 downto 0); -- sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:out_data -> sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent:rf_sink_data signal sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready : std_logic; -- sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent:rf_sink_ready -> sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:out_ready signal sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid : std_logic; -- sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_src_valid -> sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_valid signal sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data : std_logic_vector(15 downto 0); -- sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_src_data -> sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_data signal sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready : std_logic; -- sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_ready -> sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_src_ready signal altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_m0_waitrequest : std_logic; -- altpll_0_pll_slave_translator:uav_waitrequest -> altpll_0_pll_slave_translator_avalon_universal_slave_0_agent:m0_waitrequest signal altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_m0_burstcount : std_logic_vector(2 downto 0); -- altpll_0_pll_slave_translator_avalon_universal_slave_0_agent:m0_burstcount -> altpll_0_pll_slave_translator:uav_burstcount signal altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_m0_writedata : std_logic_vector(31 downto 0); -- altpll_0_pll_slave_translator_avalon_universal_slave_0_agent:m0_writedata -> altpll_0_pll_slave_translator:uav_writedata signal altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_m0_address : std_logic_vector(24 downto 0); -- altpll_0_pll_slave_translator_avalon_universal_slave_0_agent:m0_address -> altpll_0_pll_slave_translator:uav_address signal altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_m0_write : std_logic; -- altpll_0_pll_slave_translator_avalon_universal_slave_0_agent:m0_write -> altpll_0_pll_slave_translator:uav_write signal altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_m0_lock : std_logic; -- altpll_0_pll_slave_translator_avalon_universal_slave_0_agent:m0_lock -> altpll_0_pll_slave_translator:uav_lock signal altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_m0_read : std_logic; -- altpll_0_pll_slave_translator_avalon_universal_slave_0_agent:m0_read -> altpll_0_pll_slave_translator:uav_read signal altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_m0_readdata : std_logic_vector(31 downto 0); -- altpll_0_pll_slave_translator:uav_readdata -> altpll_0_pll_slave_translator_avalon_universal_slave_0_agent:m0_readdata signal altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_m0_readdatavalid : std_logic; -- altpll_0_pll_slave_translator:uav_readdatavalid -> altpll_0_pll_slave_translator_avalon_universal_slave_0_agent:m0_readdatavalid signal altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_m0_debugaccess : std_logic; -- altpll_0_pll_slave_translator_avalon_universal_slave_0_agent:m0_debugaccess -> altpll_0_pll_slave_translator:uav_debugaccess signal altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_m0_byteenable : std_logic_vector(3 downto 0); -- altpll_0_pll_slave_translator_avalon_universal_slave_0_agent:m0_byteenable -> altpll_0_pll_slave_translator:uav_byteenable signal altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rf_source_endofpacket : std_logic; -- altpll_0_pll_slave_translator_avalon_universal_slave_0_agent:rf_source_endofpacket -> altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:in_endofpacket signal altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rf_source_valid : std_logic; -- altpll_0_pll_slave_translator_avalon_universal_slave_0_agent:rf_source_valid -> altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:in_valid signal altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rf_source_startofpacket : std_logic; -- altpll_0_pll_slave_translator_avalon_universal_slave_0_agent:rf_source_startofpacket -> altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:in_startofpacket signal altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rf_source_data : std_logic_vector(100 downto 0); -- altpll_0_pll_slave_translator_avalon_universal_slave_0_agent:rf_source_data -> altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:in_data signal altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rf_source_ready : std_logic; -- altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:in_ready -> altpll_0_pll_slave_translator_avalon_universal_slave_0_agent:rf_source_ready signal altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket : std_logic; -- altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:out_endofpacket -> altpll_0_pll_slave_translator_avalon_universal_slave_0_agent:rf_sink_endofpacket signal altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid : std_logic; -- altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:out_valid -> altpll_0_pll_slave_translator_avalon_universal_slave_0_agent:rf_sink_valid signal altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket : std_logic; -- altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:out_startofpacket -> altpll_0_pll_slave_translator_avalon_universal_slave_0_agent:rf_sink_startofpacket signal altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data : std_logic_vector(100 downto 0); -- altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:out_data -> altpll_0_pll_slave_translator_avalon_universal_slave_0_agent:rf_sink_data signal altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready : std_logic; -- altpll_0_pll_slave_translator_avalon_universal_slave_0_agent:rf_sink_ready -> altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:out_ready signal altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid : std_logic; -- altpll_0_pll_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_src_valid -> altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rdata_fifo:in_valid signal altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data : std_logic_vector(31 downto 0); -- altpll_0_pll_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_src_data -> altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rdata_fifo:in_data signal altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready : std_logic; -- altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rdata_fifo:in_ready -> altpll_0_pll_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_src_ready signal altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_out_valid : std_logic; -- altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rdata_fifo:out_valid -> altpll_0_pll_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_valid signal altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_out_data : std_logic_vector(31 downto 0); -- altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rdata_fifo:out_data -> altpll_0_pll_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_data signal altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_out_ready : std_logic; -- altpll_0_pll_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_ready -> altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rdata_fifo:out_ready signal sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_m0_waitrequest : std_logic; -- sysid_qsys_0_control_slave_translator:uav_waitrequest -> sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent:m0_waitrequest signal sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_m0_burstcount : std_logic_vector(2 downto 0); -- sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent:m0_burstcount -> sysid_qsys_0_control_slave_translator:uav_burstcount signal sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_m0_writedata : std_logic_vector(31 downto 0); -- sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent:m0_writedata -> sysid_qsys_0_control_slave_translator:uav_writedata signal sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_m0_address : std_logic_vector(24 downto 0); -- sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent:m0_address -> sysid_qsys_0_control_slave_translator:uav_address signal sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_m0_write : std_logic; -- sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent:m0_write -> sysid_qsys_0_control_slave_translator:uav_write signal sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_m0_lock : std_logic; -- sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent:m0_lock -> sysid_qsys_0_control_slave_translator:uav_lock signal sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_m0_read : std_logic; -- sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent:m0_read -> sysid_qsys_0_control_slave_translator:uav_read signal sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_m0_readdata : std_logic_vector(31 downto 0); -- sysid_qsys_0_control_slave_translator:uav_readdata -> sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent:m0_readdata signal sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_m0_readdatavalid : std_logic; -- sysid_qsys_0_control_slave_translator:uav_readdatavalid -> sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent:m0_readdatavalid signal sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_m0_debugaccess : std_logic; -- sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent:m0_debugaccess -> sysid_qsys_0_control_slave_translator:uav_debugaccess signal sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_m0_byteenable : std_logic_vector(3 downto 0); -- sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent:m0_byteenable -> sysid_qsys_0_control_slave_translator:uav_byteenable signal sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rf_source_endofpacket : std_logic; -- sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent:rf_source_endofpacket -> sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:in_endofpacket signal sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rf_source_valid : std_logic; -- sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent:rf_source_valid -> sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:in_valid signal sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rf_source_startofpacket : std_logic; -- sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent:rf_source_startofpacket -> sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:in_startofpacket signal sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rf_source_data : std_logic_vector(100 downto 0); -- sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent:rf_source_data -> sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:in_data signal sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rf_source_ready : std_logic; -- sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:in_ready -> sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent:rf_source_ready signal sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket : std_logic; -- sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:out_endofpacket -> sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent:rf_sink_endofpacket signal sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid : std_logic; -- sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:out_valid -> sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent:rf_sink_valid signal sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket : std_logic; -- sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:out_startofpacket -> sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent:rf_sink_startofpacket signal sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data : std_logic_vector(100 downto 0); -- sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:out_data -> sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent:rf_sink_data signal sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready : std_logic; -- sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent:rf_sink_ready -> sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:out_ready signal sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid : std_logic; -- sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_src_valid -> sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_valid signal sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data : std_logic_vector(31 downto 0); -- sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_src_data -> sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_data signal sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready : std_logic; -- sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_ready -> sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_src_ready signal timer_0_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest : std_logic; -- timer_0_s1_translator:uav_waitrequest -> timer_0_s1_translator_avalon_universal_slave_0_agent:m0_waitrequest signal timer_0_s1_translator_avalon_universal_slave_0_agent_m0_burstcount : std_logic_vector(2 downto 0); -- timer_0_s1_translator_avalon_universal_slave_0_agent:m0_burstcount -> timer_0_s1_translator:uav_burstcount signal timer_0_s1_translator_avalon_universal_slave_0_agent_m0_writedata : std_logic_vector(31 downto 0); -- timer_0_s1_translator_avalon_universal_slave_0_agent:m0_writedata -> timer_0_s1_translator:uav_writedata signal timer_0_s1_translator_avalon_universal_slave_0_agent_m0_address : std_logic_vector(24 downto 0); -- timer_0_s1_translator_avalon_universal_slave_0_agent:m0_address -> timer_0_s1_translator:uav_address signal timer_0_s1_translator_avalon_universal_slave_0_agent_m0_write : std_logic; -- timer_0_s1_translator_avalon_universal_slave_0_agent:m0_write -> timer_0_s1_translator:uav_write signal timer_0_s1_translator_avalon_universal_slave_0_agent_m0_lock : std_logic; -- timer_0_s1_translator_avalon_universal_slave_0_agent:m0_lock -> timer_0_s1_translator:uav_lock signal timer_0_s1_translator_avalon_universal_slave_0_agent_m0_read : std_logic; -- timer_0_s1_translator_avalon_universal_slave_0_agent:m0_read -> timer_0_s1_translator:uav_read signal timer_0_s1_translator_avalon_universal_slave_0_agent_m0_readdata : std_logic_vector(31 downto 0); -- timer_0_s1_translator:uav_readdata -> timer_0_s1_translator_avalon_universal_slave_0_agent:m0_readdata signal timer_0_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid : std_logic; -- timer_0_s1_translator:uav_readdatavalid -> timer_0_s1_translator_avalon_universal_slave_0_agent:m0_readdatavalid signal timer_0_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess : std_logic; -- timer_0_s1_translator_avalon_universal_slave_0_agent:m0_debugaccess -> timer_0_s1_translator:uav_debugaccess signal timer_0_s1_translator_avalon_universal_slave_0_agent_m0_byteenable : std_logic_vector(3 downto 0); -- timer_0_s1_translator_avalon_universal_slave_0_agent:m0_byteenable -> timer_0_s1_translator:uav_byteenable signal timer_0_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket : std_logic; -- timer_0_s1_translator_avalon_universal_slave_0_agent:rf_source_endofpacket -> timer_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_endofpacket signal timer_0_s1_translator_avalon_universal_slave_0_agent_rf_source_valid : std_logic; -- timer_0_s1_translator_avalon_universal_slave_0_agent:rf_source_valid -> timer_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_valid signal timer_0_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket : std_logic; -- timer_0_s1_translator_avalon_universal_slave_0_agent:rf_source_startofpacket -> timer_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_startofpacket signal timer_0_s1_translator_avalon_universal_slave_0_agent_rf_source_data : std_logic_vector(100 downto 0); -- timer_0_s1_translator_avalon_universal_slave_0_agent:rf_source_data -> timer_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_data signal timer_0_s1_translator_avalon_universal_slave_0_agent_rf_source_ready : std_logic; -- timer_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_ready -> timer_0_s1_translator_avalon_universal_slave_0_agent:rf_source_ready signal timer_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket : std_logic; -- timer_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_endofpacket -> timer_0_s1_translator_avalon_universal_slave_0_agent:rf_sink_endofpacket signal timer_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid : std_logic; -- timer_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_valid -> timer_0_s1_translator_avalon_universal_slave_0_agent:rf_sink_valid signal timer_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket : std_logic; -- timer_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_startofpacket -> timer_0_s1_translator_avalon_universal_slave_0_agent:rf_sink_startofpacket signal timer_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data : std_logic_vector(100 downto 0); -- timer_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_data -> timer_0_s1_translator_avalon_universal_slave_0_agent:rf_sink_data signal timer_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready : std_logic; -- timer_0_s1_translator_avalon_universal_slave_0_agent:rf_sink_ready -> timer_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_ready signal timer_0_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid : std_logic; -- timer_0_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_valid -> timer_0_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_valid signal timer_0_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data : std_logic_vector(31 downto 0); -- timer_0_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_data -> timer_0_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_data signal timer_0_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready : std_logic; -- timer_0_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_ready -> timer_0_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_ready signal jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_waitrequest : std_logic; -- jtag_uart_0_avalon_jtag_slave_translator:uav_waitrequest -> jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:m0_waitrequest signal jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_burstcount : std_logic_vector(2 downto 0); -- jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:m0_burstcount -> jtag_uart_0_avalon_jtag_slave_translator:uav_burstcount signal jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_writedata : std_logic_vector(31 downto 0); -- jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:m0_writedata -> jtag_uart_0_avalon_jtag_slave_translator:uav_writedata signal jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_address : std_logic_vector(24 downto 0); -- jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:m0_address -> jtag_uart_0_avalon_jtag_slave_translator:uav_address signal jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_write : std_logic; -- jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:m0_write -> jtag_uart_0_avalon_jtag_slave_translator:uav_write signal jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_lock : std_logic; -- jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:m0_lock -> jtag_uart_0_avalon_jtag_slave_translator:uav_lock signal jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_read : std_logic; -- jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:m0_read -> jtag_uart_0_avalon_jtag_slave_translator:uav_read signal jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_readdata : std_logic_vector(31 downto 0); -- jtag_uart_0_avalon_jtag_slave_translator:uav_readdata -> jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:m0_readdata signal jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_readdatavalid : std_logic; -- jtag_uart_0_avalon_jtag_slave_translator:uav_readdatavalid -> jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:m0_readdatavalid signal jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_debugaccess : std_logic; -- jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:m0_debugaccess -> jtag_uart_0_avalon_jtag_slave_translator:uav_debugaccess signal jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_byteenable : std_logic_vector(3 downto 0); -- jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:m0_byteenable -> jtag_uart_0_avalon_jtag_slave_translator:uav_byteenable signal jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rf_source_endofpacket : std_logic; -- jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:rf_source_endofpacket -> jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:in_endofpacket signal jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rf_source_valid : std_logic; -- jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:rf_source_valid -> jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:in_valid signal jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rf_source_startofpacket : std_logic; -- jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:rf_source_startofpacket -> jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:in_startofpacket signal jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rf_source_data : std_logic_vector(100 downto 0); -- jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:rf_source_data -> jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:in_data signal jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rf_source_ready : std_logic; -- jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:in_ready -> jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:rf_source_ready signal jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket : std_logic; -- jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:out_endofpacket -> jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:rf_sink_endofpacket signal jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid : std_logic; -- jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:out_valid -> jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:rf_sink_valid signal jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket : std_logic; -- jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:out_startofpacket -> jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:rf_sink_startofpacket signal jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data : std_logic_vector(100 downto 0); -- jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:out_data -> jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:rf_sink_data signal jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready : std_logic; -- jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:rf_sink_ready -> jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:out_ready signal jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid : std_logic; -- jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_src_valid -> jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_valid signal jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data : std_logic_vector(31 downto 0); -- jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_src_data -> jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_data signal jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready : std_logic; -- jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_ready -> jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_src_ready signal character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_m0_waitrequest : std_logic; -- character_lcd_0_avalon_lcd_slave_translator:uav_waitrequest -> character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent:m0_waitrequest signal character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_m0_burstcount : std_logic; -- character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent:m0_burstcount -> character_lcd_0_avalon_lcd_slave_translator:uav_burstcount signal character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_m0_writedata : std_logic_vector(7 downto 0); -- character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent:m0_writedata -> character_lcd_0_avalon_lcd_slave_translator:uav_writedata signal character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_m0_address : std_logic_vector(24 downto 0); -- character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent:m0_address -> character_lcd_0_avalon_lcd_slave_translator:uav_address signal character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_m0_write : std_logic; -- character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent:m0_write -> character_lcd_0_avalon_lcd_slave_translator:uav_write signal character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_m0_lock : std_logic; -- character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent:m0_lock -> character_lcd_0_avalon_lcd_slave_translator:uav_lock signal character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_m0_read : std_logic; -- character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent:m0_read -> character_lcd_0_avalon_lcd_slave_translator:uav_read signal character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_m0_readdata : std_logic_vector(7 downto 0); -- character_lcd_0_avalon_lcd_slave_translator:uav_readdata -> character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent:m0_readdata signal character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_m0_readdatavalid : std_logic; -- character_lcd_0_avalon_lcd_slave_translator:uav_readdatavalid -> character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent:m0_readdatavalid signal character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_m0_debugaccess : std_logic; -- character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent:m0_debugaccess -> character_lcd_0_avalon_lcd_slave_translator:uav_debugaccess signal character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_m0_byteenable : std_logic; -- character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent:m0_byteenable -> character_lcd_0_avalon_lcd_slave_translator:uav_byteenable signal character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rf_source_endofpacket : std_logic; -- character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent:rf_source_endofpacket -> character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:in_endofpacket signal character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rf_source_valid : std_logic; -- character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent:rf_source_valid -> character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:in_valid signal character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rf_source_startofpacket : std_logic; -- character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent:rf_source_startofpacket -> character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:in_startofpacket signal character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rf_source_data : std_logic_vector(73 downto 0); -- character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent:rf_source_data -> character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:in_data signal character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rf_source_ready : std_logic; -- character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:in_ready -> character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent:rf_source_ready signal character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket : std_logic; -- character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:out_endofpacket -> character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent:rf_sink_endofpacket signal character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid : std_logic; -- character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:out_valid -> character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent:rf_sink_valid signal character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket : std_logic; -- character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:out_startofpacket -> character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent:rf_sink_startofpacket signal character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data : std_logic_vector(73 downto 0); -- character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:out_data -> character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent:rf_sink_data signal character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready : std_logic; -- character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent:rf_sink_ready -> character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:out_ready signal character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid : std_logic; -- character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_src_valid -> character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_valid signal character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data : std_logic_vector(7 downto 0); -- character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_src_data -> character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_data signal character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready : std_logic; -- character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_ready -> character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_src_ready signal green_leds_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest : std_logic; -- green_leds_s1_translator:uav_waitrequest -> green_leds_s1_translator_avalon_universal_slave_0_agent:m0_waitrequest signal green_leds_s1_translator_avalon_universal_slave_0_agent_m0_burstcount : std_logic_vector(2 downto 0); -- green_leds_s1_translator_avalon_universal_slave_0_agent:m0_burstcount -> green_leds_s1_translator:uav_burstcount signal green_leds_s1_translator_avalon_universal_slave_0_agent_m0_writedata : std_logic_vector(31 downto 0); -- green_leds_s1_translator_avalon_universal_slave_0_agent:m0_writedata -> green_leds_s1_translator:uav_writedata signal green_leds_s1_translator_avalon_universal_slave_0_agent_m0_address : std_logic_vector(24 downto 0); -- green_leds_s1_translator_avalon_universal_slave_0_agent:m0_address -> green_leds_s1_translator:uav_address signal green_leds_s1_translator_avalon_universal_slave_0_agent_m0_write : std_logic; -- green_leds_s1_translator_avalon_universal_slave_0_agent:m0_write -> green_leds_s1_translator:uav_write signal green_leds_s1_translator_avalon_universal_slave_0_agent_m0_lock : std_logic; -- green_leds_s1_translator_avalon_universal_slave_0_agent:m0_lock -> green_leds_s1_translator:uav_lock signal green_leds_s1_translator_avalon_universal_slave_0_agent_m0_read : std_logic; -- green_leds_s1_translator_avalon_universal_slave_0_agent:m0_read -> green_leds_s1_translator:uav_read signal green_leds_s1_translator_avalon_universal_slave_0_agent_m0_readdata : std_logic_vector(31 downto 0); -- green_leds_s1_translator:uav_readdata -> green_leds_s1_translator_avalon_universal_slave_0_agent:m0_readdata signal green_leds_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid : std_logic; -- green_leds_s1_translator:uav_readdatavalid -> green_leds_s1_translator_avalon_universal_slave_0_agent:m0_readdatavalid signal green_leds_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess : std_logic; -- green_leds_s1_translator_avalon_universal_slave_0_agent:m0_debugaccess -> green_leds_s1_translator:uav_debugaccess signal green_leds_s1_translator_avalon_universal_slave_0_agent_m0_byteenable : std_logic_vector(3 downto 0); -- green_leds_s1_translator_avalon_universal_slave_0_agent:m0_byteenable -> green_leds_s1_translator:uav_byteenable signal green_leds_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket : std_logic; -- green_leds_s1_translator_avalon_universal_slave_0_agent:rf_source_endofpacket -> green_leds_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_endofpacket signal green_leds_s1_translator_avalon_universal_slave_0_agent_rf_source_valid : std_logic; -- green_leds_s1_translator_avalon_universal_slave_0_agent:rf_source_valid -> green_leds_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_valid signal green_leds_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket : std_logic; -- green_leds_s1_translator_avalon_universal_slave_0_agent:rf_source_startofpacket -> green_leds_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_startofpacket signal green_leds_s1_translator_avalon_universal_slave_0_agent_rf_source_data : std_logic_vector(100 downto 0); -- green_leds_s1_translator_avalon_universal_slave_0_agent:rf_source_data -> green_leds_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_data signal green_leds_s1_translator_avalon_universal_slave_0_agent_rf_source_ready : std_logic; -- green_leds_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_ready -> green_leds_s1_translator_avalon_universal_slave_0_agent:rf_source_ready signal green_leds_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket : std_logic; -- green_leds_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_endofpacket -> green_leds_s1_translator_avalon_universal_slave_0_agent:rf_sink_endofpacket signal green_leds_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid : std_logic; -- green_leds_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_valid -> green_leds_s1_translator_avalon_universal_slave_0_agent:rf_sink_valid signal green_leds_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket : std_logic; -- green_leds_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_startofpacket -> green_leds_s1_translator_avalon_universal_slave_0_agent:rf_sink_startofpacket signal green_leds_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data : std_logic_vector(100 downto 0); -- green_leds_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_data -> green_leds_s1_translator_avalon_universal_slave_0_agent:rf_sink_data signal green_leds_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready : std_logic; -- green_leds_s1_translator_avalon_universal_slave_0_agent:rf_sink_ready -> green_leds_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_ready signal green_leds_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid : std_logic; -- green_leds_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_valid -> green_leds_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_valid signal green_leds_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data : std_logic_vector(31 downto 0); -- green_leds_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_data -> green_leds_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_data signal green_leds_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready : std_logic; -- green_leds_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_ready -> green_leds_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_ready signal switch_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest : std_logic; -- switch_s1_translator:uav_waitrequest -> switch_s1_translator_avalon_universal_slave_0_agent:m0_waitrequest signal switch_s1_translator_avalon_universal_slave_0_agent_m0_burstcount : std_logic_vector(2 downto 0); -- switch_s1_translator_avalon_universal_slave_0_agent:m0_burstcount -> switch_s1_translator:uav_burstcount signal switch_s1_translator_avalon_universal_slave_0_agent_m0_writedata : std_logic_vector(31 downto 0); -- switch_s1_translator_avalon_universal_slave_0_agent:m0_writedata -> switch_s1_translator:uav_writedata signal switch_s1_translator_avalon_universal_slave_0_agent_m0_address : std_logic_vector(24 downto 0); -- switch_s1_translator_avalon_universal_slave_0_agent:m0_address -> switch_s1_translator:uav_address signal switch_s1_translator_avalon_universal_slave_0_agent_m0_write : std_logic; -- switch_s1_translator_avalon_universal_slave_0_agent:m0_write -> switch_s1_translator:uav_write signal switch_s1_translator_avalon_universal_slave_0_agent_m0_lock : std_logic; -- switch_s1_translator_avalon_universal_slave_0_agent:m0_lock -> switch_s1_translator:uav_lock signal switch_s1_translator_avalon_universal_slave_0_agent_m0_read : std_logic; -- switch_s1_translator_avalon_universal_slave_0_agent:m0_read -> switch_s1_translator:uav_read signal switch_s1_translator_avalon_universal_slave_0_agent_m0_readdata : std_logic_vector(31 downto 0); -- switch_s1_translator:uav_readdata -> switch_s1_translator_avalon_universal_slave_0_agent:m0_readdata signal switch_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid : std_logic; -- switch_s1_translator:uav_readdatavalid -> switch_s1_translator_avalon_universal_slave_0_agent:m0_readdatavalid signal switch_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess : std_logic; -- switch_s1_translator_avalon_universal_slave_0_agent:m0_debugaccess -> switch_s1_translator:uav_debugaccess signal switch_s1_translator_avalon_universal_slave_0_agent_m0_byteenable : std_logic_vector(3 downto 0); -- switch_s1_translator_avalon_universal_slave_0_agent:m0_byteenable -> switch_s1_translator:uav_byteenable signal switch_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket : std_logic; -- switch_s1_translator_avalon_universal_slave_0_agent:rf_source_endofpacket -> switch_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_endofpacket signal switch_s1_translator_avalon_universal_slave_0_agent_rf_source_valid : std_logic; -- switch_s1_translator_avalon_universal_slave_0_agent:rf_source_valid -> switch_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_valid signal switch_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket : std_logic; -- switch_s1_translator_avalon_universal_slave_0_agent:rf_source_startofpacket -> switch_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_startofpacket signal switch_s1_translator_avalon_universal_slave_0_agent_rf_source_data : std_logic_vector(100 downto 0); -- switch_s1_translator_avalon_universal_slave_0_agent:rf_source_data -> switch_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_data signal switch_s1_translator_avalon_universal_slave_0_agent_rf_source_ready : std_logic; -- switch_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_ready -> switch_s1_translator_avalon_universal_slave_0_agent:rf_source_ready signal switch_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket : std_logic; -- switch_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_endofpacket -> switch_s1_translator_avalon_universal_slave_0_agent:rf_sink_endofpacket signal switch_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid : std_logic; -- switch_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_valid -> switch_s1_translator_avalon_universal_slave_0_agent:rf_sink_valid signal switch_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket : std_logic; -- switch_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_startofpacket -> switch_s1_translator_avalon_universal_slave_0_agent:rf_sink_startofpacket signal switch_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data : std_logic_vector(100 downto 0); -- switch_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_data -> switch_s1_translator_avalon_universal_slave_0_agent:rf_sink_data signal switch_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready : std_logic; -- switch_s1_translator_avalon_universal_slave_0_agent:rf_sink_ready -> switch_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_ready signal switch_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid : std_logic; -- switch_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_valid -> switch_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_valid signal switch_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data : std_logic_vector(31 downto 0); -- switch_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_data -> switch_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_data signal switch_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready : std_logic; -- switch_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_ready -> switch_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_ready signal servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_m0_waitrequest : std_logic; -- servo_pwm_0_s0_translator:uav_waitrequest -> servo_pwm_0_s0_translator_avalon_universal_slave_0_agent:m0_waitrequest signal servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_m0_burstcount : std_logic; -- servo_pwm_0_s0_translator_avalon_universal_slave_0_agent:m0_burstcount -> servo_pwm_0_s0_translator:uav_burstcount signal servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_m0_writedata : std_logic_vector(7 downto 0); -- servo_pwm_0_s0_translator_avalon_universal_slave_0_agent:m0_writedata -> servo_pwm_0_s0_translator:uav_writedata signal servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_m0_address : std_logic_vector(24 downto 0); -- servo_pwm_0_s0_translator_avalon_universal_slave_0_agent:m0_address -> servo_pwm_0_s0_translator:uav_address signal servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_m0_write : std_logic; -- servo_pwm_0_s0_translator_avalon_universal_slave_0_agent:m0_write -> servo_pwm_0_s0_translator:uav_write signal servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_m0_lock : std_logic; -- servo_pwm_0_s0_translator_avalon_universal_slave_0_agent:m0_lock -> servo_pwm_0_s0_translator:uav_lock signal servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_m0_read : std_logic; -- servo_pwm_0_s0_translator_avalon_universal_slave_0_agent:m0_read -> servo_pwm_0_s0_translator:uav_read signal servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_m0_readdata : std_logic_vector(7 downto 0); -- servo_pwm_0_s0_translator:uav_readdata -> servo_pwm_0_s0_translator_avalon_universal_slave_0_agent:m0_readdata signal servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_m0_readdatavalid : std_logic; -- servo_pwm_0_s0_translator:uav_readdatavalid -> servo_pwm_0_s0_translator_avalon_universal_slave_0_agent:m0_readdatavalid signal servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_m0_debugaccess : std_logic; -- servo_pwm_0_s0_translator_avalon_universal_slave_0_agent:m0_debugaccess -> servo_pwm_0_s0_translator:uav_debugaccess signal servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_m0_byteenable : std_logic; -- servo_pwm_0_s0_translator_avalon_universal_slave_0_agent:m0_byteenable -> servo_pwm_0_s0_translator:uav_byteenable signal servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rf_source_endofpacket : std_logic; -- servo_pwm_0_s0_translator_avalon_universal_slave_0_agent:rf_source_endofpacket -> servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rsp_fifo:in_endofpacket signal servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rf_source_valid : std_logic; -- servo_pwm_0_s0_translator_avalon_universal_slave_0_agent:rf_source_valid -> servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rsp_fifo:in_valid signal servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rf_source_startofpacket : std_logic; -- servo_pwm_0_s0_translator_avalon_universal_slave_0_agent:rf_source_startofpacket -> servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rsp_fifo:in_startofpacket signal servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rf_source_data : std_logic_vector(73 downto 0); -- servo_pwm_0_s0_translator_avalon_universal_slave_0_agent:rf_source_data -> servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rsp_fifo:in_data signal servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rf_source_ready : std_logic; -- servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rsp_fifo:in_ready -> servo_pwm_0_s0_translator_avalon_universal_slave_0_agent:rf_source_ready signal servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket : std_logic; -- servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rsp_fifo:out_endofpacket -> servo_pwm_0_s0_translator_avalon_universal_slave_0_agent:rf_sink_endofpacket signal servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid : std_logic; -- servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rsp_fifo:out_valid -> servo_pwm_0_s0_translator_avalon_universal_slave_0_agent:rf_sink_valid signal servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket : std_logic; -- servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rsp_fifo:out_startofpacket -> servo_pwm_0_s0_translator_avalon_universal_slave_0_agent:rf_sink_startofpacket signal servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data : std_logic_vector(73 downto 0); -- servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rsp_fifo:out_data -> servo_pwm_0_s0_translator_avalon_universal_slave_0_agent:rf_sink_data signal servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready : std_logic; -- servo_pwm_0_s0_translator_avalon_universal_slave_0_agent:rf_sink_ready -> servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rsp_fifo:out_ready signal servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid : std_logic; -- servo_pwm_0_s0_translator_avalon_universal_slave_0_agent:rdata_fifo_src_valid -> servo_pwm_0_s0_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_valid signal servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data : std_logic_vector(7 downto 0); -- servo_pwm_0_s0_translator_avalon_universal_slave_0_agent:rdata_fifo_src_data -> servo_pwm_0_s0_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_data signal servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready : std_logic; -- servo_pwm_0_s0_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_ready -> servo_pwm_0_s0_translator_avalon_universal_slave_0_agent:rdata_fifo_src_ready signal switch_0_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest : std_logic; -- switch_0_s1_translator:uav_waitrequest -> switch_0_s1_translator_avalon_universal_slave_0_agent:m0_waitrequest signal switch_0_s1_translator_avalon_universal_slave_0_agent_m0_burstcount : std_logic_vector(2 downto 0); -- switch_0_s1_translator_avalon_universal_slave_0_agent:m0_burstcount -> switch_0_s1_translator:uav_burstcount signal switch_0_s1_translator_avalon_universal_slave_0_agent_m0_writedata : std_logic_vector(31 downto 0); -- switch_0_s1_translator_avalon_universal_slave_0_agent:m0_writedata -> switch_0_s1_translator:uav_writedata signal switch_0_s1_translator_avalon_universal_slave_0_agent_m0_address : std_logic_vector(24 downto 0); -- switch_0_s1_translator_avalon_universal_slave_0_agent:m0_address -> switch_0_s1_translator:uav_address signal switch_0_s1_translator_avalon_universal_slave_0_agent_m0_write : std_logic; -- switch_0_s1_translator_avalon_universal_slave_0_agent:m0_write -> switch_0_s1_translator:uav_write signal switch_0_s1_translator_avalon_universal_slave_0_agent_m0_lock : std_logic; -- switch_0_s1_translator_avalon_universal_slave_0_agent:m0_lock -> switch_0_s1_translator:uav_lock signal switch_0_s1_translator_avalon_universal_slave_0_agent_m0_read : std_logic; -- switch_0_s1_translator_avalon_universal_slave_0_agent:m0_read -> switch_0_s1_translator:uav_read signal switch_0_s1_translator_avalon_universal_slave_0_agent_m0_readdata : std_logic_vector(31 downto 0); -- switch_0_s1_translator:uav_readdata -> switch_0_s1_translator_avalon_universal_slave_0_agent:m0_readdata signal switch_0_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid : std_logic; -- switch_0_s1_translator:uav_readdatavalid -> switch_0_s1_translator_avalon_universal_slave_0_agent:m0_readdatavalid signal switch_0_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess : std_logic; -- switch_0_s1_translator_avalon_universal_slave_0_agent:m0_debugaccess -> switch_0_s1_translator:uav_debugaccess signal switch_0_s1_translator_avalon_universal_slave_0_agent_m0_byteenable : std_logic_vector(3 downto 0); -- switch_0_s1_translator_avalon_universal_slave_0_agent:m0_byteenable -> switch_0_s1_translator:uav_byteenable signal switch_0_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket : std_logic; -- switch_0_s1_translator_avalon_universal_slave_0_agent:rf_source_endofpacket -> switch_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_endofpacket signal switch_0_s1_translator_avalon_universal_slave_0_agent_rf_source_valid : std_logic; -- switch_0_s1_translator_avalon_universal_slave_0_agent:rf_source_valid -> switch_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_valid signal switch_0_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket : std_logic; -- switch_0_s1_translator_avalon_universal_slave_0_agent:rf_source_startofpacket -> switch_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_startofpacket signal switch_0_s1_translator_avalon_universal_slave_0_agent_rf_source_data : std_logic_vector(100 downto 0); -- switch_0_s1_translator_avalon_universal_slave_0_agent:rf_source_data -> switch_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_data signal switch_0_s1_translator_avalon_universal_slave_0_agent_rf_source_ready : std_logic; -- switch_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_ready -> switch_0_s1_translator_avalon_universal_slave_0_agent:rf_source_ready signal switch_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket : std_logic; -- switch_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_endofpacket -> switch_0_s1_translator_avalon_universal_slave_0_agent:rf_sink_endofpacket signal switch_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid : std_logic; -- switch_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_valid -> switch_0_s1_translator_avalon_universal_slave_0_agent:rf_sink_valid signal switch_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket : std_logic; -- switch_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_startofpacket -> switch_0_s1_translator_avalon_universal_slave_0_agent:rf_sink_startofpacket signal switch_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data : std_logic_vector(100 downto 0); -- switch_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_data -> switch_0_s1_translator_avalon_universal_slave_0_agent:rf_sink_data signal switch_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready : std_logic; -- switch_0_s1_translator_avalon_universal_slave_0_agent:rf_sink_ready -> switch_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_ready signal switch_0_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid : std_logic; -- switch_0_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_valid -> switch_0_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_valid signal switch_0_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data : std_logic_vector(31 downto 0); -- switch_0_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_data -> switch_0_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_data signal switch_0_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready : std_logic; -- switch_0_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_ready -> switch_0_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_ready signal nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_agent_cp_endofpacket : std_logic; -- nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_agent:cp_endofpacket -> addr_router:sink_endofpacket signal nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_agent_cp_valid : std_logic; -- nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_agent:cp_valid -> addr_router:sink_valid signal nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_agent_cp_startofpacket : std_logic; -- nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_agent:cp_startofpacket -> addr_router:sink_startofpacket signal nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_agent_cp_data : std_logic_vector(99 downto 0); -- nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_agent:cp_data -> addr_router:sink_data signal nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_agent_cp_ready : std_logic; -- addr_router:sink_ready -> nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_agent:cp_ready signal nios2_qsys_0_data_master_translator_avalon_universal_master_0_agent_cp_endofpacket : std_logic; -- nios2_qsys_0_data_master_translator_avalon_universal_master_0_agent:cp_endofpacket -> addr_router_001:sink_endofpacket signal nios2_qsys_0_data_master_translator_avalon_universal_master_0_agent_cp_valid : std_logic; -- nios2_qsys_0_data_master_translator_avalon_universal_master_0_agent:cp_valid -> addr_router_001:sink_valid signal nios2_qsys_0_data_master_translator_avalon_universal_master_0_agent_cp_startofpacket : std_logic; -- nios2_qsys_0_data_master_translator_avalon_universal_master_0_agent:cp_startofpacket -> addr_router_001:sink_startofpacket signal nios2_qsys_0_data_master_translator_avalon_universal_master_0_agent_cp_data : std_logic_vector(99 downto 0); -- nios2_qsys_0_data_master_translator_avalon_universal_master_0_agent:cp_data -> addr_router_001:sink_data signal nios2_qsys_0_data_master_translator_avalon_universal_master_0_agent_cp_ready : std_logic; -- addr_router_001:sink_ready -> nios2_qsys_0_data_master_translator_avalon_universal_master_0_agent:cp_ready signal nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rp_endofpacket : std_logic; -- nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent:rp_endofpacket -> id_router:sink_endofpacket signal nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rp_valid : std_logic; -- nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent:rp_valid -> id_router:sink_valid signal nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rp_startofpacket : std_logic; -- nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent:rp_startofpacket -> id_router:sink_startofpacket signal nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rp_data : std_logic_vector(99 downto 0); -- nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent:rp_data -> id_router:sink_data signal nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rp_ready : std_logic; -- id_router:sink_ready -> nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent:rp_ready signal onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket : std_logic; -- onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent:rp_endofpacket -> id_router_001:sink_endofpacket signal onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rp_valid : std_logic; -- onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent:rp_valid -> id_router_001:sink_valid signal onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket : std_logic; -- onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent:rp_startofpacket -> id_router_001:sink_startofpacket signal onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rp_data : std_logic_vector(99 downto 0); -- onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent:rp_data -> id_router_001:sink_data signal onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rp_ready : std_logic; -- id_router_001:sink_ready -> onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent:rp_ready signal sdram_0_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket : std_logic; -- sdram_0_s1_translator_avalon_universal_slave_0_agent:rp_endofpacket -> id_router_002:sink_endofpacket signal sdram_0_s1_translator_avalon_universal_slave_0_agent_rp_valid : std_logic; -- sdram_0_s1_translator_avalon_universal_slave_0_agent:rp_valid -> id_router_002:sink_valid signal sdram_0_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket : std_logic; -- sdram_0_s1_translator_avalon_universal_slave_0_agent:rp_startofpacket -> id_router_002:sink_startofpacket signal sdram_0_s1_translator_avalon_universal_slave_0_agent_rp_data : std_logic_vector(81 downto 0); -- sdram_0_s1_translator_avalon_universal_slave_0_agent:rp_data -> id_router_002:sink_data signal sdram_0_s1_translator_avalon_universal_slave_0_agent_rp_ready : std_logic; -- id_router_002:sink_ready -> sdram_0_s1_translator_avalon_universal_slave_0_agent:rp_ready signal sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rp_endofpacket : std_logic; -- sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent:rp_endofpacket -> id_router_003:sink_endofpacket signal sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rp_valid : std_logic; -- sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent:rp_valid -> id_router_003:sink_valid signal sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rp_startofpacket : std_logic; -- sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent:rp_startofpacket -> id_router_003:sink_startofpacket signal sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rp_data : std_logic_vector(81 downto 0); -- sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent:rp_data -> id_router_003:sink_data signal sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rp_ready : std_logic; -- id_router_003:sink_ready -> sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent:rp_ready signal altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rp_endofpacket : std_logic; -- altpll_0_pll_slave_translator_avalon_universal_slave_0_agent:rp_endofpacket -> id_router_004:sink_endofpacket signal altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rp_valid : std_logic; -- altpll_0_pll_slave_translator_avalon_universal_slave_0_agent:rp_valid -> id_router_004:sink_valid signal altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rp_startofpacket : std_logic; -- altpll_0_pll_slave_translator_avalon_universal_slave_0_agent:rp_startofpacket -> id_router_004:sink_startofpacket signal altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rp_data : std_logic_vector(99 downto 0); -- altpll_0_pll_slave_translator_avalon_universal_slave_0_agent:rp_data -> id_router_004:sink_data signal altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rp_ready : std_logic; -- id_router_004:sink_ready -> altpll_0_pll_slave_translator_avalon_universal_slave_0_agent:rp_ready signal sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rp_endofpacket : std_logic; -- sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent:rp_endofpacket -> id_router_005:sink_endofpacket signal sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rp_valid : std_logic; -- sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent:rp_valid -> id_router_005:sink_valid signal sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rp_startofpacket : std_logic; -- sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent:rp_startofpacket -> id_router_005:sink_startofpacket signal sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rp_data : std_logic_vector(99 downto 0); -- sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent:rp_data -> id_router_005:sink_data signal sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rp_ready : std_logic; -- id_router_005:sink_ready -> sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent:rp_ready signal timer_0_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket : std_logic; -- timer_0_s1_translator_avalon_universal_slave_0_agent:rp_endofpacket -> id_router_006:sink_endofpacket signal timer_0_s1_translator_avalon_universal_slave_0_agent_rp_valid : std_logic; -- timer_0_s1_translator_avalon_universal_slave_0_agent:rp_valid -> id_router_006:sink_valid signal timer_0_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket : std_logic; -- timer_0_s1_translator_avalon_universal_slave_0_agent:rp_startofpacket -> id_router_006:sink_startofpacket signal timer_0_s1_translator_avalon_universal_slave_0_agent_rp_data : std_logic_vector(99 downto 0); -- timer_0_s1_translator_avalon_universal_slave_0_agent:rp_data -> id_router_006:sink_data signal timer_0_s1_translator_avalon_universal_slave_0_agent_rp_ready : std_logic; -- id_router_006:sink_ready -> timer_0_s1_translator_avalon_universal_slave_0_agent:rp_ready signal jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rp_endofpacket : std_logic; -- jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:rp_endofpacket -> id_router_007:sink_endofpacket signal jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rp_valid : std_logic; -- jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:rp_valid -> id_router_007:sink_valid signal jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rp_startofpacket : std_logic; -- jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:rp_startofpacket -> id_router_007:sink_startofpacket signal jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rp_data : std_logic_vector(99 downto 0); -- jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:rp_data -> id_router_007:sink_data signal jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rp_ready : std_logic; -- id_router_007:sink_ready -> jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:rp_ready signal character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rp_endofpacket : std_logic; -- character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent:rp_endofpacket -> id_router_008:sink_endofpacket signal character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rp_valid : std_logic; -- character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent:rp_valid -> id_router_008:sink_valid signal character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rp_startofpacket : std_logic; -- character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent:rp_startofpacket -> id_router_008:sink_startofpacket signal character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rp_data : std_logic_vector(72 downto 0); -- character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent:rp_data -> id_router_008:sink_data signal character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rp_ready : std_logic; -- id_router_008:sink_ready -> character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent:rp_ready signal green_leds_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket : std_logic; -- green_leds_s1_translator_avalon_universal_slave_0_agent:rp_endofpacket -> id_router_009:sink_endofpacket signal green_leds_s1_translator_avalon_universal_slave_0_agent_rp_valid : std_logic; -- green_leds_s1_translator_avalon_universal_slave_0_agent:rp_valid -> id_router_009:sink_valid signal green_leds_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket : std_logic; -- green_leds_s1_translator_avalon_universal_slave_0_agent:rp_startofpacket -> id_router_009:sink_startofpacket signal green_leds_s1_translator_avalon_universal_slave_0_agent_rp_data : std_logic_vector(99 downto 0); -- green_leds_s1_translator_avalon_universal_slave_0_agent:rp_data -> id_router_009:sink_data signal green_leds_s1_translator_avalon_universal_slave_0_agent_rp_ready : std_logic; -- id_router_009:sink_ready -> green_leds_s1_translator_avalon_universal_slave_0_agent:rp_ready signal switch_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket : std_logic; -- switch_s1_translator_avalon_universal_slave_0_agent:rp_endofpacket -> id_router_010:sink_endofpacket signal switch_s1_translator_avalon_universal_slave_0_agent_rp_valid : std_logic; -- switch_s1_translator_avalon_universal_slave_0_agent:rp_valid -> id_router_010:sink_valid signal switch_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket : std_logic; -- switch_s1_translator_avalon_universal_slave_0_agent:rp_startofpacket -> id_router_010:sink_startofpacket signal switch_s1_translator_avalon_universal_slave_0_agent_rp_data : std_logic_vector(99 downto 0); -- switch_s1_translator_avalon_universal_slave_0_agent:rp_data -> id_router_010:sink_data signal switch_s1_translator_avalon_universal_slave_0_agent_rp_ready : std_logic; -- id_router_010:sink_ready -> switch_s1_translator_avalon_universal_slave_0_agent:rp_ready signal servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rp_endofpacket : std_logic; -- servo_pwm_0_s0_translator_avalon_universal_slave_0_agent:rp_endofpacket -> id_router_011:sink_endofpacket signal servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rp_valid : std_logic; -- servo_pwm_0_s0_translator_avalon_universal_slave_0_agent:rp_valid -> id_router_011:sink_valid signal servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rp_startofpacket : std_logic; -- servo_pwm_0_s0_translator_avalon_universal_slave_0_agent:rp_startofpacket -> id_router_011:sink_startofpacket signal servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rp_data : std_logic_vector(72 downto 0); -- servo_pwm_0_s0_translator_avalon_universal_slave_0_agent:rp_data -> id_router_011:sink_data signal servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rp_ready : std_logic; -- id_router_011:sink_ready -> servo_pwm_0_s0_translator_avalon_universal_slave_0_agent:rp_ready signal switch_0_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket : std_logic; -- switch_0_s1_translator_avalon_universal_slave_0_agent:rp_endofpacket -> id_router_012:sink_endofpacket signal switch_0_s1_translator_avalon_universal_slave_0_agent_rp_valid : std_logic; -- switch_0_s1_translator_avalon_universal_slave_0_agent:rp_valid -> id_router_012:sink_valid signal switch_0_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket : std_logic; -- switch_0_s1_translator_avalon_universal_slave_0_agent:rp_startofpacket -> id_router_012:sink_startofpacket signal switch_0_s1_translator_avalon_universal_slave_0_agent_rp_data : std_logic_vector(99 downto 0); -- switch_0_s1_translator_avalon_universal_slave_0_agent:rp_data -> id_router_012:sink_data signal switch_0_s1_translator_avalon_universal_slave_0_agent_rp_ready : std_logic; -- id_router_012:sink_ready -> switch_0_s1_translator_avalon_universal_slave_0_agent:rp_ready signal addr_router_src_endofpacket : std_logic; -- addr_router:src_endofpacket -> limiter:cmd_sink_endofpacket signal addr_router_src_valid : std_logic; -- addr_router:src_valid -> limiter:cmd_sink_valid signal addr_router_src_startofpacket : std_logic; -- addr_router:src_startofpacket -> limiter:cmd_sink_startofpacket signal addr_router_src_data : std_logic_vector(99 downto 0); -- addr_router:src_data -> limiter:cmd_sink_data signal addr_router_src_channel : std_logic_vector(12 downto 0); -- addr_router:src_channel -> limiter:cmd_sink_channel signal addr_router_src_ready : std_logic; -- limiter:cmd_sink_ready -> addr_router:src_ready signal limiter_rsp_src_endofpacket : std_logic; -- limiter:rsp_src_endofpacket -> nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_agent:rp_endofpacket signal limiter_rsp_src_valid : std_logic; -- limiter:rsp_src_valid -> nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_agent:rp_valid signal limiter_rsp_src_startofpacket : std_logic; -- limiter:rsp_src_startofpacket -> nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_agent:rp_startofpacket signal limiter_rsp_src_data : std_logic_vector(99 downto 0); -- limiter:rsp_src_data -> nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_agent:rp_data signal limiter_rsp_src_channel : std_logic_vector(12 downto 0); -- limiter:rsp_src_channel -> nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_agent:rp_channel signal limiter_rsp_src_ready : std_logic; -- nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_agent:rp_ready -> limiter:rsp_src_ready signal addr_router_001_src_endofpacket : std_logic; -- addr_router_001:src_endofpacket -> limiter_001:cmd_sink_endofpacket signal addr_router_001_src_valid : std_logic; -- addr_router_001:src_valid -> limiter_001:cmd_sink_valid signal addr_router_001_src_startofpacket : std_logic; -- addr_router_001:src_startofpacket -> limiter_001:cmd_sink_startofpacket signal addr_router_001_src_data : std_logic_vector(99 downto 0); -- addr_router_001:src_data -> limiter_001:cmd_sink_data signal addr_router_001_src_channel : std_logic_vector(12 downto 0); -- addr_router_001:src_channel -> limiter_001:cmd_sink_channel signal addr_router_001_src_ready : std_logic; -- limiter_001:cmd_sink_ready -> addr_router_001:src_ready signal limiter_001_rsp_src_endofpacket : std_logic; -- limiter_001:rsp_src_endofpacket -> nios2_qsys_0_data_master_translator_avalon_universal_master_0_agent:rp_endofpacket signal limiter_001_rsp_src_valid : std_logic; -- limiter_001:rsp_src_valid -> nios2_qsys_0_data_master_translator_avalon_universal_master_0_agent:rp_valid signal limiter_001_rsp_src_startofpacket : std_logic; -- limiter_001:rsp_src_startofpacket -> nios2_qsys_0_data_master_translator_avalon_universal_master_0_agent:rp_startofpacket signal limiter_001_rsp_src_data : std_logic_vector(99 downto 0); -- limiter_001:rsp_src_data -> nios2_qsys_0_data_master_translator_avalon_universal_master_0_agent:rp_data signal limiter_001_rsp_src_channel : std_logic_vector(12 downto 0); -- limiter_001:rsp_src_channel -> nios2_qsys_0_data_master_translator_avalon_universal_master_0_agent:rp_channel signal limiter_001_rsp_src_ready : std_logic; -- nios2_qsys_0_data_master_translator_avalon_universal_master_0_agent:rp_ready -> limiter_001:rsp_src_ready signal burst_adapter_source0_endofpacket : std_logic; -- burst_adapter:source0_endofpacket -> sdram_0_s1_translator_avalon_universal_slave_0_agent:cp_endofpacket signal burst_adapter_source0_valid : std_logic; -- burst_adapter:source0_valid -> sdram_0_s1_translator_avalon_universal_slave_0_agent:cp_valid signal burst_adapter_source0_startofpacket : std_logic; -- burst_adapter:source0_startofpacket -> sdram_0_s1_translator_avalon_universal_slave_0_agent:cp_startofpacket signal burst_adapter_source0_data : std_logic_vector(81 downto 0); -- burst_adapter:source0_data -> sdram_0_s1_translator_avalon_universal_slave_0_agent:cp_data signal burst_adapter_source0_ready : std_logic; -- sdram_0_s1_translator_avalon_universal_slave_0_agent:cp_ready -> burst_adapter:source0_ready signal burst_adapter_source0_channel : std_logic_vector(12 downto 0); -- burst_adapter:source0_channel -> sdram_0_s1_translator_avalon_universal_slave_0_agent:cp_channel signal burst_adapter_001_source0_endofpacket : std_logic; -- burst_adapter_001:source0_endofpacket -> sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent:cp_endofpacket signal burst_adapter_001_source0_valid : std_logic; -- burst_adapter_001:source0_valid -> sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent:cp_valid signal burst_adapter_001_source0_startofpacket : std_logic; -- burst_adapter_001:source0_startofpacket -> sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent:cp_startofpacket signal burst_adapter_001_source0_data : std_logic_vector(81 downto 0); -- burst_adapter_001:source0_data -> sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent:cp_data signal burst_adapter_001_source0_ready : std_logic; -- sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent:cp_ready -> burst_adapter_001:source0_ready signal burst_adapter_001_source0_channel : std_logic_vector(12 downto 0); -- burst_adapter_001:source0_channel -> sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent:cp_channel signal burst_adapter_002_source0_endofpacket : std_logic; -- burst_adapter_002:source0_endofpacket -> character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent:cp_endofpacket signal burst_adapter_002_source0_valid : std_logic; -- burst_adapter_002:source0_valid -> character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent:cp_valid signal burst_adapter_002_source0_startofpacket : std_logic; -- burst_adapter_002:source0_startofpacket -> character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent:cp_startofpacket signal burst_adapter_002_source0_data : std_logic_vector(72 downto 0); -- burst_adapter_002:source0_data -> character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent:cp_data signal burst_adapter_002_source0_ready : std_logic; -- character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent:cp_ready -> burst_adapter_002:source0_ready signal burst_adapter_002_source0_channel : std_logic_vector(12 downto 0); -- burst_adapter_002:source0_channel -> character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent:cp_channel signal burst_adapter_003_source0_endofpacket : std_logic; -- burst_adapter_003:source0_endofpacket -> servo_pwm_0_s0_translator_avalon_universal_slave_0_agent:cp_endofpacket signal burst_adapter_003_source0_valid : std_logic; -- burst_adapter_003:source0_valid -> servo_pwm_0_s0_translator_avalon_universal_slave_0_agent:cp_valid signal burst_adapter_003_source0_startofpacket : std_logic; -- burst_adapter_003:source0_startofpacket -> servo_pwm_0_s0_translator_avalon_universal_slave_0_agent:cp_startofpacket signal burst_adapter_003_source0_data : std_logic_vector(72 downto 0); -- burst_adapter_003:source0_data -> servo_pwm_0_s0_translator_avalon_universal_slave_0_agent:cp_data signal burst_adapter_003_source0_ready : std_logic; -- servo_pwm_0_s0_translator_avalon_universal_slave_0_agent:cp_ready -> burst_adapter_003:source0_ready signal burst_adapter_003_source0_channel : std_logic_vector(12 downto 0); -- burst_adapter_003:source0_channel -> servo_pwm_0_s0_translator_avalon_universal_slave_0_agent:cp_channel signal rst_controller_reset_out_reset : std_logic; -- rst_controller:reset_out -> [addr_router:reset, addr_router_001:reset, burst_adapter:reset, burst_adapter_001:reset, burst_adapter_002:reset, burst_adapter_003:reset, character_lcd_0:reset, character_lcd_0_avalon_lcd_slave_translator:reset, character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent:reset, character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:reset, cmd_xbar_demux:reset, cmd_xbar_demux_001:reset, cmd_xbar_mux:reset, cmd_xbar_mux_001:reset, cmd_xbar_mux_002:reset, cmd_xbar_mux_003:reset, crosser:in_reset, crosser_001:out_reset, green_leds_s1_translator:reset, green_leds_s1_translator_avalon_universal_slave_0_agent:reset, green_leds_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:reset, id_router:reset, id_router_001:reset, id_router_002:reset, id_router_003:reset, id_router_005:reset, id_router_006:reset, id_router_007:reset, id_router_008:reset, id_router_009:reset, id_router_010:reset, id_router_011:reset, id_router_012:reset, irq_mapper:reset, jtag_uart_0_avalon_jtag_slave_translator:reset, jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:reset, jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:reset, limiter:reset, limiter_001:reset, nios2_qsys_0_data_master_translator:reset, nios2_qsys_0_data_master_translator_avalon_universal_master_0_agent:reset, nios2_qsys_0_instruction_master_translator:reset, nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_agent:reset, nios2_qsys_0_jtag_debug_module_translator:reset, nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent:reset, nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo:reset, onchip_memory2_0:reset, onchip_memory2_0_s1_translator:reset, onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent:reset, onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:reset, rsp_xbar_demux:reset, rsp_xbar_demux_001:reset, rsp_xbar_demux_002:reset, rsp_xbar_demux_003:reset, rsp_xbar_demux_005:reset, rsp_xbar_demux_006:reset, rsp_xbar_demux_007:reset, rsp_xbar_demux_008:reset, rsp_xbar_demux_009:reset, rsp_xbar_demux_010:reset, rsp_xbar_demux_011:reset, rsp_xbar_demux_012:reset, rsp_xbar_mux:reset, rsp_xbar_mux_001:reset, rst_controller_reset_out_reset:in, sdram_0_s1_translator:reset, sdram_0_s1_translator_avalon_universal_slave_0_agent:reset, sdram_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:reset, servo_pwm_0_s0_translator:reset, servo_pwm_0_s0_translator_avalon_universal_slave_0_agent:reset, servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rsp_fifo:reset, sram_0:reset, sram_0_avalon_sram_slave_translator:reset, sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent:reset, sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:reset, switch_0_s1_translator:reset, switch_0_s1_translator_avalon_universal_slave_0_agent:reset, switch_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:reset, switch_s1_translator:reset, switch_s1_translator_avalon_universal_slave_0_agent:reset, switch_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:reset, sysid_qsys_0_control_slave_translator:reset, sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent:reset, sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:reset, timer_0_s1_translator:reset, timer_0_s1_translator_avalon_universal_slave_0_agent:reset, timer_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:reset, width_adapter:reset, width_adapter_001:reset, width_adapter_002:reset, width_adapter_003:reset, width_adapter_004:reset, width_adapter_005:reset, width_adapter_006:reset, width_adapter_007:reset] signal nios2_qsys_0_jtag_debug_module_reset_reset : std_logic; -- nios2_qsys_0:jtag_debug_module_resetrequest -> [rst_controller:reset_in1, rst_controller_001:reset_in1] signal rst_controller_001_reset_out_reset : std_logic; -- rst_controller_001:reset_out -> [altpll_0:reset, altpll_0_pll_slave_translator:reset, altpll_0_pll_slave_translator_avalon_universal_slave_0_agent:reset, altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rdata_fifo:reset, altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:reset, crosser:out_reset, crosser_001:in_reset, id_router_004:reset, rsp_xbar_demux_004:reset] signal cmd_xbar_demux_src0_endofpacket : std_logic; -- cmd_xbar_demux:src0_endofpacket -> cmd_xbar_mux:sink0_endofpacket signal cmd_xbar_demux_src0_valid : std_logic; -- cmd_xbar_demux:src0_valid -> cmd_xbar_mux:sink0_valid signal cmd_xbar_demux_src0_startofpacket : std_logic; -- cmd_xbar_demux:src0_startofpacket -> cmd_xbar_mux:sink0_startofpacket signal cmd_xbar_demux_src0_data : std_logic_vector(99 downto 0); -- cmd_xbar_demux:src0_data -> cmd_xbar_mux:sink0_data signal cmd_xbar_demux_src0_channel : std_logic_vector(12 downto 0); -- cmd_xbar_demux:src0_channel -> cmd_xbar_mux:sink0_channel signal cmd_xbar_demux_src0_ready : std_logic; -- cmd_xbar_mux:sink0_ready -> cmd_xbar_demux:src0_ready signal cmd_xbar_demux_src1_endofpacket : std_logic; -- cmd_xbar_demux:src1_endofpacket -> cmd_xbar_mux_001:sink0_endofpacket signal cmd_xbar_demux_src1_valid : std_logic; -- cmd_xbar_demux:src1_valid -> cmd_xbar_mux_001:sink0_valid signal cmd_xbar_demux_src1_startofpacket : std_logic; -- cmd_xbar_demux:src1_startofpacket -> cmd_xbar_mux_001:sink0_startofpacket signal cmd_xbar_demux_src1_data : std_logic_vector(99 downto 0); -- cmd_xbar_demux:src1_data -> cmd_xbar_mux_001:sink0_data signal cmd_xbar_demux_src1_channel : std_logic_vector(12 downto 0); -- cmd_xbar_demux:src1_channel -> cmd_xbar_mux_001:sink0_channel signal cmd_xbar_demux_src1_ready : std_logic; -- cmd_xbar_mux_001:sink0_ready -> cmd_xbar_demux:src1_ready signal cmd_xbar_demux_src2_endofpacket : std_logic; -- cmd_xbar_demux:src2_endofpacket -> cmd_xbar_mux_002:sink0_endofpacket signal cmd_xbar_demux_src2_valid : std_logic; -- cmd_xbar_demux:src2_valid -> cmd_xbar_mux_002:sink0_valid signal cmd_xbar_demux_src2_startofpacket : std_logic; -- cmd_xbar_demux:src2_startofpacket -> cmd_xbar_mux_002:sink0_startofpacket signal cmd_xbar_demux_src2_data : std_logic_vector(99 downto 0); -- cmd_xbar_demux:src2_data -> cmd_xbar_mux_002:sink0_data signal cmd_xbar_demux_src2_channel : std_logic_vector(12 downto 0); -- cmd_xbar_demux:src2_channel -> cmd_xbar_mux_002:sink0_channel signal cmd_xbar_demux_src2_ready : std_logic; -- cmd_xbar_mux_002:sink0_ready -> cmd_xbar_demux:src2_ready signal cmd_xbar_demux_src3_endofpacket : std_logic; -- cmd_xbar_demux:src3_endofpacket -> cmd_xbar_mux_003:sink0_endofpacket signal cmd_xbar_demux_src3_valid : std_logic; -- cmd_xbar_demux:src3_valid -> cmd_xbar_mux_003:sink0_valid signal cmd_xbar_demux_src3_startofpacket : std_logic; -- cmd_xbar_demux:src3_startofpacket -> cmd_xbar_mux_003:sink0_startofpacket signal cmd_xbar_demux_src3_data : std_logic_vector(99 downto 0); -- cmd_xbar_demux:src3_data -> cmd_xbar_mux_003:sink0_data signal cmd_xbar_demux_src3_channel : std_logic_vector(12 downto 0); -- cmd_xbar_demux:src3_channel -> cmd_xbar_mux_003:sink0_channel signal cmd_xbar_demux_src3_ready : std_logic; -- cmd_xbar_mux_003:sink0_ready -> cmd_xbar_demux:src3_ready signal cmd_xbar_demux_001_src0_endofpacket : std_logic; -- cmd_xbar_demux_001:src0_endofpacket -> cmd_xbar_mux:sink1_endofpacket signal cmd_xbar_demux_001_src0_valid : std_logic; -- cmd_xbar_demux_001:src0_valid -> cmd_xbar_mux:sink1_valid signal cmd_xbar_demux_001_src0_startofpacket : std_logic; -- cmd_xbar_demux_001:src0_startofpacket -> cmd_xbar_mux:sink1_startofpacket signal cmd_xbar_demux_001_src0_data : std_logic_vector(99 downto 0); -- cmd_xbar_demux_001:src0_data -> cmd_xbar_mux:sink1_data signal cmd_xbar_demux_001_src0_channel : std_logic_vector(12 downto 0); -- cmd_xbar_demux_001:src0_channel -> cmd_xbar_mux:sink1_channel signal cmd_xbar_demux_001_src0_ready : std_logic; -- cmd_xbar_mux:sink1_ready -> cmd_xbar_demux_001:src0_ready signal cmd_xbar_demux_001_src1_endofpacket : std_logic; -- cmd_xbar_demux_001:src1_endofpacket -> cmd_xbar_mux_001:sink1_endofpacket signal cmd_xbar_demux_001_src1_valid : std_logic; -- cmd_xbar_demux_001:src1_valid -> cmd_xbar_mux_001:sink1_valid signal cmd_xbar_demux_001_src1_startofpacket : std_logic; -- cmd_xbar_demux_001:src1_startofpacket -> cmd_xbar_mux_001:sink1_startofpacket signal cmd_xbar_demux_001_src1_data : std_logic_vector(99 downto 0); -- cmd_xbar_demux_001:src1_data -> cmd_xbar_mux_001:sink1_data signal cmd_xbar_demux_001_src1_channel : std_logic_vector(12 downto 0); -- cmd_xbar_demux_001:src1_channel -> cmd_xbar_mux_001:sink1_channel signal cmd_xbar_demux_001_src1_ready : std_logic; -- cmd_xbar_mux_001:sink1_ready -> cmd_xbar_demux_001:src1_ready signal cmd_xbar_demux_001_src2_endofpacket : std_logic; -- cmd_xbar_demux_001:src2_endofpacket -> cmd_xbar_mux_002:sink1_endofpacket signal cmd_xbar_demux_001_src2_valid : std_logic; -- cmd_xbar_demux_001:src2_valid -> cmd_xbar_mux_002:sink1_valid signal cmd_xbar_demux_001_src2_startofpacket : std_logic; -- cmd_xbar_demux_001:src2_startofpacket -> cmd_xbar_mux_002:sink1_startofpacket signal cmd_xbar_demux_001_src2_data : std_logic_vector(99 downto 0); -- cmd_xbar_demux_001:src2_data -> cmd_xbar_mux_002:sink1_data signal cmd_xbar_demux_001_src2_channel : std_logic_vector(12 downto 0); -- cmd_xbar_demux_001:src2_channel -> cmd_xbar_mux_002:sink1_channel signal cmd_xbar_demux_001_src2_ready : std_logic; -- cmd_xbar_mux_002:sink1_ready -> cmd_xbar_demux_001:src2_ready signal cmd_xbar_demux_001_src3_endofpacket : std_logic; -- cmd_xbar_demux_001:src3_endofpacket -> cmd_xbar_mux_003:sink1_endofpacket signal cmd_xbar_demux_001_src3_valid : std_logic; -- cmd_xbar_demux_001:src3_valid -> cmd_xbar_mux_003:sink1_valid signal cmd_xbar_demux_001_src3_startofpacket : std_logic; -- cmd_xbar_demux_001:src3_startofpacket -> cmd_xbar_mux_003:sink1_startofpacket signal cmd_xbar_demux_001_src3_data : std_logic_vector(99 downto 0); -- cmd_xbar_demux_001:src3_data -> cmd_xbar_mux_003:sink1_data signal cmd_xbar_demux_001_src3_channel : std_logic_vector(12 downto 0); -- cmd_xbar_demux_001:src3_channel -> cmd_xbar_mux_003:sink1_channel signal cmd_xbar_demux_001_src3_ready : std_logic; -- cmd_xbar_mux_003:sink1_ready -> cmd_xbar_demux_001:src3_ready signal cmd_xbar_demux_001_src5_endofpacket : std_logic; -- cmd_xbar_demux_001:src5_endofpacket -> sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent:cp_endofpacket signal cmd_xbar_demux_001_src5_valid : std_logic; -- cmd_xbar_demux_001:src5_valid -> sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent:cp_valid signal cmd_xbar_demux_001_src5_startofpacket : std_logic; -- cmd_xbar_demux_001:src5_startofpacket -> sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent:cp_startofpacket signal cmd_xbar_demux_001_src5_data : std_logic_vector(99 downto 0); -- cmd_xbar_demux_001:src5_data -> sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent:cp_data signal cmd_xbar_demux_001_src5_channel : std_logic_vector(12 downto 0); -- cmd_xbar_demux_001:src5_channel -> sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent:cp_channel signal cmd_xbar_demux_001_src6_endofpacket : std_logic; -- cmd_xbar_demux_001:src6_endofpacket -> timer_0_s1_translator_avalon_universal_slave_0_agent:cp_endofpacket signal cmd_xbar_demux_001_src6_valid : std_logic; -- cmd_xbar_demux_001:src6_valid -> timer_0_s1_translator_avalon_universal_slave_0_agent:cp_valid signal cmd_xbar_demux_001_src6_startofpacket : std_logic; -- cmd_xbar_demux_001:src6_startofpacket -> timer_0_s1_translator_avalon_universal_slave_0_agent:cp_startofpacket signal cmd_xbar_demux_001_src6_data : std_logic_vector(99 downto 0); -- cmd_xbar_demux_001:src6_data -> timer_0_s1_translator_avalon_universal_slave_0_agent:cp_data signal cmd_xbar_demux_001_src6_channel : std_logic_vector(12 downto 0); -- cmd_xbar_demux_001:src6_channel -> timer_0_s1_translator_avalon_universal_slave_0_agent:cp_channel signal cmd_xbar_demux_001_src7_endofpacket : std_logic; -- cmd_xbar_demux_001:src7_endofpacket -> jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:cp_endofpacket signal cmd_xbar_demux_001_src7_valid : std_logic; -- cmd_xbar_demux_001:src7_valid -> jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:cp_valid signal cmd_xbar_demux_001_src7_startofpacket : std_logic; -- cmd_xbar_demux_001:src7_startofpacket -> jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:cp_startofpacket signal cmd_xbar_demux_001_src7_data : std_logic_vector(99 downto 0); -- cmd_xbar_demux_001:src7_data -> jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:cp_data signal cmd_xbar_demux_001_src7_channel : std_logic_vector(12 downto 0); -- cmd_xbar_demux_001:src7_channel -> jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:cp_channel signal cmd_xbar_demux_001_src8_endofpacket : std_logic; -- cmd_xbar_demux_001:src8_endofpacket -> width_adapter_004:in_endofpacket signal cmd_xbar_demux_001_src8_valid : std_logic; -- cmd_xbar_demux_001:src8_valid -> width_adapter_004:in_valid signal cmd_xbar_demux_001_src8_startofpacket : std_logic; -- cmd_xbar_demux_001:src8_startofpacket -> width_adapter_004:in_startofpacket signal cmd_xbar_demux_001_src8_data : std_logic_vector(99 downto 0); -- cmd_xbar_demux_001:src8_data -> width_adapter_004:in_data signal cmd_xbar_demux_001_src8_channel : std_logic_vector(12 downto 0); -- cmd_xbar_demux_001:src8_channel -> width_adapter_004:in_channel signal cmd_xbar_demux_001_src9_endofpacket : std_logic; -- cmd_xbar_demux_001:src9_endofpacket -> green_leds_s1_translator_avalon_universal_slave_0_agent:cp_endofpacket signal cmd_xbar_demux_001_src9_valid : std_logic; -- cmd_xbar_demux_001:src9_valid -> green_leds_s1_translator_avalon_universal_slave_0_agent:cp_valid signal cmd_xbar_demux_001_src9_startofpacket : std_logic; -- cmd_xbar_demux_001:src9_startofpacket -> green_leds_s1_translator_avalon_universal_slave_0_agent:cp_startofpacket signal cmd_xbar_demux_001_src9_data : std_logic_vector(99 downto 0); -- cmd_xbar_demux_001:src9_data -> green_leds_s1_translator_avalon_universal_slave_0_agent:cp_data signal cmd_xbar_demux_001_src9_channel : std_logic_vector(12 downto 0); -- cmd_xbar_demux_001:src9_channel -> green_leds_s1_translator_avalon_universal_slave_0_agent:cp_channel signal cmd_xbar_demux_001_src10_endofpacket : std_logic; -- cmd_xbar_demux_001:src10_endofpacket -> switch_s1_translator_avalon_universal_slave_0_agent:cp_endofpacket signal cmd_xbar_demux_001_src10_valid : std_logic; -- cmd_xbar_demux_001:src10_valid -> switch_s1_translator_avalon_universal_slave_0_agent:cp_valid signal cmd_xbar_demux_001_src10_startofpacket : std_logic; -- cmd_xbar_demux_001:src10_startofpacket -> switch_s1_translator_avalon_universal_slave_0_agent:cp_startofpacket signal cmd_xbar_demux_001_src10_data : std_logic_vector(99 downto 0); -- cmd_xbar_demux_001:src10_data -> switch_s1_translator_avalon_universal_slave_0_agent:cp_data signal cmd_xbar_demux_001_src10_channel : std_logic_vector(12 downto 0); -- cmd_xbar_demux_001:src10_channel -> switch_s1_translator_avalon_universal_slave_0_agent:cp_channel signal cmd_xbar_demux_001_src11_endofpacket : std_logic; -- cmd_xbar_demux_001:src11_endofpacket -> width_adapter_006:in_endofpacket signal cmd_xbar_demux_001_src11_valid : std_logic; -- cmd_xbar_demux_001:src11_valid -> width_adapter_006:in_valid signal cmd_xbar_demux_001_src11_startofpacket : std_logic; -- cmd_xbar_demux_001:src11_startofpacket -> width_adapter_006:in_startofpacket signal cmd_xbar_demux_001_src11_data : std_logic_vector(99 downto 0); -- cmd_xbar_demux_001:src11_data -> width_adapter_006:in_data signal cmd_xbar_demux_001_src11_channel : std_logic_vector(12 downto 0); -- cmd_xbar_demux_001:src11_channel -> width_adapter_006:in_channel signal cmd_xbar_demux_001_src12_endofpacket : std_logic; -- cmd_xbar_demux_001:src12_endofpacket -> switch_0_s1_translator_avalon_universal_slave_0_agent:cp_endofpacket signal cmd_xbar_demux_001_src12_valid : std_logic; -- cmd_xbar_demux_001:src12_valid -> switch_0_s1_translator_avalon_universal_slave_0_agent:cp_valid signal cmd_xbar_demux_001_src12_startofpacket : std_logic; -- cmd_xbar_demux_001:src12_startofpacket -> switch_0_s1_translator_avalon_universal_slave_0_agent:cp_startofpacket signal cmd_xbar_demux_001_src12_data : std_logic_vector(99 downto 0); -- cmd_xbar_demux_001:src12_data -> switch_0_s1_translator_avalon_universal_slave_0_agent:cp_data signal cmd_xbar_demux_001_src12_channel : std_logic_vector(12 downto 0); -- cmd_xbar_demux_001:src12_channel -> switch_0_s1_translator_avalon_universal_slave_0_agent:cp_channel signal rsp_xbar_demux_src0_endofpacket : std_logic; -- rsp_xbar_demux:src0_endofpacket -> rsp_xbar_mux:sink0_endofpacket signal rsp_xbar_demux_src0_valid : std_logic; -- rsp_xbar_demux:src0_valid -> rsp_xbar_mux:sink0_valid signal rsp_xbar_demux_src0_startofpacket : std_logic; -- rsp_xbar_demux:src0_startofpacket -> rsp_xbar_mux:sink0_startofpacket signal rsp_xbar_demux_src0_data : std_logic_vector(99 downto 0); -- rsp_xbar_demux:src0_data -> rsp_xbar_mux:sink0_data signal rsp_xbar_demux_src0_channel : std_logic_vector(12 downto 0); -- rsp_xbar_demux:src0_channel -> rsp_xbar_mux:sink0_channel signal rsp_xbar_demux_src0_ready : std_logic; -- rsp_xbar_mux:sink0_ready -> rsp_xbar_demux:src0_ready signal rsp_xbar_demux_src1_endofpacket : std_logic; -- rsp_xbar_demux:src1_endofpacket -> rsp_xbar_mux_001:sink0_endofpacket signal rsp_xbar_demux_src1_valid : std_logic; -- rsp_xbar_demux:src1_valid -> rsp_xbar_mux_001:sink0_valid signal rsp_xbar_demux_src1_startofpacket : std_logic; -- rsp_xbar_demux:src1_startofpacket -> rsp_xbar_mux_001:sink0_startofpacket signal rsp_xbar_demux_src1_data : std_logic_vector(99 downto 0); -- rsp_xbar_demux:src1_data -> rsp_xbar_mux_001:sink0_data signal rsp_xbar_demux_src1_channel : std_logic_vector(12 downto 0); -- rsp_xbar_demux:src1_channel -> rsp_xbar_mux_001:sink0_channel signal rsp_xbar_demux_src1_ready : std_logic; -- rsp_xbar_mux_001:sink0_ready -> rsp_xbar_demux:src1_ready signal rsp_xbar_demux_001_src0_endofpacket : std_logic; -- rsp_xbar_demux_001:src0_endofpacket -> rsp_xbar_mux:sink1_endofpacket signal rsp_xbar_demux_001_src0_valid : std_logic; -- rsp_xbar_demux_001:src0_valid -> rsp_xbar_mux:sink1_valid signal rsp_xbar_demux_001_src0_startofpacket : std_logic; -- rsp_xbar_demux_001:src0_startofpacket -> rsp_xbar_mux:sink1_startofpacket signal rsp_xbar_demux_001_src0_data : std_logic_vector(99 downto 0); -- rsp_xbar_demux_001:src0_data -> rsp_xbar_mux:sink1_data signal rsp_xbar_demux_001_src0_channel : std_logic_vector(12 downto 0); -- rsp_xbar_demux_001:src0_channel -> rsp_xbar_mux:sink1_channel signal rsp_xbar_demux_001_src0_ready : std_logic; -- rsp_xbar_mux:sink1_ready -> rsp_xbar_demux_001:src0_ready signal rsp_xbar_demux_001_src1_endofpacket : std_logic; -- rsp_xbar_demux_001:src1_endofpacket -> rsp_xbar_mux_001:sink1_endofpacket signal rsp_xbar_demux_001_src1_valid : std_logic; -- rsp_xbar_demux_001:src1_valid -> rsp_xbar_mux_001:sink1_valid signal rsp_xbar_demux_001_src1_startofpacket : std_logic; -- rsp_xbar_demux_001:src1_startofpacket -> rsp_xbar_mux_001:sink1_startofpacket signal rsp_xbar_demux_001_src1_data : std_logic_vector(99 downto 0); -- rsp_xbar_demux_001:src1_data -> rsp_xbar_mux_001:sink1_data signal rsp_xbar_demux_001_src1_channel : std_logic_vector(12 downto 0); -- rsp_xbar_demux_001:src1_channel -> rsp_xbar_mux_001:sink1_channel signal rsp_xbar_demux_001_src1_ready : std_logic; -- rsp_xbar_mux_001:sink1_ready -> rsp_xbar_demux_001:src1_ready signal rsp_xbar_demux_002_src0_endofpacket : std_logic; -- rsp_xbar_demux_002:src0_endofpacket -> rsp_xbar_mux:sink2_endofpacket signal rsp_xbar_demux_002_src0_valid : std_logic; -- rsp_xbar_demux_002:src0_valid -> rsp_xbar_mux:sink2_valid signal rsp_xbar_demux_002_src0_startofpacket : std_logic; -- rsp_xbar_demux_002:src0_startofpacket -> rsp_xbar_mux:sink2_startofpacket signal rsp_xbar_demux_002_src0_data : std_logic_vector(99 downto 0); -- rsp_xbar_demux_002:src0_data -> rsp_xbar_mux:sink2_data signal rsp_xbar_demux_002_src0_channel : std_logic_vector(12 downto 0); -- rsp_xbar_demux_002:src0_channel -> rsp_xbar_mux:sink2_channel signal rsp_xbar_demux_002_src0_ready : std_logic; -- rsp_xbar_mux:sink2_ready -> rsp_xbar_demux_002:src0_ready signal rsp_xbar_demux_002_src1_endofpacket : std_logic; -- rsp_xbar_demux_002:src1_endofpacket -> rsp_xbar_mux_001:sink2_endofpacket signal rsp_xbar_demux_002_src1_valid : std_logic; -- rsp_xbar_demux_002:src1_valid -> rsp_xbar_mux_001:sink2_valid signal rsp_xbar_demux_002_src1_startofpacket : std_logic; -- rsp_xbar_demux_002:src1_startofpacket -> rsp_xbar_mux_001:sink2_startofpacket signal rsp_xbar_demux_002_src1_data : std_logic_vector(99 downto 0); -- rsp_xbar_demux_002:src1_data -> rsp_xbar_mux_001:sink2_data signal rsp_xbar_demux_002_src1_channel : std_logic_vector(12 downto 0); -- rsp_xbar_demux_002:src1_channel -> rsp_xbar_mux_001:sink2_channel signal rsp_xbar_demux_002_src1_ready : std_logic; -- rsp_xbar_mux_001:sink2_ready -> rsp_xbar_demux_002:src1_ready signal rsp_xbar_demux_003_src0_endofpacket : std_logic; -- rsp_xbar_demux_003:src0_endofpacket -> rsp_xbar_mux:sink3_endofpacket signal rsp_xbar_demux_003_src0_valid : std_logic; -- rsp_xbar_demux_003:src0_valid -> rsp_xbar_mux:sink3_valid signal rsp_xbar_demux_003_src0_startofpacket : std_logic; -- rsp_xbar_demux_003:src0_startofpacket -> rsp_xbar_mux:sink3_startofpacket signal rsp_xbar_demux_003_src0_data : std_logic_vector(99 downto 0); -- rsp_xbar_demux_003:src0_data -> rsp_xbar_mux:sink3_data signal rsp_xbar_demux_003_src0_channel : std_logic_vector(12 downto 0); -- rsp_xbar_demux_003:src0_channel -> rsp_xbar_mux:sink3_channel signal rsp_xbar_demux_003_src0_ready : std_logic; -- rsp_xbar_mux:sink3_ready -> rsp_xbar_demux_003:src0_ready signal rsp_xbar_demux_003_src1_endofpacket : std_logic; -- rsp_xbar_demux_003:src1_endofpacket -> rsp_xbar_mux_001:sink3_endofpacket signal rsp_xbar_demux_003_src1_valid : std_logic; -- rsp_xbar_demux_003:src1_valid -> rsp_xbar_mux_001:sink3_valid signal rsp_xbar_demux_003_src1_startofpacket : std_logic; -- rsp_xbar_demux_003:src1_startofpacket -> rsp_xbar_mux_001:sink3_startofpacket signal rsp_xbar_demux_003_src1_data : std_logic_vector(99 downto 0); -- rsp_xbar_demux_003:src1_data -> rsp_xbar_mux_001:sink3_data signal rsp_xbar_demux_003_src1_channel : std_logic_vector(12 downto 0); -- rsp_xbar_demux_003:src1_channel -> rsp_xbar_mux_001:sink3_channel signal rsp_xbar_demux_003_src1_ready : std_logic; -- rsp_xbar_mux_001:sink3_ready -> rsp_xbar_demux_003:src1_ready signal rsp_xbar_demux_005_src0_endofpacket : std_logic; -- rsp_xbar_demux_005:src0_endofpacket -> rsp_xbar_mux_001:sink5_endofpacket signal rsp_xbar_demux_005_src0_valid : std_logic; -- rsp_xbar_demux_005:src0_valid -> rsp_xbar_mux_001:sink5_valid signal rsp_xbar_demux_005_src0_startofpacket : std_logic; -- rsp_xbar_demux_005:src0_startofpacket -> rsp_xbar_mux_001:sink5_startofpacket signal rsp_xbar_demux_005_src0_data : std_logic_vector(99 downto 0); -- rsp_xbar_demux_005:src0_data -> rsp_xbar_mux_001:sink5_data signal rsp_xbar_demux_005_src0_channel : std_logic_vector(12 downto 0); -- rsp_xbar_demux_005:src0_channel -> rsp_xbar_mux_001:sink5_channel signal rsp_xbar_demux_005_src0_ready : std_logic; -- rsp_xbar_mux_001:sink5_ready -> rsp_xbar_demux_005:src0_ready signal rsp_xbar_demux_006_src0_endofpacket : std_logic; -- rsp_xbar_demux_006:src0_endofpacket -> rsp_xbar_mux_001:sink6_endofpacket signal rsp_xbar_demux_006_src0_valid : std_logic; -- rsp_xbar_demux_006:src0_valid -> rsp_xbar_mux_001:sink6_valid signal rsp_xbar_demux_006_src0_startofpacket : std_logic; -- rsp_xbar_demux_006:src0_startofpacket -> rsp_xbar_mux_001:sink6_startofpacket signal rsp_xbar_demux_006_src0_data : std_logic_vector(99 downto 0); -- rsp_xbar_demux_006:src0_data -> rsp_xbar_mux_001:sink6_data signal rsp_xbar_demux_006_src0_channel : std_logic_vector(12 downto 0); -- rsp_xbar_demux_006:src0_channel -> rsp_xbar_mux_001:sink6_channel signal rsp_xbar_demux_006_src0_ready : std_logic; -- rsp_xbar_mux_001:sink6_ready -> rsp_xbar_demux_006:src0_ready signal rsp_xbar_demux_007_src0_endofpacket : std_logic; -- rsp_xbar_demux_007:src0_endofpacket -> rsp_xbar_mux_001:sink7_endofpacket signal rsp_xbar_demux_007_src0_valid : std_logic; -- rsp_xbar_demux_007:src0_valid -> rsp_xbar_mux_001:sink7_valid signal rsp_xbar_demux_007_src0_startofpacket : std_logic; -- rsp_xbar_demux_007:src0_startofpacket -> rsp_xbar_mux_001:sink7_startofpacket signal rsp_xbar_demux_007_src0_data : std_logic_vector(99 downto 0); -- rsp_xbar_demux_007:src0_data -> rsp_xbar_mux_001:sink7_data signal rsp_xbar_demux_007_src0_channel : std_logic_vector(12 downto 0); -- rsp_xbar_demux_007:src0_channel -> rsp_xbar_mux_001:sink7_channel signal rsp_xbar_demux_007_src0_ready : std_logic; -- rsp_xbar_mux_001:sink7_ready -> rsp_xbar_demux_007:src0_ready signal rsp_xbar_demux_008_src0_endofpacket : std_logic; -- rsp_xbar_demux_008:src0_endofpacket -> rsp_xbar_mux_001:sink8_endofpacket signal rsp_xbar_demux_008_src0_valid : std_logic; -- rsp_xbar_demux_008:src0_valid -> rsp_xbar_mux_001:sink8_valid signal rsp_xbar_demux_008_src0_startofpacket : std_logic; -- rsp_xbar_demux_008:src0_startofpacket -> rsp_xbar_mux_001:sink8_startofpacket signal rsp_xbar_demux_008_src0_data : std_logic_vector(99 downto 0); -- rsp_xbar_demux_008:src0_data -> rsp_xbar_mux_001:sink8_data signal rsp_xbar_demux_008_src0_channel : std_logic_vector(12 downto 0); -- rsp_xbar_demux_008:src0_channel -> rsp_xbar_mux_001:sink8_channel signal rsp_xbar_demux_008_src0_ready : std_logic; -- rsp_xbar_mux_001:sink8_ready -> rsp_xbar_demux_008:src0_ready signal rsp_xbar_demux_009_src0_endofpacket : std_logic; -- rsp_xbar_demux_009:src0_endofpacket -> rsp_xbar_mux_001:sink9_endofpacket signal rsp_xbar_demux_009_src0_valid : std_logic; -- rsp_xbar_demux_009:src0_valid -> rsp_xbar_mux_001:sink9_valid signal rsp_xbar_demux_009_src0_startofpacket : std_logic; -- rsp_xbar_demux_009:src0_startofpacket -> rsp_xbar_mux_001:sink9_startofpacket signal rsp_xbar_demux_009_src0_data : std_logic_vector(99 downto 0); -- rsp_xbar_demux_009:src0_data -> rsp_xbar_mux_001:sink9_data signal rsp_xbar_demux_009_src0_channel : std_logic_vector(12 downto 0); -- rsp_xbar_demux_009:src0_channel -> rsp_xbar_mux_001:sink9_channel signal rsp_xbar_demux_009_src0_ready : std_logic; -- rsp_xbar_mux_001:sink9_ready -> rsp_xbar_demux_009:src0_ready signal rsp_xbar_demux_010_src0_endofpacket : std_logic; -- rsp_xbar_demux_010:src0_endofpacket -> rsp_xbar_mux_001:sink10_endofpacket signal rsp_xbar_demux_010_src0_valid : std_logic; -- rsp_xbar_demux_010:src0_valid -> rsp_xbar_mux_001:sink10_valid signal rsp_xbar_demux_010_src0_startofpacket : std_logic; -- rsp_xbar_demux_010:src0_startofpacket -> rsp_xbar_mux_001:sink10_startofpacket signal rsp_xbar_demux_010_src0_data : std_logic_vector(99 downto 0); -- rsp_xbar_demux_010:src0_data -> rsp_xbar_mux_001:sink10_data signal rsp_xbar_demux_010_src0_channel : std_logic_vector(12 downto 0); -- rsp_xbar_demux_010:src0_channel -> rsp_xbar_mux_001:sink10_channel signal rsp_xbar_demux_010_src0_ready : std_logic; -- rsp_xbar_mux_001:sink10_ready -> rsp_xbar_demux_010:src0_ready signal rsp_xbar_demux_011_src0_endofpacket : std_logic; -- rsp_xbar_demux_011:src0_endofpacket -> rsp_xbar_mux_001:sink11_endofpacket signal rsp_xbar_demux_011_src0_valid : std_logic; -- rsp_xbar_demux_011:src0_valid -> rsp_xbar_mux_001:sink11_valid signal rsp_xbar_demux_011_src0_startofpacket : std_logic; -- rsp_xbar_demux_011:src0_startofpacket -> rsp_xbar_mux_001:sink11_startofpacket signal rsp_xbar_demux_011_src0_data : std_logic_vector(99 downto 0); -- rsp_xbar_demux_011:src0_data -> rsp_xbar_mux_001:sink11_data signal rsp_xbar_demux_011_src0_channel : std_logic_vector(12 downto 0); -- rsp_xbar_demux_011:src0_channel -> rsp_xbar_mux_001:sink11_channel signal rsp_xbar_demux_011_src0_ready : std_logic; -- rsp_xbar_mux_001:sink11_ready -> rsp_xbar_demux_011:src0_ready signal rsp_xbar_demux_012_src0_endofpacket : std_logic; -- rsp_xbar_demux_012:src0_endofpacket -> rsp_xbar_mux_001:sink12_endofpacket signal rsp_xbar_demux_012_src0_valid : std_logic; -- rsp_xbar_demux_012:src0_valid -> rsp_xbar_mux_001:sink12_valid signal rsp_xbar_demux_012_src0_startofpacket : std_logic; -- rsp_xbar_demux_012:src0_startofpacket -> rsp_xbar_mux_001:sink12_startofpacket signal rsp_xbar_demux_012_src0_data : std_logic_vector(99 downto 0); -- rsp_xbar_demux_012:src0_data -> rsp_xbar_mux_001:sink12_data signal rsp_xbar_demux_012_src0_channel : std_logic_vector(12 downto 0); -- rsp_xbar_demux_012:src0_channel -> rsp_xbar_mux_001:sink12_channel signal rsp_xbar_demux_012_src0_ready : std_logic; -- rsp_xbar_mux_001:sink12_ready -> rsp_xbar_demux_012:src0_ready signal limiter_cmd_src_endofpacket : std_logic; -- limiter:cmd_src_endofpacket -> cmd_xbar_demux:sink_endofpacket signal limiter_cmd_src_startofpacket : std_logic; -- limiter:cmd_src_startofpacket -> cmd_xbar_demux:sink_startofpacket signal limiter_cmd_src_data : std_logic_vector(99 downto 0); -- limiter:cmd_src_data -> cmd_xbar_demux:sink_data signal limiter_cmd_src_channel : std_logic_vector(12 downto 0); -- limiter:cmd_src_channel -> cmd_xbar_demux:sink_channel signal limiter_cmd_src_ready : std_logic; -- cmd_xbar_demux:sink_ready -> limiter:cmd_src_ready signal rsp_xbar_mux_src_endofpacket : std_logic; -- rsp_xbar_mux:src_endofpacket -> limiter:rsp_sink_endofpacket signal rsp_xbar_mux_src_valid : std_logic; -- rsp_xbar_mux:src_valid -> limiter:rsp_sink_valid signal rsp_xbar_mux_src_startofpacket : std_logic; -- rsp_xbar_mux:src_startofpacket -> limiter:rsp_sink_startofpacket signal rsp_xbar_mux_src_data : std_logic_vector(99 downto 0); -- rsp_xbar_mux:src_data -> limiter:rsp_sink_data signal rsp_xbar_mux_src_channel : std_logic_vector(12 downto 0); -- rsp_xbar_mux:src_channel -> limiter:rsp_sink_channel signal rsp_xbar_mux_src_ready : std_logic; -- limiter:rsp_sink_ready -> rsp_xbar_mux:src_ready signal limiter_001_cmd_src_endofpacket : std_logic; -- limiter_001:cmd_src_endofpacket -> cmd_xbar_demux_001:sink_endofpacket signal limiter_001_cmd_src_startofpacket : std_logic; -- limiter_001:cmd_src_startofpacket -> cmd_xbar_demux_001:sink_startofpacket signal limiter_001_cmd_src_data : std_logic_vector(99 downto 0); -- limiter_001:cmd_src_data -> cmd_xbar_demux_001:sink_data signal limiter_001_cmd_src_channel : std_logic_vector(12 downto 0); -- limiter_001:cmd_src_channel -> cmd_xbar_demux_001:sink_channel signal limiter_001_cmd_src_ready : std_logic; -- cmd_xbar_demux_001:sink_ready -> limiter_001:cmd_src_ready signal rsp_xbar_mux_001_src_endofpacket : std_logic; -- rsp_xbar_mux_001:src_endofpacket -> limiter_001:rsp_sink_endofpacket signal rsp_xbar_mux_001_src_valid : std_logic; -- rsp_xbar_mux_001:src_valid -> limiter_001:rsp_sink_valid signal rsp_xbar_mux_001_src_startofpacket : std_logic; -- rsp_xbar_mux_001:src_startofpacket -> limiter_001:rsp_sink_startofpacket signal rsp_xbar_mux_001_src_data : std_logic_vector(99 downto 0); -- rsp_xbar_mux_001:src_data -> limiter_001:rsp_sink_data signal rsp_xbar_mux_001_src_channel : std_logic_vector(12 downto 0); -- rsp_xbar_mux_001:src_channel -> limiter_001:rsp_sink_channel signal rsp_xbar_mux_001_src_ready : std_logic; -- limiter_001:rsp_sink_ready -> rsp_xbar_mux_001:src_ready signal cmd_xbar_mux_src_endofpacket : std_logic; -- cmd_xbar_mux:src_endofpacket -> nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent:cp_endofpacket signal cmd_xbar_mux_src_valid : std_logic; -- cmd_xbar_mux:src_valid -> nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent:cp_valid signal cmd_xbar_mux_src_startofpacket : std_logic; -- cmd_xbar_mux:src_startofpacket -> nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent:cp_startofpacket signal cmd_xbar_mux_src_data : std_logic_vector(99 downto 0); -- cmd_xbar_mux:src_data -> nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent:cp_data signal cmd_xbar_mux_src_channel : std_logic_vector(12 downto 0); -- cmd_xbar_mux:src_channel -> nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent:cp_channel signal cmd_xbar_mux_src_ready : std_logic; -- nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent:cp_ready -> cmd_xbar_mux:src_ready signal id_router_src_endofpacket : std_logic; -- id_router:src_endofpacket -> rsp_xbar_demux:sink_endofpacket signal id_router_src_valid : std_logic; -- id_router:src_valid -> rsp_xbar_demux:sink_valid signal id_router_src_startofpacket : std_logic; -- id_router:src_startofpacket -> rsp_xbar_demux:sink_startofpacket signal id_router_src_data : std_logic_vector(99 downto 0); -- id_router:src_data -> rsp_xbar_demux:sink_data signal id_router_src_channel : std_logic_vector(12 downto 0); -- id_router:src_channel -> rsp_xbar_demux:sink_channel signal id_router_src_ready : std_logic; -- rsp_xbar_demux:sink_ready -> id_router:src_ready signal cmd_xbar_mux_001_src_endofpacket : std_logic; -- cmd_xbar_mux_001:src_endofpacket -> onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent:cp_endofpacket signal cmd_xbar_mux_001_src_valid : std_logic; -- cmd_xbar_mux_001:src_valid -> onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent:cp_valid signal cmd_xbar_mux_001_src_startofpacket : std_logic; -- cmd_xbar_mux_001:src_startofpacket -> onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent:cp_startofpacket signal cmd_xbar_mux_001_src_data : std_logic_vector(99 downto 0); -- cmd_xbar_mux_001:src_data -> onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent:cp_data signal cmd_xbar_mux_001_src_channel : std_logic_vector(12 downto 0); -- cmd_xbar_mux_001:src_channel -> onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent:cp_channel signal cmd_xbar_mux_001_src_ready : std_logic; -- onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent:cp_ready -> cmd_xbar_mux_001:src_ready signal id_router_001_src_endofpacket : std_logic; -- id_router_001:src_endofpacket -> rsp_xbar_demux_001:sink_endofpacket signal id_router_001_src_valid : std_logic; -- id_router_001:src_valid -> rsp_xbar_demux_001:sink_valid signal id_router_001_src_startofpacket : std_logic; -- id_router_001:src_startofpacket -> rsp_xbar_demux_001:sink_startofpacket signal id_router_001_src_data : std_logic_vector(99 downto 0); -- id_router_001:src_data -> rsp_xbar_demux_001:sink_data signal id_router_001_src_channel : std_logic_vector(12 downto 0); -- id_router_001:src_channel -> rsp_xbar_demux_001:sink_channel signal id_router_001_src_ready : std_logic; -- rsp_xbar_demux_001:sink_ready -> id_router_001:src_ready signal crosser_out_ready : std_logic; -- altpll_0_pll_slave_translator_avalon_universal_slave_0_agent:cp_ready -> crosser:out_ready signal id_router_004_src_endofpacket : std_logic; -- id_router_004:src_endofpacket -> rsp_xbar_demux_004:sink_endofpacket signal id_router_004_src_valid : std_logic; -- id_router_004:src_valid -> rsp_xbar_demux_004:sink_valid signal id_router_004_src_startofpacket : std_logic; -- id_router_004:src_startofpacket -> rsp_xbar_demux_004:sink_startofpacket signal id_router_004_src_data : std_logic_vector(99 downto 0); -- id_router_004:src_data -> rsp_xbar_demux_004:sink_data signal id_router_004_src_channel : std_logic_vector(12 downto 0); -- id_router_004:src_channel -> rsp_xbar_demux_004:sink_channel signal id_router_004_src_ready : std_logic; -- rsp_xbar_demux_004:sink_ready -> id_router_004:src_ready signal cmd_xbar_demux_001_src5_ready : std_logic; -- sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent:cp_ready -> cmd_xbar_demux_001:src5_ready signal id_router_005_src_endofpacket : std_logic; -- id_router_005:src_endofpacket -> rsp_xbar_demux_005:sink_endofpacket signal id_router_005_src_valid : std_logic; -- id_router_005:src_valid -> rsp_xbar_demux_005:sink_valid signal id_router_005_src_startofpacket : std_logic; -- id_router_005:src_startofpacket -> rsp_xbar_demux_005:sink_startofpacket signal id_router_005_src_data : std_logic_vector(99 downto 0); -- id_router_005:src_data -> rsp_xbar_demux_005:sink_data signal id_router_005_src_channel : std_logic_vector(12 downto 0); -- id_router_005:src_channel -> rsp_xbar_demux_005:sink_channel signal id_router_005_src_ready : std_logic; -- rsp_xbar_demux_005:sink_ready -> id_router_005:src_ready signal cmd_xbar_demux_001_src6_ready : std_logic; -- timer_0_s1_translator_avalon_universal_slave_0_agent:cp_ready -> cmd_xbar_demux_001:src6_ready signal id_router_006_src_endofpacket : std_logic; -- id_router_006:src_endofpacket -> rsp_xbar_demux_006:sink_endofpacket signal id_router_006_src_valid : std_logic; -- id_router_006:src_valid -> rsp_xbar_demux_006:sink_valid signal id_router_006_src_startofpacket : std_logic; -- id_router_006:src_startofpacket -> rsp_xbar_demux_006:sink_startofpacket signal id_router_006_src_data : std_logic_vector(99 downto 0); -- id_router_006:src_data -> rsp_xbar_demux_006:sink_data signal id_router_006_src_channel : std_logic_vector(12 downto 0); -- id_router_006:src_channel -> rsp_xbar_demux_006:sink_channel signal id_router_006_src_ready : std_logic; -- rsp_xbar_demux_006:sink_ready -> id_router_006:src_ready signal cmd_xbar_demux_001_src7_ready : std_logic; -- jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:cp_ready -> cmd_xbar_demux_001:src7_ready signal id_router_007_src_endofpacket : std_logic; -- id_router_007:src_endofpacket -> rsp_xbar_demux_007:sink_endofpacket signal id_router_007_src_valid : std_logic; -- id_router_007:src_valid -> rsp_xbar_demux_007:sink_valid signal id_router_007_src_startofpacket : std_logic; -- id_router_007:src_startofpacket -> rsp_xbar_demux_007:sink_startofpacket signal id_router_007_src_data : std_logic_vector(99 downto 0); -- id_router_007:src_data -> rsp_xbar_demux_007:sink_data signal id_router_007_src_channel : std_logic_vector(12 downto 0); -- id_router_007:src_channel -> rsp_xbar_demux_007:sink_channel signal id_router_007_src_ready : std_logic; -- rsp_xbar_demux_007:sink_ready -> id_router_007:src_ready signal cmd_xbar_demux_001_src9_ready : std_logic; -- green_leds_s1_translator_avalon_universal_slave_0_agent:cp_ready -> cmd_xbar_demux_001:src9_ready signal id_router_009_src_endofpacket : std_logic; -- id_router_009:src_endofpacket -> rsp_xbar_demux_009:sink_endofpacket signal id_router_009_src_valid : std_logic; -- id_router_009:src_valid -> rsp_xbar_demux_009:sink_valid signal id_router_009_src_startofpacket : std_logic; -- id_router_009:src_startofpacket -> rsp_xbar_demux_009:sink_startofpacket signal id_router_009_src_data : std_logic_vector(99 downto 0); -- id_router_009:src_data -> rsp_xbar_demux_009:sink_data signal id_router_009_src_channel : std_logic_vector(12 downto 0); -- id_router_009:src_channel -> rsp_xbar_demux_009:sink_channel signal id_router_009_src_ready : std_logic; -- rsp_xbar_demux_009:sink_ready -> id_router_009:src_ready signal cmd_xbar_demux_001_src10_ready : std_logic; -- switch_s1_translator_avalon_universal_slave_0_agent:cp_ready -> cmd_xbar_demux_001:src10_ready signal id_router_010_src_endofpacket : std_logic; -- id_router_010:src_endofpacket -> rsp_xbar_demux_010:sink_endofpacket signal id_router_010_src_valid : std_logic; -- id_router_010:src_valid -> rsp_xbar_demux_010:sink_valid signal id_router_010_src_startofpacket : std_logic; -- id_router_010:src_startofpacket -> rsp_xbar_demux_010:sink_startofpacket signal id_router_010_src_data : std_logic_vector(99 downto 0); -- id_router_010:src_data -> rsp_xbar_demux_010:sink_data signal id_router_010_src_channel : std_logic_vector(12 downto 0); -- id_router_010:src_channel -> rsp_xbar_demux_010:sink_channel signal id_router_010_src_ready : std_logic; -- rsp_xbar_demux_010:sink_ready -> id_router_010:src_ready signal cmd_xbar_demux_001_src12_ready : std_logic; -- switch_0_s1_translator_avalon_universal_slave_0_agent:cp_ready -> cmd_xbar_demux_001:src12_ready signal id_router_012_src_endofpacket : std_logic; -- id_router_012:src_endofpacket -> rsp_xbar_demux_012:sink_endofpacket signal id_router_012_src_valid : std_logic; -- id_router_012:src_valid -> rsp_xbar_demux_012:sink_valid signal id_router_012_src_startofpacket : std_logic; -- id_router_012:src_startofpacket -> rsp_xbar_demux_012:sink_startofpacket signal id_router_012_src_data : std_logic_vector(99 downto 0); -- id_router_012:src_data -> rsp_xbar_demux_012:sink_data signal id_router_012_src_channel : std_logic_vector(12 downto 0); -- id_router_012:src_channel -> rsp_xbar_demux_012:sink_channel signal id_router_012_src_ready : std_logic; -- rsp_xbar_demux_012:sink_ready -> id_router_012:src_ready signal cmd_xbar_mux_002_src_endofpacket : std_logic; -- cmd_xbar_mux_002:src_endofpacket -> width_adapter:in_endofpacket signal cmd_xbar_mux_002_src_valid : std_logic; -- cmd_xbar_mux_002:src_valid -> width_adapter:in_valid signal cmd_xbar_mux_002_src_startofpacket : std_logic; -- cmd_xbar_mux_002:src_startofpacket -> width_adapter:in_startofpacket signal cmd_xbar_mux_002_src_data : std_logic_vector(99 downto 0); -- cmd_xbar_mux_002:src_data -> width_adapter:in_data signal cmd_xbar_mux_002_src_channel : std_logic_vector(12 downto 0); -- cmd_xbar_mux_002:src_channel -> width_adapter:in_channel signal cmd_xbar_mux_002_src_ready : std_logic; -- width_adapter:in_ready -> cmd_xbar_mux_002:src_ready signal width_adapter_src_endofpacket : std_logic; -- width_adapter:out_endofpacket -> burst_adapter:sink0_endofpacket signal width_adapter_src_valid : std_logic; -- width_adapter:out_valid -> burst_adapter:sink0_valid signal width_adapter_src_startofpacket : std_logic; -- width_adapter:out_startofpacket -> burst_adapter:sink0_startofpacket signal width_adapter_src_data : std_logic_vector(81 downto 0); -- width_adapter:out_data -> burst_adapter:sink0_data signal width_adapter_src_ready : std_logic; -- burst_adapter:sink0_ready -> width_adapter:out_ready signal width_adapter_src_channel : std_logic_vector(12 downto 0); -- width_adapter:out_channel -> burst_adapter:sink0_channel signal id_router_002_src_endofpacket : std_logic; -- id_router_002:src_endofpacket -> width_adapter_001:in_endofpacket signal id_router_002_src_valid : std_logic; -- id_router_002:src_valid -> width_adapter_001:in_valid signal id_router_002_src_startofpacket : std_logic; -- id_router_002:src_startofpacket -> width_adapter_001:in_startofpacket signal id_router_002_src_data : std_logic_vector(81 downto 0); -- id_router_002:src_data -> width_adapter_001:in_data signal id_router_002_src_channel : std_logic_vector(12 downto 0); -- id_router_002:src_channel -> width_adapter_001:in_channel signal id_router_002_src_ready : std_logic; -- width_adapter_001:in_ready -> id_router_002:src_ready signal width_adapter_001_src_endofpacket : std_logic; -- width_adapter_001:out_endofpacket -> rsp_xbar_demux_002:sink_endofpacket signal width_adapter_001_src_valid : std_logic; -- width_adapter_001:out_valid -> rsp_xbar_demux_002:sink_valid signal width_adapter_001_src_startofpacket : std_logic; -- width_adapter_001:out_startofpacket -> rsp_xbar_demux_002:sink_startofpacket signal width_adapter_001_src_data : std_logic_vector(99 downto 0); -- width_adapter_001:out_data -> rsp_xbar_demux_002:sink_data signal width_adapter_001_src_ready : std_logic; -- rsp_xbar_demux_002:sink_ready -> width_adapter_001:out_ready signal width_adapter_001_src_channel : std_logic_vector(12 downto 0); -- width_adapter_001:out_channel -> rsp_xbar_demux_002:sink_channel signal cmd_xbar_mux_003_src_endofpacket : std_logic; -- cmd_xbar_mux_003:src_endofpacket -> width_adapter_002:in_endofpacket signal cmd_xbar_mux_003_src_valid : std_logic; -- cmd_xbar_mux_003:src_valid -> width_adapter_002:in_valid signal cmd_xbar_mux_003_src_startofpacket : std_logic; -- cmd_xbar_mux_003:src_startofpacket -> width_adapter_002:in_startofpacket signal cmd_xbar_mux_003_src_data : std_logic_vector(99 downto 0); -- cmd_xbar_mux_003:src_data -> width_adapter_002:in_data signal cmd_xbar_mux_003_src_channel : std_logic_vector(12 downto 0); -- cmd_xbar_mux_003:src_channel -> width_adapter_002:in_channel signal cmd_xbar_mux_003_src_ready : std_logic; -- width_adapter_002:in_ready -> cmd_xbar_mux_003:src_ready signal width_adapter_002_src_endofpacket : std_logic; -- width_adapter_002:out_endofpacket -> burst_adapter_001:sink0_endofpacket signal width_adapter_002_src_valid : std_logic; -- width_adapter_002:out_valid -> burst_adapter_001:sink0_valid signal width_adapter_002_src_startofpacket : std_logic; -- width_adapter_002:out_startofpacket -> burst_adapter_001:sink0_startofpacket signal width_adapter_002_src_data : std_logic_vector(81 downto 0); -- width_adapter_002:out_data -> burst_adapter_001:sink0_data signal width_adapter_002_src_ready : std_logic; -- burst_adapter_001:sink0_ready -> width_adapter_002:out_ready signal width_adapter_002_src_channel : std_logic_vector(12 downto 0); -- width_adapter_002:out_channel -> burst_adapter_001:sink0_channel signal id_router_003_src_endofpacket : std_logic; -- id_router_003:src_endofpacket -> width_adapter_003:in_endofpacket signal id_router_003_src_valid : std_logic; -- id_router_003:src_valid -> width_adapter_003:in_valid signal id_router_003_src_startofpacket : std_logic; -- id_router_003:src_startofpacket -> width_adapter_003:in_startofpacket signal id_router_003_src_data : std_logic_vector(81 downto 0); -- id_router_003:src_data -> width_adapter_003:in_data signal id_router_003_src_channel : std_logic_vector(12 downto 0); -- id_router_003:src_channel -> width_adapter_003:in_channel signal id_router_003_src_ready : std_logic; -- width_adapter_003:in_ready -> id_router_003:src_ready signal width_adapter_003_src_endofpacket : std_logic; -- width_adapter_003:out_endofpacket -> rsp_xbar_demux_003:sink_endofpacket signal width_adapter_003_src_valid : std_logic; -- width_adapter_003:out_valid -> rsp_xbar_demux_003:sink_valid signal width_adapter_003_src_startofpacket : std_logic; -- width_adapter_003:out_startofpacket -> rsp_xbar_demux_003:sink_startofpacket signal width_adapter_003_src_data : std_logic_vector(99 downto 0); -- width_adapter_003:out_data -> rsp_xbar_demux_003:sink_data signal width_adapter_003_src_ready : std_logic; -- rsp_xbar_demux_003:sink_ready -> width_adapter_003:out_ready signal width_adapter_003_src_channel : std_logic_vector(12 downto 0); -- width_adapter_003:out_channel -> rsp_xbar_demux_003:sink_channel signal cmd_xbar_demux_001_src8_ready : std_logic; -- width_adapter_004:in_ready -> cmd_xbar_demux_001:src8_ready signal width_adapter_004_src_endofpacket : std_logic; -- width_adapter_004:out_endofpacket -> burst_adapter_002:sink0_endofpacket signal width_adapter_004_src_valid : std_logic; -- width_adapter_004:out_valid -> burst_adapter_002:sink0_valid signal width_adapter_004_src_startofpacket : std_logic; -- width_adapter_004:out_startofpacket -> burst_adapter_002:sink0_startofpacket signal width_adapter_004_src_data : std_logic_vector(72 downto 0); -- width_adapter_004:out_data -> burst_adapter_002:sink0_data signal width_adapter_004_src_ready : std_logic; -- burst_adapter_002:sink0_ready -> width_adapter_004:out_ready signal width_adapter_004_src_channel : std_logic_vector(12 downto 0); -- width_adapter_004:out_channel -> burst_adapter_002:sink0_channel signal id_router_008_src_endofpacket : std_logic; -- id_router_008:src_endofpacket -> width_adapter_005:in_endofpacket signal id_router_008_src_valid : std_logic; -- id_router_008:src_valid -> width_adapter_005:in_valid signal id_router_008_src_startofpacket : std_logic; -- id_router_008:src_startofpacket -> width_adapter_005:in_startofpacket signal id_router_008_src_data : std_logic_vector(72 downto 0); -- id_router_008:src_data -> width_adapter_005:in_data signal id_router_008_src_channel : std_logic_vector(12 downto 0); -- id_router_008:src_channel -> width_adapter_005:in_channel signal id_router_008_src_ready : std_logic; -- width_adapter_005:in_ready -> id_router_008:src_ready signal width_adapter_005_src_endofpacket : std_logic; -- width_adapter_005:out_endofpacket -> rsp_xbar_demux_008:sink_endofpacket signal width_adapter_005_src_valid : std_logic; -- width_adapter_005:out_valid -> rsp_xbar_demux_008:sink_valid signal width_adapter_005_src_startofpacket : std_logic; -- width_adapter_005:out_startofpacket -> rsp_xbar_demux_008:sink_startofpacket signal width_adapter_005_src_data : std_logic_vector(99 downto 0); -- width_adapter_005:out_data -> rsp_xbar_demux_008:sink_data signal width_adapter_005_src_ready : std_logic; -- rsp_xbar_demux_008:sink_ready -> width_adapter_005:out_ready signal width_adapter_005_src_channel : std_logic_vector(12 downto 0); -- width_adapter_005:out_channel -> rsp_xbar_demux_008:sink_channel signal cmd_xbar_demux_001_src11_ready : std_logic; -- width_adapter_006:in_ready -> cmd_xbar_demux_001:src11_ready signal width_adapter_006_src_endofpacket : std_logic; -- width_adapter_006:out_endofpacket -> burst_adapter_003:sink0_endofpacket signal width_adapter_006_src_valid : std_logic; -- width_adapter_006:out_valid -> burst_adapter_003:sink0_valid signal width_adapter_006_src_startofpacket : std_logic; -- width_adapter_006:out_startofpacket -> burst_adapter_003:sink0_startofpacket signal width_adapter_006_src_data : std_logic_vector(72 downto 0); -- width_adapter_006:out_data -> burst_adapter_003:sink0_data signal width_adapter_006_src_ready : std_logic; -- burst_adapter_003:sink0_ready -> width_adapter_006:out_ready signal width_adapter_006_src_channel : std_logic_vector(12 downto 0); -- width_adapter_006:out_channel -> burst_adapter_003:sink0_channel signal id_router_011_src_endofpacket : std_logic; -- id_router_011:src_endofpacket -> width_adapter_007:in_endofpacket signal id_router_011_src_valid : std_logic; -- id_router_011:src_valid -> width_adapter_007:in_valid signal id_router_011_src_startofpacket : std_logic; -- id_router_011:src_startofpacket -> width_adapter_007:in_startofpacket signal id_router_011_src_data : std_logic_vector(72 downto 0); -- id_router_011:src_data -> width_adapter_007:in_data signal id_router_011_src_channel : std_logic_vector(12 downto 0); -- id_router_011:src_channel -> width_adapter_007:in_channel signal id_router_011_src_ready : std_logic; -- width_adapter_007:in_ready -> id_router_011:src_ready signal width_adapter_007_src_endofpacket : std_logic; -- width_adapter_007:out_endofpacket -> rsp_xbar_demux_011:sink_endofpacket signal width_adapter_007_src_valid : std_logic; -- width_adapter_007:out_valid -> rsp_xbar_demux_011:sink_valid signal width_adapter_007_src_startofpacket : std_logic; -- width_adapter_007:out_startofpacket -> rsp_xbar_demux_011:sink_startofpacket signal width_adapter_007_src_data : std_logic_vector(99 downto 0); -- width_adapter_007:out_data -> rsp_xbar_demux_011:sink_data signal width_adapter_007_src_ready : std_logic; -- rsp_xbar_demux_011:sink_ready -> width_adapter_007:out_ready signal width_adapter_007_src_channel : std_logic_vector(12 downto 0); -- width_adapter_007:out_channel -> rsp_xbar_demux_011:sink_channel signal crosser_out_endofpacket : std_logic; -- crosser:out_endofpacket -> altpll_0_pll_slave_translator_avalon_universal_slave_0_agent:cp_endofpacket signal crosser_out_valid : std_logic; -- crosser:out_valid -> altpll_0_pll_slave_translator_avalon_universal_slave_0_agent:cp_valid signal crosser_out_startofpacket : std_logic; -- crosser:out_startofpacket -> altpll_0_pll_slave_translator_avalon_universal_slave_0_agent:cp_startofpacket signal crosser_out_data : std_logic_vector(99 downto 0); -- crosser:out_data -> altpll_0_pll_slave_translator_avalon_universal_slave_0_agent:cp_data signal crosser_out_channel : std_logic_vector(12 downto 0); -- crosser:out_channel -> altpll_0_pll_slave_translator_avalon_universal_slave_0_agent:cp_channel signal cmd_xbar_demux_001_src4_endofpacket : std_logic; -- cmd_xbar_demux_001:src4_endofpacket -> crosser:in_endofpacket signal cmd_xbar_demux_001_src4_valid : std_logic; -- cmd_xbar_demux_001:src4_valid -> crosser:in_valid signal cmd_xbar_demux_001_src4_startofpacket : std_logic; -- cmd_xbar_demux_001:src4_startofpacket -> crosser:in_startofpacket signal cmd_xbar_demux_001_src4_data : std_logic_vector(99 downto 0); -- cmd_xbar_demux_001:src4_data -> crosser:in_data signal cmd_xbar_demux_001_src4_channel : std_logic_vector(12 downto 0); -- cmd_xbar_demux_001:src4_channel -> crosser:in_channel signal cmd_xbar_demux_001_src4_ready : std_logic; -- crosser:in_ready -> cmd_xbar_demux_001:src4_ready signal crosser_001_out_endofpacket : std_logic; -- crosser_001:out_endofpacket -> rsp_xbar_mux_001:sink4_endofpacket signal crosser_001_out_valid : std_logic; -- crosser_001:out_valid -> rsp_xbar_mux_001:sink4_valid signal crosser_001_out_startofpacket : std_logic; -- crosser_001:out_startofpacket -> rsp_xbar_mux_001:sink4_startofpacket signal crosser_001_out_data : std_logic_vector(99 downto 0); -- crosser_001:out_data -> rsp_xbar_mux_001:sink4_data signal crosser_001_out_channel : std_logic_vector(12 downto 0); -- crosser_001:out_channel -> rsp_xbar_mux_001:sink4_channel signal crosser_001_out_ready : std_logic; -- rsp_xbar_mux_001:sink4_ready -> crosser_001:out_ready signal rsp_xbar_demux_004_src0_endofpacket : std_logic; -- rsp_xbar_demux_004:src0_endofpacket -> crosser_001:in_endofpacket signal rsp_xbar_demux_004_src0_valid : std_logic; -- rsp_xbar_demux_004:src0_valid -> crosser_001:in_valid signal rsp_xbar_demux_004_src0_startofpacket : std_logic; -- rsp_xbar_demux_004:src0_startofpacket -> crosser_001:in_startofpacket signal rsp_xbar_demux_004_src0_data : std_logic_vector(99 downto 0); -- rsp_xbar_demux_004:src0_data -> crosser_001:in_data signal rsp_xbar_demux_004_src0_channel : std_logic_vector(12 downto 0); -- rsp_xbar_demux_004:src0_channel -> crosser_001:in_channel signal rsp_xbar_demux_004_src0_ready : std_logic; -- crosser_001:in_ready -> rsp_xbar_demux_004:src0_ready signal limiter_cmd_valid_data : std_logic_vector(12 downto 0); -- limiter:cmd_src_valid -> cmd_xbar_demux:sink_valid signal limiter_001_cmd_valid_data : std_logic_vector(12 downto 0); -- limiter_001:cmd_src_valid -> cmd_xbar_demux_001:sink_valid signal irq_mapper_receiver0_irq : std_logic; -- timer_0:irq -> irq_mapper:receiver0_irq signal irq_mapper_receiver1_irq : std_logic; -- jtag_uart_0:av_irq -> irq_mapper:receiver1_irq signal nios2_qsys_0_d_irq_irq : std_logic_vector(31 downto 0); -- irq_mapper:sender_irq -> nios2_qsys_0:d_irq signal reset_reset_n_ports_inv : std_logic; -- reset_reset_n:inv -> [rst_controller:reset_in0, rst_controller_001:reset_in0] signal sdram_0_s1_translator_avalon_anti_slave_0_write_ports_inv : std_logic; -- sdram_0_s1_translator_avalon_anti_slave_0_write:inv -> sdram_0:az_wr_n signal sdram_0_s1_translator_avalon_anti_slave_0_read_ports_inv : std_logic; -- sdram_0_s1_translator_avalon_anti_slave_0_read:inv -> sdram_0:az_rd_n signal sdram_0_s1_translator_avalon_anti_slave_0_byteenable_ports_inv : std_logic_vector(1 downto 0); -- sdram_0_s1_translator_avalon_anti_slave_0_byteenable:inv -> sdram_0:az_be_n signal timer_0_s1_translator_avalon_anti_slave_0_write_ports_inv : std_logic; -- timer_0_s1_translator_avalon_anti_slave_0_write:inv -> timer_0:write_n signal jtag_uart_0_avalon_jtag_slave_translator_avalon_anti_slave_0_write_ports_inv : std_logic; -- jtag_uart_0_avalon_jtag_slave_translator_avalon_anti_slave_0_write:inv -> jtag_uart_0:av_write_n signal jtag_uart_0_avalon_jtag_slave_translator_avalon_anti_slave_0_read_ports_inv : std_logic; -- jtag_uart_0_avalon_jtag_slave_translator_avalon_anti_slave_0_read:inv -> jtag_uart_0:av_read_n signal green_leds_s1_translator_avalon_anti_slave_0_write_ports_inv : std_logic; -- green_leds_s1_translator_avalon_anti_slave_0_write:inv -> green_leds:write_n signal servo_pwm_0_s0_translator_avalon_anti_slave_0_write_ports_inv : std_logic; -- servo_pwm_0_s0_translator_avalon_anti_slave_0_write:inv -> servo_pwm_0:avs_s0_write_n signal rst_controller_reset_out_reset_ports_inv : std_logic; -- rst_controller_reset_out_reset:inv -> [green_leds:reset_n, jtag_uart_0:rst_n, nios2_qsys_0:reset_n, sdram_0:reset_n, servo_pwm_0:reset_n, switch:reset_n, switch_0:reset_n, sysid_qsys_0:reset_n, timer_0:reset_n] begin nios2_qsys_0 : component tracking_camera_system_nios2_qsys_0 port map ( clk => altpll_0_c1_clk, -- clk.clk reset_n => rst_controller_reset_out_reset_ports_inv, -- reset_n.reset_n d_address => nios2_qsys_0_data_master_address, -- data_master.address d_byteenable => nios2_qsys_0_data_master_byteenable, -- .byteenable d_read => nios2_qsys_0_data_master_read, -- .read d_readdata => nios2_qsys_0_data_master_readdata, -- .readdata d_waitrequest => nios2_qsys_0_data_master_waitrequest, -- .waitrequest d_write => nios2_qsys_0_data_master_write, -- .write d_writedata => nios2_qsys_0_data_master_writedata, -- .writedata d_readdatavalid => nios2_qsys_0_data_master_readdatavalid, -- .readdatavalid jtag_debug_module_debugaccess_to_roms => nios2_qsys_0_data_master_debugaccess, -- .debugaccess i_address => nios2_qsys_0_instruction_master_address, -- instruction_master.address i_read => nios2_qsys_0_instruction_master_read, -- .read i_readdata => nios2_qsys_0_instruction_master_readdata, -- .readdata i_waitrequest => nios2_qsys_0_instruction_master_waitrequest, -- .waitrequest i_readdatavalid => nios2_qsys_0_instruction_master_readdatavalid, -- .readdatavalid d_irq => nios2_qsys_0_d_irq_irq, -- d_irq.irq jtag_debug_module_resetrequest => nios2_qsys_0_jtag_debug_module_reset_reset, -- jtag_debug_module_reset.reset jtag_debug_module_address => nios2_qsys_0_jtag_debug_module_translator_avalon_anti_slave_0_address, -- jtag_debug_module.address jtag_debug_module_begintransfer => nios2_qsys_0_jtag_debug_module_translator_avalon_anti_slave_0_begintransfer, -- .begintransfer jtag_debug_module_byteenable => nios2_qsys_0_jtag_debug_module_translator_avalon_anti_slave_0_byteenable, -- .byteenable jtag_debug_module_debugaccess => nios2_qsys_0_jtag_debug_module_translator_avalon_anti_slave_0_debugaccess, -- .debugaccess jtag_debug_module_readdata => nios2_qsys_0_jtag_debug_module_translator_avalon_anti_slave_0_readdata, -- .readdata jtag_debug_module_select => nios2_qsys_0_jtag_debug_module_translator_avalon_anti_slave_0_chipselect, -- .chipselect jtag_debug_module_write => nios2_qsys_0_jtag_debug_module_translator_avalon_anti_slave_0_write, -- .write jtag_debug_module_writedata => nios2_qsys_0_jtag_debug_module_translator_avalon_anti_slave_0_writedata, -- .writedata no_ci_readra => open -- custom_instruction_master.readra ); onchip_memory2_0 : component tracking_camera_system_onchip_memory2_0 port map ( clk => altpll_0_c1_clk, -- clk1.clk address => onchip_memory2_0_s1_translator_avalon_anti_slave_0_address, -- s1.address chipselect => onchip_memory2_0_s1_translator_avalon_anti_slave_0_chipselect, -- .chipselect clken => onchip_memory2_0_s1_translator_avalon_anti_slave_0_clken, -- .clken readdata => onchip_memory2_0_s1_translator_avalon_anti_slave_0_readdata, -- .readdata write => onchip_memory2_0_s1_translator_avalon_anti_slave_0_write, -- .write writedata => onchip_memory2_0_s1_translator_avalon_anti_slave_0_writedata, -- .writedata byteenable => onchip_memory2_0_s1_translator_avalon_anti_slave_0_byteenable, -- .byteenable reset => rst_controller_reset_out_reset -- reset1.reset ); sysid_qsys_0 : component tracking_camera_system_sysid_qsys_0 port map ( clock => altpll_0_c1_clk, -- clk.clk reset_n => rst_controller_reset_out_reset_ports_inv, -- reset.reset_n readdata => sysid_qsys_0_control_slave_translator_avalon_anti_slave_0_readdata, -- control_slave.readdata address => sysid_qsys_0_control_slave_translator_avalon_anti_slave_0_address(0) -- .address ); timer_0 : component tracking_camera_system_timer_0 port map ( clk => altpll_0_c1_clk, -- clk.clk reset_n => rst_controller_reset_out_reset_ports_inv, -- reset.reset_n address => timer_0_s1_translator_avalon_anti_slave_0_address, -- s1.address writedata => timer_0_s1_translator_avalon_anti_slave_0_writedata, -- .writedata readdata => timer_0_s1_translator_avalon_anti_slave_0_readdata, -- .readdata chipselect => timer_0_s1_translator_avalon_anti_slave_0_chipselect, -- .chipselect write_n => timer_0_s1_translator_avalon_anti_slave_0_write_ports_inv, -- .write_n irq => irq_mapper_receiver0_irq -- irq.irq ); jtag_uart_0 : component tracking_camera_system_jtag_uart_0 port map ( clk => altpll_0_c1_clk, -- clk.clk rst_n => rst_controller_reset_out_reset_ports_inv, -- reset.reset_n av_chipselect => jtag_uart_0_avalon_jtag_slave_translator_avalon_anti_slave_0_chipselect, -- avalon_jtag_slave.chipselect av_address => jtag_uart_0_avalon_jtag_slave_translator_avalon_anti_slave_0_address(0), -- .address av_read_n => jtag_uart_0_avalon_jtag_slave_translator_avalon_anti_slave_0_read_ports_inv, -- .read_n av_readdata => jtag_uart_0_avalon_jtag_slave_translator_avalon_anti_slave_0_readdata, -- .readdata av_write_n => jtag_uart_0_avalon_jtag_slave_translator_avalon_anti_slave_0_write_ports_inv, -- .write_n av_writedata => jtag_uart_0_avalon_jtag_slave_translator_avalon_anti_slave_0_writedata, -- .writedata av_waitrequest => jtag_uart_0_avalon_jtag_slave_translator_avalon_anti_slave_0_waitrequest, -- .waitrequest av_irq => irq_mapper_receiver1_irq -- irq.irq ); character_lcd_0 : component tracking_camera_system_character_lcd_0 port map ( clk => altpll_0_c1_clk, -- clock_reset.clk reset => rst_controller_reset_out_reset, -- clock_reset_reset.reset address => character_lcd_0_avalon_lcd_slave_translator_avalon_anti_slave_0_address(0), -- avalon_lcd_slave.address chipselect => character_lcd_0_avalon_lcd_slave_translator_avalon_anti_slave_0_chipselect, -- .chipselect read => character_lcd_0_avalon_lcd_slave_translator_avalon_anti_slave_0_read, -- .read write => character_lcd_0_avalon_lcd_slave_translator_avalon_anti_slave_0_write, -- .write writedata => character_lcd_0_avalon_lcd_slave_translator_avalon_anti_slave_0_writedata, -- .writedata readdata => character_lcd_0_avalon_lcd_slave_translator_avalon_anti_slave_0_readdata, -- .readdata waitrequest => character_lcd_0_avalon_lcd_slave_translator_avalon_anti_slave_0_waitrequest, -- .waitrequest LCD_DATA => character_lcd_0_external_interface_DATA, -- external_interface.export LCD_ON => character_lcd_0_external_interface_ON, -- .export LCD_BLON => character_lcd_0_external_interface_BLON, -- .export LCD_EN => character_lcd_0_external_interface_EN, -- .export LCD_RS => character_lcd_0_external_interface_RS, -- .export LCD_RW => character_lcd_0_external_interface_RW -- .export ); green_leds : component tracking_camera_system_green_leds port map ( clk => altpll_0_c1_clk, -- clk.clk reset_n => rst_controller_reset_out_reset_ports_inv, -- reset.reset_n address => green_leds_s1_translator_avalon_anti_slave_0_address, -- s1.address write_n => green_leds_s1_translator_avalon_anti_slave_0_write_ports_inv, -- .write_n writedata => green_leds_s1_translator_avalon_anti_slave_0_writedata, -- .writedata chipselect => green_leds_s1_translator_avalon_anti_slave_0_chipselect, -- .chipselect readdata => green_leds_s1_translator_avalon_anti_slave_0_readdata, -- .readdata out_port => green_leds_external_connection_export -- external_connection.export ); switch : component tracking_camera_system_switch port map ( clk => altpll_0_c1_clk, -- clk.clk reset_n => rst_controller_reset_out_reset_ports_inv, -- reset.reset_n address => switch_s1_translator_avalon_anti_slave_0_address, -- s1.address readdata => switch_s1_translator_avalon_anti_slave_0_readdata, -- .readdata in_port => switch_external_connection_export -- external_connection.export ); altpll_0 : component tracking_camera_system_altpll_0 port map ( clk => clk_clk, -- inclk_interface.clk reset => rst_controller_001_reset_out_reset, -- inclk_interface_reset.reset read => altpll_0_pll_slave_translator_avalon_anti_slave_0_read, -- pll_slave.read write => altpll_0_pll_slave_translator_avalon_anti_slave_0_write, -- .write address => altpll_0_pll_slave_translator_avalon_anti_slave_0_address, -- .address readdata => altpll_0_pll_slave_translator_avalon_anti_slave_0_readdata, -- .readdata writedata => altpll_0_pll_slave_translator_avalon_anti_slave_0_writedata, -- .writedata c0 => altpll_0_c0_clk, -- c0.clk c1 => altpll_0_c1_clk, -- c1.clk areset => open, -- areset_conduit.export locked => open, -- locked_conduit.export phasedone => open -- phasedone_conduit.export ); sdram_0 : component tracking_camera_system_sdram_0 port map ( clk => altpll_0_c1_clk, -- clk.clk reset_n => rst_controller_reset_out_reset_ports_inv, -- reset.reset_n az_addr => sdram_0_s1_translator_avalon_anti_slave_0_address, -- s1.address az_be_n => sdram_0_s1_translator_avalon_anti_slave_0_byteenable_ports_inv, -- .byteenable_n az_cs => sdram_0_s1_translator_avalon_anti_slave_0_chipselect, -- .chipselect az_data => sdram_0_s1_translator_avalon_anti_slave_0_writedata, -- .writedata az_rd_n => sdram_0_s1_translator_avalon_anti_slave_0_read_ports_inv, -- .read_n az_wr_n => sdram_0_s1_translator_avalon_anti_slave_0_write_ports_inv, -- .write_n za_data => sdram_0_s1_translator_avalon_anti_slave_0_readdata, -- .readdata za_valid => sdram_0_s1_translator_avalon_anti_slave_0_readdatavalid, -- .readdatavalid za_waitrequest => sdram_0_s1_translator_avalon_anti_slave_0_waitrequest, -- .waitrequest zs_addr => sdram_0_wire_addr, -- wire.export zs_ba => sdram_0_wire_ba, -- .export zs_cas_n => sdram_0_wire_cas_n, -- .export zs_cke => sdram_0_wire_cke, -- .export zs_cs_n => sdram_0_wire_cs_n, -- .export zs_dq => sdram_0_wire_dq, -- .export zs_dqm => sdram_0_wire_dqm, -- .export zs_ras_n => sdram_0_wire_ras_n, -- .export zs_we_n => sdram_0_wire_we_n -- .export ); sram_0 : component tracking_camera_system_sram_0 port map ( clk => altpll_0_c1_clk, -- clock_reset.clk reset => rst_controller_reset_out_reset, -- clock_reset_reset.reset SRAM_DQ => sram_0_external_interface_DQ, -- external_interface.export SRAM_ADDR => sram_0_external_interface_ADDR, -- .export SRAM_LB_N => sram_0_external_interface_LB_N, -- .export SRAM_UB_N => sram_0_external_interface_UB_N, -- .export SRAM_CE_N => sram_0_external_interface_CE_N, -- .export SRAM_OE_N => sram_0_external_interface_OE_N, -- .export SRAM_WE_N => sram_0_external_interface_WE_N, -- .export address => sram_0_avalon_sram_slave_translator_avalon_anti_slave_0_address, -- avalon_sram_slave.address byteenable => sram_0_avalon_sram_slave_translator_avalon_anti_slave_0_byteenable, -- .byteenable read => sram_0_avalon_sram_slave_translator_avalon_anti_slave_0_read, -- .read write => sram_0_avalon_sram_slave_translator_avalon_anti_slave_0_write, -- .write writedata => sram_0_avalon_sram_slave_translator_avalon_anti_slave_0_writedata, -- .writedata readdata => sram_0_avalon_sram_slave_translator_avalon_anti_slave_0_readdata, -- .readdata readdatavalid => sram_0_avalon_sram_slave_translator_avalon_anti_slave_0_readdatavalid -- .readdatavalid ); servo_pwm_0 : component tracking_camera_system_servo_pwm_0 port map ( clk => altpll_0_c1_clk, -- clock.clk reset_n => rst_controller_reset_out_reset_ports_inv, -- reset.reset_n coe_servo => servo_pwm_0_conduit_end_0_export, -- conduit_end_0.export avs_s0_write_n => servo_pwm_0_s0_translator_avalon_anti_slave_0_write_ports_inv, -- s0.write_n avs_s0_writedata => servo_pwm_0_s0_translator_avalon_anti_slave_0_writedata -- .writedata ); switch_0 : component tracking_camera_system_switch port map ( clk => altpll_0_c1_clk, -- clk.clk reset_n => rst_controller_reset_out_reset_ports_inv, -- reset.reset_n address => switch_0_s1_translator_avalon_anti_slave_0_address, -- s1.address readdata => switch_0_s1_translator_avalon_anti_slave_0_readdata, -- .readdata in_port => switch_0_external_connection_export -- external_connection.export ); nios2_qsys_0_instruction_master_translator : component tracking_camera_system_nios2_qsys_0_instruction_master_translator port map ( clk => altpll_0_c1_clk, -- clk.clk reset => rst_controller_reset_out_reset, -- reset.reset uav_address => nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_address, -- avalon_universal_master_0.address uav_burstcount => nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_burstcount, -- .burstcount uav_read => nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_read, -- .read uav_write => nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_write, -- .write uav_waitrequest => nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_waitrequest, -- .waitrequest uav_readdatavalid => nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_readdatavalid, -- .readdatavalid uav_byteenable => nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_byteenable, -- .byteenable uav_readdata => nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_readdata, -- .readdata uav_writedata => nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_writedata, -- .writedata uav_lock => nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_lock, -- .lock uav_debugaccess => nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_debugaccess, -- .debugaccess av_address => nios2_qsys_0_instruction_master_address, -- avalon_anti_master_0.address av_waitrequest => nios2_qsys_0_instruction_master_waitrequest, -- .waitrequest av_read => nios2_qsys_0_instruction_master_read, -- .read av_readdata => nios2_qsys_0_instruction_master_readdata, -- .readdata av_readdatavalid => nios2_qsys_0_instruction_master_readdatavalid -- .readdatavalid ); nios2_qsys_0_data_master_translator : component tracking_camera_system_nios2_qsys_0_data_master_translator port map ( clk => altpll_0_c1_clk, -- clk.clk reset => rst_controller_reset_out_reset, -- reset.reset uav_address => nios2_qsys_0_data_master_translator_avalon_universal_master_0_address, -- avalon_universal_master_0.address uav_burstcount => nios2_qsys_0_data_master_translator_avalon_universal_master_0_burstcount, -- .burstcount uav_read => nios2_qsys_0_data_master_translator_avalon_universal_master_0_read, -- .read uav_write => nios2_qsys_0_data_master_translator_avalon_universal_master_0_write, -- .write uav_waitrequest => nios2_qsys_0_data_master_translator_avalon_universal_master_0_waitrequest, -- .waitrequest uav_readdatavalid => nios2_qsys_0_data_master_translator_avalon_universal_master_0_readdatavalid, -- .readdatavalid uav_byteenable => nios2_qsys_0_data_master_translator_avalon_universal_master_0_byteenable, -- .byteenable uav_readdata => nios2_qsys_0_data_master_translator_avalon_universal_master_0_readdata, -- .readdata uav_writedata => nios2_qsys_0_data_master_translator_avalon_universal_master_0_writedata, -- .writedata uav_lock => nios2_qsys_0_data_master_translator_avalon_universal_master_0_lock, -- .lock uav_debugaccess => nios2_qsys_0_data_master_translator_avalon_universal_master_0_debugaccess, -- .debugaccess av_address => nios2_qsys_0_data_master_address, -- avalon_anti_master_0.address av_waitrequest => nios2_qsys_0_data_master_waitrequest, -- .waitrequest av_byteenable => nios2_qsys_0_data_master_byteenable, -- .byteenable av_read => nios2_qsys_0_data_master_read, -- .read av_readdata => nios2_qsys_0_data_master_readdata, -- .readdata av_readdatavalid => nios2_qsys_0_data_master_readdatavalid, -- .readdatavalid av_write => nios2_qsys_0_data_master_write, -- .write av_writedata => nios2_qsys_0_data_master_writedata, -- .writedata av_debugaccess => nios2_qsys_0_data_master_debugaccess -- .debugaccess ); nios2_qsys_0_jtag_debug_module_translator : component tracking_camera_system_nios2_qsys_0_jtag_debug_module_translator generic map ( AV_ADDRESS_W => 9, AV_DATA_W => 32, UAV_DATA_W => 32, AV_BURSTCOUNT_W => 1, AV_BYTEENABLE_W => 4, UAV_BYTEENABLE_W => 4, UAV_ADDRESS_W => 25, UAV_BURSTCOUNT_W => 3, AV_READLATENCY => 0, USE_READDATAVALID => 0, USE_WAITREQUEST => 0, USE_UAV_CLKEN => 0, AV_SYMBOLS_PER_WORD => 4, AV_ADDRESS_SYMBOLS => 0, AV_BURSTCOUNT_SYMBOLS => 0, AV_CONSTANT_BURST_BEHAVIOR => 0, UAV_CONSTANT_BURST_BEHAVIOR => 0, AV_REQUIRE_UNALIGNED_ADDRESSES => 0, CHIPSELECT_THROUGH_READLATENCY => 0, AV_READ_WAIT_CYCLES => 1, AV_WRITE_WAIT_CYCLES => 0, AV_SETUP_WAIT_CYCLES => 0, AV_DATA_HOLD_CYCLES => 0 ) port map ( clk => altpll_0_c1_clk, -- clk.clk reset => rst_controller_reset_out_reset, -- reset.reset uav_address => nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_address, -- avalon_universal_slave_0.address uav_burstcount => nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_burstcount, -- .burstcount uav_read => nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_read, -- .read uav_write => nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_write, -- .write uav_waitrequest => nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_waitrequest, -- .waitrequest uav_readdatavalid => nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_readdatavalid, -- .readdatavalid uav_byteenable => nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_byteenable, -- .byteenable uav_readdata => nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_readdata, -- .readdata uav_writedata => nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_writedata, -- .writedata uav_lock => nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_lock, -- .lock uav_debugaccess => nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_debugaccess, -- .debugaccess av_address => nios2_qsys_0_jtag_debug_module_translator_avalon_anti_slave_0_address, -- avalon_anti_slave_0.address av_write => nios2_qsys_0_jtag_debug_module_translator_avalon_anti_slave_0_write, -- .write av_readdata => nios2_qsys_0_jtag_debug_module_translator_avalon_anti_slave_0_readdata, -- .readdata av_writedata => nios2_qsys_0_jtag_debug_module_translator_avalon_anti_slave_0_writedata, -- .writedata av_begintransfer => nios2_qsys_0_jtag_debug_module_translator_avalon_anti_slave_0_begintransfer, -- .begintransfer av_byteenable => nios2_qsys_0_jtag_debug_module_translator_avalon_anti_slave_0_byteenable, -- .byteenable av_chipselect => nios2_qsys_0_jtag_debug_module_translator_avalon_anti_slave_0_chipselect, -- .chipselect av_debugaccess => nios2_qsys_0_jtag_debug_module_translator_avalon_anti_slave_0_debugaccess, -- .debugaccess av_read => open, -- (terminated) av_beginbursttransfer => open, -- (terminated) av_burstcount => open, -- (terminated) av_readdatavalid => '0', -- (terminated) av_waitrequest => '0', -- (terminated) av_writebyteenable => open, -- (terminated) av_lock => open, -- (terminated) av_clken => open, -- (terminated) uav_clken => '0', -- (terminated) av_outputenable => open -- (terminated) ); onchip_memory2_0_s1_translator : component tracking_camera_system_onchip_memory2_0_s1_translator generic map ( AV_ADDRESS_W => 12, AV_DATA_W => 32, UAV_DATA_W => 32, AV_BURSTCOUNT_W => 1, AV_BYTEENABLE_W => 4, UAV_BYTEENABLE_W => 4, UAV_ADDRESS_W => 25, UAV_BURSTCOUNT_W => 3, AV_READLATENCY => 1, USE_READDATAVALID => 0, USE_WAITREQUEST => 0, USE_UAV_CLKEN => 0, AV_SYMBOLS_PER_WORD => 4, AV_ADDRESS_SYMBOLS => 0, AV_BURSTCOUNT_SYMBOLS => 0, AV_CONSTANT_BURST_BEHAVIOR => 0, UAV_CONSTANT_BURST_BEHAVIOR => 0, AV_REQUIRE_UNALIGNED_ADDRESSES => 0, CHIPSELECT_THROUGH_READLATENCY => 0, AV_READ_WAIT_CYCLES => 0, AV_WRITE_WAIT_CYCLES => 0, AV_SETUP_WAIT_CYCLES => 0, AV_DATA_HOLD_CYCLES => 0 ) port map ( clk => altpll_0_c1_clk, -- clk.clk reset => rst_controller_reset_out_reset, -- reset.reset uav_address => onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_m0_address, -- avalon_universal_slave_0.address uav_burstcount => onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_m0_burstcount, -- .burstcount uav_read => onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_m0_read, -- .read uav_write => onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_m0_write, -- .write uav_waitrequest => onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest, -- .waitrequest uav_readdatavalid => onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid, -- .readdatavalid uav_byteenable => onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_m0_byteenable, -- .byteenable uav_readdata => onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_m0_readdata, -- .readdata uav_writedata => onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_m0_writedata, -- .writedata uav_lock => onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_m0_lock, -- .lock uav_debugaccess => onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess, -- .debugaccess av_address => onchip_memory2_0_s1_translator_avalon_anti_slave_0_address, -- avalon_anti_slave_0.address av_write => onchip_memory2_0_s1_translator_avalon_anti_slave_0_write, -- .write av_readdata => onchip_memory2_0_s1_translator_avalon_anti_slave_0_readdata, -- .readdata av_writedata => onchip_memory2_0_s1_translator_avalon_anti_slave_0_writedata, -- .writedata av_byteenable => onchip_memory2_0_s1_translator_avalon_anti_slave_0_byteenable, -- .byteenable av_chipselect => onchip_memory2_0_s1_translator_avalon_anti_slave_0_chipselect, -- .chipselect av_clken => onchip_memory2_0_s1_translator_avalon_anti_slave_0_clken, -- .clken av_read => open, -- (terminated) av_begintransfer => open, -- (terminated) av_beginbursttransfer => open, -- (terminated) av_burstcount => open, -- (terminated) av_readdatavalid => '0', -- (terminated) av_waitrequest => '0', -- (terminated) av_writebyteenable => open, -- (terminated) av_lock => open, -- (terminated) uav_clken => '0', -- (terminated) av_debugaccess => open, -- (terminated) av_outputenable => open -- (terminated) ); sdram_0_s1_translator : component tracking_camera_system_sdram_0_s1_translator generic map ( AV_ADDRESS_W => 22, AV_DATA_W => 16, UAV_DATA_W => 16, AV_BURSTCOUNT_W => 1, AV_BYTEENABLE_W => 2, UAV_BYTEENABLE_W => 2, UAV_ADDRESS_W => 25, UAV_BURSTCOUNT_W => 2, AV_READLATENCY => 0, USE_READDATAVALID => 1, USE_WAITREQUEST => 1, USE_UAV_CLKEN => 0, AV_SYMBOLS_PER_WORD => 2, AV_ADDRESS_SYMBOLS => 0, AV_BURSTCOUNT_SYMBOLS => 0, AV_CONSTANT_BURST_BEHAVIOR => 0, UAV_CONSTANT_BURST_BEHAVIOR => 0, AV_REQUIRE_UNALIGNED_ADDRESSES => 0, CHIPSELECT_THROUGH_READLATENCY => 0, AV_READ_WAIT_CYCLES => 1, AV_WRITE_WAIT_CYCLES => 0, AV_SETUP_WAIT_CYCLES => 0, AV_DATA_HOLD_CYCLES => 0 ) port map ( clk => altpll_0_c1_clk, -- clk.clk reset => rst_controller_reset_out_reset, -- reset.reset uav_address => sdram_0_s1_translator_avalon_universal_slave_0_agent_m0_address, -- avalon_universal_slave_0.address uav_burstcount => sdram_0_s1_translator_avalon_universal_slave_0_agent_m0_burstcount, -- .burstcount uav_read => sdram_0_s1_translator_avalon_universal_slave_0_agent_m0_read, -- .read uav_write => sdram_0_s1_translator_avalon_universal_slave_0_agent_m0_write, -- .write uav_waitrequest => sdram_0_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest, -- .waitrequest uav_readdatavalid => sdram_0_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid, -- .readdatavalid uav_byteenable => sdram_0_s1_translator_avalon_universal_slave_0_agent_m0_byteenable, -- .byteenable uav_readdata => sdram_0_s1_translator_avalon_universal_slave_0_agent_m0_readdata, -- .readdata uav_writedata => sdram_0_s1_translator_avalon_universal_slave_0_agent_m0_writedata, -- .writedata uav_lock => sdram_0_s1_translator_avalon_universal_slave_0_agent_m0_lock, -- .lock uav_debugaccess => sdram_0_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess, -- .debugaccess av_address => sdram_0_s1_translator_avalon_anti_slave_0_address, -- avalon_anti_slave_0.address av_write => sdram_0_s1_translator_avalon_anti_slave_0_write, -- .write av_read => sdram_0_s1_translator_avalon_anti_slave_0_read, -- .read av_readdata => sdram_0_s1_translator_avalon_anti_slave_0_readdata, -- .readdata av_writedata => sdram_0_s1_translator_avalon_anti_slave_0_writedata, -- .writedata av_byteenable => sdram_0_s1_translator_avalon_anti_slave_0_byteenable, -- .byteenable av_readdatavalid => sdram_0_s1_translator_avalon_anti_slave_0_readdatavalid, -- .readdatavalid av_waitrequest => sdram_0_s1_translator_avalon_anti_slave_0_waitrequest, -- .waitrequest av_chipselect => sdram_0_s1_translator_avalon_anti_slave_0_chipselect, -- .chipselect av_begintransfer => open, -- (terminated) av_beginbursttransfer => open, -- (terminated) av_burstcount => open, -- (terminated) av_writebyteenable => open, -- (terminated) av_lock => open, -- (terminated) av_clken => open, -- (terminated) uav_clken => '0', -- (terminated) av_debugaccess => open, -- (terminated) av_outputenable => open -- (terminated) ); sram_0_avalon_sram_slave_translator : component tracking_camera_system_sram_0_avalon_sram_slave_translator generic map ( AV_ADDRESS_W => 18, AV_DATA_W => 16, UAV_DATA_W => 16, AV_BURSTCOUNT_W => 1, AV_BYTEENABLE_W => 2, UAV_BYTEENABLE_W => 2, UAV_ADDRESS_W => 25, UAV_BURSTCOUNT_W => 2, AV_READLATENCY => 0, USE_READDATAVALID => 1, USE_WAITREQUEST => 0, USE_UAV_CLKEN => 0, AV_SYMBOLS_PER_WORD => 2, AV_ADDRESS_SYMBOLS => 0, AV_BURSTCOUNT_SYMBOLS => 0, AV_CONSTANT_BURST_BEHAVIOR => 0, UAV_CONSTANT_BURST_BEHAVIOR => 0, AV_REQUIRE_UNALIGNED_ADDRESSES => 0, CHIPSELECT_THROUGH_READLATENCY => 0, AV_READ_WAIT_CYCLES => 0, AV_WRITE_WAIT_CYCLES => 0, AV_SETUP_WAIT_CYCLES => 0, AV_DATA_HOLD_CYCLES => 0 ) port map ( clk => altpll_0_c1_clk, -- clk.clk reset => rst_controller_reset_out_reset, -- reset.reset uav_address => sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_m0_address, -- avalon_universal_slave_0.address uav_burstcount => sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_m0_burstcount, -- .burstcount uav_read => sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_m0_read, -- .read uav_write => sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_m0_write, -- .write uav_waitrequest => sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_m0_waitrequest, -- .waitrequest uav_readdatavalid => sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_m0_readdatavalid, -- .readdatavalid uav_byteenable => sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_m0_byteenable, -- .byteenable uav_readdata => sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_m0_readdata, -- .readdata uav_writedata => sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_m0_writedata, -- .writedata uav_lock => sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_m0_lock, -- .lock uav_debugaccess => sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_m0_debugaccess, -- .debugaccess av_address => sram_0_avalon_sram_slave_translator_avalon_anti_slave_0_address, -- avalon_anti_slave_0.address av_write => sram_0_avalon_sram_slave_translator_avalon_anti_slave_0_write, -- .write av_read => sram_0_avalon_sram_slave_translator_avalon_anti_slave_0_read, -- .read av_readdata => sram_0_avalon_sram_slave_translator_avalon_anti_slave_0_readdata, -- .readdata av_writedata => sram_0_avalon_sram_slave_translator_avalon_anti_slave_0_writedata, -- .writedata av_byteenable => sram_0_avalon_sram_slave_translator_avalon_anti_slave_0_byteenable, -- .byteenable av_readdatavalid => sram_0_avalon_sram_slave_translator_avalon_anti_slave_0_readdatavalid, -- .readdatavalid av_begintransfer => open, -- (terminated) av_beginbursttransfer => open, -- (terminated) av_burstcount => open, -- (terminated) av_waitrequest => '0', -- (terminated) av_writebyteenable => open, -- (terminated) av_lock => open, -- (terminated) av_chipselect => open, -- (terminated) av_clken => open, -- (terminated) uav_clken => '0', -- (terminated) av_debugaccess => open, -- (terminated) av_outputenable => open -- (terminated) ); altpll_0_pll_slave_translator : component tracking_camera_system_altpll_0_pll_slave_translator generic map ( AV_ADDRESS_W => 2, AV_DATA_W => 32, UAV_DATA_W => 32, AV_BURSTCOUNT_W => 1, AV_BYTEENABLE_W => 4, UAV_BYTEENABLE_W => 4, UAV_ADDRESS_W => 25, UAV_BURSTCOUNT_W => 3, AV_READLATENCY => 0, USE_READDATAVALID => 0, USE_WAITREQUEST => 0, USE_UAV_CLKEN => 0, AV_SYMBOLS_PER_WORD => 4, AV_ADDRESS_SYMBOLS => 0, AV_BURSTCOUNT_SYMBOLS => 0, AV_CONSTANT_BURST_BEHAVIOR => 0, UAV_CONSTANT_BURST_BEHAVIOR => 0, AV_REQUIRE_UNALIGNED_ADDRESSES => 0, CHIPSELECT_THROUGH_READLATENCY => 0, AV_READ_WAIT_CYCLES => 0, AV_WRITE_WAIT_CYCLES => 0, AV_SETUP_WAIT_CYCLES => 0, AV_DATA_HOLD_CYCLES => 0 ) port map ( clk => clk_clk, -- clk.clk reset => rst_controller_001_reset_out_reset, -- reset.reset uav_address => altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_m0_address, -- avalon_universal_slave_0.address uav_burstcount => altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_m0_burstcount, -- .burstcount uav_read => altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_m0_read, -- .read uav_write => altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_m0_write, -- .write uav_waitrequest => altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_m0_waitrequest, -- .waitrequest uav_readdatavalid => altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_m0_readdatavalid, -- .readdatavalid uav_byteenable => altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_m0_byteenable, -- .byteenable uav_readdata => altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_m0_readdata, -- .readdata uav_writedata => altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_m0_writedata, -- .writedata uav_lock => altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_m0_lock, -- .lock uav_debugaccess => altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_m0_debugaccess, -- .debugaccess av_address => altpll_0_pll_slave_translator_avalon_anti_slave_0_address, -- avalon_anti_slave_0.address av_write => altpll_0_pll_slave_translator_avalon_anti_slave_0_write, -- .write av_read => altpll_0_pll_slave_translator_avalon_anti_slave_0_read, -- .read av_readdata => altpll_0_pll_slave_translator_avalon_anti_slave_0_readdata, -- .readdata av_writedata => altpll_0_pll_slave_translator_avalon_anti_slave_0_writedata, -- .writedata av_begintransfer => open, -- (terminated) av_beginbursttransfer => open, -- (terminated) av_burstcount => open, -- (terminated) av_byteenable => open, -- (terminated) av_readdatavalid => '0', -- (terminated) av_waitrequest => '0', -- (terminated) av_writebyteenable => open, -- (terminated) av_lock => open, -- (terminated) av_chipselect => open, -- (terminated) av_clken => open, -- (terminated) uav_clken => '0', -- (terminated) av_debugaccess => open, -- (terminated) av_outputenable => open -- (terminated) ); sysid_qsys_0_control_slave_translator : component tracking_camera_system_sysid_qsys_0_control_slave_translator generic map ( AV_ADDRESS_W => 1, AV_DATA_W => 32, UAV_DATA_W => 32, AV_BURSTCOUNT_W => 1, AV_BYTEENABLE_W => 4, UAV_BYTEENABLE_W => 4, UAV_ADDRESS_W => 25, UAV_BURSTCOUNT_W => 3, AV_READLATENCY => 0, USE_READDATAVALID => 0, USE_WAITREQUEST => 0, USE_UAV_CLKEN => 0, AV_SYMBOLS_PER_WORD => 4, AV_ADDRESS_SYMBOLS => 0, AV_BURSTCOUNT_SYMBOLS => 0, AV_CONSTANT_BURST_BEHAVIOR => 0, UAV_CONSTANT_BURST_BEHAVIOR => 0, AV_REQUIRE_UNALIGNED_ADDRESSES => 0, CHIPSELECT_THROUGH_READLATENCY => 0, AV_READ_WAIT_CYCLES => 1, AV_WRITE_WAIT_CYCLES => 0, AV_SETUP_WAIT_CYCLES => 0, AV_DATA_HOLD_CYCLES => 0 ) port map ( clk => altpll_0_c1_clk, -- clk.clk reset => rst_controller_reset_out_reset, -- reset.reset uav_address => sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_m0_address, -- avalon_universal_slave_0.address uav_burstcount => sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_m0_burstcount, -- .burstcount uav_read => sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_m0_read, -- .read uav_write => sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_m0_write, -- .write uav_waitrequest => sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_m0_waitrequest, -- .waitrequest uav_readdatavalid => sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_m0_readdatavalid, -- .readdatavalid uav_byteenable => sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_m0_byteenable, -- .byteenable uav_readdata => sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_m0_readdata, -- .readdata uav_writedata => sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_m0_writedata, -- .writedata uav_lock => sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_m0_lock, -- .lock uav_debugaccess => sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_m0_debugaccess, -- .debugaccess av_address => sysid_qsys_0_control_slave_translator_avalon_anti_slave_0_address, -- avalon_anti_slave_0.address av_readdata => sysid_qsys_0_control_slave_translator_avalon_anti_slave_0_readdata, -- .readdata av_write => open, -- (terminated) av_read => open, -- (terminated) av_writedata => open, -- (terminated) av_begintransfer => open, -- (terminated) av_beginbursttransfer => open, -- (terminated) av_burstcount => open, -- (terminated) av_byteenable => open, -- (terminated) av_readdatavalid => '0', -- (terminated) av_waitrequest => '0', -- (terminated) av_writebyteenable => open, -- (terminated) av_lock => open, -- (terminated) av_chipselect => open, -- (terminated) av_clken => open, -- (terminated) uav_clken => '0', -- (terminated) av_debugaccess => open, -- (terminated) av_outputenable => open -- (terminated) ); timer_0_s1_translator : component tracking_camera_system_timer_0_s1_translator generic map ( AV_ADDRESS_W => 3, AV_DATA_W => 16, UAV_DATA_W => 32, AV_BURSTCOUNT_W => 1, AV_BYTEENABLE_W => 1, UAV_BYTEENABLE_W => 4, UAV_ADDRESS_W => 25, UAV_BURSTCOUNT_W => 3, AV_READLATENCY => 0, USE_READDATAVALID => 0, USE_WAITREQUEST => 0, USE_UAV_CLKEN => 0, AV_SYMBOLS_PER_WORD => 4, AV_ADDRESS_SYMBOLS => 0, AV_BURSTCOUNT_SYMBOLS => 0, AV_CONSTANT_BURST_BEHAVIOR => 0, UAV_CONSTANT_BURST_BEHAVIOR => 0, AV_REQUIRE_UNALIGNED_ADDRESSES => 0, CHIPSELECT_THROUGH_READLATENCY => 0, AV_READ_WAIT_CYCLES => 1, AV_WRITE_WAIT_CYCLES => 0, AV_SETUP_WAIT_CYCLES => 0, AV_DATA_HOLD_CYCLES => 0 ) port map ( clk => altpll_0_c1_clk, -- clk.clk reset => rst_controller_reset_out_reset, -- reset.reset uav_address => timer_0_s1_translator_avalon_universal_slave_0_agent_m0_address, -- avalon_universal_slave_0.address uav_burstcount => timer_0_s1_translator_avalon_universal_slave_0_agent_m0_burstcount, -- .burstcount uav_read => timer_0_s1_translator_avalon_universal_slave_0_agent_m0_read, -- .read uav_write => timer_0_s1_translator_avalon_universal_slave_0_agent_m0_write, -- .write uav_waitrequest => timer_0_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest, -- .waitrequest uav_readdatavalid => timer_0_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid, -- .readdatavalid uav_byteenable => timer_0_s1_translator_avalon_universal_slave_0_agent_m0_byteenable, -- .byteenable uav_readdata => timer_0_s1_translator_avalon_universal_slave_0_agent_m0_readdata, -- .readdata uav_writedata => timer_0_s1_translator_avalon_universal_slave_0_agent_m0_writedata, -- .writedata uav_lock => timer_0_s1_translator_avalon_universal_slave_0_agent_m0_lock, -- .lock uav_debugaccess => timer_0_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess, -- .debugaccess av_address => timer_0_s1_translator_avalon_anti_slave_0_address, -- avalon_anti_slave_0.address av_write => timer_0_s1_translator_avalon_anti_slave_0_write, -- .write av_readdata => timer_0_s1_translator_avalon_anti_slave_0_readdata, -- .readdata av_writedata => timer_0_s1_translator_avalon_anti_slave_0_writedata, -- .writedata av_chipselect => timer_0_s1_translator_avalon_anti_slave_0_chipselect, -- .chipselect av_read => open, -- (terminated) av_begintransfer => open, -- (terminated) av_beginbursttransfer => open, -- (terminated) av_burstcount => open, -- (terminated) av_byteenable => open, -- (terminated) av_readdatavalid => '0', -- (terminated) av_waitrequest => '0', -- (terminated) av_writebyteenable => open, -- (terminated) av_lock => open, -- (terminated) av_clken => open, -- (terminated) uav_clken => '0', -- (terminated) av_debugaccess => open, -- (terminated) av_outputenable => open -- (terminated) ); jtag_uart_0_avalon_jtag_slave_translator : component tracking_camera_system_jtag_uart_0_avalon_jtag_slave_translator generic map ( AV_ADDRESS_W => 1, AV_DATA_W => 32, UAV_DATA_W => 32, AV_BURSTCOUNT_W => 1, AV_BYTEENABLE_W => 1, UAV_BYTEENABLE_W => 4, UAV_ADDRESS_W => 25, UAV_BURSTCOUNT_W => 3, AV_READLATENCY => 0, USE_READDATAVALID => 0, USE_WAITREQUEST => 1, USE_UAV_CLKEN => 0, AV_SYMBOLS_PER_WORD => 4, AV_ADDRESS_SYMBOLS => 0, AV_BURSTCOUNT_SYMBOLS => 0, AV_CONSTANT_BURST_BEHAVIOR => 0, UAV_CONSTANT_BURST_BEHAVIOR => 0, AV_REQUIRE_UNALIGNED_ADDRESSES => 0, CHIPSELECT_THROUGH_READLATENCY => 0, AV_READ_WAIT_CYCLES => 1, AV_WRITE_WAIT_CYCLES => 0, AV_SETUP_WAIT_CYCLES => 0, AV_DATA_HOLD_CYCLES => 0 ) port map ( clk => altpll_0_c1_clk, -- clk.clk reset => rst_controller_reset_out_reset, -- reset.reset uav_address => jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_address, -- avalon_universal_slave_0.address uav_burstcount => jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_burstcount, -- .burstcount uav_read => jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_read, -- .read uav_write => jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_write, -- .write uav_waitrequest => jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_waitrequest, -- .waitrequest uav_readdatavalid => jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_readdatavalid, -- .readdatavalid uav_byteenable => jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_byteenable, -- .byteenable uav_readdata => jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_readdata, -- .readdata uav_writedata => jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_writedata, -- .writedata uav_lock => jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_lock, -- .lock uav_debugaccess => jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_debugaccess, -- .debugaccess av_address => jtag_uart_0_avalon_jtag_slave_translator_avalon_anti_slave_0_address, -- avalon_anti_slave_0.address av_write => jtag_uart_0_avalon_jtag_slave_translator_avalon_anti_slave_0_write, -- .write av_read => jtag_uart_0_avalon_jtag_slave_translator_avalon_anti_slave_0_read, -- .read av_readdata => jtag_uart_0_avalon_jtag_slave_translator_avalon_anti_slave_0_readdata, -- .readdata av_writedata => jtag_uart_0_avalon_jtag_slave_translator_avalon_anti_slave_0_writedata, -- .writedata av_waitrequest => jtag_uart_0_avalon_jtag_slave_translator_avalon_anti_slave_0_waitrequest, -- .waitrequest av_chipselect => jtag_uart_0_avalon_jtag_slave_translator_avalon_anti_slave_0_chipselect, -- .chipselect av_begintransfer => open, -- (terminated) av_beginbursttransfer => open, -- (terminated) av_burstcount => open, -- (terminated) av_byteenable => open, -- (terminated) av_readdatavalid => '0', -- (terminated) av_writebyteenable => open, -- (terminated) av_lock => open, -- (terminated) av_clken => open, -- (terminated) uav_clken => '0', -- (terminated) av_debugaccess => open, -- (terminated) av_outputenable => open -- (terminated) ); character_lcd_0_avalon_lcd_slave_translator : component tracking_camera_system_character_lcd_0_avalon_lcd_slave_translator generic map ( AV_ADDRESS_W => 1, AV_DATA_W => 8, UAV_DATA_W => 8, AV_BURSTCOUNT_W => 1, AV_BYTEENABLE_W => 1, UAV_BYTEENABLE_W => 1, UAV_ADDRESS_W => 25, UAV_BURSTCOUNT_W => 1, AV_READLATENCY => 0, USE_READDATAVALID => 0, USE_WAITREQUEST => 1, USE_UAV_CLKEN => 0, AV_SYMBOLS_PER_WORD => 1, AV_ADDRESS_SYMBOLS => 0, AV_BURSTCOUNT_SYMBOLS => 0, AV_CONSTANT_BURST_BEHAVIOR => 0, UAV_CONSTANT_BURST_BEHAVIOR => 0, AV_REQUIRE_UNALIGNED_ADDRESSES => 0, CHIPSELECT_THROUGH_READLATENCY => 0, AV_READ_WAIT_CYCLES => 1, AV_WRITE_WAIT_CYCLES => 0, AV_SETUP_WAIT_CYCLES => 0, AV_DATA_HOLD_CYCLES => 0 ) port map ( clk => altpll_0_c1_clk, -- clk.clk reset => rst_controller_reset_out_reset, -- reset.reset uav_address => character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_m0_address, -- avalon_universal_slave_0.address uav_burstcount(0) => character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_m0_burstcount, -- .burstcount uav_read => character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_m0_read, -- .read uav_write => character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_m0_write, -- .write uav_waitrequest => character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_m0_waitrequest, -- .waitrequest uav_readdatavalid => character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_m0_readdatavalid, -- .readdatavalid uav_byteenable(0) => character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_m0_byteenable, -- .byteenable uav_readdata => character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_m0_readdata, -- .readdata uav_writedata => character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_m0_writedata, -- .writedata uav_lock => character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_m0_lock, -- .lock uav_debugaccess => character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_m0_debugaccess, -- .debugaccess av_address => character_lcd_0_avalon_lcd_slave_translator_avalon_anti_slave_0_address, -- avalon_anti_slave_0.address av_write => character_lcd_0_avalon_lcd_slave_translator_avalon_anti_slave_0_write, -- .write av_read => character_lcd_0_avalon_lcd_slave_translator_avalon_anti_slave_0_read, -- .read av_readdata => character_lcd_0_avalon_lcd_slave_translator_avalon_anti_slave_0_readdata, -- .readdata av_writedata => character_lcd_0_avalon_lcd_slave_translator_avalon_anti_slave_0_writedata, -- .writedata av_waitrequest => character_lcd_0_avalon_lcd_slave_translator_avalon_anti_slave_0_waitrequest, -- .waitrequest av_chipselect => character_lcd_0_avalon_lcd_slave_translator_avalon_anti_slave_0_chipselect, -- .chipselect av_begintransfer => open, -- (terminated) av_beginbursttransfer => open, -- (terminated) av_burstcount => open, -- (terminated) av_byteenable => open, -- (terminated) av_readdatavalid => '0', -- (terminated) av_writebyteenable => open, -- (terminated) av_lock => open, -- (terminated) av_clken => open, -- (terminated) uav_clken => '0', -- (terminated) av_debugaccess => open, -- (terminated) av_outputenable => open -- (terminated) ); green_leds_s1_translator : component tracking_camera_system_green_leds_s1_translator generic map ( AV_ADDRESS_W => 2, AV_DATA_W => 32, UAV_DATA_W => 32, AV_BURSTCOUNT_W => 1, AV_BYTEENABLE_W => 1, UAV_BYTEENABLE_W => 4, UAV_ADDRESS_W => 25, UAV_BURSTCOUNT_W => 3, AV_READLATENCY => 0, USE_READDATAVALID => 0, USE_WAITREQUEST => 0, USE_UAV_CLKEN => 0, AV_SYMBOLS_PER_WORD => 4, AV_ADDRESS_SYMBOLS => 0, AV_BURSTCOUNT_SYMBOLS => 0, AV_CONSTANT_BURST_BEHAVIOR => 0, UAV_CONSTANT_BURST_BEHAVIOR => 0, AV_REQUIRE_UNALIGNED_ADDRESSES => 0, CHIPSELECT_THROUGH_READLATENCY => 0, AV_READ_WAIT_CYCLES => 1, AV_WRITE_WAIT_CYCLES => 0, AV_SETUP_WAIT_CYCLES => 0, AV_DATA_HOLD_CYCLES => 0 ) port map ( clk => altpll_0_c1_clk, -- clk.clk reset => rst_controller_reset_out_reset, -- reset.reset uav_address => green_leds_s1_translator_avalon_universal_slave_0_agent_m0_address, -- avalon_universal_slave_0.address uav_burstcount => green_leds_s1_translator_avalon_universal_slave_0_agent_m0_burstcount, -- .burstcount uav_read => green_leds_s1_translator_avalon_universal_slave_0_agent_m0_read, -- .read uav_write => green_leds_s1_translator_avalon_universal_slave_0_agent_m0_write, -- .write uav_waitrequest => green_leds_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest, -- .waitrequest uav_readdatavalid => green_leds_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid, -- .readdatavalid uav_byteenable => green_leds_s1_translator_avalon_universal_slave_0_agent_m0_byteenable, -- .byteenable uav_readdata => green_leds_s1_translator_avalon_universal_slave_0_agent_m0_readdata, -- .readdata uav_writedata => green_leds_s1_translator_avalon_universal_slave_0_agent_m0_writedata, -- .writedata uav_lock => green_leds_s1_translator_avalon_universal_slave_0_agent_m0_lock, -- .lock uav_debugaccess => green_leds_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess, -- .debugaccess av_address => green_leds_s1_translator_avalon_anti_slave_0_address, -- avalon_anti_slave_0.address av_write => green_leds_s1_translator_avalon_anti_slave_0_write, -- .write av_readdata => green_leds_s1_translator_avalon_anti_slave_0_readdata, -- .readdata av_writedata => green_leds_s1_translator_avalon_anti_slave_0_writedata, -- .writedata av_chipselect => green_leds_s1_translator_avalon_anti_slave_0_chipselect, -- .chipselect av_read => open, -- (terminated) av_begintransfer => open, -- (terminated) av_beginbursttransfer => open, -- (terminated) av_burstcount => open, -- (terminated) av_byteenable => open, -- (terminated) av_readdatavalid => '0', -- (terminated) av_waitrequest => '0', -- (terminated) av_writebyteenable => open, -- (terminated) av_lock => open, -- (terminated) av_clken => open, -- (terminated) uav_clken => '0', -- (terminated) av_debugaccess => open, -- (terminated) av_outputenable => open -- (terminated) ); switch_s1_translator : component tracking_camera_system_green_leds_s1_translator generic map ( AV_ADDRESS_W => 2, AV_DATA_W => 32, UAV_DATA_W => 32, AV_BURSTCOUNT_W => 1, AV_BYTEENABLE_W => 1, UAV_BYTEENABLE_W => 4, UAV_ADDRESS_W => 25, UAV_BURSTCOUNT_W => 3, AV_READLATENCY => 0, USE_READDATAVALID => 0, USE_WAITREQUEST => 0, USE_UAV_CLKEN => 0, AV_SYMBOLS_PER_WORD => 4, AV_ADDRESS_SYMBOLS => 0, AV_BURSTCOUNT_SYMBOLS => 0, AV_CONSTANT_BURST_BEHAVIOR => 0, UAV_CONSTANT_BURST_BEHAVIOR => 0, AV_REQUIRE_UNALIGNED_ADDRESSES => 0, CHIPSELECT_THROUGH_READLATENCY => 0, AV_READ_WAIT_CYCLES => 1, AV_WRITE_WAIT_CYCLES => 0, AV_SETUP_WAIT_CYCLES => 0, AV_DATA_HOLD_CYCLES => 0 ) port map ( clk => altpll_0_c1_clk, -- clk.clk reset => rst_controller_reset_out_reset, -- reset.reset uav_address => switch_s1_translator_avalon_universal_slave_0_agent_m0_address, -- avalon_universal_slave_0.address uav_burstcount => switch_s1_translator_avalon_universal_slave_0_agent_m0_burstcount, -- .burstcount uav_read => switch_s1_translator_avalon_universal_slave_0_agent_m0_read, -- .read uav_write => switch_s1_translator_avalon_universal_slave_0_agent_m0_write, -- .write uav_waitrequest => switch_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest, -- .waitrequest uav_readdatavalid => switch_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid, -- .readdatavalid uav_byteenable => switch_s1_translator_avalon_universal_slave_0_agent_m0_byteenable, -- .byteenable uav_readdata => switch_s1_translator_avalon_universal_slave_0_agent_m0_readdata, -- .readdata uav_writedata => switch_s1_translator_avalon_universal_slave_0_agent_m0_writedata, -- .writedata uav_lock => switch_s1_translator_avalon_universal_slave_0_agent_m0_lock, -- .lock uav_debugaccess => switch_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess, -- .debugaccess av_address => switch_s1_translator_avalon_anti_slave_0_address, -- avalon_anti_slave_0.address av_readdata => switch_s1_translator_avalon_anti_slave_0_readdata, -- .readdata av_write => open, -- (terminated) av_read => open, -- (terminated) av_writedata => open, -- (terminated) av_begintransfer => open, -- (terminated) av_beginbursttransfer => open, -- (terminated) av_burstcount => open, -- (terminated) av_byteenable => open, -- (terminated) av_readdatavalid => '0', -- (terminated) av_waitrequest => '0', -- (terminated) av_writebyteenable => open, -- (terminated) av_lock => open, -- (terminated) av_chipselect => open, -- (terminated) av_clken => open, -- (terminated) uav_clken => '0', -- (terminated) av_debugaccess => open, -- (terminated) av_outputenable => open -- (terminated) ); servo_pwm_0_s0_translator : component tracking_camera_system_character_lcd_0_avalon_lcd_slave_translator generic map ( AV_ADDRESS_W => 1, AV_DATA_W => 8, UAV_DATA_W => 8, AV_BURSTCOUNT_W => 1, AV_BYTEENABLE_W => 1, UAV_BYTEENABLE_W => 1, UAV_ADDRESS_W => 25, UAV_BURSTCOUNT_W => 1, AV_READLATENCY => 0, USE_READDATAVALID => 0, USE_WAITREQUEST => 0, USE_UAV_CLKEN => 0, AV_SYMBOLS_PER_WORD => 1, AV_ADDRESS_SYMBOLS => 0, AV_BURSTCOUNT_SYMBOLS => 0, AV_CONSTANT_BURST_BEHAVIOR => 0, UAV_CONSTANT_BURST_BEHAVIOR => 0, AV_REQUIRE_UNALIGNED_ADDRESSES => 0, CHIPSELECT_THROUGH_READLATENCY => 0, AV_READ_WAIT_CYCLES => 1, AV_WRITE_WAIT_CYCLES => 0, AV_SETUP_WAIT_CYCLES => 0, AV_DATA_HOLD_CYCLES => 0 ) port map ( clk => altpll_0_c1_clk, -- clk.clk reset => rst_controller_reset_out_reset, -- reset.reset uav_address => servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_m0_address, -- avalon_universal_slave_0.address uav_burstcount(0) => servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_m0_burstcount, -- .burstcount uav_read => servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_m0_read, -- .read uav_write => servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_m0_write, -- .write uav_waitrequest => servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_m0_waitrequest, -- .waitrequest uav_readdatavalid => servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_m0_readdatavalid, -- .readdatavalid uav_byteenable(0) => servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_m0_byteenable, -- .byteenable uav_readdata => servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_m0_readdata, -- .readdata uav_writedata => servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_m0_writedata, -- .writedata uav_lock => servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_m0_lock, -- .lock uav_debugaccess => servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_m0_debugaccess, -- .debugaccess av_write => servo_pwm_0_s0_translator_avalon_anti_slave_0_write, -- avalon_anti_slave_0.write av_writedata => servo_pwm_0_s0_translator_avalon_anti_slave_0_writedata, -- .writedata av_address => open, -- (terminated) av_read => open, -- (terminated) av_readdata => "10101101", -- (terminated) av_begintransfer => open, -- (terminated) av_beginbursttransfer => open, -- (terminated) av_burstcount => open, -- (terminated) av_byteenable => open, -- (terminated) av_readdatavalid => '0', -- (terminated) av_waitrequest => '0', -- (terminated) av_writebyteenable => open, -- (terminated) av_lock => open, -- (terminated) av_chipselect => open, -- (terminated) av_clken => open, -- (terminated) uav_clken => '0', -- (terminated) av_debugaccess => open, -- (terminated) av_outputenable => open -- (terminated) ); switch_0_s1_translator : component tracking_camera_system_green_leds_s1_translator generic map ( AV_ADDRESS_W => 2, AV_DATA_W => 32, UAV_DATA_W => 32, AV_BURSTCOUNT_W => 1, AV_BYTEENABLE_W => 1, UAV_BYTEENABLE_W => 4, UAV_ADDRESS_W => 25, UAV_BURSTCOUNT_W => 3, AV_READLATENCY => 0, USE_READDATAVALID => 0, USE_WAITREQUEST => 0, USE_UAV_CLKEN => 0, AV_SYMBOLS_PER_WORD => 4, AV_ADDRESS_SYMBOLS => 0, AV_BURSTCOUNT_SYMBOLS => 0, AV_CONSTANT_BURST_BEHAVIOR => 0, UAV_CONSTANT_BURST_BEHAVIOR => 0, AV_REQUIRE_UNALIGNED_ADDRESSES => 0, CHIPSELECT_THROUGH_READLATENCY => 0, AV_READ_WAIT_CYCLES => 1, AV_WRITE_WAIT_CYCLES => 0, AV_SETUP_WAIT_CYCLES => 0, AV_DATA_HOLD_CYCLES => 0 ) port map ( clk => altpll_0_c1_clk, -- clk.clk reset => rst_controller_reset_out_reset, -- reset.reset uav_address => switch_0_s1_translator_avalon_universal_slave_0_agent_m0_address, -- avalon_universal_slave_0.address uav_burstcount => switch_0_s1_translator_avalon_universal_slave_0_agent_m0_burstcount, -- .burstcount uav_read => switch_0_s1_translator_avalon_universal_slave_0_agent_m0_read, -- .read uav_write => switch_0_s1_translator_avalon_universal_slave_0_agent_m0_write, -- .write uav_waitrequest => switch_0_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest, -- .waitrequest uav_readdatavalid => switch_0_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid, -- .readdatavalid uav_byteenable => switch_0_s1_translator_avalon_universal_slave_0_agent_m0_byteenable, -- .byteenable uav_readdata => switch_0_s1_translator_avalon_universal_slave_0_agent_m0_readdata, -- .readdata uav_writedata => switch_0_s1_translator_avalon_universal_slave_0_agent_m0_writedata, -- .writedata uav_lock => switch_0_s1_translator_avalon_universal_slave_0_agent_m0_lock, -- .lock uav_debugaccess => switch_0_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess, -- .debugaccess av_address => switch_0_s1_translator_avalon_anti_slave_0_address, -- avalon_anti_slave_0.address av_readdata => switch_0_s1_translator_avalon_anti_slave_0_readdata, -- .readdata av_write => open, -- (terminated) av_read => open, -- (terminated) av_writedata => open, -- (terminated) av_begintransfer => open, -- (terminated) av_beginbursttransfer => open, -- (terminated) av_burstcount => open, -- (terminated) av_byteenable => open, -- (terminated) av_readdatavalid => '0', -- (terminated) av_waitrequest => '0', -- (terminated) av_writebyteenable => open, -- (terminated) av_lock => open, -- (terminated) av_chipselect => open, -- (terminated) av_clken => open, -- (terminated) uav_clken => '0', -- (terminated) av_debugaccess => open, -- (terminated) av_outputenable => open -- (terminated) ); nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_agent : component tracking_camera_system_nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_agent port map ( clk => altpll_0_c1_clk, -- clk.clk reset => rst_controller_reset_out_reset, -- clk_reset.reset av_address => nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_address, -- av.address av_write => nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_write, -- .write av_read => nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_read, -- .read av_writedata => nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_writedata, -- .writedata av_readdata => nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_readdata, -- .readdata av_waitrequest => nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_waitrequest, -- .waitrequest av_readdatavalid => nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_readdatavalid, -- .readdatavalid av_byteenable => nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_byteenable, -- .byteenable av_burstcount => nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_burstcount, -- .burstcount av_debugaccess => nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_debugaccess, -- .debugaccess av_lock => nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_lock, -- .lock cp_valid => nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_agent_cp_valid, -- cp.valid cp_data => nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_agent_cp_data, -- .data cp_startofpacket => nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_agent_cp_startofpacket, -- .startofpacket cp_endofpacket => nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_agent_cp_endofpacket, -- .endofpacket cp_ready => nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_agent_cp_ready, -- .ready rp_valid => limiter_rsp_src_valid, -- rp.valid rp_data => limiter_rsp_src_data, -- .data rp_channel => limiter_rsp_src_channel, -- .channel rp_startofpacket => limiter_rsp_src_startofpacket, -- .startofpacket rp_endofpacket => limiter_rsp_src_endofpacket, -- .endofpacket rp_ready => limiter_rsp_src_ready -- .ready ); nios2_qsys_0_data_master_translator_avalon_universal_master_0_agent : component tracking_camera_system_nios2_qsys_0_data_master_translator_avalon_universal_master_0_agent port map ( clk => altpll_0_c1_clk, -- clk.clk reset => rst_controller_reset_out_reset, -- clk_reset.reset av_address => nios2_qsys_0_data_master_translator_avalon_universal_master_0_address, -- av.address av_write => nios2_qsys_0_data_master_translator_avalon_universal_master_0_write, -- .write av_read => nios2_qsys_0_data_master_translator_avalon_universal_master_0_read, -- .read av_writedata => nios2_qsys_0_data_master_translator_avalon_universal_master_0_writedata, -- .writedata av_readdata => nios2_qsys_0_data_master_translator_avalon_universal_master_0_readdata, -- .readdata av_waitrequest => nios2_qsys_0_data_master_translator_avalon_universal_master_0_waitrequest, -- .waitrequest av_readdatavalid => nios2_qsys_0_data_master_translator_avalon_universal_master_0_readdatavalid, -- .readdatavalid av_byteenable => nios2_qsys_0_data_master_translator_avalon_universal_master_0_byteenable, -- .byteenable av_burstcount => nios2_qsys_0_data_master_translator_avalon_universal_master_0_burstcount, -- .burstcount av_debugaccess => nios2_qsys_0_data_master_translator_avalon_universal_master_0_debugaccess, -- .debugaccess av_lock => nios2_qsys_0_data_master_translator_avalon_universal_master_0_lock, -- .lock cp_valid => nios2_qsys_0_data_master_translator_avalon_universal_master_0_agent_cp_valid, -- cp.valid cp_data => nios2_qsys_0_data_master_translator_avalon_universal_master_0_agent_cp_data, -- .data cp_startofpacket => nios2_qsys_0_data_master_translator_avalon_universal_master_0_agent_cp_startofpacket, -- .startofpacket cp_endofpacket => nios2_qsys_0_data_master_translator_avalon_universal_master_0_agent_cp_endofpacket, -- .endofpacket cp_ready => nios2_qsys_0_data_master_translator_avalon_universal_master_0_agent_cp_ready, -- .ready rp_valid => limiter_001_rsp_src_valid, -- rp.valid rp_data => limiter_001_rsp_src_data, -- .data rp_channel => limiter_001_rsp_src_channel, -- .channel rp_startofpacket => limiter_001_rsp_src_startofpacket, -- .startofpacket rp_endofpacket => limiter_001_rsp_src_endofpacket, -- .endofpacket rp_ready => limiter_001_rsp_src_ready -- .ready ); nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent : component tracking_camera_system_nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent port map ( clk => altpll_0_c1_clk, -- clk.clk reset => rst_controller_reset_out_reset, -- clk_reset.reset m0_address => nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_address, -- m0.address m0_burstcount => nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_burstcount, -- .burstcount m0_byteenable => nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_byteenable, -- .byteenable m0_debugaccess => nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_debugaccess, -- .debugaccess m0_lock => nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_lock, -- .lock m0_readdata => nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_readdata, -- .readdata m0_readdatavalid => nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_readdatavalid, -- .readdatavalid m0_read => nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_read, -- .read m0_waitrequest => nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_waitrequest, -- .waitrequest m0_writedata => nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_writedata, -- .writedata m0_write => nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_write, -- .write rp_endofpacket => nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rp_endofpacket, -- rp.endofpacket rp_ready => nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rp_ready, -- .ready rp_valid => nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rp_valid, -- .valid rp_data => nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rp_data, -- .data rp_startofpacket => nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rp_startofpacket, -- .startofpacket cp_ready => cmd_xbar_mux_src_ready, -- cp.ready cp_valid => cmd_xbar_mux_src_valid, -- .valid cp_data => cmd_xbar_mux_src_data, -- .data cp_startofpacket => cmd_xbar_mux_src_startofpacket, -- .startofpacket cp_endofpacket => cmd_xbar_mux_src_endofpacket, -- .endofpacket cp_channel => cmd_xbar_mux_src_channel, -- .channel rf_sink_ready => nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready, -- rf_sink.ready rf_sink_valid => nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid, -- .valid rf_sink_startofpacket => nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket, -- .startofpacket rf_sink_endofpacket => nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket, -- .endofpacket rf_sink_data => nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data, -- .data rf_source_ready => nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rf_source_ready, -- rf_source.ready rf_source_valid => nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rf_source_valid, -- .valid rf_source_startofpacket => nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rf_source_startofpacket, -- .startofpacket rf_source_endofpacket => nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rf_source_endofpacket, -- .endofpacket rf_source_data => nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rf_source_data, -- .data rdata_fifo_sink_ready => nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready, -- rdata_fifo_sink.ready rdata_fifo_sink_valid => nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid, -- .valid rdata_fifo_sink_data => nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data, -- .data rdata_fifo_src_ready => nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready, -- rdata_fifo_src.ready rdata_fifo_src_valid => nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid, -- .valid rdata_fifo_src_data => nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data -- .data ); nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo : component tracking_camera_system_nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo port map ( clk => altpll_0_c1_clk, -- clk.clk reset => rst_controller_reset_out_reset, -- clk_reset.reset in_data => nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rf_source_data, -- in.data in_valid => nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rf_source_valid, -- .valid in_ready => nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rf_source_ready, -- .ready in_startofpacket => nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rf_source_startofpacket, -- .startofpacket in_endofpacket => nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rf_source_endofpacket, -- .endofpacket out_data => nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data, -- out.data out_valid => nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid, -- .valid out_ready => nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready, -- .ready out_startofpacket => nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket, -- .startofpacket out_endofpacket => nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket -- .endofpacket ); onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent : component tracking_camera_system_onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent port map ( clk => altpll_0_c1_clk, -- clk.clk reset => rst_controller_reset_out_reset, -- clk_reset.reset m0_address => onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_m0_address, -- m0.address m0_burstcount => onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_m0_burstcount, -- .burstcount m0_byteenable => onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_m0_byteenable, -- .byteenable m0_debugaccess => onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess, -- .debugaccess m0_lock => onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_m0_lock, -- .lock m0_readdata => onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_m0_readdata, -- .readdata m0_readdatavalid => onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid, -- .readdatavalid m0_read => onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_m0_read, -- .read m0_waitrequest => onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest, -- .waitrequest m0_writedata => onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_m0_writedata, -- .writedata m0_write => onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_m0_write, -- .write rp_endofpacket => onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket, -- rp.endofpacket rp_ready => onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rp_ready, -- .ready rp_valid => onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rp_valid, -- .valid rp_data => onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rp_data, -- .data rp_startofpacket => onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket, -- .startofpacket cp_ready => cmd_xbar_mux_001_src_ready, -- cp.ready cp_valid => cmd_xbar_mux_001_src_valid, -- .valid cp_data => cmd_xbar_mux_001_src_data, -- .data cp_startofpacket => cmd_xbar_mux_001_src_startofpacket, -- .startofpacket cp_endofpacket => cmd_xbar_mux_001_src_endofpacket, -- .endofpacket cp_channel => cmd_xbar_mux_001_src_channel, -- .channel rf_sink_ready => onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready, -- rf_sink.ready rf_sink_valid => onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid, -- .valid rf_sink_startofpacket => onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket, -- .startofpacket rf_sink_endofpacket => onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket, -- .endofpacket rf_sink_data => onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data, -- .data rf_source_ready => onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rf_source_ready, -- rf_source.ready rf_source_valid => onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rf_source_valid, -- .valid rf_source_startofpacket => onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket, -- .startofpacket rf_source_endofpacket => onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket, -- .endofpacket rf_source_data => onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rf_source_data, -- .data rdata_fifo_sink_ready => onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready, -- rdata_fifo_sink.ready rdata_fifo_sink_valid => onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid, -- .valid rdata_fifo_sink_data => onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data, -- .data rdata_fifo_src_ready => onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready, -- rdata_fifo_src.ready rdata_fifo_src_valid => onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid, -- .valid rdata_fifo_src_data => onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data -- .data ); onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo : component tracking_camera_system_nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo port map ( clk => altpll_0_c1_clk, -- clk.clk reset => rst_controller_reset_out_reset, -- clk_reset.reset in_data => onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rf_source_data, -- in.data in_valid => onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rf_source_valid, -- .valid in_ready => onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rf_source_ready, -- .ready in_startofpacket => onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket, -- .startofpacket in_endofpacket => onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket, -- .endofpacket out_data => onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data, -- out.data out_valid => onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid, -- .valid out_ready => onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready, -- .ready out_startofpacket => onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket, -- .startofpacket out_endofpacket => onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket -- .endofpacket ); sdram_0_s1_translator_avalon_universal_slave_0_agent : component tracking_camera_system_sdram_0_s1_translator_avalon_universal_slave_0_agent port map ( clk => altpll_0_c1_clk, -- clk.clk reset => rst_controller_reset_out_reset, -- clk_reset.reset m0_address => sdram_0_s1_translator_avalon_universal_slave_0_agent_m0_address, -- m0.address m0_burstcount => sdram_0_s1_translator_avalon_universal_slave_0_agent_m0_burstcount, -- .burstcount m0_byteenable => sdram_0_s1_translator_avalon_universal_slave_0_agent_m0_byteenable, -- .byteenable m0_debugaccess => sdram_0_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess, -- .debugaccess m0_lock => sdram_0_s1_translator_avalon_universal_slave_0_agent_m0_lock, -- .lock m0_readdata => sdram_0_s1_translator_avalon_universal_slave_0_agent_m0_readdata, -- .readdata m0_readdatavalid => sdram_0_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid, -- .readdatavalid m0_read => sdram_0_s1_translator_avalon_universal_slave_0_agent_m0_read, -- .read m0_waitrequest => sdram_0_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest, -- .waitrequest m0_writedata => sdram_0_s1_translator_avalon_universal_slave_0_agent_m0_writedata, -- .writedata m0_write => sdram_0_s1_translator_avalon_universal_slave_0_agent_m0_write, -- .write rp_endofpacket => sdram_0_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket, -- rp.endofpacket rp_ready => sdram_0_s1_translator_avalon_universal_slave_0_agent_rp_ready, -- .ready rp_valid => sdram_0_s1_translator_avalon_universal_slave_0_agent_rp_valid, -- .valid rp_data => sdram_0_s1_translator_avalon_universal_slave_0_agent_rp_data, -- .data rp_startofpacket => sdram_0_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket, -- .startofpacket cp_ready => burst_adapter_source0_ready, -- cp.ready cp_valid => burst_adapter_source0_valid, -- .valid cp_data => burst_adapter_source0_data, -- .data cp_startofpacket => burst_adapter_source0_startofpacket, -- .startofpacket cp_endofpacket => burst_adapter_source0_endofpacket, -- .endofpacket cp_channel => burst_adapter_source0_channel, -- .channel rf_sink_ready => sdram_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready, -- rf_sink.ready rf_sink_valid => sdram_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid, -- .valid rf_sink_startofpacket => sdram_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket, -- .startofpacket rf_sink_endofpacket => sdram_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket, -- .endofpacket rf_sink_data => sdram_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data, -- .data rf_source_ready => sdram_0_s1_translator_avalon_universal_slave_0_agent_rf_source_ready, -- rf_source.ready rf_source_valid => sdram_0_s1_translator_avalon_universal_slave_0_agent_rf_source_valid, -- .valid rf_source_startofpacket => sdram_0_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket, -- .startofpacket rf_source_endofpacket => sdram_0_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket, -- .endofpacket rf_source_data => sdram_0_s1_translator_avalon_universal_slave_0_agent_rf_source_data, -- .data rdata_fifo_sink_ready => sdram_0_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready, -- rdata_fifo_sink.ready rdata_fifo_sink_valid => sdram_0_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid, -- .valid rdata_fifo_sink_data => sdram_0_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data, -- .data rdata_fifo_src_ready => sdram_0_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready, -- rdata_fifo_src.ready rdata_fifo_src_valid => sdram_0_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid, -- .valid rdata_fifo_src_data => sdram_0_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data -- .data ); sdram_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo : component tracking_camera_system_sdram_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo port map ( clk => altpll_0_c1_clk, -- clk.clk reset => rst_controller_reset_out_reset, -- clk_reset.reset in_data => sdram_0_s1_translator_avalon_universal_slave_0_agent_rf_source_data, -- in.data in_valid => sdram_0_s1_translator_avalon_universal_slave_0_agent_rf_source_valid, -- .valid in_ready => sdram_0_s1_translator_avalon_universal_slave_0_agent_rf_source_ready, -- .ready in_startofpacket => sdram_0_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket, -- .startofpacket in_endofpacket => sdram_0_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket, -- .endofpacket out_data => sdram_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data, -- out.data out_valid => sdram_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid, -- .valid out_ready => sdram_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready, -- .ready out_startofpacket => sdram_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket, -- .startofpacket out_endofpacket => sdram_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket -- .endofpacket ); sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent : component tracking_camera_system_sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent port map ( clk => altpll_0_c1_clk, -- clk.clk reset => rst_controller_reset_out_reset, -- clk_reset.reset m0_address => sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_m0_address, -- m0.address m0_burstcount => sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_m0_burstcount, -- .burstcount m0_byteenable => sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_m0_byteenable, -- .byteenable m0_debugaccess => sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_m0_debugaccess, -- .debugaccess m0_lock => sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_m0_lock, -- .lock m0_readdata => sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_m0_readdata, -- .readdata m0_readdatavalid => sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_m0_readdatavalid, -- .readdatavalid m0_read => sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_m0_read, -- .read m0_waitrequest => sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_m0_waitrequest, -- .waitrequest m0_writedata => sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_m0_writedata, -- .writedata m0_write => sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_m0_write, -- .write rp_endofpacket => sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rp_endofpacket, -- rp.endofpacket rp_ready => sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rp_ready, -- .ready rp_valid => sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rp_valid, -- .valid rp_data => sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rp_data, -- .data rp_startofpacket => sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rp_startofpacket, -- .startofpacket cp_ready => burst_adapter_001_source0_ready, -- cp.ready cp_valid => burst_adapter_001_source0_valid, -- .valid cp_data => burst_adapter_001_source0_data, -- .data cp_startofpacket => burst_adapter_001_source0_startofpacket, -- .startofpacket cp_endofpacket => burst_adapter_001_source0_endofpacket, -- .endofpacket cp_channel => burst_adapter_001_source0_channel, -- .channel rf_sink_ready => sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready, -- rf_sink.ready rf_sink_valid => sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid, -- .valid rf_sink_startofpacket => sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket, -- .startofpacket rf_sink_endofpacket => sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket, -- .endofpacket rf_sink_data => sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data, -- .data rf_source_ready => sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rf_source_ready, -- rf_source.ready rf_source_valid => sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rf_source_valid, -- .valid rf_source_startofpacket => sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rf_source_startofpacket, -- .startofpacket rf_source_endofpacket => sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rf_source_endofpacket, -- .endofpacket rf_source_data => sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rf_source_data, -- .data rdata_fifo_sink_ready => sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready, -- rdata_fifo_sink.ready rdata_fifo_sink_valid => sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid, -- .valid rdata_fifo_sink_data => sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data, -- .data rdata_fifo_src_ready => sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready, -- rdata_fifo_src.ready rdata_fifo_src_valid => sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid, -- .valid rdata_fifo_src_data => sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data -- .data ); sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rsp_fifo : component tracking_camera_system_sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rsp_fifo port map ( clk => altpll_0_c1_clk, -- clk.clk reset => rst_controller_reset_out_reset, -- clk_reset.reset in_data => sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rf_source_data, -- in.data in_valid => sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rf_source_valid, -- .valid in_ready => sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rf_source_ready, -- .ready in_startofpacket => sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rf_source_startofpacket, -- .startofpacket in_endofpacket => sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rf_source_endofpacket, -- .endofpacket out_data => sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data, -- out.data out_valid => sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid, -- .valid out_ready => sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready, -- .ready out_startofpacket => sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket, -- .startofpacket out_endofpacket => sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket -- .endofpacket ); altpll_0_pll_slave_translator_avalon_universal_slave_0_agent : component tracking_camera_system_altpll_0_pll_slave_translator_avalon_universal_slave_0_agent port map ( clk => clk_clk, -- clk.clk reset => rst_controller_001_reset_out_reset, -- clk_reset.reset m0_address => altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_m0_address, -- m0.address m0_burstcount => altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_m0_burstcount, -- .burstcount m0_byteenable => altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_m0_byteenable, -- .byteenable m0_debugaccess => altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_m0_debugaccess, -- .debugaccess m0_lock => altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_m0_lock, -- .lock m0_readdata => altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_m0_readdata, -- .readdata m0_readdatavalid => altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_m0_readdatavalid, -- .readdatavalid m0_read => altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_m0_read, -- .read m0_waitrequest => altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_m0_waitrequest, -- .waitrequest m0_writedata => altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_m0_writedata, -- .writedata m0_write => altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_m0_write, -- .write rp_endofpacket => altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rp_endofpacket, -- rp.endofpacket rp_ready => altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rp_ready, -- .ready rp_valid => altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rp_valid, -- .valid rp_data => altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rp_data, -- .data rp_startofpacket => altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rp_startofpacket, -- .startofpacket cp_ready => crosser_out_ready, -- cp.ready cp_valid => crosser_out_valid, -- .valid cp_data => crosser_out_data, -- .data cp_startofpacket => crosser_out_startofpacket, -- .startofpacket cp_endofpacket => crosser_out_endofpacket, -- .endofpacket cp_channel => crosser_out_channel, -- .channel rf_sink_ready => altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready, -- rf_sink.ready rf_sink_valid => altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid, -- .valid rf_sink_startofpacket => altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket, -- .startofpacket rf_sink_endofpacket => altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket, -- .endofpacket rf_sink_data => altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data, -- .data rf_source_ready => altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rf_source_ready, -- rf_source.ready rf_source_valid => altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rf_source_valid, -- .valid rf_source_startofpacket => altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rf_source_startofpacket, -- .startofpacket rf_source_endofpacket => altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rf_source_endofpacket, -- .endofpacket rf_source_data => altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rf_source_data, -- .data rdata_fifo_sink_ready => altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_out_ready, -- rdata_fifo_sink.ready rdata_fifo_sink_valid => altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_out_valid, -- .valid rdata_fifo_sink_data => altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_out_data, -- .data rdata_fifo_src_ready => altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready, -- rdata_fifo_src.ready rdata_fifo_src_valid => altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid, -- .valid rdata_fifo_src_data => altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data -- .data ); altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rsp_fifo : component tracking_camera_system_nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo port map ( clk => clk_clk, -- clk.clk reset => rst_controller_001_reset_out_reset, -- clk_reset.reset in_data => altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rf_source_data, -- in.data in_valid => altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rf_source_valid, -- .valid in_ready => altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rf_source_ready, -- .ready in_startofpacket => altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rf_source_startofpacket, -- .startofpacket in_endofpacket => altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rf_source_endofpacket, -- .endofpacket out_data => altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data, -- out.data out_valid => altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid, -- .valid out_ready => altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready, -- .ready out_startofpacket => altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket, -- .startofpacket out_endofpacket => altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket -- .endofpacket ); altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rdata_fifo : component tracking_camera_system_altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rdata_fifo port map ( clk => clk_clk, -- clk.clk reset => rst_controller_001_reset_out_reset, -- clk_reset.reset in_data => altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data, -- in.data in_valid => altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid, -- .valid in_ready => altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready, -- .ready out_data => altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_out_data, -- out.data out_valid => altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_out_valid, -- .valid out_ready => altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_out_ready -- .ready ); sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent : component tracking_camera_system_sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent port map ( clk => altpll_0_c1_clk, -- clk.clk reset => rst_controller_reset_out_reset, -- clk_reset.reset m0_address => sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_m0_address, -- m0.address m0_burstcount => sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_m0_burstcount, -- .burstcount m0_byteenable => sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_m0_byteenable, -- .byteenable m0_debugaccess => sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_m0_debugaccess, -- .debugaccess m0_lock => sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_m0_lock, -- .lock m0_readdata => sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_m0_readdata, -- .readdata m0_readdatavalid => sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_m0_readdatavalid, -- .readdatavalid m0_read => sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_m0_read, -- .read m0_waitrequest => sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_m0_waitrequest, -- .waitrequest m0_writedata => sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_m0_writedata, -- .writedata m0_write => sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_m0_write, -- .write rp_endofpacket => sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rp_endofpacket, -- rp.endofpacket rp_ready => sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rp_ready, -- .ready rp_valid => sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rp_valid, -- .valid rp_data => sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rp_data, -- .data rp_startofpacket => sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rp_startofpacket, -- .startofpacket cp_ready => cmd_xbar_demux_001_src5_ready, -- cp.ready cp_valid => cmd_xbar_demux_001_src5_valid, -- .valid cp_data => cmd_xbar_demux_001_src5_data, -- .data cp_startofpacket => cmd_xbar_demux_001_src5_startofpacket, -- .startofpacket cp_endofpacket => cmd_xbar_demux_001_src5_endofpacket, -- .endofpacket cp_channel => cmd_xbar_demux_001_src5_channel, -- .channel rf_sink_ready => sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready, -- rf_sink.ready rf_sink_valid => sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid, -- .valid rf_sink_startofpacket => sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket, -- .startofpacket rf_sink_endofpacket => sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket, -- .endofpacket rf_sink_data => sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data, -- .data rf_source_ready => sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rf_source_ready, -- rf_source.ready rf_source_valid => sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rf_source_valid, -- .valid rf_source_startofpacket => sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rf_source_startofpacket, -- .startofpacket rf_source_endofpacket => sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rf_source_endofpacket, -- .endofpacket rf_source_data => sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rf_source_data, -- .data rdata_fifo_sink_ready => sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready, -- rdata_fifo_sink.ready rdata_fifo_sink_valid => sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid, -- .valid rdata_fifo_sink_data => sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data, -- .data rdata_fifo_src_ready => sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready, -- rdata_fifo_src.ready rdata_fifo_src_valid => sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid, -- .valid rdata_fifo_src_data => sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data -- .data ); sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo : component tracking_camera_system_nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo port map ( clk => altpll_0_c1_clk, -- clk.clk reset => rst_controller_reset_out_reset, -- clk_reset.reset in_data => sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rf_source_data, -- in.data in_valid => sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rf_source_valid, -- .valid in_ready => sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rf_source_ready, -- .ready in_startofpacket => sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rf_source_startofpacket, -- .startofpacket in_endofpacket => sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rf_source_endofpacket, -- .endofpacket out_data => sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data, -- out.data out_valid => sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid, -- .valid out_ready => sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready, -- .ready out_startofpacket => sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket, -- .startofpacket out_endofpacket => sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket -- .endofpacket ); timer_0_s1_translator_avalon_universal_slave_0_agent : component tracking_camera_system_timer_0_s1_translator_avalon_universal_slave_0_agent port map ( clk => altpll_0_c1_clk, -- clk.clk reset => rst_controller_reset_out_reset, -- clk_reset.reset m0_address => timer_0_s1_translator_avalon_universal_slave_0_agent_m0_address, -- m0.address m0_burstcount => timer_0_s1_translator_avalon_universal_slave_0_agent_m0_burstcount, -- .burstcount m0_byteenable => timer_0_s1_translator_avalon_universal_slave_0_agent_m0_byteenable, -- .byteenable m0_debugaccess => timer_0_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess, -- .debugaccess m0_lock => timer_0_s1_translator_avalon_universal_slave_0_agent_m0_lock, -- .lock m0_readdata => timer_0_s1_translator_avalon_universal_slave_0_agent_m0_readdata, -- .readdata m0_readdatavalid => timer_0_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid, -- .readdatavalid m0_read => timer_0_s1_translator_avalon_universal_slave_0_agent_m0_read, -- .read m0_waitrequest => timer_0_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest, -- .waitrequest m0_writedata => timer_0_s1_translator_avalon_universal_slave_0_agent_m0_writedata, -- .writedata m0_write => timer_0_s1_translator_avalon_universal_slave_0_agent_m0_write, -- .write rp_endofpacket => timer_0_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket, -- rp.endofpacket rp_ready => timer_0_s1_translator_avalon_universal_slave_0_agent_rp_ready, -- .ready rp_valid => timer_0_s1_translator_avalon_universal_slave_0_agent_rp_valid, -- .valid rp_data => timer_0_s1_translator_avalon_universal_slave_0_agent_rp_data, -- .data rp_startofpacket => timer_0_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket, -- .startofpacket cp_ready => cmd_xbar_demux_001_src6_ready, -- cp.ready cp_valid => cmd_xbar_demux_001_src6_valid, -- .valid cp_data => cmd_xbar_demux_001_src6_data, -- .data cp_startofpacket => cmd_xbar_demux_001_src6_startofpacket, -- .startofpacket cp_endofpacket => cmd_xbar_demux_001_src6_endofpacket, -- .endofpacket cp_channel => cmd_xbar_demux_001_src6_channel, -- .channel rf_sink_ready => timer_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready, -- rf_sink.ready rf_sink_valid => timer_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid, -- .valid rf_sink_startofpacket => timer_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket, -- .startofpacket rf_sink_endofpacket => timer_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket, -- .endofpacket rf_sink_data => timer_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data, -- .data rf_source_ready => timer_0_s1_translator_avalon_universal_slave_0_agent_rf_source_ready, -- rf_source.ready rf_source_valid => timer_0_s1_translator_avalon_universal_slave_0_agent_rf_source_valid, -- .valid rf_source_startofpacket => timer_0_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket, -- .startofpacket rf_source_endofpacket => timer_0_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket, -- .endofpacket rf_source_data => timer_0_s1_translator_avalon_universal_slave_0_agent_rf_source_data, -- .data rdata_fifo_sink_ready => timer_0_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready, -- rdata_fifo_sink.ready rdata_fifo_sink_valid => timer_0_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid, -- .valid rdata_fifo_sink_data => timer_0_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data, -- .data rdata_fifo_src_ready => timer_0_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready, -- rdata_fifo_src.ready rdata_fifo_src_valid => timer_0_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid, -- .valid rdata_fifo_src_data => timer_0_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data -- .data ); timer_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo : component tracking_camera_system_nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo port map ( clk => altpll_0_c1_clk, -- clk.clk reset => rst_controller_reset_out_reset, -- clk_reset.reset in_data => timer_0_s1_translator_avalon_universal_slave_0_agent_rf_source_data, -- in.data in_valid => timer_0_s1_translator_avalon_universal_slave_0_agent_rf_source_valid, -- .valid in_ready => timer_0_s1_translator_avalon_universal_slave_0_agent_rf_source_ready, -- .ready in_startofpacket => timer_0_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket, -- .startofpacket in_endofpacket => timer_0_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket, -- .endofpacket out_data => timer_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data, -- out.data out_valid => timer_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid, -- .valid out_ready => timer_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready, -- .ready out_startofpacket => timer_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket, -- .startofpacket out_endofpacket => timer_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket -- .endofpacket ); jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent : component tracking_camera_system_jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent port map ( clk => altpll_0_c1_clk, -- clk.clk reset => rst_controller_reset_out_reset, -- clk_reset.reset m0_address => jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_address, -- m0.address m0_burstcount => jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_burstcount, -- .burstcount m0_byteenable => jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_byteenable, -- .byteenable m0_debugaccess => jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_debugaccess, -- .debugaccess m0_lock => jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_lock, -- .lock m0_readdata => jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_readdata, -- .readdata m0_readdatavalid => jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_readdatavalid, -- .readdatavalid m0_read => jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_read, -- .read m0_waitrequest => jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_waitrequest, -- .waitrequest m0_writedata => jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_writedata, -- .writedata m0_write => jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_write, -- .write rp_endofpacket => jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rp_endofpacket, -- rp.endofpacket rp_ready => jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rp_ready, -- .ready rp_valid => jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rp_valid, -- .valid rp_data => jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rp_data, -- .data rp_startofpacket => jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rp_startofpacket, -- .startofpacket cp_ready => cmd_xbar_demux_001_src7_ready, -- cp.ready cp_valid => cmd_xbar_demux_001_src7_valid, -- .valid cp_data => cmd_xbar_demux_001_src7_data, -- .data cp_startofpacket => cmd_xbar_demux_001_src7_startofpacket, -- .startofpacket cp_endofpacket => cmd_xbar_demux_001_src7_endofpacket, -- .endofpacket cp_channel => cmd_xbar_demux_001_src7_channel, -- .channel rf_sink_ready => jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready, -- rf_sink.ready rf_sink_valid => jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid, -- .valid rf_sink_startofpacket => jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket, -- .startofpacket rf_sink_endofpacket => jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket, -- .endofpacket rf_sink_data => jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data, -- .data rf_source_ready => jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rf_source_ready, -- rf_source.ready rf_source_valid => jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rf_source_valid, -- .valid rf_source_startofpacket => jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rf_source_startofpacket, -- .startofpacket rf_source_endofpacket => jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rf_source_endofpacket, -- .endofpacket rf_source_data => jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rf_source_data, -- .data rdata_fifo_sink_ready => jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready, -- rdata_fifo_sink.ready rdata_fifo_sink_valid => jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid, -- .valid rdata_fifo_sink_data => jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data, -- .data rdata_fifo_src_ready => jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready, -- rdata_fifo_src.ready rdata_fifo_src_valid => jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid, -- .valid rdata_fifo_src_data => jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data -- .data ); jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo : component tracking_camera_system_nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo port map ( clk => altpll_0_c1_clk, -- clk.clk reset => rst_controller_reset_out_reset, -- clk_reset.reset in_data => jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rf_source_data, -- in.data in_valid => jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rf_source_valid, -- .valid in_ready => jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rf_source_ready, -- .ready in_startofpacket => jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rf_source_startofpacket, -- .startofpacket in_endofpacket => jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rf_source_endofpacket, -- .endofpacket out_data => jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data, -- out.data out_valid => jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid, -- .valid out_ready => jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready, -- .ready out_startofpacket => jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket, -- .startofpacket out_endofpacket => jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket -- .endofpacket ); character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent : component tracking_camera_system_character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent port map ( clk => altpll_0_c1_clk, -- clk.clk reset => rst_controller_reset_out_reset, -- clk_reset.reset m0_address => character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_m0_address, -- m0.address m0_burstcount => character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_m0_burstcount, -- .burstcount m0_byteenable => character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_m0_byteenable, -- .byteenable m0_debugaccess => character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_m0_debugaccess, -- .debugaccess m0_lock => character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_m0_lock, -- .lock m0_readdata => character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_m0_readdata, -- .readdata m0_readdatavalid => character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_m0_readdatavalid, -- .readdatavalid m0_read => character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_m0_read, -- .read m0_waitrequest => character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_m0_waitrequest, -- .waitrequest m0_writedata => character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_m0_writedata, -- .writedata m0_write => character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_m0_write, -- .write rp_endofpacket => character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rp_endofpacket, -- rp.endofpacket rp_ready => character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rp_ready, -- .ready rp_valid => character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rp_valid, -- .valid rp_data => character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rp_data, -- .data rp_startofpacket => character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rp_startofpacket, -- .startofpacket cp_ready => burst_adapter_002_source0_ready, -- cp.ready cp_valid => burst_adapter_002_source0_valid, -- .valid cp_data => burst_adapter_002_source0_data, -- .data cp_startofpacket => burst_adapter_002_source0_startofpacket, -- .startofpacket cp_endofpacket => burst_adapter_002_source0_endofpacket, -- .endofpacket cp_channel => burst_adapter_002_source0_channel, -- .channel rf_sink_ready => character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready, -- rf_sink.ready rf_sink_valid => character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid, -- .valid rf_sink_startofpacket => character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket, -- .startofpacket rf_sink_endofpacket => character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket, -- .endofpacket rf_sink_data => character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data, -- .data rf_source_ready => character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rf_source_ready, -- rf_source.ready rf_source_valid => character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rf_source_valid, -- .valid rf_source_startofpacket => character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rf_source_startofpacket, -- .startofpacket rf_source_endofpacket => character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rf_source_endofpacket, -- .endofpacket rf_source_data => character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rf_source_data, -- .data rdata_fifo_sink_ready => character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready, -- rdata_fifo_sink.ready rdata_fifo_sink_valid => character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid, -- .valid rdata_fifo_sink_data => character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data, -- .data rdata_fifo_src_ready => character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready, -- rdata_fifo_src.ready rdata_fifo_src_valid => character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid, -- .valid rdata_fifo_src_data => character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data -- .data ); character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rsp_fifo : component tracking_camera_system_character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rsp_fifo port map ( clk => altpll_0_c1_clk, -- clk.clk reset => rst_controller_reset_out_reset, -- clk_reset.reset in_data => character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rf_source_data, -- in.data in_valid => character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rf_source_valid, -- .valid in_ready => character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rf_source_ready, -- .ready in_startofpacket => character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rf_source_startofpacket, -- .startofpacket in_endofpacket => character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rf_source_endofpacket, -- .endofpacket out_data => character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data, -- out.data out_valid => character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid, -- .valid out_ready => character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready, -- .ready out_startofpacket => character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket, -- .startofpacket out_endofpacket => character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket -- .endofpacket ); green_leds_s1_translator_avalon_universal_slave_0_agent : component tracking_camera_system_green_leds_s1_translator_avalon_universal_slave_0_agent port map ( clk => altpll_0_c1_clk, -- clk.clk reset => rst_controller_reset_out_reset, -- clk_reset.reset m0_address => green_leds_s1_translator_avalon_universal_slave_0_agent_m0_address, -- m0.address m0_burstcount => green_leds_s1_translator_avalon_universal_slave_0_agent_m0_burstcount, -- .burstcount m0_byteenable => green_leds_s1_translator_avalon_universal_slave_0_agent_m0_byteenable, -- .byteenable m0_debugaccess => green_leds_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess, -- .debugaccess m0_lock => green_leds_s1_translator_avalon_universal_slave_0_agent_m0_lock, -- .lock m0_readdata => green_leds_s1_translator_avalon_universal_slave_0_agent_m0_readdata, -- .readdata m0_readdatavalid => green_leds_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid, -- .readdatavalid m0_read => green_leds_s1_translator_avalon_universal_slave_0_agent_m0_read, -- .read m0_waitrequest => green_leds_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest, -- .waitrequest m0_writedata => green_leds_s1_translator_avalon_universal_slave_0_agent_m0_writedata, -- .writedata m0_write => green_leds_s1_translator_avalon_universal_slave_0_agent_m0_write, -- .write rp_endofpacket => green_leds_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket, -- rp.endofpacket rp_ready => green_leds_s1_translator_avalon_universal_slave_0_agent_rp_ready, -- .ready rp_valid => green_leds_s1_translator_avalon_universal_slave_0_agent_rp_valid, -- .valid rp_data => green_leds_s1_translator_avalon_universal_slave_0_agent_rp_data, -- .data rp_startofpacket => green_leds_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket, -- .startofpacket cp_ready => cmd_xbar_demux_001_src9_ready, -- cp.ready cp_valid => cmd_xbar_demux_001_src9_valid, -- .valid cp_data => cmd_xbar_demux_001_src9_data, -- .data cp_startofpacket => cmd_xbar_demux_001_src9_startofpacket, -- .startofpacket cp_endofpacket => cmd_xbar_demux_001_src9_endofpacket, -- .endofpacket cp_channel => cmd_xbar_demux_001_src9_channel, -- .channel rf_sink_ready => green_leds_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready, -- rf_sink.ready rf_sink_valid => green_leds_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid, -- .valid rf_sink_startofpacket => green_leds_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket, -- .startofpacket rf_sink_endofpacket => green_leds_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket, -- .endofpacket rf_sink_data => green_leds_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data, -- .data rf_source_ready => green_leds_s1_translator_avalon_universal_slave_0_agent_rf_source_ready, -- rf_source.ready rf_source_valid => green_leds_s1_translator_avalon_universal_slave_0_agent_rf_source_valid, -- .valid rf_source_startofpacket => green_leds_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket, -- .startofpacket rf_source_endofpacket => green_leds_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket, -- .endofpacket rf_source_data => green_leds_s1_translator_avalon_universal_slave_0_agent_rf_source_data, -- .data rdata_fifo_sink_ready => green_leds_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready, -- rdata_fifo_sink.ready rdata_fifo_sink_valid => green_leds_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid, -- .valid rdata_fifo_sink_data => green_leds_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data, -- .data rdata_fifo_src_ready => green_leds_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready, -- rdata_fifo_src.ready rdata_fifo_src_valid => green_leds_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid, -- .valid rdata_fifo_src_data => green_leds_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data -- .data ); green_leds_s1_translator_avalon_universal_slave_0_agent_rsp_fifo : component tracking_camera_system_nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo port map ( clk => altpll_0_c1_clk, -- clk.clk reset => rst_controller_reset_out_reset, -- clk_reset.reset in_data => green_leds_s1_translator_avalon_universal_slave_0_agent_rf_source_data, -- in.data in_valid => green_leds_s1_translator_avalon_universal_slave_0_agent_rf_source_valid, -- .valid in_ready => green_leds_s1_translator_avalon_universal_slave_0_agent_rf_source_ready, -- .ready in_startofpacket => green_leds_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket, -- .startofpacket in_endofpacket => green_leds_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket, -- .endofpacket out_data => green_leds_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data, -- out.data out_valid => green_leds_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid, -- .valid out_ready => green_leds_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready, -- .ready out_startofpacket => green_leds_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket, -- .startofpacket out_endofpacket => green_leds_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket -- .endofpacket ); switch_s1_translator_avalon_universal_slave_0_agent : component tracking_camera_system_switch_s1_translator_avalon_universal_slave_0_agent port map ( clk => altpll_0_c1_clk, -- clk.clk reset => rst_controller_reset_out_reset, -- clk_reset.reset m0_address => switch_s1_translator_avalon_universal_slave_0_agent_m0_address, -- m0.address m0_burstcount => switch_s1_translator_avalon_universal_slave_0_agent_m0_burstcount, -- .burstcount m0_byteenable => switch_s1_translator_avalon_universal_slave_0_agent_m0_byteenable, -- .byteenable m0_debugaccess => switch_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess, -- .debugaccess m0_lock => switch_s1_translator_avalon_universal_slave_0_agent_m0_lock, -- .lock m0_readdata => switch_s1_translator_avalon_universal_slave_0_agent_m0_readdata, -- .readdata m0_readdatavalid => switch_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid, -- .readdatavalid m0_read => switch_s1_translator_avalon_universal_slave_0_agent_m0_read, -- .read m0_waitrequest => switch_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest, -- .waitrequest m0_writedata => switch_s1_translator_avalon_universal_slave_0_agent_m0_writedata, -- .writedata m0_write => switch_s1_translator_avalon_universal_slave_0_agent_m0_write, -- .write rp_endofpacket => switch_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket, -- rp.endofpacket rp_ready => switch_s1_translator_avalon_universal_slave_0_agent_rp_ready, -- .ready rp_valid => switch_s1_translator_avalon_universal_slave_0_agent_rp_valid, -- .valid rp_data => switch_s1_translator_avalon_universal_slave_0_agent_rp_data, -- .data rp_startofpacket => switch_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket, -- .startofpacket cp_ready => cmd_xbar_demux_001_src10_ready, -- cp.ready cp_valid => cmd_xbar_demux_001_src10_valid, -- .valid cp_data => cmd_xbar_demux_001_src10_data, -- .data cp_startofpacket => cmd_xbar_demux_001_src10_startofpacket, -- .startofpacket cp_endofpacket => cmd_xbar_demux_001_src10_endofpacket, -- .endofpacket cp_channel => cmd_xbar_demux_001_src10_channel, -- .channel rf_sink_ready => switch_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready, -- rf_sink.ready rf_sink_valid => switch_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid, -- .valid rf_sink_startofpacket => switch_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket, -- .startofpacket rf_sink_endofpacket => switch_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket, -- .endofpacket rf_sink_data => switch_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data, -- .data rf_source_ready => switch_s1_translator_avalon_universal_slave_0_agent_rf_source_ready, -- rf_source.ready rf_source_valid => switch_s1_translator_avalon_universal_slave_0_agent_rf_source_valid, -- .valid rf_source_startofpacket => switch_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket, -- .startofpacket rf_source_endofpacket => switch_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket, -- .endofpacket rf_source_data => switch_s1_translator_avalon_universal_slave_0_agent_rf_source_data, -- .data rdata_fifo_sink_ready => switch_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready, -- rdata_fifo_sink.ready rdata_fifo_sink_valid => switch_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid, -- .valid rdata_fifo_sink_data => switch_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data, -- .data rdata_fifo_src_ready => switch_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready, -- rdata_fifo_src.ready rdata_fifo_src_valid => switch_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid, -- .valid rdata_fifo_src_data => switch_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data -- .data ); switch_s1_translator_avalon_universal_slave_0_agent_rsp_fifo : component tracking_camera_system_nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo port map ( clk => altpll_0_c1_clk, -- clk.clk reset => rst_controller_reset_out_reset, -- clk_reset.reset in_data => switch_s1_translator_avalon_universal_slave_0_agent_rf_source_data, -- in.data in_valid => switch_s1_translator_avalon_universal_slave_0_agent_rf_source_valid, -- .valid in_ready => switch_s1_translator_avalon_universal_slave_0_agent_rf_source_ready, -- .ready in_startofpacket => switch_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket, -- .startofpacket in_endofpacket => switch_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket, -- .endofpacket out_data => switch_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data, -- out.data out_valid => switch_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid, -- .valid out_ready => switch_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready, -- .ready out_startofpacket => switch_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket, -- .startofpacket out_endofpacket => switch_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket -- .endofpacket ); servo_pwm_0_s0_translator_avalon_universal_slave_0_agent : component tracking_camera_system_servo_pwm_0_s0_translator_avalon_universal_slave_0_agent port map ( clk => altpll_0_c1_clk, -- clk.clk reset => rst_controller_reset_out_reset, -- clk_reset.reset m0_address => servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_m0_address, -- m0.address m0_burstcount => servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_m0_burstcount, -- .burstcount m0_byteenable => servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_m0_byteenable, -- .byteenable m0_debugaccess => servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_m0_debugaccess, -- .debugaccess m0_lock => servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_m0_lock, -- .lock m0_readdata => servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_m0_readdata, -- .readdata m0_readdatavalid => servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_m0_readdatavalid, -- .readdatavalid m0_read => servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_m0_read, -- .read m0_waitrequest => servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_m0_waitrequest, -- .waitrequest m0_writedata => servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_m0_writedata, -- .writedata m0_write => servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_m0_write, -- .write rp_endofpacket => servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rp_endofpacket, -- rp.endofpacket rp_ready => servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rp_ready, -- .ready rp_valid => servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rp_valid, -- .valid rp_data => servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rp_data, -- .data rp_startofpacket => servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rp_startofpacket, -- .startofpacket cp_ready => burst_adapter_003_source0_ready, -- cp.ready cp_valid => burst_adapter_003_source0_valid, -- .valid cp_data => burst_adapter_003_source0_data, -- .data cp_startofpacket => burst_adapter_003_source0_startofpacket, -- .startofpacket cp_endofpacket => burst_adapter_003_source0_endofpacket, -- .endofpacket cp_channel => burst_adapter_003_source0_channel, -- .channel rf_sink_ready => servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready, -- rf_sink.ready rf_sink_valid => servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid, -- .valid rf_sink_startofpacket => servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket, -- .startofpacket rf_sink_endofpacket => servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket, -- .endofpacket rf_sink_data => servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data, -- .data rf_source_ready => servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rf_source_ready, -- rf_source.ready rf_source_valid => servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rf_source_valid, -- .valid rf_source_startofpacket => servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rf_source_startofpacket, -- .startofpacket rf_source_endofpacket => servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rf_source_endofpacket, -- .endofpacket rf_source_data => servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rf_source_data, -- .data rdata_fifo_sink_ready => servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready, -- rdata_fifo_sink.ready rdata_fifo_sink_valid => servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid, -- .valid rdata_fifo_sink_data => servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data, -- .data rdata_fifo_src_ready => servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready, -- rdata_fifo_src.ready rdata_fifo_src_valid => servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid, -- .valid rdata_fifo_src_data => servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data -- .data ); servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rsp_fifo : component tracking_camera_system_character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rsp_fifo port map ( clk => altpll_0_c1_clk, -- clk.clk reset => rst_controller_reset_out_reset, -- clk_reset.reset in_data => servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rf_source_data, -- in.data in_valid => servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rf_source_valid, -- .valid in_ready => servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rf_source_ready, -- .ready in_startofpacket => servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rf_source_startofpacket, -- .startofpacket in_endofpacket => servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rf_source_endofpacket, -- .endofpacket out_data => servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data, -- out.data out_valid => servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid, -- .valid out_ready => servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready, -- .ready out_startofpacket => servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket, -- .startofpacket out_endofpacket => servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket -- .endofpacket ); switch_0_s1_translator_avalon_universal_slave_0_agent : component tracking_camera_system_switch_0_s1_translator_avalon_universal_slave_0_agent port map ( clk => altpll_0_c1_clk, -- clk.clk reset => rst_controller_reset_out_reset, -- clk_reset.reset m0_address => switch_0_s1_translator_avalon_universal_slave_0_agent_m0_address, -- m0.address m0_burstcount => switch_0_s1_translator_avalon_universal_slave_0_agent_m0_burstcount, -- .burstcount m0_byteenable => switch_0_s1_translator_avalon_universal_slave_0_agent_m0_byteenable, -- .byteenable m0_debugaccess => switch_0_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess, -- .debugaccess m0_lock => switch_0_s1_translator_avalon_universal_slave_0_agent_m0_lock, -- .lock m0_readdata => switch_0_s1_translator_avalon_universal_slave_0_agent_m0_readdata, -- .readdata m0_readdatavalid => switch_0_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid, -- .readdatavalid m0_read => switch_0_s1_translator_avalon_universal_slave_0_agent_m0_read, -- .read m0_waitrequest => switch_0_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest, -- .waitrequest m0_writedata => switch_0_s1_translator_avalon_universal_slave_0_agent_m0_writedata, -- .writedata m0_write => switch_0_s1_translator_avalon_universal_slave_0_agent_m0_write, -- .write rp_endofpacket => switch_0_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket, -- rp.endofpacket rp_ready => switch_0_s1_translator_avalon_universal_slave_0_agent_rp_ready, -- .ready rp_valid => switch_0_s1_translator_avalon_universal_slave_0_agent_rp_valid, -- .valid rp_data => switch_0_s1_translator_avalon_universal_slave_0_agent_rp_data, -- .data rp_startofpacket => switch_0_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket, -- .startofpacket cp_ready => cmd_xbar_demux_001_src12_ready, -- cp.ready cp_valid => cmd_xbar_demux_001_src12_valid, -- .valid cp_data => cmd_xbar_demux_001_src12_data, -- .data cp_startofpacket => cmd_xbar_demux_001_src12_startofpacket, -- .startofpacket cp_endofpacket => cmd_xbar_demux_001_src12_endofpacket, -- .endofpacket cp_channel => cmd_xbar_demux_001_src12_channel, -- .channel rf_sink_ready => switch_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready, -- rf_sink.ready rf_sink_valid => switch_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid, -- .valid rf_sink_startofpacket => switch_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket, -- .startofpacket rf_sink_endofpacket => switch_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket, -- .endofpacket rf_sink_data => switch_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data, -- .data rf_source_ready => switch_0_s1_translator_avalon_universal_slave_0_agent_rf_source_ready, -- rf_source.ready rf_source_valid => switch_0_s1_translator_avalon_universal_slave_0_agent_rf_source_valid, -- .valid rf_source_startofpacket => switch_0_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket, -- .startofpacket rf_source_endofpacket => switch_0_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket, -- .endofpacket rf_source_data => switch_0_s1_translator_avalon_universal_slave_0_agent_rf_source_data, -- .data rdata_fifo_sink_ready => switch_0_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready, -- rdata_fifo_sink.ready rdata_fifo_sink_valid => switch_0_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid, -- .valid rdata_fifo_sink_data => switch_0_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data, -- .data rdata_fifo_src_ready => switch_0_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready, -- rdata_fifo_src.ready rdata_fifo_src_valid => switch_0_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid, -- .valid rdata_fifo_src_data => switch_0_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data -- .data ); switch_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo : component tracking_camera_system_nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo port map ( clk => altpll_0_c1_clk, -- clk.clk reset => rst_controller_reset_out_reset, -- clk_reset.reset in_data => switch_0_s1_translator_avalon_universal_slave_0_agent_rf_source_data, -- in.data in_valid => switch_0_s1_translator_avalon_universal_slave_0_agent_rf_source_valid, -- .valid in_ready => switch_0_s1_translator_avalon_universal_slave_0_agent_rf_source_ready, -- .ready in_startofpacket => switch_0_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket, -- .startofpacket in_endofpacket => switch_0_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket, -- .endofpacket out_data => switch_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data, -- out.data out_valid => switch_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid, -- .valid out_ready => switch_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready, -- .ready out_startofpacket => switch_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket, -- .startofpacket out_endofpacket => switch_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket -- .endofpacket ); addr_router : component tracking_camera_system_addr_router port map ( sink_ready => nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_agent_cp_ready, -- sink.ready sink_valid => nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_agent_cp_valid, -- .valid sink_data => nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_agent_cp_data, -- .data sink_startofpacket => nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_agent_cp_startofpacket, -- .startofpacket sink_endofpacket => nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_agent_cp_endofpacket, -- .endofpacket clk => altpll_0_c1_clk, -- clk.clk reset => rst_controller_reset_out_reset, -- clk_reset.reset src_ready => addr_router_src_ready, -- src.ready src_valid => addr_router_src_valid, -- .valid src_data => addr_router_src_data, -- .data src_channel => addr_router_src_channel, -- .channel src_startofpacket => addr_router_src_startofpacket, -- .startofpacket src_endofpacket => addr_router_src_endofpacket -- .endofpacket ); addr_router_001 : component tracking_camera_system_addr_router_001 port map ( sink_ready => nios2_qsys_0_data_master_translator_avalon_universal_master_0_agent_cp_ready, -- sink.ready sink_valid => nios2_qsys_0_data_master_translator_avalon_universal_master_0_agent_cp_valid, -- .valid sink_data => nios2_qsys_0_data_master_translator_avalon_universal_master_0_agent_cp_data, -- .data sink_startofpacket => nios2_qsys_0_data_master_translator_avalon_universal_master_0_agent_cp_startofpacket, -- .startofpacket sink_endofpacket => nios2_qsys_0_data_master_translator_avalon_universal_master_0_agent_cp_endofpacket, -- .endofpacket clk => altpll_0_c1_clk, -- clk.clk reset => rst_controller_reset_out_reset, -- clk_reset.reset src_ready => addr_router_001_src_ready, -- src.ready src_valid => addr_router_001_src_valid, -- .valid src_data => addr_router_001_src_data, -- .data src_channel => addr_router_001_src_channel, -- .channel src_startofpacket => addr_router_001_src_startofpacket, -- .startofpacket src_endofpacket => addr_router_001_src_endofpacket -- .endofpacket ); id_router : component tracking_camera_system_id_router port map ( sink_ready => nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rp_ready, -- sink.ready sink_valid => nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rp_valid, -- .valid sink_data => nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rp_data, -- .data sink_startofpacket => nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rp_startofpacket, -- .startofpacket sink_endofpacket => nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rp_endofpacket, -- .endofpacket clk => altpll_0_c1_clk, -- clk.clk reset => rst_controller_reset_out_reset, -- clk_reset.reset src_ready => id_router_src_ready, -- src.ready src_valid => id_router_src_valid, -- .valid src_data => id_router_src_data, -- .data src_channel => id_router_src_channel, -- .channel src_startofpacket => id_router_src_startofpacket, -- .startofpacket src_endofpacket => id_router_src_endofpacket -- .endofpacket ); id_router_001 : component tracking_camera_system_id_router port map ( sink_ready => onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rp_ready, -- sink.ready sink_valid => onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rp_valid, -- .valid sink_data => onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rp_data, -- .data sink_startofpacket => onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket, -- .startofpacket sink_endofpacket => onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket, -- .endofpacket clk => altpll_0_c1_clk, -- clk.clk reset => rst_controller_reset_out_reset, -- clk_reset.reset src_ready => id_router_001_src_ready, -- src.ready src_valid => id_router_001_src_valid, -- .valid src_data => id_router_001_src_data, -- .data src_channel => id_router_001_src_channel, -- .channel src_startofpacket => id_router_001_src_startofpacket, -- .startofpacket src_endofpacket => id_router_001_src_endofpacket -- .endofpacket ); id_router_002 : component tracking_camera_system_id_router_002 port map ( sink_ready => sdram_0_s1_translator_avalon_universal_slave_0_agent_rp_ready, -- sink.ready sink_valid => sdram_0_s1_translator_avalon_universal_slave_0_agent_rp_valid, -- .valid sink_data => sdram_0_s1_translator_avalon_universal_slave_0_agent_rp_data, -- .data sink_startofpacket => sdram_0_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket, -- .startofpacket sink_endofpacket => sdram_0_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket, -- .endofpacket clk => altpll_0_c1_clk, -- clk.clk reset => rst_controller_reset_out_reset, -- clk_reset.reset src_ready => id_router_002_src_ready, -- src.ready src_valid => id_router_002_src_valid, -- .valid src_data => id_router_002_src_data, -- .data src_channel => id_router_002_src_channel, -- .channel src_startofpacket => id_router_002_src_startofpacket, -- .startofpacket src_endofpacket => id_router_002_src_endofpacket -- .endofpacket ); id_router_003 : component tracking_camera_system_id_router_002 port map ( sink_ready => sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rp_ready, -- sink.ready sink_valid => sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rp_valid, -- .valid sink_data => sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rp_data, -- .data sink_startofpacket => sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rp_startofpacket, -- .startofpacket sink_endofpacket => sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rp_endofpacket, -- .endofpacket clk => altpll_0_c1_clk, -- clk.clk reset => rst_controller_reset_out_reset, -- clk_reset.reset src_ready => id_router_003_src_ready, -- src.ready src_valid => id_router_003_src_valid, -- .valid src_data => id_router_003_src_data, -- .data src_channel => id_router_003_src_channel, -- .channel src_startofpacket => id_router_003_src_startofpacket, -- .startofpacket src_endofpacket => id_router_003_src_endofpacket -- .endofpacket ); id_router_004 : component tracking_camera_system_id_router_004 port map ( sink_ready => altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rp_ready, -- sink.ready sink_valid => altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rp_valid, -- .valid sink_data => altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rp_data, -- .data sink_startofpacket => altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rp_startofpacket, -- .startofpacket sink_endofpacket => altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rp_endofpacket, -- .endofpacket clk => clk_clk, -- clk.clk reset => rst_controller_001_reset_out_reset, -- clk_reset.reset src_ready => id_router_004_src_ready, -- src.ready src_valid => id_router_004_src_valid, -- .valid src_data => id_router_004_src_data, -- .data src_channel => id_router_004_src_channel, -- .channel src_startofpacket => id_router_004_src_startofpacket, -- .startofpacket src_endofpacket => id_router_004_src_endofpacket -- .endofpacket ); id_router_005 : component tracking_camera_system_id_router_004 port map ( sink_ready => sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rp_ready, -- sink.ready sink_valid => sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rp_valid, -- .valid sink_data => sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rp_data, -- .data sink_startofpacket => sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rp_startofpacket, -- .startofpacket sink_endofpacket => sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rp_endofpacket, -- .endofpacket clk => altpll_0_c1_clk, -- clk.clk reset => rst_controller_reset_out_reset, -- clk_reset.reset src_ready => id_router_005_src_ready, -- src.ready src_valid => id_router_005_src_valid, -- .valid src_data => id_router_005_src_data, -- .data src_channel => id_router_005_src_channel, -- .channel src_startofpacket => id_router_005_src_startofpacket, -- .startofpacket src_endofpacket => id_router_005_src_endofpacket -- .endofpacket ); id_router_006 : component tracking_camera_system_id_router_004 port map ( sink_ready => timer_0_s1_translator_avalon_universal_slave_0_agent_rp_ready, -- sink.ready sink_valid => timer_0_s1_translator_avalon_universal_slave_0_agent_rp_valid, -- .valid sink_data => timer_0_s1_translator_avalon_universal_slave_0_agent_rp_data, -- .data sink_startofpacket => timer_0_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket, -- .startofpacket sink_endofpacket => timer_0_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket, -- .endofpacket clk => altpll_0_c1_clk, -- clk.clk reset => rst_controller_reset_out_reset, -- clk_reset.reset src_ready => id_router_006_src_ready, -- src.ready src_valid => id_router_006_src_valid, -- .valid src_data => id_router_006_src_data, -- .data src_channel => id_router_006_src_channel, -- .channel src_startofpacket => id_router_006_src_startofpacket, -- .startofpacket src_endofpacket => id_router_006_src_endofpacket -- .endofpacket ); id_router_007 : component tracking_camera_system_id_router_004 port map ( sink_ready => jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rp_ready, -- sink.ready sink_valid => jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rp_valid, -- .valid sink_data => jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rp_data, -- .data sink_startofpacket => jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rp_startofpacket, -- .startofpacket sink_endofpacket => jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rp_endofpacket, -- .endofpacket clk => altpll_0_c1_clk, -- clk.clk reset => rst_controller_reset_out_reset, -- clk_reset.reset src_ready => id_router_007_src_ready, -- src.ready src_valid => id_router_007_src_valid, -- .valid src_data => id_router_007_src_data, -- .data src_channel => id_router_007_src_channel, -- .channel src_startofpacket => id_router_007_src_startofpacket, -- .startofpacket src_endofpacket => id_router_007_src_endofpacket -- .endofpacket ); id_router_008 : component tracking_camera_system_id_router_008 port map ( sink_ready => character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rp_ready, -- sink.ready sink_valid => character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rp_valid, -- .valid sink_data => character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rp_data, -- .data sink_startofpacket => character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rp_startofpacket, -- .startofpacket sink_endofpacket => character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rp_endofpacket, -- .endofpacket clk => altpll_0_c1_clk, -- clk.clk reset => rst_controller_reset_out_reset, -- clk_reset.reset src_ready => id_router_008_src_ready, -- src.ready src_valid => id_router_008_src_valid, -- .valid src_data => id_router_008_src_data, -- .data src_channel => id_router_008_src_channel, -- .channel src_startofpacket => id_router_008_src_startofpacket, -- .startofpacket src_endofpacket => id_router_008_src_endofpacket -- .endofpacket ); id_router_009 : component tracking_camera_system_id_router_004 port map ( sink_ready => green_leds_s1_translator_avalon_universal_slave_0_agent_rp_ready, -- sink.ready sink_valid => green_leds_s1_translator_avalon_universal_slave_0_agent_rp_valid, -- .valid sink_data => green_leds_s1_translator_avalon_universal_slave_0_agent_rp_data, -- .data sink_startofpacket => green_leds_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket, -- .startofpacket sink_endofpacket => green_leds_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket, -- .endofpacket clk => altpll_0_c1_clk, -- clk.clk reset => rst_controller_reset_out_reset, -- clk_reset.reset src_ready => id_router_009_src_ready, -- src.ready src_valid => id_router_009_src_valid, -- .valid src_data => id_router_009_src_data, -- .data src_channel => id_router_009_src_channel, -- .channel src_startofpacket => id_router_009_src_startofpacket, -- .startofpacket src_endofpacket => id_router_009_src_endofpacket -- .endofpacket ); id_router_010 : component tracking_camera_system_id_router_004 port map ( sink_ready => switch_s1_translator_avalon_universal_slave_0_agent_rp_ready, -- sink.ready sink_valid => switch_s1_translator_avalon_universal_slave_0_agent_rp_valid, -- .valid sink_data => switch_s1_translator_avalon_universal_slave_0_agent_rp_data, -- .data sink_startofpacket => switch_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket, -- .startofpacket sink_endofpacket => switch_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket, -- .endofpacket clk => altpll_0_c1_clk, -- clk.clk reset => rst_controller_reset_out_reset, -- clk_reset.reset src_ready => id_router_010_src_ready, -- src.ready src_valid => id_router_010_src_valid, -- .valid src_data => id_router_010_src_data, -- .data src_channel => id_router_010_src_channel, -- .channel src_startofpacket => id_router_010_src_startofpacket, -- .startofpacket src_endofpacket => id_router_010_src_endofpacket -- .endofpacket ); id_router_011 : component tracking_camera_system_id_router_008 port map ( sink_ready => servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rp_ready, -- sink.ready sink_valid => servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rp_valid, -- .valid sink_data => servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rp_data, -- .data sink_startofpacket => servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rp_startofpacket, -- .startofpacket sink_endofpacket => servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rp_endofpacket, -- .endofpacket clk => altpll_0_c1_clk, -- clk.clk reset => rst_controller_reset_out_reset, -- clk_reset.reset src_ready => id_router_011_src_ready, -- src.ready src_valid => id_router_011_src_valid, -- .valid src_data => id_router_011_src_data, -- .data src_channel => id_router_011_src_channel, -- .channel src_startofpacket => id_router_011_src_startofpacket, -- .startofpacket src_endofpacket => id_router_011_src_endofpacket -- .endofpacket ); id_router_012 : component tracking_camera_system_id_router_004 port map ( sink_ready => switch_0_s1_translator_avalon_universal_slave_0_agent_rp_ready, -- sink.ready sink_valid => switch_0_s1_translator_avalon_universal_slave_0_agent_rp_valid, -- .valid sink_data => switch_0_s1_translator_avalon_universal_slave_0_agent_rp_data, -- .data sink_startofpacket => switch_0_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket, -- .startofpacket sink_endofpacket => switch_0_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket, -- .endofpacket clk => altpll_0_c1_clk, -- clk.clk reset => rst_controller_reset_out_reset, -- clk_reset.reset src_ready => id_router_012_src_ready, -- src.ready src_valid => id_router_012_src_valid, -- .valid src_data => id_router_012_src_data, -- .data src_channel => id_router_012_src_channel, -- .channel src_startofpacket => id_router_012_src_startofpacket, -- .startofpacket src_endofpacket => id_router_012_src_endofpacket -- .endofpacket ); limiter : component tracking_camera_system_limiter port map ( clk => altpll_0_c1_clk, -- clk.clk reset => rst_controller_reset_out_reset, -- clk_reset.reset cmd_sink_ready => addr_router_src_ready, -- cmd_sink.ready cmd_sink_valid => addr_router_src_valid, -- .valid cmd_sink_data => addr_router_src_data, -- .data cmd_sink_channel => addr_router_src_channel, -- .channel cmd_sink_startofpacket => addr_router_src_startofpacket, -- .startofpacket cmd_sink_endofpacket => addr_router_src_endofpacket, -- .endofpacket cmd_src_ready => limiter_cmd_src_ready, -- cmd_src.ready cmd_src_data => limiter_cmd_src_data, -- .data cmd_src_channel => limiter_cmd_src_channel, -- .channel cmd_src_startofpacket => limiter_cmd_src_startofpacket, -- .startofpacket cmd_src_endofpacket => limiter_cmd_src_endofpacket, -- .endofpacket rsp_sink_ready => rsp_xbar_mux_src_ready, -- rsp_sink.ready rsp_sink_valid => rsp_xbar_mux_src_valid, -- .valid rsp_sink_channel => rsp_xbar_mux_src_channel, -- .channel rsp_sink_data => rsp_xbar_mux_src_data, -- .data rsp_sink_startofpacket => rsp_xbar_mux_src_startofpacket, -- .startofpacket rsp_sink_endofpacket => rsp_xbar_mux_src_endofpacket, -- .endofpacket rsp_src_ready => limiter_rsp_src_ready, -- rsp_src.ready rsp_src_valid => limiter_rsp_src_valid, -- .valid rsp_src_data => limiter_rsp_src_data, -- .data rsp_src_channel => limiter_rsp_src_channel, -- .channel rsp_src_startofpacket => limiter_rsp_src_startofpacket, -- .startofpacket rsp_src_endofpacket => limiter_rsp_src_endofpacket, -- .endofpacket cmd_src_valid => limiter_cmd_valid_data -- cmd_valid.data ); limiter_001 : component tracking_camera_system_limiter port map ( clk => altpll_0_c1_clk, -- clk.clk reset => rst_controller_reset_out_reset, -- clk_reset.reset cmd_sink_ready => addr_router_001_src_ready, -- cmd_sink.ready cmd_sink_valid => addr_router_001_src_valid, -- .valid cmd_sink_data => addr_router_001_src_data, -- .data cmd_sink_channel => addr_router_001_src_channel, -- .channel cmd_sink_startofpacket => addr_router_001_src_startofpacket, -- .startofpacket cmd_sink_endofpacket => addr_router_001_src_endofpacket, -- .endofpacket cmd_src_ready => limiter_001_cmd_src_ready, -- cmd_src.ready cmd_src_data => limiter_001_cmd_src_data, -- .data cmd_src_channel => limiter_001_cmd_src_channel, -- .channel cmd_src_startofpacket => limiter_001_cmd_src_startofpacket, -- .startofpacket cmd_src_endofpacket => limiter_001_cmd_src_endofpacket, -- .endofpacket rsp_sink_ready => rsp_xbar_mux_001_src_ready, -- rsp_sink.ready rsp_sink_valid => rsp_xbar_mux_001_src_valid, -- .valid rsp_sink_channel => rsp_xbar_mux_001_src_channel, -- .channel rsp_sink_data => rsp_xbar_mux_001_src_data, -- .data rsp_sink_startofpacket => rsp_xbar_mux_001_src_startofpacket, -- .startofpacket rsp_sink_endofpacket => rsp_xbar_mux_001_src_endofpacket, -- .endofpacket rsp_src_ready => limiter_001_rsp_src_ready, -- rsp_src.ready rsp_src_valid => limiter_001_rsp_src_valid, -- .valid rsp_src_data => limiter_001_rsp_src_data, -- .data rsp_src_channel => limiter_001_rsp_src_channel, -- .channel rsp_src_startofpacket => limiter_001_rsp_src_startofpacket, -- .startofpacket rsp_src_endofpacket => limiter_001_rsp_src_endofpacket, -- .endofpacket cmd_src_valid => limiter_001_cmd_valid_data -- cmd_valid.data ); burst_adapter : component tracking_camera_system_burst_adapter port map ( clk => altpll_0_c1_clk, -- cr0.clk reset => rst_controller_reset_out_reset, -- cr0_reset.reset sink0_valid => width_adapter_src_valid, -- sink0.valid sink0_data => width_adapter_src_data, -- .data sink0_channel => width_adapter_src_channel, -- .channel sink0_startofpacket => width_adapter_src_startofpacket, -- .startofpacket sink0_endofpacket => width_adapter_src_endofpacket, -- .endofpacket sink0_ready => width_adapter_src_ready, -- .ready source0_valid => burst_adapter_source0_valid, -- source0.valid source0_data => burst_adapter_source0_data, -- .data source0_channel => burst_adapter_source0_channel, -- .channel source0_startofpacket => burst_adapter_source0_startofpacket, -- .startofpacket source0_endofpacket => burst_adapter_source0_endofpacket, -- .endofpacket source0_ready => burst_adapter_source0_ready -- .ready ); burst_adapter_001 : component tracking_camera_system_burst_adapter port map ( clk => altpll_0_c1_clk, -- cr0.clk reset => rst_controller_reset_out_reset, -- cr0_reset.reset sink0_valid => width_adapter_002_src_valid, -- sink0.valid sink0_data => width_adapter_002_src_data, -- .data sink0_channel => width_adapter_002_src_channel, -- .channel sink0_startofpacket => width_adapter_002_src_startofpacket, -- .startofpacket sink0_endofpacket => width_adapter_002_src_endofpacket, -- .endofpacket sink0_ready => width_adapter_002_src_ready, -- .ready source0_valid => burst_adapter_001_source0_valid, -- source0.valid source0_data => burst_adapter_001_source0_data, -- .data source0_channel => burst_adapter_001_source0_channel, -- .channel source0_startofpacket => burst_adapter_001_source0_startofpacket, -- .startofpacket source0_endofpacket => burst_adapter_001_source0_endofpacket, -- .endofpacket source0_ready => burst_adapter_001_source0_ready -- .ready ); burst_adapter_002 : component tracking_camera_system_burst_adapter_002 port map ( clk => altpll_0_c1_clk, -- cr0.clk reset => rst_controller_reset_out_reset, -- cr0_reset.reset sink0_valid => width_adapter_004_src_valid, -- sink0.valid sink0_data => width_adapter_004_src_data, -- .data sink0_channel => width_adapter_004_src_channel, -- .channel sink0_startofpacket => width_adapter_004_src_startofpacket, -- .startofpacket sink0_endofpacket => width_adapter_004_src_endofpacket, -- .endofpacket sink0_ready => width_adapter_004_src_ready, -- .ready source0_valid => burst_adapter_002_source0_valid, -- source0.valid source0_data => burst_adapter_002_source0_data, -- .data source0_channel => burst_adapter_002_source0_channel, -- .channel source0_startofpacket => burst_adapter_002_source0_startofpacket, -- .startofpacket source0_endofpacket => burst_adapter_002_source0_endofpacket, -- .endofpacket source0_ready => burst_adapter_002_source0_ready -- .ready ); burst_adapter_003 : component tracking_camera_system_burst_adapter_002 port map ( clk => altpll_0_c1_clk, -- cr0.clk reset => rst_controller_reset_out_reset, -- cr0_reset.reset sink0_valid => width_adapter_006_src_valid, -- sink0.valid sink0_data => width_adapter_006_src_data, -- .data sink0_channel => width_adapter_006_src_channel, -- .channel sink0_startofpacket => width_adapter_006_src_startofpacket, -- .startofpacket sink0_endofpacket => width_adapter_006_src_endofpacket, -- .endofpacket sink0_ready => width_adapter_006_src_ready, -- .ready source0_valid => burst_adapter_003_source0_valid, -- source0.valid source0_data => burst_adapter_003_source0_data, -- .data source0_channel => burst_adapter_003_source0_channel, -- .channel source0_startofpacket => burst_adapter_003_source0_startofpacket, -- .startofpacket source0_endofpacket => burst_adapter_003_source0_endofpacket, -- .endofpacket source0_ready => burst_adapter_003_source0_ready -- .ready ); rst_controller : component tracking_camera_system_rst_controller port map ( reset_in0 => reset_reset_n_ports_inv, -- reset_in0.reset reset_in1 => nios2_qsys_0_jtag_debug_module_reset_reset, -- reset_in1.reset clk => altpll_0_c1_clk, -- clk.clk reset_out => rst_controller_reset_out_reset -- reset_out.reset ); rst_controller_001 : component tracking_camera_system_rst_controller port map ( reset_in0 => reset_reset_n_ports_inv, -- reset_in0.reset reset_in1 => nios2_qsys_0_jtag_debug_module_reset_reset, -- reset_in1.reset clk => clk_clk, -- clk.clk reset_out => rst_controller_001_reset_out_reset -- reset_out.reset ); cmd_xbar_demux : component tracking_camera_system_cmd_xbar_demux port map ( clk => altpll_0_c1_clk, -- clk.clk reset => rst_controller_reset_out_reset, -- clk_reset.reset sink_ready => limiter_cmd_src_ready, -- sink.ready sink_channel => limiter_cmd_src_channel, -- .channel sink_data => limiter_cmd_src_data, -- .data sink_startofpacket => limiter_cmd_src_startofpacket, -- .startofpacket sink_endofpacket => limiter_cmd_src_endofpacket, -- .endofpacket sink_valid => limiter_cmd_valid_data, -- sink_valid.data src0_ready => cmd_xbar_demux_src0_ready, -- src0.ready src0_valid => cmd_xbar_demux_src0_valid, -- .valid src0_data => cmd_xbar_demux_src0_data, -- .data src0_channel => cmd_xbar_demux_src0_channel, -- .channel src0_startofpacket => cmd_xbar_demux_src0_startofpacket, -- .startofpacket src0_endofpacket => cmd_xbar_demux_src0_endofpacket, -- .endofpacket src1_ready => cmd_xbar_demux_src1_ready, -- src1.ready src1_valid => cmd_xbar_demux_src1_valid, -- .valid src1_data => cmd_xbar_demux_src1_data, -- .data src1_channel => cmd_xbar_demux_src1_channel, -- .channel src1_startofpacket => cmd_xbar_demux_src1_startofpacket, -- .startofpacket src1_endofpacket => cmd_xbar_demux_src1_endofpacket, -- .endofpacket src2_ready => cmd_xbar_demux_src2_ready, -- src2.ready src2_valid => cmd_xbar_demux_src2_valid, -- .valid src2_data => cmd_xbar_demux_src2_data, -- .data src2_channel => cmd_xbar_demux_src2_channel, -- .channel src2_startofpacket => cmd_xbar_demux_src2_startofpacket, -- .startofpacket src2_endofpacket => cmd_xbar_demux_src2_endofpacket, -- .endofpacket src3_ready => cmd_xbar_demux_src3_ready, -- src3.ready src3_valid => cmd_xbar_demux_src3_valid, -- .valid src3_data => cmd_xbar_demux_src3_data, -- .data src3_channel => cmd_xbar_demux_src3_channel, -- .channel src3_startofpacket => cmd_xbar_demux_src3_startofpacket, -- .startofpacket src3_endofpacket => cmd_xbar_demux_src3_endofpacket -- .endofpacket ); cmd_xbar_demux_001 : component tracking_camera_system_cmd_xbar_demux_001 port map ( clk => altpll_0_c1_clk, -- clk.clk reset => rst_controller_reset_out_reset, -- clk_reset.reset sink_ready => limiter_001_cmd_src_ready, -- sink.ready sink_channel => limiter_001_cmd_src_channel, -- .channel sink_data => limiter_001_cmd_src_data, -- .data sink_startofpacket => limiter_001_cmd_src_startofpacket, -- .startofpacket sink_endofpacket => limiter_001_cmd_src_endofpacket, -- .endofpacket sink_valid => limiter_001_cmd_valid_data, -- sink_valid.data src0_ready => cmd_xbar_demux_001_src0_ready, -- src0.ready src0_valid => cmd_xbar_demux_001_src0_valid, -- .valid src0_data => cmd_xbar_demux_001_src0_data, -- .data src0_channel => cmd_xbar_demux_001_src0_channel, -- .channel src0_startofpacket => cmd_xbar_demux_001_src0_startofpacket, -- .startofpacket src0_endofpacket => cmd_xbar_demux_001_src0_endofpacket, -- .endofpacket src1_ready => cmd_xbar_demux_001_src1_ready, -- src1.ready src1_valid => cmd_xbar_demux_001_src1_valid, -- .valid src1_data => cmd_xbar_demux_001_src1_data, -- .data src1_channel => cmd_xbar_demux_001_src1_channel, -- .channel src1_startofpacket => cmd_xbar_demux_001_src1_startofpacket, -- .startofpacket src1_endofpacket => cmd_xbar_demux_001_src1_endofpacket, -- .endofpacket src2_ready => cmd_xbar_demux_001_src2_ready, -- src2.ready src2_valid => cmd_xbar_demux_001_src2_valid, -- .valid src2_data => cmd_xbar_demux_001_src2_data, -- .data src2_channel => cmd_xbar_demux_001_src2_channel, -- .channel src2_startofpacket => cmd_xbar_demux_001_src2_startofpacket, -- .startofpacket src2_endofpacket => cmd_xbar_demux_001_src2_endofpacket, -- .endofpacket src3_ready => cmd_xbar_demux_001_src3_ready, -- src3.ready src3_valid => cmd_xbar_demux_001_src3_valid, -- .valid src3_data => cmd_xbar_demux_001_src3_data, -- .data src3_channel => cmd_xbar_demux_001_src3_channel, -- .channel src3_startofpacket => cmd_xbar_demux_001_src3_startofpacket, -- .startofpacket src3_endofpacket => cmd_xbar_demux_001_src3_endofpacket, -- .endofpacket src4_ready => cmd_xbar_demux_001_src4_ready, -- src4.ready src4_valid => cmd_xbar_demux_001_src4_valid, -- .valid src4_data => cmd_xbar_demux_001_src4_data, -- .data src4_channel => cmd_xbar_demux_001_src4_channel, -- .channel src4_startofpacket => cmd_xbar_demux_001_src4_startofpacket, -- .startofpacket src4_endofpacket => cmd_xbar_demux_001_src4_endofpacket, -- .endofpacket src5_ready => cmd_xbar_demux_001_src5_ready, -- src5.ready src5_valid => cmd_xbar_demux_001_src5_valid, -- .valid src5_data => cmd_xbar_demux_001_src5_data, -- .data src5_channel => cmd_xbar_demux_001_src5_channel, -- .channel src5_startofpacket => cmd_xbar_demux_001_src5_startofpacket, -- .startofpacket src5_endofpacket => cmd_xbar_demux_001_src5_endofpacket, -- .endofpacket src6_ready => cmd_xbar_demux_001_src6_ready, -- src6.ready src6_valid => cmd_xbar_demux_001_src6_valid, -- .valid src6_data => cmd_xbar_demux_001_src6_data, -- .data src6_channel => cmd_xbar_demux_001_src6_channel, -- .channel src6_startofpacket => cmd_xbar_demux_001_src6_startofpacket, -- .startofpacket src6_endofpacket => cmd_xbar_demux_001_src6_endofpacket, -- .endofpacket src7_ready => cmd_xbar_demux_001_src7_ready, -- src7.ready src7_valid => cmd_xbar_demux_001_src7_valid, -- .valid src7_data => cmd_xbar_demux_001_src7_data, -- .data src7_channel => cmd_xbar_demux_001_src7_channel, -- .channel src7_startofpacket => cmd_xbar_demux_001_src7_startofpacket, -- .startofpacket src7_endofpacket => cmd_xbar_demux_001_src7_endofpacket, -- .endofpacket src8_ready => cmd_xbar_demux_001_src8_ready, -- src8.ready src8_valid => cmd_xbar_demux_001_src8_valid, -- .valid src8_data => cmd_xbar_demux_001_src8_data, -- .data src8_channel => cmd_xbar_demux_001_src8_channel, -- .channel src8_startofpacket => cmd_xbar_demux_001_src8_startofpacket, -- .startofpacket src8_endofpacket => cmd_xbar_demux_001_src8_endofpacket, -- .endofpacket src9_ready => cmd_xbar_demux_001_src9_ready, -- src9.ready src9_valid => cmd_xbar_demux_001_src9_valid, -- .valid src9_data => cmd_xbar_demux_001_src9_data, -- .data src9_channel => cmd_xbar_demux_001_src9_channel, -- .channel src9_startofpacket => cmd_xbar_demux_001_src9_startofpacket, -- .startofpacket src9_endofpacket => cmd_xbar_demux_001_src9_endofpacket, -- .endofpacket src10_ready => cmd_xbar_demux_001_src10_ready, -- src10.ready src10_valid => cmd_xbar_demux_001_src10_valid, -- .valid src10_data => cmd_xbar_demux_001_src10_data, -- .data src10_channel => cmd_xbar_demux_001_src10_channel, -- .channel src10_startofpacket => cmd_xbar_demux_001_src10_startofpacket, -- .startofpacket src10_endofpacket => cmd_xbar_demux_001_src10_endofpacket, -- .endofpacket src11_ready => cmd_xbar_demux_001_src11_ready, -- src11.ready src11_valid => cmd_xbar_demux_001_src11_valid, -- .valid src11_data => cmd_xbar_demux_001_src11_data, -- .data src11_channel => cmd_xbar_demux_001_src11_channel, -- .channel src11_startofpacket => cmd_xbar_demux_001_src11_startofpacket, -- .startofpacket src11_endofpacket => cmd_xbar_demux_001_src11_endofpacket, -- .endofpacket src12_ready => cmd_xbar_demux_001_src12_ready, -- src12.ready src12_valid => cmd_xbar_demux_001_src12_valid, -- .valid src12_data => cmd_xbar_demux_001_src12_data, -- .data src12_channel => cmd_xbar_demux_001_src12_channel, -- .channel src12_startofpacket => cmd_xbar_demux_001_src12_startofpacket, -- .startofpacket src12_endofpacket => cmd_xbar_demux_001_src12_endofpacket -- .endofpacket ); cmd_xbar_mux : component tracking_camera_system_cmd_xbar_mux port map ( clk => altpll_0_c1_clk, -- clk.clk reset => rst_controller_reset_out_reset, -- clk_reset.reset src_ready => cmd_xbar_mux_src_ready, -- src.ready src_valid => cmd_xbar_mux_src_valid, -- .valid src_data => cmd_xbar_mux_src_data, -- .data src_channel => cmd_xbar_mux_src_channel, -- .channel src_startofpacket => cmd_xbar_mux_src_startofpacket, -- .startofpacket src_endofpacket => cmd_xbar_mux_src_endofpacket, -- .endofpacket sink0_ready => cmd_xbar_demux_src0_ready, -- sink0.ready sink0_valid => cmd_xbar_demux_src0_valid, -- .valid sink0_channel => cmd_xbar_demux_src0_channel, -- .channel sink0_data => cmd_xbar_demux_src0_data, -- .data sink0_startofpacket => cmd_xbar_demux_src0_startofpacket, -- .startofpacket sink0_endofpacket => cmd_xbar_demux_src0_endofpacket, -- .endofpacket sink1_ready => cmd_xbar_demux_001_src0_ready, -- sink1.ready sink1_valid => cmd_xbar_demux_001_src0_valid, -- .valid sink1_channel => cmd_xbar_demux_001_src0_channel, -- .channel sink1_data => cmd_xbar_demux_001_src0_data, -- .data sink1_startofpacket => cmd_xbar_demux_001_src0_startofpacket, -- .startofpacket sink1_endofpacket => cmd_xbar_demux_001_src0_endofpacket -- .endofpacket ); cmd_xbar_mux_001 : component tracking_camera_system_cmd_xbar_mux port map ( clk => altpll_0_c1_clk, -- clk.clk reset => rst_controller_reset_out_reset, -- clk_reset.reset src_ready => cmd_xbar_mux_001_src_ready, -- src.ready src_valid => cmd_xbar_mux_001_src_valid, -- .valid src_data => cmd_xbar_mux_001_src_data, -- .data src_channel => cmd_xbar_mux_001_src_channel, -- .channel src_startofpacket => cmd_xbar_mux_001_src_startofpacket, -- .startofpacket src_endofpacket => cmd_xbar_mux_001_src_endofpacket, -- .endofpacket sink0_ready => cmd_xbar_demux_src1_ready, -- sink0.ready sink0_valid => cmd_xbar_demux_src1_valid, -- .valid sink0_channel => cmd_xbar_demux_src1_channel, -- .channel sink0_data => cmd_xbar_demux_src1_data, -- .data sink0_startofpacket => cmd_xbar_demux_src1_startofpacket, -- .startofpacket sink0_endofpacket => cmd_xbar_demux_src1_endofpacket, -- .endofpacket sink1_ready => cmd_xbar_demux_001_src1_ready, -- sink1.ready sink1_valid => cmd_xbar_demux_001_src1_valid, -- .valid sink1_channel => cmd_xbar_demux_001_src1_channel, -- .channel sink1_data => cmd_xbar_demux_001_src1_data, -- .data sink1_startofpacket => cmd_xbar_demux_001_src1_startofpacket, -- .startofpacket sink1_endofpacket => cmd_xbar_demux_001_src1_endofpacket -- .endofpacket ); cmd_xbar_mux_002 : component tracking_camera_system_cmd_xbar_mux port map ( clk => altpll_0_c1_clk, -- clk.clk reset => rst_controller_reset_out_reset, -- clk_reset.reset src_ready => cmd_xbar_mux_002_src_ready, -- src.ready src_valid => cmd_xbar_mux_002_src_valid, -- .valid src_data => cmd_xbar_mux_002_src_data, -- .data src_channel => cmd_xbar_mux_002_src_channel, -- .channel src_startofpacket => cmd_xbar_mux_002_src_startofpacket, -- .startofpacket src_endofpacket => cmd_xbar_mux_002_src_endofpacket, -- .endofpacket sink0_ready => cmd_xbar_demux_src2_ready, -- sink0.ready sink0_valid => cmd_xbar_demux_src2_valid, -- .valid sink0_channel => cmd_xbar_demux_src2_channel, -- .channel sink0_data => cmd_xbar_demux_src2_data, -- .data sink0_startofpacket => cmd_xbar_demux_src2_startofpacket, -- .startofpacket sink0_endofpacket => cmd_xbar_demux_src2_endofpacket, -- .endofpacket sink1_ready => cmd_xbar_demux_001_src2_ready, -- sink1.ready sink1_valid => cmd_xbar_demux_001_src2_valid, -- .valid sink1_channel => cmd_xbar_demux_001_src2_channel, -- .channel sink1_data => cmd_xbar_demux_001_src2_data, -- .data sink1_startofpacket => cmd_xbar_demux_001_src2_startofpacket, -- .startofpacket sink1_endofpacket => cmd_xbar_demux_001_src2_endofpacket -- .endofpacket ); cmd_xbar_mux_003 : component tracking_camera_system_cmd_xbar_mux port map ( clk => altpll_0_c1_clk, -- clk.clk reset => rst_controller_reset_out_reset, -- clk_reset.reset src_ready => cmd_xbar_mux_003_src_ready, -- src.ready src_valid => cmd_xbar_mux_003_src_valid, -- .valid src_data => cmd_xbar_mux_003_src_data, -- .data src_channel => cmd_xbar_mux_003_src_channel, -- .channel src_startofpacket => cmd_xbar_mux_003_src_startofpacket, -- .startofpacket src_endofpacket => cmd_xbar_mux_003_src_endofpacket, -- .endofpacket sink0_ready => cmd_xbar_demux_src3_ready, -- sink0.ready sink0_valid => cmd_xbar_demux_src3_valid, -- .valid sink0_channel => cmd_xbar_demux_src3_channel, -- .channel sink0_data => cmd_xbar_demux_src3_data, -- .data sink0_startofpacket => cmd_xbar_demux_src3_startofpacket, -- .startofpacket sink0_endofpacket => cmd_xbar_demux_src3_endofpacket, -- .endofpacket sink1_ready => cmd_xbar_demux_001_src3_ready, -- sink1.ready sink1_valid => cmd_xbar_demux_001_src3_valid, -- .valid sink1_channel => cmd_xbar_demux_001_src3_channel, -- .channel sink1_data => cmd_xbar_demux_001_src3_data, -- .data sink1_startofpacket => cmd_xbar_demux_001_src3_startofpacket, -- .startofpacket sink1_endofpacket => cmd_xbar_demux_001_src3_endofpacket -- .endofpacket ); rsp_xbar_demux : component tracking_camera_system_rsp_xbar_demux port map ( clk => altpll_0_c1_clk, -- clk.clk reset => rst_controller_reset_out_reset, -- clk_reset.reset sink_ready => id_router_src_ready, -- sink.ready sink_channel => id_router_src_channel, -- .channel sink_data => id_router_src_data, -- .data sink_startofpacket => id_router_src_startofpacket, -- .startofpacket sink_endofpacket => id_router_src_endofpacket, -- .endofpacket sink_valid(0) => id_router_src_valid, -- .valid src0_ready => rsp_xbar_demux_src0_ready, -- src0.ready src0_valid => rsp_xbar_demux_src0_valid, -- .valid src0_data => rsp_xbar_demux_src0_data, -- .data src0_channel => rsp_xbar_demux_src0_channel, -- .channel src0_startofpacket => rsp_xbar_demux_src0_startofpacket, -- .startofpacket src0_endofpacket => rsp_xbar_demux_src0_endofpacket, -- .endofpacket src1_ready => rsp_xbar_demux_src1_ready, -- src1.ready src1_valid => rsp_xbar_demux_src1_valid, -- .valid src1_data => rsp_xbar_demux_src1_data, -- .data src1_channel => rsp_xbar_demux_src1_channel, -- .channel src1_startofpacket => rsp_xbar_demux_src1_startofpacket, -- .startofpacket src1_endofpacket => rsp_xbar_demux_src1_endofpacket -- .endofpacket ); rsp_xbar_demux_001 : component tracking_camera_system_rsp_xbar_demux port map ( clk => altpll_0_c1_clk, -- clk.clk reset => rst_controller_reset_out_reset, -- clk_reset.reset sink_ready => id_router_001_src_ready, -- sink.ready sink_channel => id_router_001_src_channel, -- .channel sink_data => id_router_001_src_data, -- .data sink_startofpacket => id_router_001_src_startofpacket, -- .startofpacket sink_endofpacket => id_router_001_src_endofpacket, -- .endofpacket sink_valid(0) => id_router_001_src_valid, -- .valid src0_ready => rsp_xbar_demux_001_src0_ready, -- src0.ready src0_valid => rsp_xbar_demux_001_src0_valid, -- .valid src0_data => rsp_xbar_demux_001_src0_data, -- .data src0_channel => rsp_xbar_demux_001_src0_channel, -- .channel src0_startofpacket => rsp_xbar_demux_001_src0_startofpacket, -- .startofpacket src0_endofpacket => rsp_xbar_demux_001_src0_endofpacket, -- .endofpacket src1_ready => rsp_xbar_demux_001_src1_ready, -- src1.ready src1_valid => rsp_xbar_demux_001_src1_valid, -- .valid src1_data => rsp_xbar_demux_001_src1_data, -- .data src1_channel => rsp_xbar_demux_001_src1_channel, -- .channel src1_startofpacket => rsp_xbar_demux_001_src1_startofpacket, -- .startofpacket src1_endofpacket => rsp_xbar_demux_001_src1_endofpacket -- .endofpacket ); rsp_xbar_demux_002 : component tracking_camera_system_rsp_xbar_demux port map ( clk => altpll_0_c1_clk, -- clk.clk reset => rst_controller_reset_out_reset, -- clk_reset.reset sink_ready => width_adapter_001_src_ready, -- sink.ready sink_channel => width_adapter_001_src_channel, -- .channel sink_data => width_adapter_001_src_data, -- .data sink_startofpacket => width_adapter_001_src_startofpacket, -- .startofpacket sink_endofpacket => width_adapter_001_src_endofpacket, -- .endofpacket sink_valid(0) => width_adapter_001_src_valid, -- .valid src0_ready => rsp_xbar_demux_002_src0_ready, -- src0.ready src0_valid => rsp_xbar_demux_002_src0_valid, -- .valid src0_data => rsp_xbar_demux_002_src0_data, -- .data src0_channel => rsp_xbar_demux_002_src0_channel, -- .channel src0_startofpacket => rsp_xbar_demux_002_src0_startofpacket, -- .startofpacket src0_endofpacket => rsp_xbar_demux_002_src0_endofpacket, -- .endofpacket src1_ready => rsp_xbar_demux_002_src1_ready, -- src1.ready src1_valid => rsp_xbar_demux_002_src1_valid, -- .valid src1_data => rsp_xbar_demux_002_src1_data, -- .data src1_channel => rsp_xbar_demux_002_src1_channel, -- .channel src1_startofpacket => rsp_xbar_demux_002_src1_startofpacket, -- .startofpacket src1_endofpacket => rsp_xbar_demux_002_src1_endofpacket -- .endofpacket ); rsp_xbar_demux_003 : component tracking_camera_system_rsp_xbar_demux port map ( clk => altpll_0_c1_clk, -- clk.clk reset => rst_controller_reset_out_reset, -- clk_reset.reset sink_ready => width_adapter_003_src_ready, -- sink.ready sink_channel => width_adapter_003_src_channel, -- .channel sink_data => width_adapter_003_src_data, -- .data sink_startofpacket => width_adapter_003_src_startofpacket, -- .startofpacket sink_endofpacket => width_adapter_003_src_endofpacket, -- .endofpacket sink_valid(0) => width_adapter_003_src_valid, -- .valid src0_ready => rsp_xbar_demux_003_src0_ready, -- src0.ready src0_valid => rsp_xbar_demux_003_src0_valid, -- .valid src0_data => rsp_xbar_demux_003_src0_data, -- .data src0_channel => rsp_xbar_demux_003_src0_channel, -- .channel src0_startofpacket => rsp_xbar_demux_003_src0_startofpacket, -- .startofpacket src0_endofpacket => rsp_xbar_demux_003_src0_endofpacket, -- .endofpacket src1_ready => rsp_xbar_demux_003_src1_ready, -- src1.ready src1_valid => rsp_xbar_demux_003_src1_valid, -- .valid src1_data => rsp_xbar_demux_003_src1_data, -- .data src1_channel => rsp_xbar_demux_003_src1_channel, -- .channel src1_startofpacket => rsp_xbar_demux_003_src1_startofpacket, -- .startofpacket src1_endofpacket => rsp_xbar_demux_003_src1_endofpacket -- .endofpacket ); rsp_xbar_demux_004 : component tracking_camera_system_rsp_xbar_demux_004 port map ( clk => clk_clk, -- clk.clk reset => rst_controller_001_reset_out_reset, -- clk_reset.reset sink_ready => id_router_004_src_ready, -- sink.ready sink_channel => id_router_004_src_channel, -- .channel sink_data => id_router_004_src_data, -- .data sink_startofpacket => id_router_004_src_startofpacket, -- .startofpacket sink_endofpacket => id_router_004_src_endofpacket, -- .endofpacket sink_valid(0) => id_router_004_src_valid, -- .valid src0_ready => rsp_xbar_demux_004_src0_ready, -- src0.ready src0_valid => rsp_xbar_demux_004_src0_valid, -- .valid src0_data => rsp_xbar_demux_004_src0_data, -- .data src0_channel => rsp_xbar_demux_004_src0_channel, -- .channel src0_startofpacket => rsp_xbar_demux_004_src0_startofpacket, -- .startofpacket src0_endofpacket => rsp_xbar_demux_004_src0_endofpacket -- .endofpacket ); rsp_xbar_demux_005 : component tracking_camera_system_rsp_xbar_demux_004 port map ( clk => altpll_0_c1_clk, -- clk.clk reset => rst_controller_reset_out_reset, -- clk_reset.reset sink_ready => id_router_005_src_ready, -- sink.ready sink_channel => id_router_005_src_channel, -- .channel sink_data => id_router_005_src_data, -- .data sink_startofpacket => id_router_005_src_startofpacket, -- .startofpacket sink_endofpacket => id_router_005_src_endofpacket, -- .endofpacket sink_valid(0) => id_router_005_src_valid, -- .valid src0_ready => rsp_xbar_demux_005_src0_ready, -- src0.ready src0_valid => rsp_xbar_demux_005_src0_valid, -- .valid src0_data => rsp_xbar_demux_005_src0_data, -- .data src0_channel => rsp_xbar_demux_005_src0_channel, -- .channel src0_startofpacket => rsp_xbar_demux_005_src0_startofpacket, -- .startofpacket src0_endofpacket => rsp_xbar_demux_005_src0_endofpacket -- .endofpacket ); rsp_xbar_demux_006 : component tracking_camera_system_rsp_xbar_demux_004 port map ( clk => altpll_0_c1_clk, -- clk.clk reset => rst_controller_reset_out_reset, -- clk_reset.reset sink_ready => id_router_006_src_ready, -- sink.ready sink_channel => id_router_006_src_channel, -- .channel sink_data => id_router_006_src_data, -- .data sink_startofpacket => id_router_006_src_startofpacket, -- .startofpacket sink_endofpacket => id_router_006_src_endofpacket, -- .endofpacket sink_valid(0) => id_router_006_src_valid, -- .valid src0_ready => rsp_xbar_demux_006_src0_ready, -- src0.ready src0_valid => rsp_xbar_demux_006_src0_valid, -- .valid src0_data => rsp_xbar_demux_006_src0_data, -- .data src0_channel => rsp_xbar_demux_006_src0_channel, -- .channel src0_startofpacket => rsp_xbar_demux_006_src0_startofpacket, -- .startofpacket src0_endofpacket => rsp_xbar_demux_006_src0_endofpacket -- .endofpacket ); rsp_xbar_demux_007 : component tracking_camera_system_rsp_xbar_demux_004 port map ( clk => altpll_0_c1_clk, -- clk.clk reset => rst_controller_reset_out_reset, -- clk_reset.reset sink_ready => id_router_007_src_ready, -- sink.ready sink_channel => id_router_007_src_channel, -- .channel sink_data => id_router_007_src_data, -- .data sink_startofpacket => id_router_007_src_startofpacket, -- .startofpacket sink_endofpacket => id_router_007_src_endofpacket, -- .endofpacket sink_valid(0) => id_router_007_src_valid, -- .valid src0_ready => rsp_xbar_demux_007_src0_ready, -- src0.ready src0_valid => rsp_xbar_demux_007_src0_valid, -- .valid src0_data => rsp_xbar_demux_007_src0_data, -- .data src0_channel => rsp_xbar_demux_007_src0_channel, -- .channel src0_startofpacket => rsp_xbar_demux_007_src0_startofpacket, -- .startofpacket src0_endofpacket => rsp_xbar_demux_007_src0_endofpacket -- .endofpacket ); rsp_xbar_demux_008 : component tracking_camera_system_rsp_xbar_demux_004 port map ( clk => altpll_0_c1_clk, -- clk.clk reset => rst_controller_reset_out_reset, -- clk_reset.reset sink_ready => width_adapter_005_src_ready, -- sink.ready sink_channel => width_adapter_005_src_channel, -- .channel sink_data => width_adapter_005_src_data, -- .data sink_startofpacket => width_adapter_005_src_startofpacket, -- .startofpacket sink_endofpacket => width_adapter_005_src_endofpacket, -- .endofpacket sink_valid(0) => width_adapter_005_src_valid, -- .valid src0_ready => rsp_xbar_demux_008_src0_ready, -- src0.ready src0_valid => rsp_xbar_demux_008_src0_valid, -- .valid src0_data => rsp_xbar_demux_008_src0_data, -- .data src0_channel => rsp_xbar_demux_008_src0_channel, -- .channel src0_startofpacket => rsp_xbar_demux_008_src0_startofpacket, -- .startofpacket src0_endofpacket => rsp_xbar_demux_008_src0_endofpacket -- .endofpacket ); rsp_xbar_demux_009 : component tracking_camera_system_rsp_xbar_demux_004 port map ( clk => altpll_0_c1_clk, -- clk.clk reset => rst_controller_reset_out_reset, -- clk_reset.reset sink_ready => id_router_009_src_ready, -- sink.ready sink_channel => id_router_009_src_channel, -- .channel sink_data => id_router_009_src_data, -- .data sink_startofpacket => id_router_009_src_startofpacket, -- .startofpacket sink_endofpacket => id_router_009_src_endofpacket, -- .endofpacket sink_valid(0) => id_router_009_src_valid, -- .valid src0_ready => rsp_xbar_demux_009_src0_ready, -- src0.ready src0_valid => rsp_xbar_demux_009_src0_valid, -- .valid src0_data => rsp_xbar_demux_009_src0_data, -- .data src0_channel => rsp_xbar_demux_009_src0_channel, -- .channel src0_startofpacket => rsp_xbar_demux_009_src0_startofpacket, -- .startofpacket src0_endofpacket => rsp_xbar_demux_009_src0_endofpacket -- .endofpacket ); rsp_xbar_demux_010 : component tracking_camera_system_rsp_xbar_demux_004 port map ( clk => altpll_0_c1_clk, -- clk.clk reset => rst_controller_reset_out_reset, -- clk_reset.reset sink_ready => id_router_010_src_ready, -- sink.ready sink_channel => id_router_010_src_channel, -- .channel sink_data => id_router_010_src_data, -- .data sink_startofpacket => id_router_010_src_startofpacket, -- .startofpacket sink_endofpacket => id_router_010_src_endofpacket, -- .endofpacket sink_valid(0) => id_router_010_src_valid, -- .valid src0_ready => rsp_xbar_demux_010_src0_ready, -- src0.ready src0_valid => rsp_xbar_demux_010_src0_valid, -- .valid src0_data => rsp_xbar_demux_010_src0_data, -- .data src0_channel => rsp_xbar_demux_010_src0_channel, -- .channel src0_startofpacket => rsp_xbar_demux_010_src0_startofpacket, -- .startofpacket src0_endofpacket => rsp_xbar_demux_010_src0_endofpacket -- .endofpacket ); rsp_xbar_demux_011 : component tracking_camera_system_rsp_xbar_demux_004 port map ( clk => altpll_0_c1_clk, -- clk.clk reset => rst_controller_reset_out_reset, -- clk_reset.reset sink_ready => width_adapter_007_src_ready, -- sink.ready sink_channel => width_adapter_007_src_channel, -- .channel sink_data => width_adapter_007_src_data, -- .data sink_startofpacket => width_adapter_007_src_startofpacket, -- .startofpacket sink_endofpacket => width_adapter_007_src_endofpacket, -- .endofpacket sink_valid(0) => width_adapter_007_src_valid, -- .valid src0_ready => rsp_xbar_demux_011_src0_ready, -- src0.ready src0_valid => rsp_xbar_demux_011_src0_valid, -- .valid src0_data => rsp_xbar_demux_011_src0_data, -- .data src0_channel => rsp_xbar_demux_011_src0_channel, -- .channel src0_startofpacket => rsp_xbar_demux_011_src0_startofpacket, -- .startofpacket src0_endofpacket => rsp_xbar_demux_011_src0_endofpacket -- .endofpacket ); rsp_xbar_demux_012 : component tracking_camera_system_rsp_xbar_demux_004 port map ( clk => altpll_0_c1_clk, -- clk.clk reset => rst_controller_reset_out_reset, -- clk_reset.reset sink_ready => id_router_012_src_ready, -- sink.ready sink_channel => id_router_012_src_channel, -- .channel sink_data => id_router_012_src_data, -- .data sink_startofpacket => id_router_012_src_startofpacket, -- .startofpacket sink_endofpacket => id_router_012_src_endofpacket, -- .endofpacket sink_valid(0) => id_router_012_src_valid, -- .valid src0_ready => rsp_xbar_demux_012_src0_ready, -- src0.ready src0_valid => rsp_xbar_demux_012_src0_valid, -- .valid src0_data => rsp_xbar_demux_012_src0_data, -- .data src0_channel => rsp_xbar_demux_012_src0_channel, -- .channel src0_startofpacket => rsp_xbar_demux_012_src0_startofpacket, -- .startofpacket src0_endofpacket => rsp_xbar_demux_012_src0_endofpacket -- .endofpacket ); rsp_xbar_mux : component tracking_camera_system_rsp_xbar_mux port map ( clk => altpll_0_c1_clk, -- clk.clk reset => rst_controller_reset_out_reset, -- clk_reset.reset src_ready => rsp_xbar_mux_src_ready, -- src.ready src_valid => rsp_xbar_mux_src_valid, -- .valid src_data => rsp_xbar_mux_src_data, -- .data src_channel => rsp_xbar_mux_src_channel, -- .channel src_startofpacket => rsp_xbar_mux_src_startofpacket, -- .startofpacket src_endofpacket => rsp_xbar_mux_src_endofpacket, -- .endofpacket sink0_ready => rsp_xbar_demux_src0_ready, -- sink0.ready sink0_valid => rsp_xbar_demux_src0_valid, -- .valid sink0_channel => rsp_xbar_demux_src0_channel, -- .channel sink0_data => rsp_xbar_demux_src0_data, -- .data sink0_startofpacket => rsp_xbar_demux_src0_startofpacket, -- .startofpacket sink0_endofpacket => rsp_xbar_demux_src0_endofpacket, -- .endofpacket sink1_ready => rsp_xbar_demux_001_src0_ready, -- sink1.ready sink1_valid => rsp_xbar_demux_001_src0_valid, -- .valid sink1_channel => rsp_xbar_demux_001_src0_channel, -- .channel sink1_data => rsp_xbar_demux_001_src0_data, -- .data sink1_startofpacket => rsp_xbar_demux_001_src0_startofpacket, -- .startofpacket sink1_endofpacket => rsp_xbar_demux_001_src0_endofpacket, -- .endofpacket sink2_ready => rsp_xbar_demux_002_src0_ready, -- sink2.ready sink2_valid => rsp_xbar_demux_002_src0_valid, -- .valid sink2_channel => rsp_xbar_demux_002_src0_channel, -- .channel sink2_data => rsp_xbar_demux_002_src0_data, -- .data sink2_startofpacket => rsp_xbar_demux_002_src0_startofpacket, -- .startofpacket sink2_endofpacket => rsp_xbar_demux_002_src0_endofpacket, -- .endofpacket sink3_ready => rsp_xbar_demux_003_src0_ready, -- sink3.ready sink3_valid => rsp_xbar_demux_003_src0_valid, -- .valid sink3_channel => rsp_xbar_demux_003_src0_channel, -- .channel sink3_data => rsp_xbar_demux_003_src0_data, -- .data sink3_startofpacket => rsp_xbar_demux_003_src0_startofpacket, -- .startofpacket sink3_endofpacket => rsp_xbar_demux_003_src0_endofpacket -- .endofpacket ); rsp_xbar_mux_001 : component tracking_camera_system_rsp_xbar_mux_001 port map ( clk => altpll_0_c1_clk, -- clk.clk reset => rst_controller_reset_out_reset, -- clk_reset.reset src_ready => rsp_xbar_mux_001_src_ready, -- src.ready src_valid => rsp_xbar_mux_001_src_valid, -- .valid src_data => rsp_xbar_mux_001_src_data, -- .data src_channel => rsp_xbar_mux_001_src_channel, -- .channel src_startofpacket => rsp_xbar_mux_001_src_startofpacket, -- .startofpacket src_endofpacket => rsp_xbar_mux_001_src_endofpacket, -- .endofpacket sink0_ready => rsp_xbar_demux_src1_ready, -- sink0.ready sink0_valid => rsp_xbar_demux_src1_valid, -- .valid sink0_channel => rsp_xbar_demux_src1_channel, -- .channel sink0_data => rsp_xbar_demux_src1_data, -- .data sink0_startofpacket => rsp_xbar_demux_src1_startofpacket, -- .startofpacket sink0_endofpacket => rsp_xbar_demux_src1_endofpacket, -- .endofpacket sink1_ready => rsp_xbar_demux_001_src1_ready, -- sink1.ready sink1_valid => rsp_xbar_demux_001_src1_valid, -- .valid sink1_channel => rsp_xbar_demux_001_src1_channel, -- .channel sink1_data => rsp_xbar_demux_001_src1_data, -- .data sink1_startofpacket => rsp_xbar_demux_001_src1_startofpacket, -- .startofpacket sink1_endofpacket => rsp_xbar_demux_001_src1_endofpacket, -- .endofpacket sink2_ready => rsp_xbar_demux_002_src1_ready, -- sink2.ready sink2_valid => rsp_xbar_demux_002_src1_valid, -- .valid sink2_channel => rsp_xbar_demux_002_src1_channel, -- .channel sink2_data => rsp_xbar_demux_002_src1_data, -- .data sink2_startofpacket => rsp_xbar_demux_002_src1_startofpacket, -- .startofpacket sink2_endofpacket => rsp_xbar_demux_002_src1_endofpacket, -- .endofpacket sink3_ready => rsp_xbar_demux_003_src1_ready, -- sink3.ready sink3_valid => rsp_xbar_demux_003_src1_valid, -- .valid sink3_channel => rsp_xbar_demux_003_src1_channel, -- .channel sink3_data => rsp_xbar_demux_003_src1_data, -- .data sink3_startofpacket => rsp_xbar_demux_003_src1_startofpacket, -- .startofpacket sink3_endofpacket => rsp_xbar_demux_003_src1_endofpacket, -- .endofpacket sink4_ready => crosser_001_out_ready, -- sink4.ready sink4_valid => crosser_001_out_valid, -- .valid sink4_channel => crosser_001_out_channel, -- .channel sink4_data => crosser_001_out_data, -- .data sink4_startofpacket => crosser_001_out_startofpacket, -- .startofpacket sink4_endofpacket => crosser_001_out_endofpacket, -- .endofpacket sink5_ready => rsp_xbar_demux_005_src0_ready, -- sink5.ready sink5_valid => rsp_xbar_demux_005_src0_valid, -- .valid sink5_channel => rsp_xbar_demux_005_src0_channel, -- .channel sink5_data => rsp_xbar_demux_005_src0_data, -- .data sink5_startofpacket => rsp_xbar_demux_005_src0_startofpacket, -- .startofpacket sink5_endofpacket => rsp_xbar_demux_005_src0_endofpacket, -- .endofpacket sink6_ready => rsp_xbar_demux_006_src0_ready, -- sink6.ready sink6_valid => rsp_xbar_demux_006_src0_valid, -- .valid sink6_channel => rsp_xbar_demux_006_src0_channel, -- .channel sink6_data => rsp_xbar_demux_006_src0_data, -- .data sink6_startofpacket => rsp_xbar_demux_006_src0_startofpacket, -- .startofpacket sink6_endofpacket => rsp_xbar_demux_006_src0_endofpacket, -- .endofpacket sink7_ready => rsp_xbar_demux_007_src0_ready, -- sink7.ready sink7_valid => rsp_xbar_demux_007_src0_valid, -- .valid sink7_channel => rsp_xbar_demux_007_src0_channel, -- .channel sink7_data => rsp_xbar_demux_007_src0_data, -- .data sink7_startofpacket => rsp_xbar_demux_007_src0_startofpacket, -- .startofpacket sink7_endofpacket => rsp_xbar_demux_007_src0_endofpacket, -- .endofpacket sink8_ready => rsp_xbar_demux_008_src0_ready, -- sink8.ready sink8_valid => rsp_xbar_demux_008_src0_valid, -- .valid sink8_channel => rsp_xbar_demux_008_src0_channel, -- .channel sink8_data => rsp_xbar_demux_008_src0_data, -- .data sink8_startofpacket => rsp_xbar_demux_008_src0_startofpacket, -- .startofpacket sink8_endofpacket => rsp_xbar_demux_008_src0_endofpacket, -- .endofpacket sink9_ready => rsp_xbar_demux_009_src0_ready, -- sink9.ready sink9_valid => rsp_xbar_demux_009_src0_valid, -- .valid sink9_channel => rsp_xbar_demux_009_src0_channel, -- .channel sink9_data => rsp_xbar_demux_009_src0_data, -- .data sink9_startofpacket => rsp_xbar_demux_009_src0_startofpacket, -- .startofpacket sink9_endofpacket => rsp_xbar_demux_009_src0_endofpacket, -- .endofpacket sink10_ready => rsp_xbar_demux_010_src0_ready, -- sink10.ready sink10_valid => rsp_xbar_demux_010_src0_valid, -- .valid sink10_channel => rsp_xbar_demux_010_src0_channel, -- .channel sink10_data => rsp_xbar_demux_010_src0_data, -- .data sink10_startofpacket => rsp_xbar_demux_010_src0_startofpacket, -- .startofpacket sink10_endofpacket => rsp_xbar_demux_010_src0_endofpacket, -- .endofpacket sink11_ready => rsp_xbar_demux_011_src0_ready, -- sink11.ready sink11_valid => rsp_xbar_demux_011_src0_valid, -- .valid sink11_channel => rsp_xbar_demux_011_src0_channel, -- .channel sink11_data => rsp_xbar_demux_011_src0_data, -- .data sink11_startofpacket => rsp_xbar_demux_011_src0_startofpacket, -- .startofpacket sink11_endofpacket => rsp_xbar_demux_011_src0_endofpacket, -- .endofpacket sink12_ready => rsp_xbar_demux_012_src0_ready, -- sink12.ready sink12_valid => rsp_xbar_demux_012_src0_valid, -- .valid sink12_channel => rsp_xbar_demux_012_src0_channel, -- .channel sink12_data => rsp_xbar_demux_012_src0_data, -- .data sink12_startofpacket => rsp_xbar_demux_012_src0_startofpacket, -- .startofpacket sink12_endofpacket => rsp_xbar_demux_012_src0_endofpacket -- .endofpacket ); width_adapter : component tracking_camera_system_width_adapter port map ( clk => altpll_0_c1_clk, -- clk.clk reset => rst_controller_reset_out_reset, -- clk_reset.reset in_valid => cmd_xbar_mux_002_src_valid, -- sink.valid in_channel => cmd_xbar_mux_002_src_channel, -- .channel in_startofpacket => cmd_xbar_mux_002_src_startofpacket, -- .startofpacket in_endofpacket => cmd_xbar_mux_002_src_endofpacket, -- .endofpacket in_ready => cmd_xbar_mux_002_src_ready, -- .ready in_data => cmd_xbar_mux_002_src_data, -- .data out_endofpacket => width_adapter_src_endofpacket, -- src.endofpacket out_data => width_adapter_src_data, -- .data out_channel => width_adapter_src_channel, -- .channel out_valid => width_adapter_src_valid, -- .valid out_ready => width_adapter_src_ready, -- .ready out_startofpacket => width_adapter_src_startofpacket -- .startofpacket ); width_adapter_001 : component tracking_camera_system_width_adapter_001 port map ( clk => altpll_0_c1_clk, -- clk.clk reset => rst_controller_reset_out_reset, -- clk_reset.reset in_valid => id_router_002_src_valid, -- sink.valid in_channel => id_router_002_src_channel, -- .channel in_startofpacket => id_router_002_src_startofpacket, -- .startofpacket in_endofpacket => id_router_002_src_endofpacket, -- .endofpacket in_ready => id_router_002_src_ready, -- .ready in_data => id_router_002_src_data, -- .data out_endofpacket => width_adapter_001_src_endofpacket, -- src.endofpacket out_data => width_adapter_001_src_data, -- .data out_channel => width_adapter_001_src_channel, -- .channel out_valid => width_adapter_001_src_valid, -- .valid out_ready => width_adapter_001_src_ready, -- .ready out_startofpacket => width_adapter_001_src_startofpacket -- .startofpacket ); width_adapter_002 : component tracking_camera_system_width_adapter port map ( clk => altpll_0_c1_clk, -- clk.clk reset => rst_controller_reset_out_reset, -- clk_reset.reset in_valid => cmd_xbar_mux_003_src_valid, -- sink.valid in_channel => cmd_xbar_mux_003_src_channel, -- .channel in_startofpacket => cmd_xbar_mux_003_src_startofpacket, -- .startofpacket in_endofpacket => cmd_xbar_mux_003_src_endofpacket, -- .endofpacket in_ready => cmd_xbar_mux_003_src_ready, -- .ready in_data => cmd_xbar_mux_003_src_data, -- .data out_endofpacket => width_adapter_002_src_endofpacket, -- src.endofpacket out_data => width_adapter_002_src_data, -- .data out_channel => width_adapter_002_src_channel, -- .channel out_valid => width_adapter_002_src_valid, -- .valid out_ready => width_adapter_002_src_ready, -- .ready out_startofpacket => width_adapter_002_src_startofpacket -- .startofpacket ); width_adapter_003 : component tracking_camera_system_width_adapter_001 port map ( clk => altpll_0_c1_clk, -- clk.clk reset => rst_controller_reset_out_reset, -- clk_reset.reset in_valid => id_router_003_src_valid, -- sink.valid in_channel => id_router_003_src_channel, -- .channel in_startofpacket => id_router_003_src_startofpacket, -- .startofpacket in_endofpacket => id_router_003_src_endofpacket, -- .endofpacket in_ready => id_router_003_src_ready, -- .ready in_data => id_router_003_src_data, -- .data out_endofpacket => width_adapter_003_src_endofpacket, -- src.endofpacket out_data => width_adapter_003_src_data, -- .data out_channel => width_adapter_003_src_channel, -- .channel out_valid => width_adapter_003_src_valid, -- .valid out_ready => width_adapter_003_src_ready, -- .ready out_startofpacket => width_adapter_003_src_startofpacket -- .startofpacket ); width_adapter_004 : component tracking_camera_system_width_adapter_004 port map ( clk => altpll_0_c1_clk, -- clk.clk reset => rst_controller_reset_out_reset, -- clk_reset.reset in_valid => cmd_xbar_demux_001_src8_valid, -- sink.valid in_channel => cmd_xbar_demux_001_src8_channel, -- .channel in_startofpacket => cmd_xbar_demux_001_src8_startofpacket, -- .startofpacket in_endofpacket => cmd_xbar_demux_001_src8_endofpacket, -- .endofpacket in_ready => cmd_xbar_demux_001_src8_ready, -- .ready in_data => cmd_xbar_demux_001_src8_data, -- .data out_endofpacket => width_adapter_004_src_endofpacket, -- src.endofpacket out_data => width_adapter_004_src_data, -- .data out_channel => width_adapter_004_src_channel, -- .channel out_valid => width_adapter_004_src_valid, -- .valid out_ready => width_adapter_004_src_ready, -- .ready out_startofpacket => width_adapter_004_src_startofpacket -- .startofpacket ); width_adapter_005 : component tracking_camera_system_width_adapter_005 port map ( clk => altpll_0_c1_clk, -- clk.clk reset => rst_controller_reset_out_reset, -- clk_reset.reset in_valid => id_router_008_src_valid, -- sink.valid in_channel => id_router_008_src_channel, -- .channel in_startofpacket => id_router_008_src_startofpacket, -- .startofpacket in_endofpacket => id_router_008_src_endofpacket, -- .endofpacket in_ready => id_router_008_src_ready, -- .ready in_data => id_router_008_src_data, -- .data out_endofpacket => width_adapter_005_src_endofpacket, -- src.endofpacket out_data => width_adapter_005_src_data, -- .data out_channel => width_adapter_005_src_channel, -- .channel out_valid => width_adapter_005_src_valid, -- .valid out_ready => width_adapter_005_src_ready, -- .ready out_startofpacket => width_adapter_005_src_startofpacket -- .startofpacket ); width_adapter_006 : component tracking_camera_system_width_adapter_004 port map ( clk => altpll_0_c1_clk, -- clk.clk reset => rst_controller_reset_out_reset, -- clk_reset.reset in_valid => cmd_xbar_demux_001_src11_valid, -- sink.valid in_channel => cmd_xbar_demux_001_src11_channel, -- .channel in_startofpacket => cmd_xbar_demux_001_src11_startofpacket, -- .startofpacket in_endofpacket => cmd_xbar_demux_001_src11_endofpacket, -- .endofpacket in_ready => cmd_xbar_demux_001_src11_ready, -- .ready in_data => cmd_xbar_demux_001_src11_data, -- .data out_endofpacket => width_adapter_006_src_endofpacket, -- src.endofpacket out_data => width_adapter_006_src_data, -- .data out_channel => width_adapter_006_src_channel, -- .channel out_valid => width_adapter_006_src_valid, -- .valid out_ready => width_adapter_006_src_ready, -- .ready out_startofpacket => width_adapter_006_src_startofpacket -- .startofpacket ); width_adapter_007 : component tracking_camera_system_width_adapter_005 port map ( clk => altpll_0_c1_clk, -- clk.clk reset => rst_controller_reset_out_reset, -- clk_reset.reset in_valid => id_router_011_src_valid, -- sink.valid in_channel => id_router_011_src_channel, -- .channel in_startofpacket => id_router_011_src_startofpacket, -- .startofpacket in_endofpacket => id_router_011_src_endofpacket, -- .endofpacket in_ready => id_router_011_src_ready, -- .ready in_data => id_router_011_src_data, -- .data out_endofpacket => width_adapter_007_src_endofpacket, -- src.endofpacket out_data => width_adapter_007_src_data, -- .data out_channel => width_adapter_007_src_channel, -- .channel out_valid => width_adapter_007_src_valid, -- .valid out_ready => width_adapter_007_src_ready, -- .ready out_startofpacket => width_adapter_007_src_startofpacket -- .startofpacket ); crosser : component tracking_camera_system_crosser port map ( in_clk => altpll_0_c1_clk, -- in_clk.clk in_reset => rst_controller_reset_out_reset, -- in_clk_reset.reset out_clk => clk_clk, -- out_clk.clk out_reset => rst_controller_001_reset_out_reset, -- out_clk_reset.reset in_ready => cmd_xbar_demux_001_src4_ready, -- in.ready in_valid => cmd_xbar_demux_001_src4_valid, -- .valid in_startofpacket => cmd_xbar_demux_001_src4_startofpacket, -- .startofpacket in_endofpacket => cmd_xbar_demux_001_src4_endofpacket, -- .endofpacket in_channel => cmd_xbar_demux_001_src4_channel, -- .channel in_data => cmd_xbar_demux_001_src4_data, -- .data out_ready => crosser_out_ready, -- out.ready out_valid => crosser_out_valid, -- .valid out_startofpacket => crosser_out_startofpacket, -- .startofpacket out_endofpacket => crosser_out_endofpacket, -- .endofpacket out_channel => crosser_out_channel, -- .channel out_data => crosser_out_data -- .data ); crosser_001 : component tracking_camera_system_crosser port map ( in_clk => clk_clk, -- in_clk.clk in_reset => rst_controller_001_reset_out_reset, -- in_clk_reset.reset out_clk => altpll_0_c1_clk, -- out_clk.clk out_reset => rst_controller_reset_out_reset, -- out_clk_reset.reset in_ready => rsp_xbar_demux_004_src0_ready, -- in.ready in_valid => rsp_xbar_demux_004_src0_valid, -- .valid in_startofpacket => rsp_xbar_demux_004_src0_startofpacket, -- .startofpacket in_endofpacket => rsp_xbar_demux_004_src0_endofpacket, -- .endofpacket in_channel => rsp_xbar_demux_004_src0_channel, -- .channel in_data => rsp_xbar_demux_004_src0_data, -- .data out_ready => crosser_001_out_ready, -- out.ready out_valid => crosser_001_out_valid, -- .valid out_startofpacket => crosser_001_out_startofpacket, -- .startofpacket out_endofpacket => crosser_001_out_endofpacket, -- .endofpacket out_channel => crosser_001_out_channel, -- .channel out_data => crosser_001_out_data -- .data ); irq_mapper : component tracking_camera_system_irq_mapper port map ( clk => altpll_0_c1_clk, -- clk.clk reset => rst_controller_reset_out_reset, -- clk_reset.reset receiver0_irq => irq_mapper_receiver0_irq, -- receiver0.irq receiver1_irq => irq_mapper_receiver1_irq, -- receiver1.irq sender_irq => nios2_qsys_0_d_irq_irq -- sender.irq ); reset_reset_n_ports_inv <= not reset_reset_n; sdram_0_s1_translator_avalon_anti_slave_0_write_ports_inv <= not sdram_0_s1_translator_avalon_anti_slave_0_write; sdram_0_s1_translator_avalon_anti_slave_0_read_ports_inv <= not sdram_0_s1_translator_avalon_anti_slave_0_read; sdram_0_s1_translator_avalon_anti_slave_0_byteenable_ports_inv <= not sdram_0_s1_translator_avalon_anti_slave_0_byteenable; timer_0_s1_translator_avalon_anti_slave_0_write_ports_inv <= not timer_0_s1_translator_avalon_anti_slave_0_write; jtag_uart_0_avalon_jtag_slave_translator_avalon_anti_slave_0_write_ports_inv <= not jtag_uart_0_avalon_jtag_slave_translator_avalon_anti_slave_0_write; jtag_uart_0_avalon_jtag_slave_translator_avalon_anti_slave_0_read_ports_inv <= not jtag_uart_0_avalon_jtag_slave_translator_avalon_anti_slave_0_read; green_leds_s1_translator_avalon_anti_slave_0_write_ports_inv <= not green_leds_s1_translator_avalon_anti_slave_0_write; servo_pwm_0_s0_translator_avalon_anti_slave_0_write_ports_inv <= not servo_pwm_0_s0_translator_avalon_anti_slave_0_write; rst_controller_reset_out_reset_ports_inv <= not rst_controller_reset_out_reset; end architecture rtl; -- of tracking_camera_system
-- -*- vhdl -*- ------------------------------------------------------------------------------- -- Copyright (c) 2012, The CARPE Project, All rights reserved. -- -- See the AUTHORS file for individual contributors. -- -- -- -- Copyright and related rights are licensed under the Solderpad -- -- Hardware License, Version 0.51 (the "License"); you may not use this -- -- file except in compliance with the License. You may obtain a copy of -- -- the License at http://solderpad.org/licenses/SHL-0.51. -- -- -- -- Unless required by applicable law or agreed to in writing, software, -- -- hardware and materials distributed under this License is distributed -- -- on an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, -- -- either express or implied. See the License for the specific language -- -- governing permissions and limitations under the License. -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use work.cpu_bpb_pkg.all; entity cpu_bpb is port ( clk : in std_ulogic; rstn : in std_ulogic; cpu_bpb_ctrl_in : in cpu_bpb_ctrl_in_type; cpu_bpb_dp_in : in cpu_bpb_dp_in_type; cpu_bpb_ctrl_out : out cpu_bpb_ctrl_out_type; cpu_bpb_dp_out : out cpu_bpb_dp_out_type ); end;
architecture RTL of FIFO is begin process begin loop a <= b; end loop; loop -- Comment a <= b; end loop; -- Violations below loop a <= b; end loop; loop a <= b; -- Comment end loop; end process; end;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc674.vhd,v 1.3 2001-10-29 02:12:46 paw Exp $ -- $Revision: 1.3 $ -- -- --------------------------------------------------------------------- -- **************************** -- -- Ported to VHDL 93 by port93.pl - Tue Nov 5 16:37:59 1996 -- -- **************************** -- ENTITY c03s04b01x00p23n01i00674ent IS END c03s04b01x00p23n01i00674ent; ARCHITECTURE c03s04b01x00p23n01i00674arch OF c03s04b01x00p23n01i00674ent IS BEGIN TESTING: PROCESS -- Declare the type and the file. type SWITCH_LEVEL is ( '0', '1', 'Z' ); subtype LOGIC_SWITCH is SWITCH_LEVEL range '0' to '1'; type FT is file of LOGIC_SWITCH; -- Declare the actual file to write. file FILEV : FT open write_mode is "iofile.49"; -- Declare a variable. constant CON : LOGIC_SWITCH := '1'; variable VAR : LOGIC_SWITCH := CON; BEGIN -- Write out the file. for I in 1 to 100 loop WRITE( FILEV,VAR ); end loop; assert FALSE report "***PASSED TEST: c03s04b01x00p23n01i00674 - The output file will tested by test file s010406.vhd" severity NOTE; wait; END PROCESS TESTING; END c03s04b01x00p23n01i00674arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc674.vhd,v 1.3 2001-10-29 02:12:46 paw Exp $ -- $Revision: 1.3 $ -- -- --------------------------------------------------------------------- -- **************************** -- -- Ported to VHDL 93 by port93.pl - Tue Nov 5 16:37:59 1996 -- -- **************************** -- ENTITY c03s04b01x00p23n01i00674ent IS END c03s04b01x00p23n01i00674ent; ARCHITECTURE c03s04b01x00p23n01i00674arch OF c03s04b01x00p23n01i00674ent IS BEGIN TESTING: PROCESS -- Declare the type and the file. type SWITCH_LEVEL is ( '0', '1', 'Z' ); subtype LOGIC_SWITCH is SWITCH_LEVEL range '0' to '1'; type FT is file of LOGIC_SWITCH; -- Declare the actual file to write. file FILEV : FT open write_mode is "iofile.49"; -- Declare a variable. constant CON : LOGIC_SWITCH := '1'; variable VAR : LOGIC_SWITCH := CON; BEGIN -- Write out the file. for I in 1 to 100 loop WRITE( FILEV,VAR ); end loop; assert FALSE report "***PASSED TEST: c03s04b01x00p23n01i00674 - The output file will tested by test file s010406.vhd" severity NOTE; wait; END PROCESS TESTING; END c03s04b01x00p23n01i00674arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc674.vhd,v 1.3 2001-10-29 02:12:46 paw Exp $ -- $Revision: 1.3 $ -- -- --------------------------------------------------------------------- -- **************************** -- -- Ported to VHDL 93 by port93.pl - Tue Nov 5 16:37:59 1996 -- -- **************************** -- ENTITY c03s04b01x00p23n01i00674ent IS END c03s04b01x00p23n01i00674ent; ARCHITECTURE c03s04b01x00p23n01i00674arch OF c03s04b01x00p23n01i00674ent IS BEGIN TESTING: PROCESS -- Declare the type and the file. type SWITCH_LEVEL is ( '0', '1', 'Z' ); subtype LOGIC_SWITCH is SWITCH_LEVEL range '0' to '1'; type FT is file of LOGIC_SWITCH; -- Declare the actual file to write. file FILEV : FT open write_mode is "iofile.49"; -- Declare a variable. constant CON : LOGIC_SWITCH := '1'; variable VAR : LOGIC_SWITCH := CON; BEGIN -- Write out the file. for I in 1 to 100 loop WRITE( FILEV,VAR ); end loop; assert FALSE report "***PASSED TEST: c03s04b01x00p23n01i00674 - The output file will tested by test file s010406.vhd" severity NOTE; wait; END PROCESS TESTING; END c03s04b01x00p23n01i00674arch;
---------------------------------------------------------------------------- -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2004 GAISLER RESEARCH -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- See the file COPYING for the full details of the license. -- ----------------------------------------------------------------------------- -- Entity: devices -- File: devices.vhd -- Author: Antti Lukats, OpenChip -- Description: Vendor and devices id's for amba plug&play ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.amba.all; -- pragma translate_off use std.textio.all; -- pragma translate_on package devices_ocp is -- Vendor code constant VENDOR_OPENCHIP : amba_vendor_type := 16#06#; -- OpenChip ID's constant OPENCHIP_APBGPIO : amba_device_type := 16#001#; constant OPENCHIP_APBI2C : amba_device_type := 16#002#; constant OPENCHIP_APBSPI : amba_device_type := 16#003#; constant OPENCHIP_APBCHARLCD : amba_device_type := 16#004#; constant OPENCHIP_APBPWM : amba_device_type := 16#005#; constant OPENCHIP_APBPS2 : amba_device_type := 16#006#; constant OPENCHIP_APBMMCSD : amba_device_type := 16#007#; constant OPENCHIP_APBNAND : amba_device_type := 16#008#; constant OPENCHIP_APBLPC : amba_device_type := 16#009#; constant OPENCHIP_APBCF : amba_device_type := 16#00A#; constant OPENCHIP_APBSYSACE : amba_device_type := 16#00B#; constant OPENCHIP_APB1WIRE : amba_device_type := 16#00C#; constant OPENCHIP_APBJTAG : amba_device_type := 16#00D#; constant OPENCHIP_APBSUI : amba_device_type := 16#00E#; -- pragma translate_off constant OPENCHIP_DESC : vendor_description := "OpenChip "; constant openchip_device_table : device_table_type := ( OPENCHIP_APBGPIO => "APB General Purpose IO ", OPENCHIP_APBI2C => "APB I2C Interface ", OPENCHIP_APBSPI => "APB SPI Interface ", OPENCHIP_APBCHARLCD => "APB Character LCD ", OPENCHIP_APBPWM => "APB PWM ", OPENCHIP_APBPS2 => "APB PS/2 Interface ", OPENCHIP_APBMMCSD => "APB MMC/SD Card Interface ", OPENCHIP_APBNAND => "APB NAND(SmartMedia) Interface ", OPENCHIP_APBLPC => "APB LPC Interface ", OPENCHIP_APBCF => "APB CompactFlash (IDE) ", OPENCHIP_APBSYSACE => "APB SystemACE Interface ", OPENCHIP_APB1WIRE => "APB 1-Wire Interface ", OPENCHIP_APBJTAG => "APB JTAG TAP Master ", OPENCHIP_APBSUI => "APB Simple User Interface ", others => "Unknown Device "); constant openchip_lib : vendor_library_type := ( vendorid => VENDOR_OPENCHIP, vendordesc => OPENCHIP_DESC, device_table => openchip_device_table ); -- pragma translate_on end;
---------------------------------------------------------------------------- -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2004 GAISLER RESEARCH -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- See the file COPYING for the full details of the license. -- ----------------------------------------------------------------------------- -- Entity: devices -- File: devices.vhd -- Author: Antti Lukats, OpenChip -- Description: Vendor and devices id's for amba plug&play ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.amba.all; -- pragma translate_off use std.textio.all; -- pragma translate_on package devices_ocp is -- Vendor code constant VENDOR_OPENCHIP : amba_vendor_type := 16#06#; -- OpenChip ID's constant OPENCHIP_APBGPIO : amba_device_type := 16#001#; constant OPENCHIP_APBI2C : amba_device_type := 16#002#; constant OPENCHIP_APBSPI : amba_device_type := 16#003#; constant OPENCHIP_APBCHARLCD : amba_device_type := 16#004#; constant OPENCHIP_APBPWM : amba_device_type := 16#005#; constant OPENCHIP_APBPS2 : amba_device_type := 16#006#; constant OPENCHIP_APBMMCSD : amba_device_type := 16#007#; constant OPENCHIP_APBNAND : amba_device_type := 16#008#; constant OPENCHIP_APBLPC : amba_device_type := 16#009#; constant OPENCHIP_APBCF : amba_device_type := 16#00A#; constant OPENCHIP_APBSYSACE : amba_device_type := 16#00B#; constant OPENCHIP_APB1WIRE : amba_device_type := 16#00C#; constant OPENCHIP_APBJTAG : amba_device_type := 16#00D#; constant OPENCHIP_APBSUI : amba_device_type := 16#00E#; -- pragma translate_off constant OPENCHIP_DESC : vendor_description := "OpenChip "; constant openchip_device_table : device_table_type := ( OPENCHIP_APBGPIO => "APB General Purpose IO ", OPENCHIP_APBI2C => "APB I2C Interface ", OPENCHIP_APBSPI => "APB SPI Interface ", OPENCHIP_APBCHARLCD => "APB Character LCD ", OPENCHIP_APBPWM => "APB PWM ", OPENCHIP_APBPS2 => "APB PS/2 Interface ", OPENCHIP_APBMMCSD => "APB MMC/SD Card Interface ", OPENCHIP_APBNAND => "APB NAND(SmartMedia) Interface ", OPENCHIP_APBLPC => "APB LPC Interface ", OPENCHIP_APBCF => "APB CompactFlash (IDE) ", OPENCHIP_APBSYSACE => "APB SystemACE Interface ", OPENCHIP_APB1WIRE => "APB 1-Wire Interface ", OPENCHIP_APBJTAG => "APB JTAG TAP Master ", OPENCHIP_APBSUI => "APB Simple User Interface ", others => "Unknown Device "); constant openchip_lib : vendor_library_type := ( vendorid => VENDOR_OPENCHIP, vendordesc => OPENCHIP_DESC, device_table => openchip_device_table ); -- pragma translate_on end;
LIBRARY IEEE; -- These lines informs the compiler that the library IEEE is used USE IEEE.std_logic_1164.all; -- contains the definition for the std_logic type plus some useful conversion functions ENTITY tb_manchester_encode IS END tb_manchester_encode; ARCHITECTURE test OF tb_manchester_encode IS COMPONENT manchester_encode IS PORT(input, clk: IN STD_LOGIC; man_out: OUT STD_LOGIC); END COMPONENT; SIGNAL input, clk: STD_LOGIC:='0'; SIGNAL man_out: STD_LOGIC; BEGIN T1: manchester_encode PORT MAP(input, clk, man_out); input<='0', '1' AFTER 15 ns, '0' AFTER 25 ns, '1' AFTER 35 ns, '0' AFTER 45 ns, '1' AFTER 55 ns, '0' AFTER 65 ns, '1' AFTER 75 ns, '0' AFTER 85 ns; clk<=NOT(clk) AFTER 2.5 ns; END test;
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use ieee.numeric_std.all; library work; use work.zpu_config.all; use work.zpupkg.all; use work.zpuinopkg.all; use work.zpuino_config.all; entity zpuino_debug_core is port ( clk: in std_logic; rst: in std_logic; dbg_in: in zpu_dbg_out_type; dbg_out: out zpu_dbg_in_type; dbg_reset: out std_logic; jtag_data_chain_out: out std_logic_vector(98 downto 0); jtag_ctrl_chain_in: in std_logic_vector(11 downto 0) ); end entity; architecture behave of zpuino_debug_core is signal enter_ss: std_logic :='0'; signal step: std_logic := '0'; signal status_injection_ready: std_logic; signal status_injectmode: std_logic; type state_type is ( state_idle, state_debug, state_enter_inject, state_flush, state_inject, state_leave_inject, state_step ); type dbgregs_type is record state: state_type; step: std_logic; inject: std_logic; freeze: std_logic; injectmode: std_logic; reset: std_logic; flush: std_logic; opcode: std_logic_vector(7 downto 0); end record; signal dbgr: dbgregs_type; signal injected: std_logic; signal inject_q_in: std_logic := '0'; signal inject_q: std_logic := '0'; alias jtag_debug: std_logic is jtag_ctrl_chain_in(0); alias jtag_inject: std_logic is jtag_ctrl_chain_in(1); alias jtag_step: std_logic is jtag_ctrl_chain_in(2); alias jtag_reset: std_logic is jtag_ctrl_chain_in(3); alias jtag_opcode: std_logic_vector(7 downto 0) is jtag_ctrl_chain_in(11 downto 4); signal pc_i: std_logic_vector(wordSize-1 downto 0); signal sp_i: std_logic_vector(wordSize-1 downto 0); begin pc_i(wordSize-1 downto dbg_in.pc'high+1) <= (others => '0'); pc_i(dbg_in.pc'high downto dbg_in.pc'low) <= dbg_in.pc; sp_i(wordSize-1 downto dbg_in.sp'high+1) <= (others => '0'); sp_i(dbg_in.sp'high downto dbg_in.sp'low) <= dbg_in.sp; sp_i(dbg_in.sp'low-1 downto 0) <= (others => '0'); -- jtag chain output jtag_data_chain_out <= dbg_in.idim & sp_i & dbg_in.stacka & pc_i & dbg_in.brk & status_injection_ready ; status_injection_ready <= '1' when dbgr.state = state_debug else '0'; process(clk, rst, dbgr, dbg_in.valid, jtag_debug, jtag_opcode, inject_q, dbg_in.ready, dbg_in.pc, dbg_in.idim, jtag_ctrl_chain_in) variable w: dbgregs_type; begin w := dbgr; if rst='1' then w.state := state_idle; w.reset := '0'; w.flush := '0'; w.injectmode := '0'; w.inject := '0'; w.step := '0'; w.freeze := '0'; injected <= '0'; else injected <= '0'; case dbgr.state is when state_idle => w.freeze := '0'; --if jtag_debug='1' then -- w.freeze := '1'; -- w.state := state_debug; --end if; if jtag_debug='1' then --if dbg_ready='1' then w.injectmode := '1'; --w.opcode := jtag_opcode; -- end if; -- Wait for pipeline to finish if dbg_in.valid='0' and dbg_in.ready='1' then --report "Enter PC " & hstr(dbg_pc) & " IDIM flag " & chr(dbg_idim) severity note; w.state:=state_debug; end if; --end if; end if; when state_debug => w.step := '0'; if inject_q='1' then w.state := state_enter_inject; w.injectmode := '1'; w.opcode := jtag_opcode; elsif jtag_debug='0' then w.flush:='1'; w.state := state_leave_inject; end if; when state_leave_inject => w.flush := '0'; w.injectmode:='0'; w.state := state_idle; when state_enter_inject => -- w.state := state_flush; w.state := state_inject; when state_flush => w.flush := '1'; w.state := state_inject; when state_inject => w.inject := '1'; w.flush := '0'; -- Here ? injected <= '1'; w.state := state_step; when state_step => injected <= '0'; w.inject := '0'; if dbg_in.valid='1' then -- w.step := '1'; w.state := state_debug; end if; when others => end case; end if; if rising_edge(clk) then dbgr <= w; end if; end process; dbg_out.freeze <= dbgr.freeze; --dbg_reset <= dbgr.reset; dbg_out.inject <= dbgr.inject; dbg_out.injectmode <= dbgr.injectmode;-- and dbg_ready; dbg_out.step <= dbgr.step; dbg_out.flush <= dbgr.flush; dbg_out.opcode <= dbgr.opcode; process(clk) begin if rising_edge(clk) then dbg_reset <= jtag_ctrl_chain_in(3); end if; end process; -- Synchronization stuff process(jtag_inject, clk, injected, inject_q_in) begin if injected='1' then inject_q <= '0'; inject_q_in <= '0'; else if rising_edge(jtag_inject) then inject_q_in <= '1'; --else -- inject_q_in <= inject_q_in; end if; if rising_edge(clk) then inject_q <= inject_q_in; end if; end if; end process; end behave;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity struct_in_tb is end entity; architecture structure of struct_in_tb is component router_struct is generic ( ADDR_X : natural range 0 to 3 := 0; ADDR_Y : natural range 0 to 3 := 0; N_INST : boolean := true; S_INST : boolean := true; E_INST : boolean := true; W_INST : boolean := true ); port ( CLOCK : in std_logic; RESET : in std_logic; -- local L_DIN : in std_logic_vector(31 downto 0); L_VIN : in std_logic; L_RIN : out std_logic; L_DOUT : out std_logic_vector(31 downto 0); L_VOUT : out std_logic; L_ROUT : in std_logic; L_SZ : out std_logic_vector(15 downto 0); L_DIR : out std_logic_vector(4 downto 0); --LNSEW -- north N_DIN : in std_logic_vector(31 downto 0); N_VIN : in std_logic; N_RIN : out std_logic; N_DOUT : out std_logic_vector(31 downto 0); N_VOUT : out std_logic; N_ROUT : in std_logic; N_SZ : out std_logic_vector(15 downto 0); N_DIR : out std_logic_vector(4 downto 0); -- south S_DIN : in std_logic_vector(31 downto 0); S_VIN : in std_logic; S_RIN : out std_logic; S_DOUT : out std_logic_vector(31 downto 0); S_VOUT : out std_logic; S_ROUT : in std_logic; S_SZ : out std_logic_vector(15 downto 0); S_DIR : out std_logic_vector(4 downto 0); -- east E_DIN : in std_logic_vector(31 downto 0); E_VIN : in std_logic; E_RIN : out std_logic; E_DOUT : out std_logic_vector(31 downto 0); E_VOUT : out std_logic; E_ROUT : in std_logic; E_SZ : out std_logic_vector(15 downto 0); E_DIR : out std_logic_vector(4 downto 0); -- west W_DIN : in std_logic_vector(31 downto 0); W_VIN : in std_logic; W_RIN : out std_logic; W_DOUT : out std_logic_vector(31 downto 0); W_VOUT : out std_logic; W_ROUT : in std_logic; W_SZ : out std_logic_vector(15 downto 0); W_DIR : out std_logic_vector(4 downto 0) ); end component; constant ADDR_X : natural range 0 to 3 := 1; constant ADDR_Y : natural range 0 to 3 := 1; constant N_INST : boolean := true; constant S_INST : boolean := true; constant E_INST : boolean := true; constant W_INST : boolean := true; signal CLOCK : std_logic := '0'; signal RESET : std_logic := '0'; -- local signal L_DIN : std_logic_vector(31 downto 0) := (others => 'X'); signal L_VIN : std_logic := '0'; signal L_RIN : std_logic; signal L_DOUT : std_logic_vector(31 downto 0); signal L_VOUT : std_logic; signal L_ROUT : std_logic := '0'; signal L_SZ : std_logic_vector(15 downto 0); signal L_DIR : std_logic_vector(4 downto 0); --LNSEW -- north signal N_DIN : std_logic_vector(31 downto 0) := (others => 'X'); signal N_VIN : std_logic := '0'; signal N_RIN : std_logic; signal N_DOUT : std_logic_vector(31 downto 0); signal N_VOUT : std_logic; signal N_ROUT : std_logic := '0'; signal N_SZ : std_logic_vector(15 downto 0); signal N_DIR : std_logic_vector(4 downto 0); -- south signal S_DIN : std_logic_vector(31 downto 0) := (others => 'X'); signal S_VIN : std_logic := '0'; signal S_RIN : std_logic; signal S_DOUT : std_logic_vector(31 downto 0); signal S_VOUT : std_logic; signal S_ROUT : std_logic := '0'; signal S_SZ : std_logic_vector(15 downto 0); signal S_DIR : std_logic_vector(4 downto 0); -- east signal E_DIN : std_logic_vector(31 downto 0) := (others => 'X'); signal E_VIN : std_logic := '0'; signal E_RIN : std_logic; signal E_DOUT : std_logic_vector(31 downto 0); signal E_VOUT : std_logic; signal E_ROUT : std_logic := '0'; signal E_SZ : std_logic_vector(15 downto 0); signal E_DIR : std_logic_vector(4 downto 0); -- west signal W_DIN : std_logic_vector(31 downto 0) := (others => 'X'); signal W_VIN : std_logic := '0'; signal W_RIN : std_logic; signal W_DOUT : std_logic_vector(31 downto 0); signal W_VOUT : std_logic; signal W_ROUT : std_logic := '0'; signal W_SZ : std_logic_vector(15 downto 0); signal W_DIR : std_logic_vector(4 downto 0); constant PKT_SIZE : positive := 8; begin UUT: struct_in generic map ( ADDR_X => ADDR_X, ADDR_Y => ADDR_Y, N_INST => N_INST, S_INST => S_INST, E_INST => E_INST, W_INST => W_INST ) port map ( CLOCK => CLOCK, RESET => RESET, -- local L_DIN => L_DIN, L_VIN => L_VIN, L_RIN => L_RIN, L_DOUT => L_DOUT, L_VOUT => L_VOUT, L_ROUT => L_ROUT, L_SZ => L_SZ, L_DIR => L_DIR, -- north N_DIN => N_DIN, N_VIN => N_VIN, N_RIN => N_RIN, N_DOUT => N_DOUT, N_VOUT => N_VOUT, N_ROUT => N_ROUT, N_SZ => N_SZ, N_DIR => N_DIR, -- south S_DIN => S_DIN, S_VIN => S_VIN, S_RIN => S_RIN, S_DOUT => S_DOUT, S_VOUT => S_VOUT, S_ROUT => S_ROUT, S_SZ => S_SZ, S_DIR => S_DIR, -- east E_DIN => E_DIN, E_VIN => E_VIN, E_RIN => E_RIN, E_DOUT => E_DOUT, E_VOUT => E_VOUT, E_ROUT => E_ROUT, E_SZ => E_SZ, E_DIR => E_DIR, -- west W_DIN => W_DIN, W_VIN => W_VIN, W_RIN => W_RIN, W_DOUT => W_DOUT, W_VOUT => W_VOUT, W_ROUT => W_ROUT, W_SZ => W_SZ, W_DIR => W_DIR ); CLOCK <= not CLOCK after 5 ns; RESET <= '1', '0' after 20 ns; -- local L_PROC: process begin wait for 20 ns; L_DIN <= X"00000000"; --west L_VIN <= '1'; wait until rising_edge(CLOCK); L_DIN <= X"10000000"; L_VIN <= '1'; wait until rising_edge(CLOCK); L_DIN <= std_logic_vector(to_unsigned(PKT_SIZE, 32)); L_VIN <= '1'; wait until rising_edge(CLOCK); for i in 1 to PKT_SIZE - 3 loop L_DIN <= std_logic_vector(to_unsigned(i, 32)); L_VIN <= '1'; wait until rising_edge(CLOCK); end loop; L_DIN <= (others => 'X'); L_VIN <= '0'; wait for 50 ns; for i in 1 to 16 loop L_ROUT <= '1'; wait until rising_edge(CLOCK); end loop; L_ROUT <= '0'; wait for 100 ns; wait; end process; -- north N_PROC: process begin wait for 20 ns; N_DIN <= X"F0000000"; --east N_VIN <= '1'; wait until rising_edge(CLOCK); N_DIN <= X"10000000"; N_VIN <= '1'; wait until rising_edge(CLOCK); N_DIN <= std_logic_vector(to_unsigned(PKT_SIZE, 32)); N_VIN <= '1'; wait until rising_edge(CLOCK); for i in 1 to PKT_SIZE - 3 loop N_DIN <= std_logic_vector(to_unsigned(i, 32)); N_VIN <= '1'; wait until rising_edge(CLOCK); end loop; N_DIN <= (others => 'X'); N_VIN <= '0'; wait for 50 ns; for i in 1 to 16 loop N_ROUT <= '1'; wait until rising_edge(CLOCK); end loop; N_ROUT <= '0'; wait for 100 ns; wait; end process; -- south S_PROC: process begin wait for 20 ns; S_DIN <= X"70000000"; --north S_VIN <= '1'; wait until rising_edge(CLOCK); S_DIN <= X"10000000"; S_VIN <= '1'; wait until rising_edge(CLOCK); S_DIN <= std_logic_vector(to_unsigned(PKT_SIZE, 32)); S_VIN <= '1'; wait until rising_edge(CLOCK); for i in 1 to PKT_SIZE - 3 loop S_DIN <= std_logic_vector(to_unsigned(i, 32)); S_VIN <= '1'; wait until rising_edge(CLOCK); end loop; S_DIN <= (others => 'X'); S_VIN <= '0'; wait for 50 ns; for i in 1 to 16 loop S_ROUT <= '1'; wait until rising_edge(CLOCK); end loop; S_ROUT <= '0'; wait for 100 ns; wait; end process; -- east E_PROC: process begin wait for 20 ns; E_DIN <= X"40000000"; --south E_VIN <= '1'; wait until rising_edge(CLOCK); E_DIN <= X"10000000"; E_VIN <= '1'; wait until rising_edge(CLOCK); E_DIN <= std_logic_vector(to_unsigned(PKT_SIZE, 32)); E_VIN <= '1'; wait until rising_edge(CLOCK); for i in 1 to PKT_SIZE - 3 loop E_DIN <= std_logic_vector(to_unsigned(i, 32)); E_VIN <= '1'; wait until rising_edge(CLOCK); end loop; E_DIN <= (others => 'X'); E_VIN <= '0'; wait for 50 ns; for i in 1 to 16 loop E_ROUT <= '1'; wait until rising_edge(CLOCK); end loop; E_ROUT <= '0'; wait for 100 ns; wait; end process; -- west W_PROC: process begin wait for 20 ns; W_DIN <= X"50000000"; --local W_VIN <= '1'; wait until rising_edge(CLOCK); W_DIN <= X"10000000"; W_VIN <= '1'; wait until rising_edge(CLOCK); W_DIN <= std_logic_vector(to_unsigned(PKT_SIZE, 32)); W_VIN <= '1'; wait until rising_edge(CLOCK); for i in 1 to PKT_SIZE - 3 loop W_DIN <= std_logic_vector(to_unsigned(i, 32)); W_VIN <= '1'; wait until rising_edge(CLOCK); end loop; W_DIN <= (others => 'X'); W_VIN <= '0'; wait for 50 ns; for i in 1 to 16 loop W_ROUT <= '1'; wait until rising_edge(CLOCK); end loop; W_ROUT <= '0'; wait for 100 ns; wait; end process; end architecture;
-- This file is not intended for synthesis. The entity described in this file -- is not directly instantiatable from HDL because its port list changes in a -- way which is too complex to describe in VHDL or Verilog. Please use a tool -- such as SOPC builder, DSP builder or the Megawizard plug-in manager to -- instantiate this entity. --altera translate_off entity alt_dspbuilder_testbench_capture is end entity alt_dspbuilder_testbench_capture; architecture rtl of alt_dspbuilder_testbench_capture is begin assert false report "This file is not intended for synthesis. Please remove it from your project" severity error; end architecture rtl; --altera translate_on
-- This file is not intended for synthesis. The entity described in this file -- is not directly instantiatable from HDL because its port list changes in a -- way which is too complex to describe in VHDL or Verilog. Please use a tool -- such as SOPC builder, DSP builder or the Megawizard plug-in manager to -- instantiate this entity. --altera translate_off entity alt_dspbuilder_testbench_capture is end entity alt_dspbuilder_testbench_capture; architecture rtl of alt_dspbuilder_testbench_capture is begin assert false report "This file is not intended for synthesis. Please remove it from your project" severity error; end architecture rtl; --altera translate_on
-- This file is not intended for synthesis. The entity described in this file -- is not directly instantiatable from HDL because its port list changes in a -- way which is too complex to describe in VHDL or Verilog. Please use a tool -- such as SOPC builder, DSP builder or the Megawizard plug-in manager to -- instantiate this entity. --altera translate_off entity alt_dspbuilder_testbench_capture is end entity alt_dspbuilder_testbench_capture; architecture rtl of alt_dspbuilder_testbench_capture is begin assert false report "This file is not intended for synthesis. Please remove it from your project" severity error; end architecture rtl; --altera translate_on
-- This file is not intended for synthesis. The entity described in this file -- is not directly instantiatable from HDL because its port list changes in a -- way which is too complex to describe in VHDL or Verilog. Please use a tool -- such as SOPC builder, DSP builder or the Megawizard plug-in manager to -- instantiate this entity. --altera translate_off entity alt_dspbuilder_testbench_capture is end entity alt_dspbuilder_testbench_capture; architecture rtl of alt_dspbuilder_testbench_capture is begin assert false report "This file is not intended for synthesis. Please remove it from your project" severity error; end architecture rtl; --altera translate_on
-- This file is not intended for synthesis. The entity described in this file -- is not directly instantiatable from HDL because its port list changes in a -- way which is too complex to describe in VHDL or Verilog. Please use a tool -- such as SOPC builder, DSP builder or the Megawizard plug-in manager to -- instantiate this entity. --altera translate_off entity alt_dspbuilder_testbench_capture is end entity alt_dspbuilder_testbench_capture; architecture rtl of alt_dspbuilder_testbench_capture is begin assert false report "This file is not intended for synthesis. Please remove it from your project" severity error; end architecture rtl; --altera translate_on
-- This file is not intended for synthesis. The entity described in this file -- is not directly instantiatable from HDL because its port list changes in a -- way which is too complex to describe in VHDL or Verilog. Please use a tool -- such as SOPC builder, DSP builder or the Megawizard plug-in manager to -- instantiate this entity. --altera translate_off entity alt_dspbuilder_testbench_capture is end entity alt_dspbuilder_testbench_capture; architecture rtl of alt_dspbuilder_testbench_capture is begin assert false report "This file is not intended for synthesis. Please remove it from your project" severity error; end architecture rtl; --altera translate_on
-- This file is not intended for synthesis. The entity described in this file -- is not directly instantiatable from HDL because its port list changes in a -- way which is too complex to describe in VHDL or Verilog. Please use a tool -- such as SOPC builder, DSP builder or the Megawizard plug-in manager to -- instantiate this entity. --altera translate_off entity alt_dspbuilder_testbench_capture is end entity alt_dspbuilder_testbench_capture; architecture rtl of alt_dspbuilder_testbench_capture is begin assert false report "This file is not intended for synthesis. Please remove it from your project" severity error; end architecture rtl; --altera translate_on
-- This file is not intended for synthesis. The entity described in this file -- is not directly instantiatable from HDL because its port list changes in a -- way which is too complex to describe in VHDL or Verilog. Please use a tool -- such as SOPC builder, DSP builder or the Megawizard plug-in manager to -- instantiate this entity. --altera translate_off entity alt_dspbuilder_testbench_capture is end entity alt_dspbuilder_testbench_capture; architecture rtl of alt_dspbuilder_testbench_capture is begin assert false report "This file is not intended for synthesis. Please remove it from your project" severity error; end architecture rtl; --altera translate_on
-- This file is not intended for synthesis. The entity described in this file -- is not directly instantiatable from HDL because its port list changes in a -- way which is too complex to describe in VHDL or Verilog. Please use a tool -- such as SOPC builder, DSP builder or the Megawizard plug-in manager to -- instantiate this entity. --altera translate_off entity alt_dspbuilder_testbench_capture is end entity alt_dspbuilder_testbench_capture; architecture rtl of alt_dspbuilder_testbench_capture is begin assert false report "This file is not intended for synthesis. Please remove it from your project" severity error; end architecture rtl; --altera translate_on
-- This file is not intended for synthesis. The entity described in this file -- is not directly instantiatable from HDL because its port list changes in a -- way which is too complex to describe in VHDL or Verilog. Please use a tool -- such as SOPC builder, DSP builder or the Megawizard plug-in manager to -- instantiate this entity. --altera translate_off entity alt_dspbuilder_testbench_capture is end entity alt_dspbuilder_testbench_capture; architecture rtl of alt_dspbuilder_testbench_capture is begin assert false report "This file is not intended for synthesis. Please remove it from your project" severity error; end architecture rtl; --altera translate_on
-------------------------------------------------------------------------------- -- Author: Parham Alvani ([email protected]) -- -- Create Date: 29-02-2016 -- Module Name: moore.vhd -------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; entity moore is port (d, clk, reset : in std_logic; z : out std_logic); end entity moore; architecture arch_moore of moore is type state is (S0, S1, S2, S3, S4); signal current : state := S0; begin process (clk, reset) begin if reset = '1' then current <= S0; end if; if clk = '1' and clk'event then case current is when S0 => if d = '0' then current <= S0; else current <= S1; end if; when S1 => if d = '0' then current <= S2; else current <= S0; end if; when S2 => if d = '1' then current <= S3; else current <= S0; end if; when S3 => if d = '0' then current <= S2; else current <= S4; end if; when S4 => if d = '0' then current <= S1; else current <= S2; end if; end case; end if; end process; z <= '1' when current = S4 else '0'; end architecture arch_moore;
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2013.4 -- Copyright (C) 2013 Xilinx Inc. All rights reserved. -- -- ============================================================== library IEEE; use IEEE.std_logic_1164.all; use ieee.std_logic_arith.all; entity nfa_accept_samples_generic_hw_add_14ns_14ns_14_4_AddSubnS_4 is port ( clk: in std_logic; reset: in std_logic; ce: in std_logic; a: in std_logic_vector(13 downto 0); b: in std_logic_vector(13 downto 0); s: out std_logic_vector(13 downto 0)); end entity; architecture behav of nfa_accept_samples_generic_hw_add_14ns_14ns_14_4_AddSubnS_4 is component nfa_accept_samples_generic_hw_add_14ns_14ns_14_4_AddSubnS_4_fadder is port ( faa : IN STD_LOGIC_VECTOR (4-1 downto 0); fab : IN STD_LOGIC_VECTOR (4-1 downto 0); facin : IN STD_LOGIC_VECTOR (0 downto 0); fas : OUT STD_LOGIC_VECTOR (4-1 downto 0); facout : OUT STD_LOGIC_VECTOR (0 downto 0)); end component; component nfa_accept_samples_generic_hw_add_14ns_14ns_14_4_AddSubnS_4_fadder_f is port ( faa : IN STD_LOGIC_VECTOR (2-1 downto 0); fab : IN STD_LOGIC_VECTOR (2-1 downto 0); facin : IN STD_LOGIC_VECTOR (0 downto 0); fas : OUT STD_LOGIC_VECTOR (2-1 downto 0); facout : OUT STD_LOGIC_VECTOR (0 downto 0)); end component; -- ---- register and wire type variables list here ---- -- wire for the primary inputs signal a_reg : std_logic_vector(13 downto 0); signal b_reg : std_logic_vector(13 downto 0); -- wires for each small adder signal a0_cb : std_logic_vector(3 downto 0); signal b0_cb : std_logic_vector(3 downto 0); signal a1_cb : std_logic_vector(7 downto 4); signal b1_cb : std_logic_vector(7 downto 4); signal a2_cb : std_logic_vector(11 downto 8); signal b2_cb : std_logic_vector(11 downto 8); signal a3_cb : std_logic_vector(13 downto 12); signal b3_cb : std_logic_vector(13 downto 12); -- registers for input register array type ramtypei0 is array (0 downto 0) of std_logic_vector(3 downto 0); signal a1_cb_regi1 : ramtypei0; signal b1_cb_regi1 : ramtypei0; type ramtypei1 is array (1 downto 0) of std_logic_vector(3 downto 0); signal a2_cb_regi2 : ramtypei1; signal b2_cb_regi2 : ramtypei1; type ramtypei2 is array (2 downto 0) of std_logic_vector(1 downto 0); signal a3_cb_regi3 : ramtypei2; signal b3_cb_regi3 : ramtypei2; -- wires for each full adder sum signal fas : std_logic_vector(13 downto 0); -- wires and register for carry out bit signal faccout_ini : std_logic_vector (0 downto 0); signal faccout0_co0 : std_logic_vector (0 downto 0); signal faccout1_co1 : std_logic_vector (0 downto 0); signal faccout2_co2 : std_logic_vector (0 downto 0); signal faccout3_co3 : std_logic_vector (0 downto 0); signal faccout0_co0_reg : std_logic_vector (0 downto 0); signal faccout1_co1_reg : std_logic_vector (0 downto 0); signal faccout2_co2_reg : std_logic_vector (0 downto 0); -- registers for output register array type ramtypeo2 is array (2 downto 0) of std_logic_vector(3 downto 0); signal s0_ca_rego0 : ramtypeo2; type ramtypeo1 is array (1 downto 0) of std_logic_vector(3 downto 0); signal s1_ca_rego1 : ramtypeo1; type ramtypeo0 is array (0 downto 0) of std_logic_vector(3 downto 0); signal s2_ca_rego2 : ramtypeo0; -- wire for the temporary output signal s_tmp : std_logic_vector(13 downto 0); -- ---- RTL code for assignment statements/always blocks/module instantiations here ---- begin a_reg <= a; b_reg <= b; -- small adder input assigments a0_cb <= a_reg(3 downto 0); b0_cb <= b_reg(3 downto 0); a1_cb <= a_reg(7 downto 4); b1_cb <= b_reg(7 downto 4); a2_cb <= a_reg(11 downto 8); b2_cb <= b_reg(11 downto 8); a3_cb <= a_reg(13 downto 12); b3_cb <= b_reg(13 downto 12); -- input register array process (clk) begin if (clk'event and clk='1') then if (ce='1') then a1_cb_regi1 (0) <= a1_cb; b1_cb_regi1 (0) <= b1_cb; a2_cb_regi2 (0) <= a2_cb; b2_cb_regi2 (0) <= b2_cb; a3_cb_regi3 (0) <= a3_cb; b3_cb_regi3 (0) <= b3_cb; a2_cb_regi2 (1) <= a2_cb_regi2 (0); b2_cb_regi2 (1) <= b2_cb_regi2 (0); a3_cb_regi3 (1) <= a3_cb_regi3 (0); b3_cb_regi3 (1) <= b3_cb_regi3 (0); a3_cb_regi3 (2) <= a3_cb_regi3 (1); b3_cb_regi3 (2) <= b3_cb_regi3 (1); end if; end if; end process; -- carry out bit processing process (clk) begin if (clk'event and clk='1') then if (ce='1') then faccout0_co0_reg <= faccout0_co0; faccout1_co1_reg <= faccout1_co1; faccout2_co2_reg <= faccout2_co2; end if; end if; end process; -- small adder generation u0 : nfa_accept_samples_generic_hw_add_14ns_14ns_14_4_AddSubnS_4_fadder port map (faa => a0_cb, fab => b0_cb, facin => faccout_ini, fas => fas(3 downto 0), facout => faccout0_co0); u1 : nfa_accept_samples_generic_hw_add_14ns_14ns_14_4_AddSubnS_4_fadder port map (faa => a1_cb_regi1(0), fab => b1_cb_regi1(0), facin => faccout0_co0_reg, fas => fas(7 downto 4), facout => faccout1_co1); u2 : nfa_accept_samples_generic_hw_add_14ns_14ns_14_4_AddSubnS_4_fadder port map (faa => a2_cb_regi2(1), fab => b2_cb_regi2(1), facin => faccout1_co1_reg, fas => fas(11 downto 8), facout => faccout2_co2); u3 : nfa_accept_samples_generic_hw_add_14ns_14ns_14_4_AddSubnS_4_fadder_f port map (faa => a3_cb_regi3(2), fab => b3_cb_regi3(2), facin => faccout2_co2_reg, fas => fas(13 downto 12), facout => faccout3_co3); faccout_ini <= "0"; -- output register array process (clk) begin if (clk'event and clk='1') then if (ce='1') then s0_ca_rego0 (0) <= fas(3 downto 0); s1_ca_rego1 (0) <= fas(7 downto 4); s2_ca_rego2 (0) <= fas(11 downto 8); s0_ca_rego0 (1) <= s0_ca_rego0 (0); s0_ca_rego0 (2) <= s0_ca_rego0 (1); s1_ca_rego1 (1) <= s1_ca_rego1 (0); end if; end if; end process; -- get the s_tmp, assign it to the primary output s_tmp(3 downto 0) <= s0_ca_rego0(2); s_tmp(7 downto 4) <= s1_ca_rego1(1); s_tmp(11 downto 8) <= s2_ca_rego2(0); s_tmp(13 downto 12) <= fas(13 downto 12); s <= s_tmp; end architecture; -- short adder library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity nfa_accept_samples_generic_hw_add_14ns_14ns_14_4_AddSubnS_4_fadder is generic(N : natural :=4); port ( faa : IN STD_LOGIC_VECTOR (N-1 downto 0); fab : IN STD_LOGIC_VECTOR (N-1 downto 0); facin : IN STD_LOGIC_VECTOR (0 downto 0); fas : OUT STD_LOGIC_VECTOR (N-1 downto 0); facout : OUT STD_LOGIC_VECTOR (0 downto 0)); end; architecture behav of nfa_accept_samples_generic_hw_add_14ns_14ns_14_4_AddSubnS_4_fadder is signal tmp : STD_LOGIC_VECTOR (N downto 0); begin tmp <= std_logic_vector(unsigned(std_logic_vector(unsigned(std_logic_vector(resize(unsigned(faa),N+1))) + unsigned(fab))) + unsigned(facin)); fas <= tmp(N-1 downto 0 ); facout <= tmp(N downto N); end behav; -- the final stage short adder library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity nfa_accept_samples_generic_hw_add_14ns_14ns_14_4_AddSubnS_4_fadder_f is generic(N : natural :=2); port ( faa : IN STD_LOGIC_VECTOR (N-1 downto 0); fab : IN STD_LOGIC_VECTOR (N-1 downto 0); facin : IN STD_LOGIC_VECTOR (0 downto 0); fas : OUT STD_LOGIC_VECTOR (N-1 downto 0); facout : OUT STD_LOGIC_VECTOR (0 downto 0)); end; architecture behav of nfa_accept_samples_generic_hw_add_14ns_14ns_14_4_AddSubnS_4_fadder_f is signal tmp : STD_LOGIC_VECTOR (N downto 0); begin tmp <= std_logic_vector(unsigned(std_logic_vector(unsigned(std_logic_vector(resize(unsigned(faa),N+1))) + unsigned(fab))) + unsigned(facin)); fas <= tmp(N-1 downto 0 ); facout <= tmp(N downto N); end behav; Library IEEE; use IEEE.std_logic_1164.all; entity nfa_accept_samples_generic_hw_add_14ns_14ns_14_4 is generic ( ID : INTEGER; NUM_STAGE : INTEGER; din0_WIDTH : INTEGER; din1_WIDTH : INTEGER; dout_WIDTH : INTEGER); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; ce : IN STD_LOGIC; din0 : IN STD_LOGIC_VECTOR(din0_WIDTH - 1 DOWNTO 0); din1 : IN STD_LOGIC_VECTOR(din1_WIDTH - 1 DOWNTO 0); dout : OUT STD_LOGIC_VECTOR(dout_WIDTH - 1 DOWNTO 0)); end entity; architecture arch of nfa_accept_samples_generic_hw_add_14ns_14ns_14_4 is component nfa_accept_samples_generic_hw_add_14ns_14ns_14_4_AddSubnS_4 is port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; ce : IN STD_LOGIC; a : IN STD_LOGIC_VECTOR; b : IN STD_LOGIC_VECTOR; s : OUT STD_LOGIC_VECTOR); end component; begin nfa_accept_samples_generic_hw_add_14ns_14ns_14_4_AddSubnS_4_U : component nfa_accept_samples_generic_hw_add_14ns_14ns_14_4_AddSubnS_4 port map ( clk => clk, reset => reset, ce => ce, a => din0, b => din1, s => dout); end architecture;
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2013.4 -- Copyright (C) 2013 Xilinx Inc. All rights reserved. -- -- ============================================================== library IEEE; use IEEE.std_logic_1164.all; use ieee.std_logic_arith.all; entity nfa_accept_samples_generic_hw_add_14ns_14ns_14_4_AddSubnS_4 is port ( clk: in std_logic; reset: in std_logic; ce: in std_logic; a: in std_logic_vector(13 downto 0); b: in std_logic_vector(13 downto 0); s: out std_logic_vector(13 downto 0)); end entity; architecture behav of nfa_accept_samples_generic_hw_add_14ns_14ns_14_4_AddSubnS_4 is component nfa_accept_samples_generic_hw_add_14ns_14ns_14_4_AddSubnS_4_fadder is port ( faa : IN STD_LOGIC_VECTOR (4-1 downto 0); fab : IN STD_LOGIC_VECTOR (4-1 downto 0); facin : IN STD_LOGIC_VECTOR (0 downto 0); fas : OUT STD_LOGIC_VECTOR (4-1 downto 0); facout : OUT STD_LOGIC_VECTOR (0 downto 0)); end component; component nfa_accept_samples_generic_hw_add_14ns_14ns_14_4_AddSubnS_4_fadder_f is port ( faa : IN STD_LOGIC_VECTOR (2-1 downto 0); fab : IN STD_LOGIC_VECTOR (2-1 downto 0); facin : IN STD_LOGIC_VECTOR (0 downto 0); fas : OUT STD_LOGIC_VECTOR (2-1 downto 0); facout : OUT STD_LOGIC_VECTOR (0 downto 0)); end component; -- ---- register and wire type variables list here ---- -- wire for the primary inputs signal a_reg : std_logic_vector(13 downto 0); signal b_reg : std_logic_vector(13 downto 0); -- wires for each small adder signal a0_cb : std_logic_vector(3 downto 0); signal b0_cb : std_logic_vector(3 downto 0); signal a1_cb : std_logic_vector(7 downto 4); signal b1_cb : std_logic_vector(7 downto 4); signal a2_cb : std_logic_vector(11 downto 8); signal b2_cb : std_logic_vector(11 downto 8); signal a3_cb : std_logic_vector(13 downto 12); signal b3_cb : std_logic_vector(13 downto 12); -- registers for input register array type ramtypei0 is array (0 downto 0) of std_logic_vector(3 downto 0); signal a1_cb_regi1 : ramtypei0; signal b1_cb_regi1 : ramtypei0; type ramtypei1 is array (1 downto 0) of std_logic_vector(3 downto 0); signal a2_cb_regi2 : ramtypei1; signal b2_cb_regi2 : ramtypei1; type ramtypei2 is array (2 downto 0) of std_logic_vector(1 downto 0); signal a3_cb_regi3 : ramtypei2; signal b3_cb_regi3 : ramtypei2; -- wires for each full adder sum signal fas : std_logic_vector(13 downto 0); -- wires and register for carry out bit signal faccout_ini : std_logic_vector (0 downto 0); signal faccout0_co0 : std_logic_vector (0 downto 0); signal faccout1_co1 : std_logic_vector (0 downto 0); signal faccout2_co2 : std_logic_vector (0 downto 0); signal faccout3_co3 : std_logic_vector (0 downto 0); signal faccout0_co0_reg : std_logic_vector (0 downto 0); signal faccout1_co1_reg : std_logic_vector (0 downto 0); signal faccout2_co2_reg : std_logic_vector (0 downto 0); -- registers for output register array type ramtypeo2 is array (2 downto 0) of std_logic_vector(3 downto 0); signal s0_ca_rego0 : ramtypeo2; type ramtypeo1 is array (1 downto 0) of std_logic_vector(3 downto 0); signal s1_ca_rego1 : ramtypeo1; type ramtypeo0 is array (0 downto 0) of std_logic_vector(3 downto 0); signal s2_ca_rego2 : ramtypeo0; -- wire for the temporary output signal s_tmp : std_logic_vector(13 downto 0); -- ---- RTL code for assignment statements/always blocks/module instantiations here ---- begin a_reg <= a; b_reg <= b; -- small adder input assigments a0_cb <= a_reg(3 downto 0); b0_cb <= b_reg(3 downto 0); a1_cb <= a_reg(7 downto 4); b1_cb <= b_reg(7 downto 4); a2_cb <= a_reg(11 downto 8); b2_cb <= b_reg(11 downto 8); a3_cb <= a_reg(13 downto 12); b3_cb <= b_reg(13 downto 12); -- input register array process (clk) begin if (clk'event and clk='1') then if (ce='1') then a1_cb_regi1 (0) <= a1_cb; b1_cb_regi1 (0) <= b1_cb; a2_cb_regi2 (0) <= a2_cb; b2_cb_regi2 (0) <= b2_cb; a3_cb_regi3 (0) <= a3_cb; b3_cb_regi3 (0) <= b3_cb; a2_cb_regi2 (1) <= a2_cb_regi2 (0); b2_cb_regi2 (1) <= b2_cb_regi2 (0); a3_cb_regi3 (1) <= a3_cb_regi3 (0); b3_cb_regi3 (1) <= b3_cb_regi3 (0); a3_cb_regi3 (2) <= a3_cb_regi3 (1); b3_cb_regi3 (2) <= b3_cb_regi3 (1); end if; end if; end process; -- carry out bit processing process (clk) begin if (clk'event and clk='1') then if (ce='1') then faccout0_co0_reg <= faccout0_co0; faccout1_co1_reg <= faccout1_co1; faccout2_co2_reg <= faccout2_co2; end if; end if; end process; -- small adder generation u0 : nfa_accept_samples_generic_hw_add_14ns_14ns_14_4_AddSubnS_4_fadder port map (faa => a0_cb, fab => b0_cb, facin => faccout_ini, fas => fas(3 downto 0), facout => faccout0_co0); u1 : nfa_accept_samples_generic_hw_add_14ns_14ns_14_4_AddSubnS_4_fadder port map (faa => a1_cb_regi1(0), fab => b1_cb_regi1(0), facin => faccout0_co0_reg, fas => fas(7 downto 4), facout => faccout1_co1); u2 : nfa_accept_samples_generic_hw_add_14ns_14ns_14_4_AddSubnS_4_fadder port map (faa => a2_cb_regi2(1), fab => b2_cb_regi2(1), facin => faccout1_co1_reg, fas => fas(11 downto 8), facout => faccout2_co2); u3 : nfa_accept_samples_generic_hw_add_14ns_14ns_14_4_AddSubnS_4_fadder_f port map (faa => a3_cb_regi3(2), fab => b3_cb_regi3(2), facin => faccout2_co2_reg, fas => fas(13 downto 12), facout => faccout3_co3); faccout_ini <= "0"; -- output register array process (clk) begin if (clk'event and clk='1') then if (ce='1') then s0_ca_rego0 (0) <= fas(3 downto 0); s1_ca_rego1 (0) <= fas(7 downto 4); s2_ca_rego2 (0) <= fas(11 downto 8); s0_ca_rego0 (1) <= s0_ca_rego0 (0); s0_ca_rego0 (2) <= s0_ca_rego0 (1); s1_ca_rego1 (1) <= s1_ca_rego1 (0); end if; end if; end process; -- get the s_tmp, assign it to the primary output s_tmp(3 downto 0) <= s0_ca_rego0(2); s_tmp(7 downto 4) <= s1_ca_rego1(1); s_tmp(11 downto 8) <= s2_ca_rego2(0); s_tmp(13 downto 12) <= fas(13 downto 12); s <= s_tmp; end architecture; -- short adder library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity nfa_accept_samples_generic_hw_add_14ns_14ns_14_4_AddSubnS_4_fadder is generic(N : natural :=4); port ( faa : IN STD_LOGIC_VECTOR (N-1 downto 0); fab : IN STD_LOGIC_VECTOR (N-1 downto 0); facin : IN STD_LOGIC_VECTOR (0 downto 0); fas : OUT STD_LOGIC_VECTOR (N-1 downto 0); facout : OUT STD_LOGIC_VECTOR (0 downto 0)); end; architecture behav of nfa_accept_samples_generic_hw_add_14ns_14ns_14_4_AddSubnS_4_fadder is signal tmp : STD_LOGIC_VECTOR (N downto 0); begin tmp <= std_logic_vector(unsigned(std_logic_vector(unsigned(std_logic_vector(resize(unsigned(faa),N+1))) + unsigned(fab))) + unsigned(facin)); fas <= tmp(N-1 downto 0 ); facout <= tmp(N downto N); end behav; -- the final stage short adder library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity nfa_accept_samples_generic_hw_add_14ns_14ns_14_4_AddSubnS_4_fadder_f is generic(N : natural :=2); port ( faa : IN STD_LOGIC_VECTOR (N-1 downto 0); fab : IN STD_LOGIC_VECTOR (N-1 downto 0); facin : IN STD_LOGIC_VECTOR (0 downto 0); fas : OUT STD_LOGIC_VECTOR (N-1 downto 0); facout : OUT STD_LOGIC_VECTOR (0 downto 0)); end; architecture behav of nfa_accept_samples_generic_hw_add_14ns_14ns_14_4_AddSubnS_4_fadder_f is signal tmp : STD_LOGIC_VECTOR (N downto 0); begin tmp <= std_logic_vector(unsigned(std_logic_vector(unsigned(std_logic_vector(resize(unsigned(faa),N+1))) + unsigned(fab))) + unsigned(facin)); fas <= tmp(N-1 downto 0 ); facout <= tmp(N downto N); end behav; Library IEEE; use IEEE.std_logic_1164.all; entity nfa_accept_samples_generic_hw_add_14ns_14ns_14_4 is generic ( ID : INTEGER; NUM_STAGE : INTEGER; din0_WIDTH : INTEGER; din1_WIDTH : INTEGER; dout_WIDTH : INTEGER); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; ce : IN STD_LOGIC; din0 : IN STD_LOGIC_VECTOR(din0_WIDTH - 1 DOWNTO 0); din1 : IN STD_LOGIC_VECTOR(din1_WIDTH - 1 DOWNTO 0); dout : OUT STD_LOGIC_VECTOR(dout_WIDTH - 1 DOWNTO 0)); end entity; architecture arch of nfa_accept_samples_generic_hw_add_14ns_14ns_14_4 is component nfa_accept_samples_generic_hw_add_14ns_14ns_14_4_AddSubnS_4 is port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; ce : IN STD_LOGIC; a : IN STD_LOGIC_VECTOR; b : IN STD_LOGIC_VECTOR; s : OUT STD_LOGIC_VECTOR); end component; begin nfa_accept_samples_generic_hw_add_14ns_14ns_14_4_AddSubnS_4_U : component nfa_accept_samples_generic_hw_add_14ns_14ns_14_4_AddSubnS_4 port map ( clk => clk, reset => reset, ce => ce, a => din0, b => din1, s => dout); end architecture;
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2013.4 -- Copyright (C) 2013 Xilinx Inc. All rights reserved. -- -- ============================================================== library IEEE; use IEEE.std_logic_1164.all; use ieee.std_logic_arith.all; entity nfa_accept_samples_generic_hw_add_14ns_14ns_14_4_AddSubnS_4 is port ( clk: in std_logic; reset: in std_logic; ce: in std_logic; a: in std_logic_vector(13 downto 0); b: in std_logic_vector(13 downto 0); s: out std_logic_vector(13 downto 0)); end entity; architecture behav of nfa_accept_samples_generic_hw_add_14ns_14ns_14_4_AddSubnS_4 is component nfa_accept_samples_generic_hw_add_14ns_14ns_14_4_AddSubnS_4_fadder is port ( faa : IN STD_LOGIC_VECTOR (4-1 downto 0); fab : IN STD_LOGIC_VECTOR (4-1 downto 0); facin : IN STD_LOGIC_VECTOR (0 downto 0); fas : OUT STD_LOGIC_VECTOR (4-1 downto 0); facout : OUT STD_LOGIC_VECTOR (0 downto 0)); end component; component nfa_accept_samples_generic_hw_add_14ns_14ns_14_4_AddSubnS_4_fadder_f is port ( faa : IN STD_LOGIC_VECTOR (2-1 downto 0); fab : IN STD_LOGIC_VECTOR (2-1 downto 0); facin : IN STD_LOGIC_VECTOR (0 downto 0); fas : OUT STD_LOGIC_VECTOR (2-1 downto 0); facout : OUT STD_LOGIC_VECTOR (0 downto 0)); end component; -- ---- register and wire type variables list here ---- -- wire for the primary inputs signal a_reg : std_logic_vector(13 downto 0); signal b_reg : std_logic_vector(13 downto 0); -- wires for each small adder signal a0_cb : std_logic_vector(3 downto 0); signal b0_cb : std_logic_vector(3 downto 0); signal a1_cb : std_logic_vector(7 downto 4); signal b1_cb : std_logic_vector(7 downto 4); signal a2_cb : std_logic_vector(11 downto 8); signal b2_cb : std_logic_vector(11 downto 8); signal a3_cb : std_logic_vector(13 downto 12); signal b3_cb : std_logic_vector(13 downto 12); -- registers for input register array type ramtypei0 is array (0 downto 0) of std_logic_vector(3 downto 0); signal a1_cb_regi1 : ramtypei0; signal b1_cb_regi1 : ramtypei0; type ramtypei1 is array (1 downto 0) of std_logic_vector(3 downto 0); signal a2_cb_regi2 : ramtypei1; signal b2_cb_regi2 : ramtypei1; type ramtypei2 is array (2 downto 0) of std_logic_vector(1 downto 0); signal a3_cb_regi3 : ramtypei2; signal b3_cb_regi3 : ramtypei2; -- wires for each full adder sum signal fas : std_logic_vector(13 downto 0); -- wires and register for carry out bit signal faccout_ini : std_logic_vector (0 downto 0); signal faccout0_co0 : std_logic_vector (0 downto 0); signal faccout1_co1 : std_logic_vector (0 downto 0); signal faccout2_co2 : std_logic_vector (0 downto 0); signal faccout3_co3 : std_logic_vector (0 downto 0); signal faccout0_co0_reg : std_logic_vector (0 downto 0); signal faccout1_co1_reg : std_logic_vector (0 downto 0); signal faccout2_co2_reg : std_logic_vector (0 downto 0); -- registers for output register array type ramtypeo2 is array (2 downto 0) of std_logic_vector(3 downto 0); signal s0_ca_rego0 : ramtypeo2; type ramtypeo1 is array (1 downto 0) of std_logic_vector(3 downto 0); signal s1_ca_rego1 : ramtypeo1; type ramtypeo0 is array (0 downto 0) of std_logic_vector(3 downto 0); signal s2_ca_rego2 : ramtypeo0; -- wire for the temporary output signal s_tmp : std_logic_vector(13 downto 0); -- ---- RTL code for assignment statements/always blocks/module instantiations here ---- begin a_reg <= a; b_reg <= b; -- small adder input assigments a0_cb <= a_reg(3 downto 0); b0_cb <= b_reg(3 downto 0); a1_cb <= a_reg(7 downto 4); b1_cb <= b_reg(7 downto 4); a2_cb <= a_reg(11 downto 8); b2_cb <= b_reg(11 downto 8); a3_cb <= a_reg(13 downto 12); b3_cb <= b_reg(13 downto 12); -- input register array process (clk) begin if (clk'event and clk='1') then if (ce='1') then a1_cb_regi1 (0) <= a1_cb; b1_cb_regi1 (0) <= b1_cb; a2_cb_regi2 (0) <= a2_cb; b2_cb_regi2 (0) <= b2_cb; a3_cb_regi3 (0) <= a3_cb; b3_cb_regi3 (0) <= b3_cb; a2_cb_regi2 (1) <= a2_cb_regi2 (0); b2_cb_regi2 (1) <= b2_cb_regi2 (0); a3_cb_regi3 (1) <= a3_cb_regi3 (0); b3_cb_regi3 (1) <= b3_cb_regi3 (0); a3_cb_regi3 (2) <= a3_cb_regi3 (1); b3_cb_regi3 (2) <= b3_cb_regi3 (1); end if; end if; end process; -- carry out bit processing process (clk) begin if (clk'event and clk='1') then if (ce='1') then faccout0_co0_reg <= faccout0_co0; faccout1_co1_reg <= faccout1_co1; faccout2_co2_reg <= faccout2_co2; end if; end if; end process; -- small adder generation u0 : nfa_accept_samples_generic_hw_add_14ns_14ns_14_4_AddSubnS_4_fadder port map (faa => a0_cb, fab => b0_cb, facin => faccout_ini, fas => fas(3 downto 0), facout => faccout0_co0); u1 : nfa_accept_samples_generic_hw_add_14ns_14ns_14_4_AddSubnS_4_fadder port map (faa => a1_cb_regi1(0), fab => b1_cb_regi1(0), facin => faccout0_co0_reg, fas => fas(7 downto 4), facout => faccout1_co1); u2 : nfa_accept_samples_generic_hw_add_14ns_14ns_14_4_AddSubnS_4_fadder port map (faa => a2_cb_regi2(1), fab => b2_cb_regi2(1), facin => faccout1_co1_reg, fas => fas(11 downto 8), facout => faccout2_co2); u3 : nfa_accept_samples_generic_hw_add_14ns_14ns_14_4_AddSubnS_4_fadder_f port map (faa => a3_cb_regi3(2), fab => b3_cb_regi3(2), facin => faccout2_co2_reg, fas => fas(13 downto 12), facout => faccout3_co3); faccout_ini <= "0"; -- output register array process (clk) begin if (clk'event and clk='1') then if (ce='1') then s0_ca_rego0 (0) <= fas(3 downto 0); s1_ca_rego1 (0) <= fas(7 downto 4); s2_ca_rego2 (0) <= fas(11 downto 8); s0_ca_rego0 (1) <= s0_ca_rego0 (0); s0_ca_rego0 (2) <= s0_ca_rego0 (1); s1_ca_rego1 (1) <= s1_ca_rego1 (0); end if; end if; end process; -- get the s_tmp, assign it to the primary output s_tmp(3 downto 0) <= s0_ca_rego0(2); s_tmp(7 downto 4) <= s1_ca_rego1(1); s_tmp(11 downto 8) <= s2_ca_rego2(0); s_tmp(13 downto 12) <= fas(13 downto 12); s <= s_tmp; end architecture; -- short adder library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity nfa_accept_samples_generic_hw_add_14ns_14ns_14_4_AddSubnS_4_fadder is generic(N : natural :=4); port ( faa : IN STD_LOGIC_VECTOR (N-1 downto 0); fab : IN STD_LOGIC_VECTOR (N-1 downto 0); facin : IN STD_LOGIC_VECTOR (0 downto 0); fas : OUT STD_LOGIC_VECTOR (N-1 downto 0); facout : OUT STD_LOGIC_VECTOR (0 downto 0)); end; architecture behav of nfa_accept_samples_generic_hw_add_14ns_14ns_14_4_AddSubnS_4_fadder is signal tmp : STD_LOGIC_VECTOR (N downto 0); begin tmp <= std_logic_vector(unsigned(std_logic_vector(unsigned(std_logic_vector(resize(unsigned(faa),N+1))) + unsigned(fab))) + unsigned(facin)); fas <= tmp(N-1 downto 0 ); facout <= tmp(N downto N); end behav; -- the final stage short adder library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity nfa_accept_samples_generic_hw_add_14ns_14ns_14_4_AddSubnS_4_fadder_f is generic(N : natural :=2); port ( faa : IN STD_LOGIC_VECTOR (N-1 downto 0); fab : IN STD_LOGIC_VECTOR (N-1 downto 0); facin : IN STD_LOGIC_VECTOR (0 downto 0); fas : OUT STD_LOGIC_VECTOR (N-1 downto 0); facout : OUT STD_LOGIC_VECTOR (0 downto 0)); end; architecture behav of nfa_accept_samples_generic_hw_add_14ns_14ns_14_4_AddSubnS_4_fadder_f is signal tmp : STD_LOGIC_VECTOR (N downto 0); begin tmp <= std_logic_vector(unsigned(std_logic_vector(unsigned(std_logic_vector(resize(unsigned(faa),N+1))) + unsigned(fab))) + unsigned(facin)); fas <= tmp(N-1 downto 0 ); facout <= tmp(N downto N); end behav; Library IEEE; use IEEE.std_logic_1164.all; entity nfa_accept_samples_generic_hw_add_14ns_14ns_14_4 is generic ( ID : INTEGER; NUM_STAGE : INTEGER; din0_WIDTH : INTEGER; din1_WIDTH : INTEGER; dout_WIDTH : INTEGER); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; ce : IN STD_LOGIC; din0 : IN STD_LOGIC_VECTOR(din0_WIDTH - 1 DOWNTO 0); din1 : IN STD_LOGIC_VECTOR(din1_WIDTH - 1 DOWNTO 0); dout : OUT STD_LOGIC_VECTOR(dout_WIDTH - 1 DOWNTO 0)); end entity; architecture arch of nfa_accept_samples_generic_hw_add_14ns_14ns_14_4 is component nfa_accept_samples_generic_hw_add_14ns_14ns_14_4_AddSubnS_4 is port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; ce : IN STD_LOGIC; a : IN STD_LOGIC_VECTOR; b : IN STD_LOGIC_VECTOR; s : OUT STD_LOGIC_VECTOR); end component; begin nfa_accept_samples_generic_hw_add_14ns_14ns_14_4_AddSubnS_4_U : component nfa_accept_samples_generic_hw_add_14ns_14ns_14_4_AddSubnS_4 port map ( clk => clk, reset => reset, ce => ce, a => din0, b => din1, s => dout); end architecture;
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2013, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; library techmap; use techmap.gencomp.all; library altera_mf; -- pragma translate_off use altera_mf.altpll; -- pragma translate_on entity stratix2_pll is generic ( clk_mul : integer := 1; clk_div : integer := 1; clk_freq : integer := 25000; clk2xen : integer := 0; sdramen : integer := 0 ); port ( inclk0 : in std_ulogic; c0 : out std_ulogic; c0_2x : out std_ulogic; e0 : out std_ulogic; locked : out std_ulogic ); end; architecture rtl of stratix2_pll is component altpll generic ( intended_device_family : string := "Stratix" ; operation_mode : string := "NORMAL" ; compensate_clock : string := "CLK0" ; inclk0_input_frequency : positive; width_clock : positive := 6; clk0_multiply_by : positive := 1; clk0_divide_by : positive := 1; clk1_multiply_by : positive := 1; clk1_divide_by : positive := 1; clk2_multiply_by : positive := 1; clk2_divide_by : positive := 1 ); port ( inclk : in std_logic_vector(1 downto 0); clk : out std_logic_vector(width_clock-1 downto 0); locked : out std_logic ); end component; signal clkout : std_logic_vector (5 downto 0); signal inclk : std_logic_vector (1 downto 0); constant clk_period : integer := 1000000000/clk_freq; constant CLK_MUL2X : integer := clk_mul * 2; begin inclk <= '0' & inclk0; c0 <= clkout(0); c0_2x <= clkout(1); sden : if sdramen = 1 generate altpll0 : altpll generic map ( intended_device_family => "Stratix II", operation_mode => "ZERO_DELAY_BUFFER", compensate_clock => "CLK2", inclk0_input_frequency => clk_period, clk0_multiply_by => clk_mul, clk0_divide_by => clk_div, clk1_multiply_by => CLK_MUL2X, clk1_divide_by => clk_div, clk2_multiply_by => clk_mul, clk2_divide_by => clk_div) port map (inclk => inclk, clk => clkout, locked => locked); e0 <= clkout(2); end generate; nosd : if sdramen = 0 generate altpll0 : altpll generic map ( intended_device_family => "Stratix II", operation_mode => "NORMAL", inclk0_input_frequency => clk_period, clk0_multiply_by => clk_mul, clk0_divide_by => clk_div, clk1_multiply_by => CLK_MUL2X, clk1_divide_by => clk_div) port map (inclk => inclk, clk => clkout, locked => locked); e0 <= '0'; end generate; end; library ieee; use ieee.std_logic_1164.all; -- pragma translate_off library altera_mf; library grlib; use grlib.stdlib.all; -- pragma translate_on library techmap; use techmap.gencomp.all; entity clkgen_stratixii is generic ( clk_mul : integer := 1; clk_div : integer := 1; sdramen : integer := 0; sdinvclk : integer := 0; pcien : integer := 0; pcidll : integer := 0; pcisysclk: integer := 0; freq : integer := 25000; clk2xen : integer := 0); port ( clkin : in std_logic; pciclkin: in std_logic; clk : out std_logic; -- main clock clkn : out std_logic; -- inverted main clock clk2x : out std_logic; -- double clock sdclk : out std_logic; -- SDRAM clock pciclk : out std_logic; -- PCI clock cgi : in clkgen_in_type; cgo : out clkgen_out_type); end; architecture rtl of clkgen_stratixii is constant VERSION : integer := 1; constant CLKIN_PERIOD : integer := 20; signal clk_i : std_logic; signal clkint, pciclkint : std_logic; signal pllclk, pllclkn : std_logic; -- generated clocks signal s_clk : std_logic; -- altera pll component stratix2_pll generic ( clk_mul : integer := 1; clk_div : integer := 1; clk_freq : integer := 25000; clk2xen : integer := 0; sdramen : integer := 0 ); port ( inclk0 : in std_ulogic; e0 : out std_ulogic; c0 : out std_ulogic; c0_2x : out std_ulogic; locked : out std_ulogic); end component; begin cgo.pcilock <= '1'; -- c0 : if (PCISYSCLK = 0) generate -- Clkint <= Clkin; -- end generate; -- c1 : if (PCISYSCLK = 1) generate -- Clkint <= pciclkin; -- end generate; -- c2 : if (PCIEN = 1) generate -- p0 : if (PCIDLL = 1) generate -- pciclkint <= pciclkin; -- pciclk <= pciclkint; -- end generate; -- p1 : if (PCIDLL = 0) generate -- u0 : if (PCISYSCLK = 0) generate -- pciclkint <= pciclkin; -- end generate; -- pciclk <= clk_i when (PCISYSCLK = 1) else pciclkint; -- end generate; -- end generate; -- c3 : if (PCIEN = 0) generate -- pciclk <= Clkint; -- end generate; c0: if (PCISYSCLK = 0) or (PCIEN = 0) generate clkint <= clkin; end generate c0; c1: if PCIEN /= 0 generate d0: if PCISYSCLK = 1 generate clkint <= pciclkin; end generate d0; pciclk <= pciclkin; end generate c1; c2: if PCIEN = 0 generate pciclk <= '0'; end generate c2; sdclk_pll : stratix2_pll generic map (clk_mul, clk_div, freq, clk2xen, sdramen) port map ( inclk0 => clkint, e0 => sdclk, c0 => s_clk, c0_2x => clk2x, locked => cgo.clklock); clk <= s_clk; clkn <= not s_clk; -- pragma translate_off bootmsg : report_version generic map ( "clkgen_stratixii" & ": altpll sdram/pci clock generator, version " & tost(VERSION), "clkgen_stratixii" & ": Frequency " & tost(freq) & " KHz, PLL scaler " & tost(clk_mul) & "/" & tost(clk_div)); -- pragma translate_on end;
library ieee; use ieee.std_logic_1164.all; entity case05 is port ( in_en : std_logic; in_v : std_logic_vector(3 downto 0) ); end entity case05; architecture behav of case05 is begin process(in_en, in_v) variable l : boolean; begin if in_en = '1' then case in_v is when "0010" => l := in_v = "0000"; when others => report "illegal"; end case; end if; end process; end architecture behav;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc184.vhd,v 1.2 2001-10-26 16:30:13 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c04s04b00x00p05n01i00184ent IS END c04s04b00x00p05n01i00184ent; ARCHITECTURE c04s04b00x00p05n01i00184arch OF c04s04b00x00p05n01i00184ent IS type COORDINATE is record X, Y: INTEGER; end record; type acccor is access COORDINATE; attribute ill1 : acccor; --Failure here BEGIN TESTING: PROCESS BEGIN assert FALSE report "***FAILED TEST: c04s04b00x00p05n01i00184 - In an attribute declaration, the type mark must denote a subtype that is neither an access type nor a file type." severity ERROR; wait; END PROCESS TESTING; END c04s04b00x00p05n01i00184arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc184.vhd,v 1.2 2001-10-26 16:30:13 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c04s04b00x00p05n01i00184ent IS END c04s04b00x00p05n01i00184ent; ARCHITECTURE c04s04b00x00p05n01i00184arch OF c04s04b00x00p05n01i00184ent IS type COORDINATE is record X, Y: INTEGER; end record; type acccor is access COORDINATE; attribute ill1 : acccor; --Failure here BEGIN TESTING: PROCESS BEGIN assert FALSE report "***FAILED TEST: c04s04b00x00p05n01i00184 - In an attribute declaration, the type mark must denote a subtype that is neither an access type nor a file type." severity ERROR; wait; END PROCESS TESTING; END c04s04b00x00p05n01i00184arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc184.vhd,v 1.2 2001-10-26 16:30:13 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c04s04b00x00p05n01i00184ent IS END c04s04b00x00p05n01i00184ent; ARCHITECTURE c04s04b00x00p05n01i00184arch OF c04s04b00x00p05n01i00184ent IS type COORDINATE is record X, Y: INTEGER; end record; type acccor is access COORDINATE; attribute ill1 : acccor; --Failure here BEGIN TESTING: PROCESS BEGIN assert FALSE report "***FAILED TEST: c04s04b00x00p05n01i00184 - In an attribute declaration, the type mark must denote a subtype that is neither an access type nor a file type." severity ERROR; wait; END PROCESS TESTING; END c04s04b00x00p05n01i00184arch;
------------------------------------------------------------------------------------------------------------------------ -- Comment ------------------------------------------------------------------------------------------------------------------------ -------------------------------------------------------------------------------- -- Comment --+----------------------------------------------------------------------------- -------------------------------------------------------------------------------- -- Comment --|----------------------------------------------------------------------------- -------------------------------------------------------------------------------- -- Comment --|--------------------------------[ abcdef ]=================================== -------------------------------------------------------------------------------- -- Comment --|-[ abcdef ]================================================================== -------------------------------------------------------------------------------- -- Comment --|------------------------------------------------------------------[ abcdef ]= architecture rtl of fifo is --+--------------------------------------------------------------------------- -- Comment --+--------------------------------------------------------------------------- signal sig1 : std_logic; begin end architecture rtl; -- comment -- comment --! Doxygen comment --! Doxygen comment --! Doxygen comment --! Doxygen comment --! Doxygen comment --! Doxygen comment --! Doxygen comment --! Doxygen comment architecture rtl of FIFO is begin inst_dummy : entity lib.module port map ( -- Clocks clk => clk -- -- Dummy comment -- data_i => data_i ); end architecture rtl;
library ieee; use ieee.std_logic_1164.all; entity cmp_158 is port ( eq : out std_logic; in0 : in std_logic_vector(2 downto 0); in1 : in std_logic_vector(2 downto 0) ); end cmp_158; architecture augh of cmp_158 is signal tmp : std_logic; begin -- Compute the result tmp <= '0' when in0 /= in1 else '1'; -- Set the outputs eq <= tmp; end architecture;
library ieee; use ieee.std_logic_1164.all; entity cmp_158 is port ( eq : out std_logic; in0 : in std_logic_vector(2 downto 0); in1 : in std_logic_vector(2 downto 0) ); end cmp_158; architecture augh of cmp_158 is signal tmp : std_logic; begin -- Compute the result tmp <= '0' when in0 /= in1 else '1'; -- Set the outputs eq <= tmp; end architecture;
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block VPP2S7XN1rLIapCTypoFey/NenMux4RkTbybb7gI9i8SwEzEbNbaNzT7RrPLl2E25d4N0d/XU8Py d7yVqhVTeA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block mV7LBTCJ1O+K6vVtKs/+ojUmqOiUOs+3NDck2clKVWd1N+WfSWhcd/TGaYPEJljlvtpXlzPmPtJO U/dmwoddXe94d2orzVAgJHVwzW5crNmK/rOWCf1Xk3ngtMolAbZSkGkb8Es65GBnqOWNwqdhheHJ s5qpE1XnindQq3ebbik= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block mLn8aKVShvFtPdU0lNM3pYkJpP6eBXGWoF3wYuwnVrbXqTcbENbJRHLtsYrGgkRg89EELZPma01L 2zKvUtcb5rjN27XiOZSw5f5Yo1liw13JJtBn8L+C8valQiUeHWLlKzKLIBuSkA0crG+VIolQidW2 52qqpPiyl43S3JvtuNJiSvNSnKi7VzHO4uDhWJemZnG39ZOxTnpRVgTXRPfN8Xlv1EQWg0Le+ulo dROiK5aR82gdnt2H5wlO9+r1BuL2NInkRSbamMFLrWQ+s2Vf6yAi2W2xPMksXBzzbMgDKiBtgMaE Lepd4Fd6x2X/FxYXzsMX7B8SRJUM+BfQHtruKA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block TiJOYo+P754CD+gSjTzX8l9n1DpEXy+iAnlpWgA14s1RHbPie7RaKeObxbrQPe4zfFAgoRtr92B/ fBWJKtwWREAQZAJzwjKT3Wi8NR6Cfujl3csAPwtHlrz0Ah9Ajgio0Eyqg+QeIzbbFjXs1/8ulXv3 Y4Kdp1/m9VPb2NwadoQ= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block a4W1fFPr2iXXUGC+N3P2+acmlaaUvEqD8IQaWmgIDGrTULF4rdxg7z/ZmQUBBALrPbMxpT3B4x8G tAqB4uiZ5SAKw/rVgQr8GOKj+pSmv7qOo3Z7pqxFqksbU8lHWWSfqkFpmfbrc+Y+cgmtKfG3pVvw 1Z8w4VlI+Zwe3RpUQ8qfLkDYacvRgpo6zDdDJqJNmbN/h6i/4744gk3TWw+ksgLKM3TB0JvmWP+O ScOExjNjRQrf6NRt/XWGFP+AQFpv60XShGNllHwU2k/w/8Semz6o2mQ8lIQe/dFSvmSYoigT1Vz7 hwgSiNJD43kYEBW6vH9/gtS0aeZhtBI37Cnt6w== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 71968) `protect data_block tG0uVIBPhdF+TFCFcb65Y2qwHUgThVxEmnRXziU48kXt6UiuQgu03sQvP0bOgV2hN6FUY8f+jh0p 39GYrf5/XX0PgoixHclBU5i7iORpk+QMrWsChVsflmbS7Pau+K9gomdpc3y4op0ZEwCssq1qZn+0 yKcoJ/I7fqbZkwlA+K1sZub/Sa7nygRvxmnghwe7jVKstI2bH/Z87wY68f0LxbH8laoKU/BbdP/s Bu3SxsT9TqAxc0LugjsaE7C9XyllmY8+JI8yG+tYyBNDkpa6UGdoNnFEaGEHkJ9zS3dloPLFC0Dy QKpTpGEUvdEYOUTJwu3P08jymbAli118KMZJalTXBznFebwi63dfiHoXCZBXHJh2gEd72YiGtGeB C7FqcA+0LnGr96mQgbbXWfiHIuxY5Ghhjy7mWdS25tgxpD4ba4vlvaLTfV1FY9m8r+o+xHeq9Y/0 8vrwouX3a9ZYwt+LkfaR8zloFjSLplwK/SIjB/QOwQ7XBVmjBM4eIiZ2upq3o9CwsDOt+3Y6xixF ytE/zu/e0CV4e5LFg8F7yuCVFwJjw/pSKqDADslUbAtKtdddnZ4y6/NR6p25hqq5+FttyceGM/UP mJIjY2A0BwLPt1Ef7R8Y1UMsLBGO4oeZnKB9FWccXi1YJ00UE2Zm+Nu1nChjd9t8ow3ORgSPgRe7 8fEWzHVDDT4Zahx7bQ1hNdNCS6/HRv0jwmoGp4I6v8jA43ksxYbBDD66Tye37BpiqYMoCNcKA8cd U4l6Ic2qNyC6M7f2CgHNro39uy1SNQVOMPcLRB8t6utrSD4oNjbdpUjuv1WtSRDshvdq3WF1XylD Scq9vwXqI9qWWazWX+58AOpqKuK1nBypd0eTda2bIxOK0dkkjJKjJlVx/MuObN4Gfuge3IhX5hPH vMDhFTznv8Ga1TYzvqpWQK94760wZXwUkWAgYVMSBSk/rzqoaq5HG7jbZx/FnDM5nPe+pGw9awIg 9A5ALMSG8iTbzqNf9dY0zeDmi2mfTDPORq4Eq3ZqIqTv3hAm9Hf9vPCIPFSjeaqU0FF9vNPQyZ/D 9xWHv77i6PwQQ59/+x1U5WDjFAoufThqGQKQE8eUkvcvZ44gcbVHIV77CbuRtBjPoShHi4Ipu7ID aIO+4ZN/jBaPyfU5CwQASp7XcBKEDq//fFwjrw7xOMD5OGoHWXYaZMkiRVu7QmjFP2NmL+s7yrr/ Kg4u7FRSh+0FoIIpl5FOCIioQVxfjOozNj0hEanD8er5qWh/CN3zHhx7GKkNnZZT7gkaicCRH3z3 UnFsL3km+m1a5g87Mj3uYQNObrFIxAGD0FK+T0eJrKCBhNKCLIyvXHsralJkm0gipMos+sLor9Bk j0bAx25fjjx+9XeF+0H88OuuRA03EL4g8rJf7t2LLxC3ctCBSxlUg03zo0/KgMUM6b7IVv9ahDVT i7DWpmzHokPd+KPyyKkdVfQNbGonEcwVou0wGszDwBnNT3Vh4M08/6e3fuvfFOE+B6sY4zbHrKD1 K+5ON1D9wr7iJJiGsyLdhhCfFE5dQXSWGy/0V/0n55c1Xb3t5n15YsxV0Fjy1mruzrwOlvZYZF5J /Easu3EALy654wx2EgQT2WJ0ExsJSejKHrh+S8CP4XWcehlkT83FidjBObU+mJIBFym6KdOynB3B jAfRWECs+BtprI+9GLyn/c4zMVzxN1UmNeHohcADraVod8/0+N3dj4q/soaDKtMcPgbhIm1/uHU4 h9awBUKq+WeWiVLWb3gPuYOUoT/8OkESorKRmLttSD6YS2ojkkufxzPGSIyk37RkBt+pjqmDs1/Y lGT6Of/j8zAJ3fI5T7syVcZsM3rTNtc2+aQr+Bqe4IT/QkcKkxGVXNv96D4LjqD9c7A1FE6ClLil ZdAc5qK+rwqpE/KxviYi35isKQqlN2bNovZ4LhDEIGd5l3psMWufqbOLrghRggD0h+VkyeMRG2Ne 84jvgQzc3at4eHl7E0xlMP1AGqLjwg+4p7O8sh5qx/5XI4iZ3+77RVFK1LJzuf+C7aiZ0ItE+oUh fKOOOKmHrtf1HjGMUFx2ue1lW5T8s0nY5IqEJsdDlbo8d43/MvCdoUW2fBFHBXkfyPklQyenoeVJ nzZXkvhyrPH4qqG2v5bxS0kIaE2P+vC2WZPisumnkzENG/ST2gZDUKfQ4UtYYjLaGwdIVuG+n/1K 7Nlp0dPVmsihUnuLAoedavc1qrLfPQr7trPQ0dSYwwfKzGGuahQMrgW2IB3YiAMAzUABBplUO+Y8 kEYQgtpkKieu8QY4DJM4BJ5dDprHm63IImc8t16dfENe+RDQT14fRf0DwDPB6zSd5o6G+K0e62eT MN4FW0U7xQGyYOB62ruNyVVGwDhOPixE2vo9AY81Atudrp1XPWtD7X+EoztJMTvvEAoUt+Vlln3L 61nYeEDS0saqWOoC9C2Gp8DuNdHyl8kiwc0nkuLx1PKSpUnHt5S0drraFUX3a4S3Zl62vOgsXQuY t8QtyEhvzkLgSiz92PSE2H8OEnLS0i0/hKUb8kStVmKE9y13DDucNmCqXqkAS7lfJ+q7LPu0uyjp qJv04ATbrHhYRZZGXWB8eHjHH3AHFxh/NosN7EmD1sj3L1CoXLVkL0FfuXzFBayOqAiaW7GUm/6f gi7S3rtGlIuMx7R4DPabycx5HO1lGRLahF1AJqd3WILuOPPXzwPqtrmDNakjH5kIvrEPTXYigY/D ifsC0mtCE7M+kmHUraBY6CoqaZhu7y+jAzcnemFVLUYqao3FiGbCNCW+eJ+hRsHkzZl3yzlVsNTj vVbQKYYgqqsVI/4GP1M/GeE31y6s1nTvBljw39Rwuo1wsXx1K9vXoc0oLLMSdtWmTbTlbDZ8aFtV pMujTFuuzwq9I9idtqPttae+M8nG30Q6UGR58Q5y1ikuwl545LzI6++neB0cefFC/W6vmYTlmItJ Hb5wfsPddOoy1TtRHnGS9A1iyjQ1T8yoDTnk6LR215L3fTBFB2zHnnuMnzSO5Z9dZ8IicEaAEWwM KIs2ilodaq2/E1ZqsnLgZY1EuEGUCfmv4ABqlcdAKpChkxo7/d+nJRSQxoTKuISUBj1LEdOG7Ai9 7xcy7j3CHnnlKp4VgbfkLw1nykAmo/PcLv4hkV3CqCPEoZ+LesD6Jn+XYvjsbzvItVYyLWa1bCXL ifSAclu7nqVFqYqRY25cCJOp++12xHpQnT1jfKpKCUI1UmlPp1Jongor43akfGrESuoz+WddrmQ2 2v44QeXa1Iq9A9W/KfBB3W6mSrPx1XJTTGVtHdUKuS6WGcjKJVdyr0zmDKP1686xstIY2xBKPiJC G7NUH5KJO93XaSr6XKnWrYeXWpgOmXuH9kz9gj00m1c5YOJE56FHedXy9DRpuMEoIjDH4TlQ4r5V h90Ezs0DnrjUwo5j8883UpUFTpq3mt3guSZVvTTZA31DVEv88n/ni0drliPRYcXC5FOUH7aj0SFL 8S98lr9H0qhwEYqHvgTArSndvMGPKOt61E8+DNo4339t4hqZsOZQD1qs+MDkNIbvnRlDggRf8Inv X0vo9AHjQr1VO0LewCZR6YAGHTNDAjUcbEJ4UworbjYZHAVLr9T9eyXstgh4+rybrzu9/1MIIAfp d1107DPzmO+q6/ee2Ubo9i3X43XhvRHnDboqdOzdY+qu/jVkUu7Cb6Rv9drjo2Pj/qOeBoosjKiM m/+wZHR6H7oDL3kFut7xqxbfdd5/EJY+rU+h7JTs8kwZaXxeyjCDAozAgGtKaL4rnXNFakr9FVu6 ss/QKnr5e+lf4JNIiG2zbgMGZjtHF1/wF3bEKKuj2TJNAZ5bFobkmAnbuacsFf4FjPvKyvP/S1cb rc7CmPn4xD/TYrFuiIGyLQ5PjCqtsgDUp5WKKsbFsc7xMmJMm0pslxSCkIQeU2P/qerPp/XPQhd+ tmTykNtsGcrCOKzhx1gMwTiAZHk1+fpfJBt0kQ1XAZ7unM/PzZL52DFNmbrsadvwX+gPvZ7npzBn AZkauYqrCbU9SBI9AeLLv0CXGcUoPrMtlPelR9qC/yW1DBPGG+bd0X3YcREmcgZVZNeP07qQUmD8 SgKs1L3sJebn1ZQ6WRDUs5VnHmrMFiT0BNl+3VoCTXHtPgvq1Ej8w5MF44XLbkdFfWcIdz02jlge 2OzCkdgihViTXgWLTy3iTTMUuX+lubjVaPgPhoR6knMNmiQLuSnS2UAxdHVWGA/215qoAMMePT3M xfYWQ7YK8SXlMkkxt2wkAGyMrVM9y0NZnGQmDlEZKK2Jg4fPGwJDIIxJEmT3oHmo4/Cy71iB/57/ PNFYQaoTgbohOJniDeBDlEp2g53v9ILkYjZeSM+7XE1P5qGzKxPqWTCsGMVkqRavGb71eR1RV9fp 9oRXjXzezkezZ06OgcJuYQso9ovAnPHRDjmFo1RJHTD22OgTB2Fl/YBsD+FgtdtBKu973tNs7W2Y xKBdDiVirTWHA4f2YmvJI7vdM4vNLskFzerkvSV3IWBqIvP2nte8TDWg7RmpJP90CmkWRZUc/rKI fWDSy8QKQzRUWnRFA7kC7xEtrHnm7El4In6CRHorljMlCqUyin+fq4NE1+BDgTuUdrac0EVscnlN rO6sujfNHQ9jlhkH6Veritg48xaDO0zl4my5B49nz2UtzDqNgwg0ro+UdQTMP2mlLqckse696epM t5bwCs6ujX7u3qTvvSO+doIksNF2uGtluoBZXrXyKPoatMm1fhey7tzCCIDBnjFBiRv4wyZ8VZnd jO4T6w+IoQtXA8yW91vZEuoXP8LlRAxE2fxFAlLGqeG7x+vDDirtq8jQM63qNcnzpLtucl3foV9F o0O+b9wZMke48ujQtATHzGPm+2I1B53lk5upybRc0ZkGPLFRqgsVMPTkfuxPf3ZypD/IUBuKXL/s qRk5LfcZ+rpBY+fzsCdUw/TOSI5X1zYA4w9nSpXfabOeYsd0XREUnQHIzLYiTCi0tSe/Ei4CcotG rmb8wr7BgJVnzpPMmF4S+aDNydULi1v5FafDVqXjh6XGZhPF5o9+eG8mn7SNrpGrzFZLjN4r20ug laC1UsxYXD34/UpP8v5pRTbOC2AjTH1Hb2fsfCHqALYlY7wiQbIaoFGoI8G/3Nz4uG8jZVG1yuVy E435szp7VQTDOg+q8FRo52SjWJSuzp46n0mP9F8KpfmyjbYvywY+w2V0FgSV9dRmcuPwc+PePNyp Uy7Rlcbpihr0VsSm1s/50zdJfJOOePIwN1cRueRaGNEOQWMpzSsevP2/xhsO+1WF1xaRY20LEkPB qO6TP2odzi4w+Gt7rmNR2Ruy3FZFO0ovfhg7vICBgYNyI5JOwaFj6wcE8p+v2+iDkwIPnD22Xhgp Ef+BrsHamFNlgCTfE2+yxaolxc+adGsRApkLEaAE0xo00E4Gc/2Or7jVVB5qU555AP3TWZ3bOb7e hEM+AIgsQjTxaAC9BCXtFa7ptTe4mR700yxfU2dbs9Iftt4l5tzAY5i/2kXnAba8R9AxdzeB4Y3e z2+kDfmr04Ix/iqv6rW4FWRNw4tcnnFT8KLyRU2I/CGDdjH3cwdtfS14qMLreyZ5iRWeMkpu8UKu OJjBGaSCaIiwJA5okR6YkmD3TAxgC9NM/BbO4pixJObpy0BIUG2Y8qujZhcyutKX5a30LwhA23C5 mUH10ayY8LiO+OM9xgVqWuopwd0RRZyOzooy28XyPkh8dakQuJfpHdTjOu3vnfmo3eYvlCdGOXd8 h6DCoridHzOKhkhhY+8R6VczTkLKWk9C66Fzxt/YIdiNG44AqsfAAY15Y8DzbpsBuvPEoFdMG3i9 YhNWbw+MuFRUrB9ln7pxqh5njubUVy4wx2l+4oGLpWQseSCerUWTGRZzhfUYFyQpnm8wiF+/augb 13NU5RBoBNnenYPdE9rZjObmuq4YvW467GAUlUsOzNlZXXRjBp9jZv2HU8tTN75j7+RL/wlBz6ax qlyfwm+T0EHHBB+Pb8omQ7ThIt9mnaaKfSpoO8ddNMhQ3U6yocQ/cMzxMzxuzpg0DwzZYs4EIsI7 8bDJRrynS49k0ibbDq9i/rxWWjSe0yaLky7lYq3Vaf5BURQLlYlvmD9KzXcLe3d5lvGsH4Opkibf H0QRI6YD8uEsMG8cyYVmrybNYT6/IMB1yspP6Mj6OfToFmUV997CJIbwYu8z4UsQMVDgq57JKPp+ SRpl6cVwtNB/qK7ZeLwUX2yfP6+AkSQjLDpiKsGZvHmwHzmaL7UOgsjjwQamFCDa9mzZMbxuwm0A LfHWhAZEloYSxEjPDqNJ7vKCzFk7D9pnQlbHoWLiExSuk9P9hDjY+049sssZrMXy678OPQmeG/oh Pg3z2gxw6co5FcFDP1RLi5QKH8d16ec+tyZqwGv/uPo26czzkFVzd7shyP5v8C/s3HCP/9cxJSks 0E6CgDoduFUIOv9SE/CrKVn0A1x6NxHyMDCjUyGwzfq9HbQay6696UPjddr4u9NhTsnrAq8ueLzk sgOJ5GAHvpeT3B95IYunY+5rCiuwfy2psQD36WIKL0fXSJXQ2AoX+i1dY/S98/pgVXECML2xxs7I qj5OutqGRmBYyZJep6dfM8t8W5dTqaBDjfMemCi0BTgZUNv83DHpGmmrEZ/YvTzIoto9NRRhl/Kf /x+Kh/TegoZWy/43oEaw19piV4MeVSbvxf6CpsqfPVNprcazb629ZxJnT3VUuetoM86xpkdqDijv w2qQPFGpIVGayaZ/WraeX9oHextCGcWRBs/2neZiJ6DJN4khoadrqHwOvTet9AGBNenULiQyEINw qTv+T5jbsx+X+Zkxv6kC27t+5BG0MuX5vM/EG65vdEJrZBIqI2Q67h8rUBfnxx8o762ypFdMT3Ue onyoKXzDpeyPleTD2ZKA5PQFzevikBJ+7mwH6UuuiX+dbH2wXveBke8YjXq+/RwBtWmv+J6bjc8o Lz1Kv3pbdTXpAIBjp66A8BB0F4Mv6Q7fAxU5UKnBvlEa/vN/In/OTY3jr0533Ve4v+0XXgF1OZfA fiHGAarpIrefskRsZa4+cNnImy6UZ7JJG5xCKWQDInECgFS8+WRkJUT9wcAwt1ClUtpQtJ9SmNSn XZKdwtqbB7SZVeLa2ahvkpmqnyfz7z21kDLd6CE1KUBKqVhYMAVBy+g85t2AwL3mJlHGWyhEghiM 6BOPk8Z3Nf0guTC5QxXFlC9843WhIxHhg0YlwoUVo5l9ArkifKxnroC/9UlqA9eIB6+/zuCLzdSS CkvLxOzQw2Sh0YgDKN1eSaPgOMfEZNW+mNo/WThRmuIgfEpZfc+2GwZVuf8yy6QMBcjRIrpAUlaV 488xXbKx88Vpt/6TcZGccxG07uw18m9b8P0bQlCCBdkuP464fBnVrg4vig+Q2WN/t6E2aUhpLXQO X5QIHjx+teCDTFrN1zKCvRQILMfQVc4LBr5HkDxfF2QIhOt566For+UwnS1tm2djasNlBsZjRtrZ hG4aJ3wFNn3gQIcRZCThgSrQLmA4VVlO42z1TmGrdeuZE8lYhIookuFZYSu/IZdlUcEvMf/z7Z+0 0GHUsD/j2M7BV8LJkWwLA4/faA9VEJoeZPQcAcc53UUrWzr7LY3q0N3DXnxNCUaTT2krCYrtuEQw h6pLBmxmdsLXE6494UbJVkYKBZ1U4r7WKAdjghXVLMRS/fUpXCiURd47AJ0wY8n1divBZxrxFb/1 BqMCjTEjcmFSO5IRdHXEQNNHkdm1SvRtQvtnjVwzJn1JgsFCPUdw6GpDJhHkI2CBmkA1eC5+Ryem kt3ABKMpfJ8dMkC48XQWtVHWDu876NybIbGXRhszyjyVZBQFyCbVX9Njxf2NQhfvspOckFnIyONl EKQsol5WGWOyjicAbX34UP+jv0hqmsTrr9Znmqbuc777MnCzgpx+xoz/crcckG04tweqTE2/Cclm JjpTYq4t4ARDwKGPOmL7io1xetASaiWp1MeQcrmqzQftaGlth60vKDOyAzgDfwIa/4HbWaOhuOn2 FjoWkbQHX/vLZ09OhfciHhGNzVfc64FIXAtOcmzXfCnD/bCrQYDV6ruvYGyYkjU3Ys98P/Dq1uzo +esy/BfivUBNKiSgtK0AWY2MDN67HkJx44+qoaHOBTS1wdus3o2Jm7ljo2HcnIx1djhYwkS+MbTa uEWlS3iOhT8BFYndVjXYFiL1owYmi3K0gV8077YPTQO5+02Lf/Ub/1w5yB+wfBDWXGsnsgUHE8Pd 9LLrnSR0xq7/uae/EAFMZ7CmdA2iBYwpr775Iom8Ct0mUitsmBFrpPVYTktqV29yoSFbZejLIf3z 2f7bc52nsSmr8lT1wqvsjrT/zUj3lRmrvRpIKD+7Vgwym6Lqg2+h8syFAVkHrS5LwyBPW825//hP HheWJu1DvCqiqqQAVInJCrhBw81SFNvtMwE9vzz1XpI7HlIIy84RXoHqnrvvvm37UHe2tyf2vv5c M2Bp7vbjhD/FBI3VmtKIx06rhOIaT0hEje3m2v+As2eFNTggQ1Vsdy25ZTOKt3yIHyPGEJibAmsl eO8vXoTcE3Co90UszTVskyOWsOELnI1hwNcwSSHEamSd2bO/k0zj+RauGqG02dB4liMXR7UmQa0U esHYqxBnsCEMdOXVlbMntLh9gznyAbybUKXnaqevRDKTwvjY952ID1YdVLVxWYoRa2m5RBX8EBPa 1aE9pnkkiRWJyewf/1+CVmBZoqwdvK07TNAMdYgMaxsiPSAldCxWUKHziBBCWh2XswuY+Kd2aFzI VQ56TLmF6Pm5euZc1pRCrmhTJuUNlDnZqgAAxnbBG+1y2xmhmOxQ8g+MIMyqa8PuCV1u8smCbMqE js9mLkvuYGhSlvH9LscMqQR5yJoMWx9eSp0RIWaJdgUetlTNP3t7bYKv3NFD33Bf6BDEFnQxudhq SdZiKoWB6Bek5NDjwwEKX1ObsNVngbniZoY9LgwEtjt8Scrk53iDYY4qSzWkU3uZrXxiLnXJ6uml kRO4T8QUS0a8WubwBERPHkuOZh9Cbb0tYlm3n1JVhfk4+RL0a3zSsavG1as07zJDWdcuBLQ5e9K0 H+mg7FaL7ZwGN049BEFLj9I4YTNZmLEZRw7/35fXsozP5VYiOySKkwWrrMqCXTQ5WNCr4ckkj2lO xtZEUd4GNBShOCz3WMivmHeTmZx5Oq2qVLQJnHjoBqhlV7WUVy1IeSyvYsnVdSsEG+Uy0Bk5Ezg7 6POxsQvnsbOJHhKIdjBly+lKyYtn0VRH6pJD/22wfZi45N0tdJwJe9m969clzErvtP0qsJKVv/g1 gvv9zl/YgH5Wncm9zelLjcvdl5pdY8HPH/16ENg5fxXxKkx1F8HShaGJ01XQ40vpbekhrmpxWMbh ezdwG3Tg9UVl88t//JOiPR9+a4t9T9l1ieqKbq6fDdILG+rvRgDTaRJkdavg1Z31T2w8EhqyQUPi vllAlCk/eyTk0GJVtVtkMacQPqdKSesi4DKJvzde+3efrktaRGeLEQaBjHXs7Mx7Rhm4jpYnUzLh 08w7hi8q3OMOmRluCywKtwqtznrfTXUZq0mi94p58XFKRXUcZ68hUdm5xTgD+yInk5gTRreuH7vF Y+GlpUM1jKZcXiOsxskZuovlFppuNfFfAQQIqxtbc7Srih+Z7+kUocYrHNOGszU2ohKEfruN0k9g 8uG3TMyXw68Plz7i1QVHUI74tmpaX1pflxdB+9UM4cLaA+f02K+WACadKDGf9uZJASA6iZ96MrLF cGjgC8mEWQfRdxtwOsVxRzsOWakfGuiQtb02Jh2hj9j2P6gFj8IqPHIy9VxDJIgYm0aHmwjD6Mmc tUtcqG0xbldBcehiZpqplvlQyL2mXaiiswhpSNKmvhxnIzaecQVNu76jUhSyy7/Cl3ZwgCnHvcR1 Q4wE5Kn3v3KiUciv1kEYjswID2avp+16hdLnkG+2ifq6NgfP1vNpmfMdW/NRWvbIJfZsVdDDxpOt vo++kgiTQ4wjxpEliGs3dBsXrxzhoMpkSyufnlhRuizmwpVFCMUfenMlQw6i8YyiXzdsmbNTUXyB 2aajMDxYD4MwDsIDzF0IEIP/TJUNYyfkZ5OFRuM59MExBt+w6BNX2rzINB8OZ+vZFEVLxn1VuBNf rh3SfeMorUAi/9CxhJbrFgeYsCPIPbpC7uIWtcPT25k4JerkSc7seHFvwlWvMJBEhOAt980/cJNK 3S3k1VPOww5aHGiVQQLdy85c2tVRUf30b7yiTe7l+WK8PJfWbhZ4nEkSUi2tFVxDjdapngMzhd+b AqokMkEBjw7Zr1vuFYTY7AngzEYcoxplQW3sMRovsmZ2YVXesrvgaOV4YNRwrmth5GOJc8rjngll eyReOACUTgYqdygaWlWvw8Z+AmA0w9eqhxPq4RLpzp9AHJmeMEG+1LuJk/ecc4Xk5k4yAyUr6ZVC DC2F32kVl8yMn9WgeP5W0nLc6xU4W1JCzEq9Z32Bz32TsmVFTi24zT2hrNwdaYvQ16lnqnNrMCvW CLLZAH66akq0GRU0L3QPMIOJYvMCzajcyfPA+8IMcVvHXiTfTg88UmzSttzF8qy+1kQwuuIM8c6y b3fkHuVOZQwfO5ZvG+fgS29O7OZ3w/IynKdcuA9IrLLzB9xrQFHSENxf9eSnFC4iPiA/rZgIU2sY to0EAMn4rOJ8x+8yFDDG8FgWuMJCDUiKca/f6HljkfoxinVMQNrWEGmzw5jPUnQZf3g5kIML4xMO KlAWuO5B0G4+4FRhXzkCLLf0TCobY2ttI1n7CdiKggBtaQT3IvZwibjL0qRI3fziIMSGkYR06X39 fDgtDM53oFRm29zEXHSHnZ3we7bl6sz8Tr1ORPshK/hinvp5zelVViuOx3xyx9YQzyh2flLxsVm0 iAXg1b4+I1edxq0s2jgfyeAbs2CqHL0qFcBY++ENsTzOI9pPd1R9FA7DW+fLa8NLr7Ttc2xQGALe cciTg8uuNk5Azbgm2G0pQc4O9emqYuYNEmvcdxPjCTk2PcikX1VLWe36E+TsYgs6zHTKfiNn7Z4v DjRC2uUdTr0robnt6xxKsdoTFKFZeFNE/25QexxkoIvPclpxc4OYiaax87aJItTVbRQYl0kGVHBp wpBdyEl0djhK13gkJKo1InSa009V0Ssd/mIW2J5w79ejDajX/x4re3bycyljEioiw2ByBOZRE6Tk eUVP6sZVfE+bbF5XIgcBwVFd8qnPZTxgNSp0bPxuhtwIx/rztmsEQzCOP3ot6bDeb82vrjKHqojR S+SQdy/huBMSRkEjKri3ww3zmTPn6TRKq0TXKB5mE9Kf6vbaWGHvRA4rkMCySfdvQU+z06PVvVPu 8LOuKDL3DTibBUrJ1AViAelaQT2ohFpNE1BMEJfNDUEd0CXIN/mifwATYJSaceF6DQMUN5D1+PFn hx7biwskD6dP9482jEjbGzpVXThGqa3QqzuUwdmV7Fa3ib6NXotEKFWTA6HqUuQi5CFcbK8xsO+i cJvemaTsQ5KaWMA2PVVKAYPFzDJHEVupzIgzVEahMnyCsMMVATtXOBQTqu56oGlYQHwFEjh/ZM6I xYmB0ldAJVIjCeXj55aqJpfTzi7WM6kNtWeXEXcveO29Jk45Vh1UqZSH68m/+nhR6gIh77B9xZAF a2mKOvDcYGFzcaUJHXJWq3zyDBmgGQPZoe33gYjCv/DPMY+++J0BwqtkTmiENmPqCzUfpW7Jncww WvG7qDyMiaNXy14GpKtm4hX3S3WtFK1Mlg3dIB8M5fKhpqO5UIz08mrBvNvXgPju2dkFnX3a7ZG1 zXbCpzh0Yzlngw+7YOQsLr7gbvXveg7WFr27+aLhqc4fA0O+0FJ2jTSSQo1Fn7HZ6hbqnjyCTR6g mCh278KU1lpmi58ST4xIo7fwry/Bi+n31zek9nsuHmmtqK7Iuwyj5Vre+lS4cdrWL0cNoRGlzQlM pGQweF5DlfFOAP3sgIycLOUvpejjq8zw1X2htoPXWVOMhAAFCtJmApAoQ2IT3pqPxJYD2Mu1UaFL u7RkJcQ3KRF4iOevpB/cVA+VEOV1rNW+LKDArdZXY09Q0uvI1uQFKyiJKLobM0KDnO5zlc5x0fia rfBQpzfPh3l371bCSBdP6DPlWKo2PSLI+TzddlOlS9M8zy2cDBnM9pUSyTKRSDgqhSs2In3NrK7t Id2TiGLAqHXUetF2TgaR7ns9XufHKv1nwGMuCuK981TIWVoCfzZnDGg12aLqfKnJ7ciOiIJxL8XG SCSM+xMvdbi1Ct/g/KoZGAwPDaCgh1vi499S3iLmWQXrdf1PQmq4R8yijApbMcz/h4s1n2+L42X2 ExIh8iTE/RmMei0yrUuZo5HEoqzGG99vCP9ndJ95/DvOVM/osRMQB3Nl9tORUp1tDiEpMrrAED+1 k9bg+1sHNtEFScJh4uCqQ0VrQuu57YHiVPTF/WwjfkXlvg+tekq++x5ECFuPk+kC1w2hFu2Clac1 ICpug6MzWuWpqOTKWGiEkmal9oIC1vVrfRfEm/gkzbZ7kTrM0R1MF/GbT8NfJZWXc/fSd9lpqaV7 125VE8o48n7sWcdMgLRdnToWr4vebtGYjAqy0P5ljYgxgm0ndKhy0POPrdzu/n2EmHUNBbQQJJNi 1CL9iqiVOhAh2T15Vu3qX9kWPqPtZ1yWGOTFi+YeL91I9R1Fs4o8BP3DJkwp3RQYSUboRUSkxWPu 30xCG/SMytBhTa4QC80yYeU2pfrKDXPANp/Gy9bydnrD8apMqp5MsJMZJStZpcNHCoHvykQon/HD zeUsZNnXuY9abRiEHvFtE3RUQdah3l6hYW8UmkV2R13J2+r1UCJkCKog8fewapurnlMoZgecteo5 SFoGp0rkNPFLkLyYPrLA0FT6j1bSG6QYENYgCilXzgarzvVToyYAXubYWh5SEtJk00dmjnaMkoUL tdmFxK8WLHfGzDoDD9chB2VT9PsTV4YWgo5sXdtoDSpqIZ7JpDfur4bUZVEYtpKTtwvmk4qkYE5y GMw5VsVF+aK5lqf++Oe2XUm3/FEMDnrZLXs5ufRL0xYjerPnKQcl3L/h2e3vvHJBlxt1E9tHArfs RyAYrwB//UvruuexksMRn6+Ocl31p/MIJTDgTr2scb3tHqRWLwYyTl3kOsZzLOa0gH6QGi3fG7UQ ysWipovp8FKShZxf4h/qLyz/KdmGETRjvFf4kPCrSjyOWzThJY5oNsvbI89j2Ghb09yVzac6FOO1 lSMZIrSlb/Jn65AmNXS30nd3h3/XDGHnhel2nZ8bm4itbtr3jPczFI2xqPFJr0oX4aAsYLHZ4FnT ufi5YcL1voClLURRAeVdP3WFI28LjpwB7UXLrPp4g3wRtsZSE7PEvP/x5faBKqAbQ4Y27DKu6CFB vgh4MBnMuTnFTsFG2e18P5aK/Tzx4ZVfskkXEY8c54da887Fd4j7mvVaBKCD96gxSANdmNzlGeha B5laIaXvCcOlaufY/yZV/YZtpMbrpTdFaMn9J9a8rEZ3IpHhkcj4/OszLyDYM6Tk7VdBfobavoR4 qkxFtZaYEFwm2JI6D6A62eL8YztexORbYSvMVViyyhxrvydrvi+uwxgK3lgQGDIhiAgq+ilkTNq1 bydbgFcqiO3Jd1mGQLH3JGanVHK5LUaGufq5YaijcZOBjUg8baZSZOURsKU5EaxWusUBJV9j813Y GDuK4mz8L6dpXcXIju5k2TFugxx9+OHKRqkP7ZZiQOhbG3NFtB0PvrPwVwjgeYkLk6qSICVuncs6 PQBoCo1WJmQo5ptyli+QBVgFGAkyYt06Y3Yw82ayvhNsk93BHrNCEu6xd0sOmranEg/nUsy5WhJa GoXKsxylNx4++AnCFzPUO89cW7NXNPk9sn+qZ3nuIJw/9ViQYPju/SBVw/gkHVTFe6Yln/Nw+xbn OnaQTQaQgW1yAxbsRF3AZuEdDi+C9KdKP7X+13fGlNwhlsP32neu2Hwx+j40ViH4URXheN89b0pa QlI9AgXGI4NnF08FsBCjH1+Pv0fCLAbwS1fVPKQqKtJyG4lVrJtnjIx5XYrP3tvHf3GZo2akWpBa iYsoH6dckVW7z14kmQ1chHAefAybkDj6PycS7C2qtELahjqzZoJAZ9u9lmZK5XVt37RxonwKwgw1 QoDIJ5llJzvI8wVmygdW5R7YsxIw3RXU9RKO5QVsjTeK0tDmo5b5V2HedTcYI/nPjOmxhljY0/K2 abnAZShvBBDl8rJDOSEuf9duqtmP++tKzuezXWe3Ae817ckZ9gpHbsGyUepoHSrxrixSq7NSSAcU 1RaUe2N+9O0lCUhGjXz4/Trsvk21wqEHF4ZScFnIUPdAmLSQD34FlowZ/eSh/yKkF5fyWdAvL5Xy 7LejEkJh7OowKIWysa++UDFHtp5LLSnE+NNieSEBApsHQhNoUg2ocvMPIOMHo4DJD337iSxF2ZFi 4v+C6DdAofKS0zHsIt3JOgvgvAWicyau7gybude/cIbx9WMgXJP/aPCiIWZVXgWE/IhHqbSW2HCJ nO0W4Z7ZQkGmCvNd4Nvzdi9uJaZ881YnF2xq3t7kzaNPPyJ4KxLDKUBBuMvxnyyYoIKn1D1/DEsb NlvM0pzSUkBKPuonP6Zb1ARcuw3sj/bJqZ2AU8lImjkI2C7+Fu8gVwApCeeDelgcVgA7UiQC6S+e C5UBCkqH8HGYLKirN1Hb11Jx0nKyer3BUlUEp8ZZY5GILLZEmTi5RKXHRt+hJyw69U+Rymo6GqER 8RuY7mq1B3UU5UX3xYZTOaNeT4F0aiaC+9xp0gRhpmWebu1kmHC8ySbp0Hq1vN5s7yPEuvgcGxWi yixUhtSVHTKyTNDTjbMYE5p9LDm6fzAfPdrXmvv8M5zDVDiiINmMTj33KIwrYjlJ8WnEWiifECtd yZxLKqWekc6rBxuC7Uody5t+2HLuVoCCKeQsyND+LmFtKwB3DBkt5OOruUHaHnDWMMUIpyOZSK+2 8LwUdd2nxQTorAecIevp+0YnWYSeBbmtqJuCvJ757542VN0ez8ApeAnyuMiV54RIfNEuFXIsTk1p i81JoqCQ7zWY9ktMLF3GmU8ISyXNDmxBdhl/ZFKSUsTeiIFXyGJF8BQgGD3mb/JPzEHNWWOUFhqq /B5RXJQM/BCy96A7jmq1UYmo+S72sp+DuyWXYyLjco/u9Bo/3IGRqXX+x6FymHFjQBvjp7im+WKD JHgqpK4WAzKOjye4vxdcXxeHcxcYJWnhS3ImitNn6EtqWswRsW1CrfALqAUe78phbJrgW42Y/KOt hEV/78jIAQszrOeh69JDuy88dh4X/Eyo5IpOaS1hXBbkrLgyoyDDMKX1WQZUV3sqHrUnT4Q2xRAT UtNiIp9grFT4CDaZhWRCVKaY1XNVChIzV7V8m1KyZ3JcDyHR0+khyKYpH6JD3X9Dcvrh14j9h32R QR2qW+PDJwPF5cWsyrImjb2xAgHjNSmMvyKmXY2viVPr7KFtzMYY8cVsBPrW7xjifaBEPjuXg4fj Mlq7SSaIFzqqn0iWT42rgPT80s9mUDPK9UZXbpd7SdBYXS/z+GOt7VS1uDf/DJcL0Q7MiuL0zypM sa4UOEZIaGcjwwaK8PvaUoXEZWWX0vFFj/tz/FibF6WKdAJmNUZf+p0W1SDgbMfSNhTngT4x2+bN C4jiOSQtPcHylrn2OKv0JA6rD1eYWjIqyGDHv6vhPs/iBrJDNKzpKKRUZ47auHGTrpMKdrHY7ElR IJoVsQE12bI2B2i/AZjiJn41HkZ370jaiExcxY8DVWX8eWCxyY+B9okRg3xJ7dmFzSNhq4FqDSeD h2uyoVXt6sX3399u2B0Vvcc4hnS7n3tZKWZ05jcm1oYDu9/B8hRJNhsyApe+WK1Smrm3Q+Jri8I7 aUiAo/ozvhbrJI9Ykr/yM58yKKwJ4x6LmX1pLfI1W9eOvDeMxQYMlpfpflG9mYTaXhUAbqN/RpM4 DxbFnB9xrJIJQCAsW9FP6KceAY+JSj1yyrV/JX5jJI033fCDMhj6RZ3/b7IgO6L/k2IosKnhBHbi tA8O/jifkkfNFkQxOKFFslgwxpJIx2FI2Pyecx53b/yUtwAMDDWiLhX+PtBEtYfXYe4pkQG3xJGy 23TM3iEUPzhhAjS12a5PzClTZBH1WoJ0zNUf0KW1vMDTghFrvHS1ddAxtNDIsj0A0FxC8I9XvhqG BDkxEM1aGoWrOncGe3c0TMOgRUjmkBtTeY68/Hk5zT5DSOk+QqNdREr8Zfdumy7ZZmIcXG/rjxB7 5ZLPBzRn6FhQ1W7ApnVONQ8rENIy3IA6PPa8Zf/aOinUEGxG09/9yTCHSB7xyCLH7Y+f3bbxt3mr MhcPbLGZ6J6dkfz5Ni1484TO2DZMJCLeLq3ggGbwmKFxUFU3a14tGjK6S0Eib6qQjz0C+PakkG1c ZdzmhAfjp7De3ftzxYJZbJ8U9sXHYQcKWi8UulFg9JXeTTQ+o9WOooG8wij1aGFdokyOhv0RI9Rm ZXvzIF4p3o+rpL8LRWm2GC5X1Zcf8cyw6WTAghM/nUR1PQGBjEgR5ro2/exKCKJt8UgdJL0r0tDB B40a059QWoGSNcCBCSj7Oww/MMRoo3VoctEuL09SM8KAfHJncP8ScbMEznngqu9L9K6t2UGZDMQR NGoRCmyqT+xzMYtDYDNJyiQL44VqekEylF0CoK8kG7lQBPeTc6CVRRcPj5LHZRZ/LhDLvCkXrpiZ dM5r8fuKqgstveQMPsfgjDNNtEpAq3yn+u1/WC9U553CUD8DCfF6/l/u9+gwZQD1bz0z+7SRsyAq LIjPyLbgJJY9rTm8hiWT1QP5LUvy1HQI3Ro2/r30cuZuoHm89Ppqh+YlXr5wHKYXHXttAD3nKGQX CH2OxJZ8+ccTz2zNLPUgDKavnVZRA/n5lJbqARM+4Rh8XdQXefKj444QREIw4AuAfzSR8tWOX0Wp jCpvAat8i7n762rP990ghvArVONIkFX57oU7E7JHi59EWpee0gjpZXFyv12yYeK8gTpV9dEgD97F tjOywF7fYnaQ5BrNt2SuU0yAjZL6DBwe7t+s0IMQvZEXCUCKQJF0QpsScqp7oLVvBwW362XAlhsp BN8leM2EH8USR9KAekmn+FlOiZUa0jT6Hcc+WJBAnZY/rLvW+WQJD/woklcq1oxtFK3t5PjPYzjh J9iRITw4K3TR+N9/0Lc7fqILATyVDytJV41heLYDapbI+mclmHwikltCPp4XEo48ulPMoLiA0w+P fmzVtEbFXzEXuwioCHHGwKunhyWxaCWZFqsV3vxEW+bAe+e+nQjQNVSj8oKhSmgqWkj5dPuyU+oq f+ikT/3vtFzNv4ifllFIdzjRDZzTvAg0bYk9am51NoCLLrNGgPojejk7gR928h1E+IsvEhzNQ91P Wdkj/Ero789FjcMf0ZUf5CVuup6cZaQlhdA9pwxV4GENp57gnNcNwMSq+wH0qvl5oni+O3ie74f0 38awGoFXoAPAlWuTl4sYG1McMHFxGL/G1k95UleE2R1nMEjqIy1+DQNJEUMbywCy0TSvN+Nrr7HS T73V9L3A77AYmIO6EPaAw+aBRskvgXtcIWDT5q94edqtDftVYkUnWpgP+wQ1z+tSojpLi8TKHifa cUBpTuaf3EvHgT9+SyLsQPgbk3nn8WgSKJzT97+G73j+4HT4JvtfNZl8+K1ypqHyXn0rwrWKCdZd AGG5E7VHfc9HhsmxJ0yWmJ5g8GFFFRnQnpJAcV6jRwe9cmhG43o2AA9wF2G0eO7KUictpfadbOWL tpVR3vk8hu+g+p/wYDa2cxfnKjcqqOIILKCikxnrY7K8x8kGvZkkmNxMfRjLm257Z91wiZ4HO8yz UW77+i3INGyjXvLP/pa2OmNRJwWEUVa/zRmqJsM6k8ulniLspJJIf/WrQSuAAA/lzEOEtI1Xi1fw X/r1CFLXVF7Z4UpJ0JEF8WzTtt6AWWuaY8mYPVJgJP0y3BRwBuw6/K4FG+rxRkbkdqfHSPusSZ8q +f6cZ0u8tBW9rrIM8np+ea9VOeL1CHemlKN30CKHybiDNJMN+VfZ3yapnYG9hZvftuaHCy3aAvPH glrAwXTdabNlEiiF/2nbHn4kSIcOxxHRljbL5rymy1IvWVYk22bnFrew62PijH1+8dOvBxaYjyxo kuKy1t3XM9RQ+dTZqKyLnykz4d4OUrjy8MfVhsh/Yuz/Pa9z7I78UPYST1mKhkMOc3sfBwD7NYFn gmTuWyV6M04sfTXKrBpJeKr0OD34FGXtSa7QhO8VbDjOZUamrT320VQqWMdkZmaVF8lwGc+VmmW1 4Q00dOlqd9/msMa9v9OTUH+oyHEtcsXeSWMOWaagGcnG/yjmdXadyyQ06csZuT9Iqig0WvBSXGxA XJDHYZXNk9m9tD3dfnWsNskYm2CfLE06YenuUBl54z/n048bwe1WQKxbU6dyvaNRwrw1UJj7fWE2 9nSgzf3yjMTaqPgPJCTLDfSFRlVBOV5eTkQP2ljYDvBZrcYuDkKH2ugteEtCsFQbiDtXNTSWOLfD SbutI5xIFGCxMvvXVIoIblDHYMYJyRHgSNEGFULr0JSQJeJ3OOop6ft9Opa6hClq/Wqw2h4KO3Cj sUDMdieSl5Sa/Yxcjby9Ikmh79wLWBMafc2Eo6LIooHwswQ2VRL4gcJLtN/Ywt21R+XZ5FgQ4LJJ tB+qCqUevrbU4HCv4v4nnsWOSO/HoTtctD9FG3ZUeog7ROuX4jRorkL6OzGbl7kJwMlsfDPEq7yR EEQAS/QZjJC1ewe7TN0MuCwJXU0X7XsueL0jdGQymvIGAjA786N8JL/MpDjGWbN+5yJTaKeXu7Yi PIHZTMaWtoF3JWeL1i5LKTtRi8nMAkB8xieRz+n6aNRwuTqcHVJRtFOLmUOp8YAZk/3dAW6FkZ9p Os0/kcfI2m7susefRRor9gv/ixmeevMnA8Ry45w1hSoJQLxynLwCTVflfc+vXDV4B1zK3+ObYvGv KgAYjbCEM2SA4Rkvnzo/sO6VtFoyPNRuR2U0++WF3c5rL57ccnAhQw/AtEreZZg0jackHQ+UDhZ4 fJttgxASTgNLiOw8qzYaDdIQz6BebIIu4SZCvXOo0ASC3gXhTBeKkR/p5tTVyRmCSZhek2oh83Wr SltE9tiuYtVy8QbfWVrw/xHsIJeOXpokIMhUUpqcdCZ5d/1ea+xB/o1uUE4JiI01deWIlS59GNMG JKwlW9DJwj++cMH4kihsT8pUr1mf9tiwenxkRPCTAFX9hEQUhCL/rAUOFQI9vSg3ZJ58pb+sZmEG 2dS0f8lEHqaTve8198Lu+wYjwsniexrpD6E75RuKhbdZ0nY5Vsk5APSPiDecyf2XBFPaSolgUs51 tFoGhNNWAgSjVnSeK9CMOO5WcBdP7CX4Eyuh15GUrQu39uhvTIZCArlost7iKw5iSdvMcjXwmGUI YbMsbJopw7HqcNXp84bBUnbYUeG/vc/ymHNoyXNcFtVx1BxdEqTA0JJLPHZ8Hk6tNZYvvkU/gh1q MFrkzPIEnwDGVoHEEXxXOZ8kLr2Fk131wrMQMEJrp9C6K/3pi+8MYN+VQan7GKsxSvSMB/1dQGSU KAO0yr3imeWFYd/mKovfrpqppQ0sG/BUk5XoO9rjAUZ+KF9gUOQ6utob5GU0QvqflM1c3r18ufQs 6vVUNyWpxk0ohyPqK7Ew2y1OhGIF6YZqzs3+lk9XWeU+3vUEikgz6r6JY7Y+XYMB9vPUUehxq31Z qD//yoOJBywZ03WDV31/uetrNR803//wNnLnP18zFfPrbm5e54gTJwQ/9QKmXCct2VNy9a8HvLvo 3KaJnKWMDWWLZB259zeD+XOxpG5GqMZ4PYzez+k4x7AJYI7sazCIrRjo6Eol6KDGF0W+UTjixXV1 v4cxXxq/sHNvARPE3BdPtRQHDiFG1rzeyl/cKiFWcV3ij/MQO6i6R9nlrE/m50qsozhDgu4++4Pf C6Pzr/bknGSJCfHEWPqlzJrewgS5zShec9zvH8eRu+utJQ6M/st6rsAcaVuHjMGWsaAhSjo9FgMy 48gpcAOLNH8y5fy5h1DJYER+GkaC3+dRMijiPf1t8E9aVDy28z0qeALmbPfYSr/FU+AA4FfUulil s1RKbceL12XYfBVvRCQrjhKDMn6Z66HIzhBqPJm8+Jn/5631+b8oOBmMC5qhPUSIvBblrmqWdrfh /vgh4z89BmdgUvWQ53LHBdE9r2P/NFgRCLcUGJBytSLm++1XvEtoosiDvFESzsDND16Zj8IEKXVD t6HeJ4DoBVyzzwHI5H98uAJkySO1nMDmPQXNUJuV//vnAcgUH3va3IshvmSWLHwdtKBnu8b7TyRf /G0uyfS9zigWSjVWP2w33XTwB4xRtPPXPTtI/4UkIC8dsMe6CEf1492bEWKYkNVC8rVMIddnHej2 k/ajdiBkoBTtvrfXPxZC5xZdno4zA9tNXHaTr8G6i5SHegQnaXO5tIQxrIosL9AjSQUnKizqSiSt yJXBqj1wMowzFLTZBH+5ksNEWhVgBOPJbnKzH3yok2NrAPtPa1YFRNDeU3KJXT7ppM3UE5zVeQLo co/7QunC2RzTlJexZUoTm1j9GCWO0EKu2WtyxUKX7AN51vj/QJ1KDHeO8V7rkkpDAYgqUKBXevwb DAZmgQ3+PvtJRGBufa7LjclZcaHSneSJ269qUpIndlre6Ty2UGw8ItMdiJKdSp46D47FdHUAAar8 0yvv/8uDtQDLXQTkC4pfHpNxgVH4WOyHXPYHwmaLf7Zo+z34b39ke/7YO3zk2LrT8k4r+heNz0U1 ejiuYoY0Vuai4ctExvdvoI4qI5ATCgU8xxCyxKHFfmppF8QtDNTsJGYQ1Z4TEVnVNgMZJUmjcBrJ 6B39Amzm4r44hH+Id2R2PqkJ1Aa4zEEaIO03Io7st3KVDY/bcgSsD7ixw6MOubjhEog1xodTZhB0 hVUN3xZTzygc4bIdKujlGaRUHs7MC+QQTTC4ijh8f/JyeTorQUWWDWWDqf5NLnnpy6wLyslNVs4G 8g35EPTWTba9nDHKojKFTA+0VhhkKXrXfyxC9aF8ujIKbz+fWW5TjrmHiXeeCpkqNSwqII67pLLb LuxxPJoRipzRVdi64BRt5yxeH5OjH2mNnjHMU6AO9vvQ0bHjO2yTdsQBM0WxhuLvuusmVz6fpAg3 YNMCFgCh08Rb8brSRobrN8qz5qH/btFe/Y5d4n5q9fQrLuEWjUyg8vKWwp6ZYic9WJvxGBfLKHKw j02D2mPN/gFIqtjFEFSmk8QsxU8nNcieVhnkJqEyUtqeOcy/dCyFJv5D+njgE+TajhA0AYruq3PF y43EontjhEEAmA7bieTHPlm10QPuqJk/eQO+emPR97ORGBR8kYjXRjz9/n/c5XWPBocdRKuZnSoz Bzz9VNi9w3g6FGJgOUXPF+8hpXK/FM9XLt4AMjY5bqdYsC51eOc3zM1ThNKwvhr9oz6KkGel6y/G rO6wt0ZFxYBorKN5g2eYcso9M4K6AqMHDQ6/b20N/Z/Znd/mDeCKtMuoYn0nlA/Oxw9hR5dt87IY piaKF7HuVp+x1OkxGeOkoKlC4MzTmI+yPUGJkqrWOcC7WTUkntvFqo6a8tsH0/3g7XO24LAqYbcU QZVd5/2beEJWkdAdVtupP8BLAlPyGtDwV6NBd7QerwCKjj9Rx/Oz74dN2IFOMZ2eXOQPU594aP9a 9dk6VCUiLrCcwAPHRvwS75CkCQsrmwHoypKEX+8pawk1fgD7a8GlSiQyxS2KLO2vnDeWV5+OIVJu 1NA1JHCAr0jVt8Af8CMDTEYlp45tzwzCs4qTrFpeKDkT2siXPKC9PebP5TTSVppApN6lzlkMHLoe Eh40c2kJIF02tT60tc5YXCrFEu4ukgJUFdJTg63htc7oXaBO8rf8O2fHQuu5DdS1MqCDiLzV8moz kcvqyv37ucm7CcjOCFjF28qaCXe75ObO+Dc8KYoWoL4AnYEQomQmNCGtu7oZRNDc/qL8fvLRxKJy k7guX+a/tjDZzt8IWKWXMFMgGMJa9NbxQCDA8zc7cB4HR21Tvcy3fyPLKTvrvBdqGcM5BmLW6N2A 37R1YIRaeZDega7Y/DLGV/S6x0EZRw6rq1NDYJYl3C+A/uf47v5PMuw4YYIAg/ySiu++By7HLu1l W63LLslDvaffyBOrST2OJAfEvyQyW3oEPCmRxzvzsQ3PnM1THB2ofAUpM5gEhPH16AEmjwdJNclC sLkBcdyPmCXc+h2TcrHyDzrDUf7+vpnawc3ZwuYZKX36E4prCU/6/DKoKPTg4Pvkd4UTIB7x4519 nJufKncOdjD1pKQBCqZIRJ6gcu5SxBqMOn4ae0rnRg0/k2oLhoMHFTOyiuhouw5yxfxlbjUIPYk3 SGRpHfsi4p2t5f0fARwThpzq0nuPJz4kcU5RKAd87/7Ex3PbYAblHm/q6OORFQzbJFLMO22Eg+eI wr/E/15hXvSbinl80QJSJC7ixbzzQQ6zTWgMTjLJV/xTlfdMRajdMjm+Ljsno2MJ5wL8fi0f1Lni oBJlLFv+lK8k/YIPtY4UEG6T/Fu94vRcHUWQbT6D1miq/10VAHjPtGcHmeh0RqZ6m6KA57fph0/F 1w94vslOvUZFtQWcMqXp6/c1oTA8gKzV7jQF1BcgYeJIJKY1Z8/slkkRnrfw4VOHsEPMKm4ANOnv hX8kWIXyBeOVmP2/5SFC8valcdpT7znDaFmsq7C0Od7uDLqCVWSXHN5M4IN0CMs1ZGk/Oikzy0Wy Jf1cy5d0/nGTl8lF5V1KUZ1N95JitBoY164EdrG6hKbq0U/NzYupZXXs7pOV3dhTczuObxq8bKFr Sn4EzMlb5JZuFIrukbw3xwDhvnw405Lqj3ntdZIBOL3dDv75J4Q/ZfBLECCv8L824zJHdi9ejnDI r0huRL78L/A9rT3mhAqXajKoHoNV5sOKwz3FApzWYrw/OWVHKMBAA5S1Wyn+BDStP+7nfzj0/7hm qqb6cu31WV7E1iDLz7kTqqtFLaYTfgG5AWF+MH6YGEcYpaWOpPJyzlMpKPzcGolvhGitAXlkG1uL dkrIZGzR+djKFPWW9zIUlomca3LfHbUY37TQz7Serm7r3zGIr+B38OeRqAfTZstgd6zQlhAomEtJ C6s4N/hHqJaNQIm+OZ58MqPRNbSGVuVMvqJMiR6kLRkEj2ALPdVnYTPMnWjc9WYBbxxcdE58h4f6 u/eRUne5dbgn/1tc9tydV84vom6L5PSeRG1gg3obgo7Ncf5/J3YPLMTvwB7Mp74a46nsGSWKZDZL qH0aeIJtEj/XjO64kKYyHQkATdDPPEOEQp6wpZyfUthmx11kCXVs25EwuFUBW/DXA7eJ9OC8x5O1 eJdNOZZWknOEtNgyKpIKh669WioD8q2X8shNT0ODmsYxkbe9XNf2TfnG3m57T7FXEnwW3GlbwQdS 7ZKQm4Zam3DX6QoJIPU+xPluHvZLOT44yUmiovTJ1cg60IYsVjlZQPXJa0AW5MmGckQzY5hAmKPL hHhBA7A5u/uhjN2evmB9EEE3v4D8+2cSB9rrV5gJOWWEQSmWc+vn1G+bhxa1O6zZEd2a5p41kyWu XmgGtlVHVTlQ+0JGjo2d9gX59OuQWs+Qrylc8ZeHts36lMb158voRW7zzTS5IW4iOh1UKowzubDl OBU8w/lJt/IQdUmC0qcx5NqHpVzi3cpMxOuaBhXR58YqgNYnAg9eOsH4huYCrSjmXw9oVmS6o2ea xXILVRUOxRdgdnrupndxvHdAU4pp6iheKGLiKw7QBdsEtyAeZg/o7t/kbq5VSoYXAOedLg4eRCe4 TkOPp7ralQhvigm7yPU25MGLLCeye2xctuKvi48TuVnIFLLGk3lIXg4rFKipcYdoydtIC1/tTq4n Cekkpa522nej5BOvLzwZgjgVgE2k7fSvvdXcivaDd+A/7XKH2rO+QK+mdqCeatp/uVsq/y8R7sMH ap48EZ4gjVXweHMd+Ot8LFH9oAx5VkfYTVPw3aQtufJZc+M3NhFy3Y8gkH1JmpgcgEp3klbiU7Cz HeHLtelo3jW63eDLybl9qTmu520tp8HP/FwvDSkuJ2PoT5xciKe1kDc5KJZDjemjtrbYHO+e5vTk gW4xWod0/CdkRuKdyaWU972YQ6JZI49IX+aZSFaXPWcp7AAbyWQllS/mPg50X+eIwwceR3NVjFXN iVxk2e1x4GZRtnH3QqQY05FIFvVxxGoImcvYJyiycYMCDNSJA7Jl6Pcpm7ToQ7U+kZZ9jEShL70n SpDf2hDAFIT1PQYiHOtzjpkxcXqTmp5bPR0kDUUiNjhpn3nN0zL9zDzM2xG2iM43GVbLH4tBDCfF ojsonL9Ye5GpQE0uPV42ZIqDOwL7VNfiqiwvCgxn9CIDXptNDu3dbahmn+mrRFHHMaojLFXxwGB9 MwwRUzr+2pM9sWWve6V+XBl0FzHhUpNpccYRjGkEppMKmS9OBAbDTR22iEzLT0zTUnuFQnPjx6wi 3POmTrduG2d4OOkiRxVtyIhXVL5+vBukSi5roKIQmqvyDHva2FlXFLI2VU5QiQTRyBRkywcY6/Xp 4maoZfi9iSg7RanX2fLXhHMRyssHjk7NsVKJDGecuF+0ha6+X1X8Cn81lX23oFbQwE9itVdBHIaa QWzk0h4fLjFCYnjA4CYWfwca1rbUJeZiaPhDaYT0g172J57tKrxx/gxw9YKVqcyyHx4OqmmuOqCR bua+pMoZRD060RssytbBT8Xp5wun7KfyjPCPH1CjsbmwrS7ImZ4i+9q83K7DAHe02JqHh8vj8CeP nuxNFd2wdrY2ip3qdVUSq02Rh37BcrVCwmqjYyGCjjGXiKkUDeNp5nMdJh1QT+X4nIie21qem3cA RImnkCkPnytnmTVtebC94qzU35m6HW4/UfAPjkJPB8ZY+bGvQB4jWBUuPehB7GDn83KMqUq4eBNF PZuHDj3ujlDwH1yaQCFHPWUdeEqLAsfPvPgtQRyEIj4Wkesw4TcBWiafFooWaArpSie6hJnO+F0w BfU+s2B1mcUWYTLuVPjDs9h7qZGwWyML+LoKhggu3LYswxxvhAdL9BR35r6+RltP1B7VnIvXxFiN cA4G/woI/KXwbBDIHNRsLFcbPyEHK3AdAbDYivUvASNhkMMBiB21i37OvG48VwbX2hA47t3Zf1BG QNsoqiBzbluIRBJPLKHCQjXdImEKhdgiwVQhPj/fjOPa62HUyM1GFqrvAKzGwxOSsL4LRhyXMGbI evX1sUN7Zf3C0ePB7EdwUz4Z/bAXAN5UYBKeYtxsX1Xk1aVcW1v3gUNbYurfvXCPstK+5YM/OgAU yEDYplkhWwi2g2tPvMAYDXZNzRTv/b2niVQ3l23Zwnmkeu2MTIvMt2MbM31b2RvGPP+GqNy4dgB3 rMIoH0Xww7trQiaAxrqoaY4SGpWCWPC+I2KYdbmeUPjtyo7s+ymBLfa8Yqw7ztHIoQyaEpLlTVGl sHKuffZhJ7xiyesabr0iOavGzHql1JbWKrXjdjiRGw1PpFxo5IRclNdAyyUiqcEzKTcPmztFCgW+ Wqw3IHs15Kr0/GGyqOB92EP0ywkdQUpJmuyzqJWD4MdRO1tYqrO3vX2YQ0FlvKnRZ+fiIMVzm6IP rEU3oq0TkwqBf8H5hQ7Uet7zj4bB8KnzZgZuB7dsisvfV9xmpdd2tJ6nNBc1osX4cvogoS5vTb9n jAHqf/0nPMFs/Hgp8lWD4vJ5QfvobR/u146DiY7OwmtDs75+sg8yx+snsyqOnQMgj2mWTub6xo7T CpikQRQctCQhq8GjIlZ/i1PwkUZtGHHmnQOlvtiROAmUFjXLIOaan566cois0sTSmXDOU4Z/cNtx xqDyncaJdRWcnUNqGk1JVi0f/a+O2kiaVN9AnaQOwq0/LTUmWTg0O9C7hAXstG+68xbEEQW/jadz J3qozBezcBdEEWoj8to0N4eQzG/nfvpdinqNh25U262MRQ/OP0lFQNp4F39NqI3MaO6BVEr+tGQe T3DcCSSr/LPQUUtQTehBeSaxnF8+8oGBOAl7gRqjzuT199SZF6GBstWxur8tAP65iUZavoEFFhtF x2iU+qnz0osA0q5yPuUisCwCVFkXt7DKMONeeRmhETb7lSUhGxDX0k5cfJ3M1A/14Zd9ZlD0Mie9 ZiizyhHZGVsRJe2epg1Wq1V15RCgLR3UHtmtAQvrbOtUexO7zsH5fh//vfjGZXM+wKcuEflrUCiC M4vcraExNiVeZVbsy6BIN9+vqxF69YJdYmzo+7npx7kTTj1w5NaezE9wQKxwj3zfO5YOerrOv0Tw Z44iI9MJ1VYd4MdBlodzE42MB1ObiBFFwjYun3tpnfNMy4VZ36MPZlIdP22GEF/lYULgawYvgTj5 LAYK960gvKB9DPZA5yAGixj9UeUGS3M5EhSzqG8Aw8fsfqL4UxaeNQ97BdCTUsUtQWa4Uc93zFu9 c9J/T74d2SkhEuiVXaKpSDm++qRe2IY7fjgaFIGq/RltaZxIcbNCW5JgUbTWRJed1CT4WH0U3S4z LXb/p/cYm3KZoE08186n+eSU9mQzdZldcQqml0ICunSYvGzdlvEUh+PBG+6fimW2bpnOgIx1vzp8 S33B0Ap7OoRKnlewRgfvHf9dnKlEQK0zHiKlX/sF8jazVtRRDJ7qiQFlqFkYTs/OmYbbpUnnA6N3 +L0s+Btxkwu7vDq3sUbawLAJvSvDZZkV4cGH8c+bsOD6YjT6QjgnjV4ugHs0i6kMjO69V4niUKnj PjfJQcuLVrJEpceTD5oBQOkIRrFL4yxa8Iz/kPMBg5h59x4+BBknYUf+9lSeD+sW3xFuiFOpoblC hVFBtUOf/t/F1wGHk1X+bbkzCNEq26bOLgqUqade7wkCXSytEEvVG1ZEfjzZhi1VIdkaznR6sjFX Rqtehw52xcBfB5Tb6d7kxHktQP8Jr9x2EJwgY5OQXsvK5PRzVBJVgiFofVRJsFsw76Nv9dDsufHk gqYycXOtwCnubiDwVLEy7dhEmw6ZDaNq71z16OWrqWyjlB1tuSrCpuRyyGdyaFoc56wji9gKe76I RFFd3xsW+8UOvaO9k581Mj5oZ8Bq9x1xYGOuMZkfpXvhIxJKLqVvGRHhzLECZo6VbxNLfNPrByN5 qx8Jt0SwpwGs3Uo2Wcgx/ynL3ZD9fW/I5ZSXAk2WzkSE3ryK9NUN/jJW6Kjz8uRJ4KubxJA15MUb OQvDIqR2NVCo0Wgu7NsjjmVB1zKILq+aB00DHOXuJGceEm5N/FgUy35Ipqk+c6fY5vhBm6ywFoGT iMZw4Ba5oZXFUlUiEDDb5s9edJtHFBWI44gMJn5CRkrhhgFTPdEIE057T5yip9llbNA0FRkInV5+ wWGkg6TSvNE8RmTl7bZsfTJJ3FyNowhyersOgB6yvyZf+oJfr9FHcrKTTiV3KAjhng+jpO7Ma1WN j4HihcV0u+EegHM89ezYG9YGmWFdFUX2xhx2ejpa6FMIgQGpBhPOjxdN2qyqx+cZt843VR+9raAZ 5sXTG0qk7ArGZRuWQ2SlGJ/kwpaAM8n7Mi9b5vBZAuQsVRl35he0k+p0hAVQj4Zg1G+I85VybNYv w4q+LwAqEPuf2Vwn/LxmAPfjjyRRFBTkdE3u9IXg7sp4npM2jT++KbfHyzXZNjmcS3Q6EOo2bXD/ ZZAwSNB/F6T/tYQrIh6mRhKt1mFyr2IuSc1ZVelybrGR93/8HrvhZCB8IZoURFefRbiIu4d5SZXX ZcTivsbyvxkjPZcVMUGlfERxtwQPnkpNS7+L0AnLoceSgkgyrM33xmpl9HRMyw+H7rR5defN1ITc uKVrRMt6Nv1xpc5OuXuojwu1ligmfEYwpbjYRuinMk8CVBVPqQDZBsI4WoWPN72IVFg1IISwC3pw JAIQwlJ+MIUNVzqICQ4Z3K2dn/FdXQ/w5NSN73b7uOTOTWlih5dCF/LYj5trVVfbs8tzxwuc7kec XVFq6AOwFDZlphNflXwz74/wQnfdd0mqo2D55mG5orYhmVaK0k5bv7gfNBBk+YaJjFr+hMp9Y/ky Phv0GZBdSHlauJubLBGRFCy5okQpwtkEYhqs3aHCZ1Ymq/z59iFPTFmkQLyQuIK/Q/nIWjwmM1Hu sGIlRC00PzqghgrokOSfJO0WblX2a7V9uxS/Ap5CtHck28376xrQcJn+tUUWEw/4qf7l8TxXF0ie i0zjL/i/7DhNtt9yMwIBb729NmXVLPa1/PP2ERpEUkEG4Cnc03f1xy4mEy5nMo56ATZdFicnKN8x PPYVobzuR3KnXdreLwCiVaRHJ8qcICbnBLWaLRNuVZXcpVbI1Jr/P0cntl/3qR2DW9tkea1QyVJh jgcWej4l6nJwf6iO9nQWVOGb5JaB2iD6I5Mmgs2DxxR8f0NoT5gWkZgiWAvg4AtjkCGgB7UeoJju 9DMdT4plwj6XJLGW6qkeR7ym6dmva5C+lCF1aCfeEUXTXCN/om54EzSHNA+IbVlab9dLiPpkKPiD Qa9tmZFvudokhzs1CjvYtIy3GvqnneUBvVUJ5jcVje3XMwdWmvbJuAvbwhiDXJBvsvyroJk9V6YH ezcTaYjSH9ANddw7kgjMJWl0vo4hnQY1Wsvw3kpAWfmqMs49eb+5hFT1rYWQc0nuLDFXFJ2KkrQg BBzoHXblMRpVTsItEQP0kh1PdO9lsGleW3bmYADwGgLTbFFBG5taWZ2bOLy0mzNHM8rzSYc6qBrl 1m4dgrQrNOcu5EP/C0np31dkFuzlCcqcm43lH6MQxtyMS0ifAv0y5vADHYQ2MQUp9l5gOuD+HBEu ERNL3Wpat7exQuGZsuD0GDSQPfXtY2JCdiGc8J6WBH/5ZmiZbH8ELB18dGvOvT7XXjNNMmdxtsjI EAavNiLECePhZpg/w1ZRbAvTjeihjaISFwq1tVqZUuFbhAmKoDbtoZSPVTdrhe5BPWwpFQhP05cA CtYLrKfa4s0IvTHy/z2BL05V+cvFJcPnmTkk7di9Aw3+Dmnjrpy3xMGAGB1NO+WOtWLhotHtE7Aw pytV9rsE70fyqy0dvmEDSl79xivoQBVJrftczPmjO3VBzOfOOhHpN8MlFQK3RVg3bmCgFv4QldM8 m4hXGbl0eMzgz7VbpANFWS7rcyGaDRG8BK2ewu5zLrkIjZIQ9Qo8xNVX8cHUpAyWpfq5FrQx1KwR nTLRIB5x49J0Q1eIlX5H2D6mwSH5blgkSUP3CzFzlA7hSvBxoWu9BoK3DfnvXc270eRywL1R03ox 8bxsOWBpJEfRXHCOC/G/VwlXQvIX+Ye6QqOi9b0oNkqp3OGrEjt1v+eYGZqPX0dqFZPvFSWAKbEw nuTKbvPaju3lWpJxsc/VXgYFqjXDCydlCAlShONN5pS/fi8QF8/QeLmYhv44xu3XyBD0BCo2AwGm mDpbvry5ItC3Y0te8yjWsYnrnhCxmhABQUOTMdpsBLctVSU5X1NHPvHziC6eEif+hB3TgEczLV0r baGo3jJN0fDEtD+RqZ3E5e3BRil8H3WtgLDgveLpOvwoz/e4K7xng2FKtu5OsQQaSROE4gYm18iw c8nGR/Y+M1sv3ss54bkyf8MS7JXs4CyTotKpxVv5vBC5H2iPmcsvUE8RbX3PtDnXuuxxTDB4nWKR HlTkY9b+0iJghXvS0JywdkNSTuZXHE2Tx/3cFKQqVdNy/zoNreJgycWaTM83zOqHeryRBvhjmqZv Qfb+MYVw+fTU/64PjVh8XDDbnjvKQ8bnOVOhWDTvbQytuD7ZhUpCUtF1knEELHNXZo02+5tJ4s0J Y8VWS18CEpts98YFhXF1NEKThNZF3UjzjmjIqthLdibXcReVDfd5+eOeNRyA6rfVmOfZIgmZ+mrn 3RFOd22fV6d+ALzzx36RL4TRTBpgwQr6iA+Bh8rk+uih728ut+E+L1wBYp5R9c2y59PxJoTg0l5R bzSSXwS6Su+ZPyrqVnTOzxjMuK75tuGfggPXLlA2SDNPIXGy3rSV3jtgQn7324eUYRqNDr8ObLKm Rd79Rtp3y83LTtS9iH6urV4X3H7WjEUmMahtVbNQAA3Lgt6cCW/3m7gzu37kl3kCLwmQ8qpXy3Gl /KbFkXsInNrK72bV0gl/SNwww5fdDCi7XRU3xXa1oPfm6JaaWMVdr5tRsK7aREwDCOlbydX5pwqg ZD5ZEb6oe2VDm6izVjFb3JCO6Fl2NzTo4dGAmovZ5YstMyAniBxHBQxfZUGcZjqXLaqtDDsaKl42 N87gc20x9Ue470ilkcddRNPSMynLUQ2PYBC6Kw8b3+IQ/xGenlXbclprcRXIIP1CzKq8KUEkrrMH fY9IvadVWTfF1Gz1j4aS3ljCzC3w7EhJX7OzgxE6hlWCU8fuI0jwXzZY4HTBuEkWl8nG1oaOtBzy MgXdRsywKPmZt7cdpwMGQe4BtC2TErPDnkcQkR0DJbz2zto4TNsyrOH6HVdQ036EcXBR/y4KiYoY vjYbNp2NBivomtbo3iay3FCXk+GmUA8jAscBCEer2hCu6agXgIYM50Rn/FEoWeJmJbmCzwjutjk+ dSRWCL3nvMCjTz9UL6LTI4NUFtfw3JmPKCjlf9PRFsLFhFAhSW8EMX9oluxlD8RKkV1ZSP3mhU2i wI6sLO4frYNvv6J2jBrZh0e1HaBUp8SFl193GXPE5vUKB0FXAd7MulKgXQIN7keXCaoKYOS+dvrX 9epMk2a4MGcZSzvzt7SqG7scehepLHiiG3cuN5A96+JVQuc80Lgn0EL/b2pplUhtV8LczWnyJIIE NeaMmL/Ue3zyWrAJC13IG/evYs+eHLtWzOGHH8bNrU93bfCQhKtdYEpGMQHbMPqQazUOQX0n+Pe9 7gTJTC/SeeC4UACgX9Bx9rdhEZe+iu2l/8S4fJ9McTGCqkSfIMKRYd4kZ8ZhO5S/2OSWQsmqQnfF Es37idfgvJc7I2CDI0ROWu+yKLkGUXKXtQQWZ94LKsKyNpfim23l8FpNeBq788yUuYTIIDFQWSOn UB8kvW0HyULIt2NO4gCLDAD+Z0Iokr7Yx3XQuXN9yMa1RPK7Z14mknGTCHPm/caA7jem6nezt/qP yImALyKsc273EWlCdKQ7Q0oZckSOcireko/0eMyIpj7Y1WUwoVV8hzJCW151spGbjoPDeMyts/Xd 0YkjIBTKPvVAFYxkHULFPGJ5nzdFwvGkGBFOiDXGCdmTQzFpsGTN/smU82uXq8ab9aJ2GZTfyKDf WVKRzF/ZGqnYLpfrKLQYtw2xr/CzMY1c3NMzyxwmhVnQKV8BBcnABWaFSew/WExQsOs3PZqTiaQb tuyW4+82/gl9g0qT3f1gi+ORKkMdZoEwDuEJlX5ioKgzkdBkXwRiDJUCLoUVIwO8O2gPBkK6bAcA m/o6MJ5I9zF7kQhWdDzFKSRsBIodxX3hN1XteREWlXqI8n0UEYn+HKeFkYRCXnaU5DnZw9/JBtm0 H49UROLuXdQTNxKPKgkUK3b1gSswgakLaXJt/muTgBatNu3GBViClPZ5XAyoXQhRLKlTyTUzP1G/ YvrTSxWsiD0M/0g+av0xgRYSbidKJa5x/2osO9zXvNmPKG+EVKiReodciAk86tOI5G064wFImD1d KPpQHjubfEZ7dd/IQBKH3XRfoc8luDQDcJhMj4VVhwU8r72+hGQzyVEBwgLa3vnVgw5SmvfF0F5k mNYhdmSd5gzOV5WmSXAui4/t69Tp5ggB3gOwSNSW4GWvVswxpTYFfVhveDwXqcK4MqrJXOwD81KN +yPF3JuHo9oGO5cSSdVr3qvdpJGNTg9M/1TpFGQY189VG6PxI7jSl/HwzpxyxC21EUDsI7WqzN7c 5HcqmRC/20lUd9lke5oGbvEm4OIyCzW8Zv2jiDyoAEiA7nFGoD3U0aiJ4Yd/XtItpwCnN2LHeIDU A+DJpvKr87QpLVD14OvgItLtU5uUBPxgKqe7/cQhQnaRXczN4JpprY9e9FXSDIPy981lPrdTs1in BjVYegqfQ+lmkEPwo1P1XGDaiLXHCHHdksyKJRfFZzDYUcIs8isYMgcJPH3gmSRdds5tmMIhe0dy mrfeKNZacpuHVhazeaVQQ/YYH+BtxlANtfuiJOqZj7iVj/f4cE8TwDMwnTDf59bgfMGzoXZ1Gfhd gpn7Kw9YM6Tp7bHd3J1D16mnVDgcmLO99+nOfOD7Tl3tiZq5LcJ69HWgp5ISBZQSB+TEYYlsF3Ea 9LKXpfR36uI3Q1zWZ1Mf6SADc9N01oBGwaxVu3wK/puIptdzIgVgkE1JicyXGGEAsqVKhpJ7IonM 3FkBcB4gij+8YHKQsG64C6LXs8BnOSycW869DQ5yuz0bG/wUnOgSx6bVm+V3N5XwnUH8aWCDaI/r y2KYJjDeMZa92aOlRrUU13uBDehRACsS9e2k0QHEEzLaxfVh5FNQhR4dFnrJWACw963f/pP8IM9P sbV/5SwuWt9KA/bYHsv+gRHZh4WmkeL4iupmqbfhCLVgf5Md//1HkLdQ1F7HnvX+oZkt9ZauNwQZ 8s8sAWnglIsmZQL7xTxBgPeDsC53CAS725gJ+s1OFre3NvIfEIdme4NTQSZItlxtl3IKNksw052i EEUrJLGy/ttr2MJKXuNiS/3tHMde/Gk3sDYKFPHwQLMMIWpgMurRkqBGZ3jc+h4tt/8sg3A8/jmw PUqMM7JxDhGny3o8RIkKqgz1Jqk/3JgFt3SEi5Vd/plluONPxxqnE1MYwRnIN9FNctfi6tClbT9s g9JvCy1FN578e/JOnMn6pDPUDNbZi4HMsYu74CeNgs3fwRLjPHw9NVi2XigXAihM/LIAIivPLBry hBT0aycgFeg6gh78SK8Ml+lSBq8ovtVEL4fqgkKS5LZPu+obzWagKnHOYmBCibd31gnCGYTwo+DK IJcT87yrFGKYy+1bmnrC6Yhkx2X9IVv1B/V9rVQPU7drG8VkzyreK9QWRXbQGMuHps7VEfj2/nZZ 4Mw/SP72vss1Z8LM+vDYuDlGq8452qWKIxxHqiunhqjWoea7xJoF75sC+4dLCjLJqoLLmdj+77QZ KEHc1qfSdbiFt16QHb/znKGQq61V/+kMHVw5oAeC+oLKr7RBeOCHL410iBvopmCqZsoeDMxDwENv LqDXouTQ8x6aSrpWqVTrEJMXFuBC3Xy+YxJX0ZlkLmpyioHKUfHVhCmO4BlxEfribygG+2WBtyUl EDCHjBQND1q4wZoxDy6hTpA0v0kuvB1Ee2NT62xkJlfq7B46uWX480S7sFmFPD/m11/NrGA2cmZL lUfWBq+u1DulfLY6BYpJSkn6tdLbCpmJM0Xm30pqkiawFtzJLfCwWKSEHNF/ClB3ygeJgMybvENr qpnL5BGQMf4M19peeRIp9ypJCjUE3wrq7fLWpUI6hKRW5qpCV4Ek+cE/320MgbLXMvHRuh9XxKf9 Ko++kWZHJr1Du8TSJLL+1wnbZvkeZ1sRELELTqcwwsV/H/qBE30MXFtvbgY9YAEROsZyjgzzGLv/ 1HAzJAbDoftozMQC2i7HUxWy0C+jfxF2AW49VSaGxY28hgolm2EnAQ0eLoJ5ZVRECw3qKrP1Kkox 2rk/8G6P8GNkueg3RLg/q2LoiPnn6Gpfqbc3Q/JHrHx3YNNWnMyAz7KtlDlnNQ7QIskCv645LWNi 66an9tit1kboV1anq6+SqeUNxQ6EQfJFbf6J2OnkhotCHsS+g5Y4z42K2sR0bg7xgbSf4QczDwxT HXbhuEp7QSABdWrtbQGt6nUrGmLPcv7vY1vfkW6Zx/DjvQOo+vZnC6FtpuxmO7WCOy92pS9psw4G 5v8aJMhPAclSShxtpLRvClkmGr97uX7D1qDfFw4fmExPWlKGtNK/uOrICdJr08h+erqONBtvjH12 093sPImqnwVpVR4lQ5lflUT9LaDxRZ7xcsmkPfosjplU796PvbJusq54xUiqlK6TQmNOgk0bkQaC 3xbQ/PJl9Z0Y8zhVJe+HQgcVrO0YiknYHhQHdzR3IhW/OdH5BU6y4EueOAXCKIT27lPaO74dLmDn x5zuwZ1K53Vt5ibGKXENLEqWho0N3xAvphtwFjK5DvJrIzmbryHklrhTZx0lecOBR+iR0EG+JKtL IdNvoLeet5DXQapNtLpqu0mH/iBe4V61q5rmhzSvdMewvGSHo0w/5mFYjxCDwf1aFmtEC0MdtF2b w6bH5bOECeVYiT3J0LP6J4gncovf+wjvurYoo6v5CPMNRFnsqaymbWjNckwhho4DPzYDC0A1BSvl 1DT6iGbQBJaSOrwjdzIHPjmrJoMIPsn+1mokyVz9uWUYg8ENwbNF8aTGaNj6SiAMnG8rGvH4CJqU G55YjZjxURYkZLEFqzE0wXEmT2OhU0jC0xV0nVXlyUzYmxNO3lvI4RIcqYm40cUZ12/yAPZhpqS1 0FNfrNveR+LPVyM8dlCjgOjlPAFjQUjubZgZMrmZuoSC88nJDPq7fEdWW9fp1/Dz6Yvv6QDOukgU DT/MZMuRIy/pVrInREeqAD9DzAvXexYNsJ7sruS48nhdZrkVTqm9uS4weySRXlLkOBOD/eHJAeJP xoeFla6COcs0o/9xLbDfZgfP81H9Q8eHgIITTZenI4q80xJ/41x+H8D+P6XTkdF76TZre6v8RxE/ mTUx+UinyohcOKnij+7eHJTu++e14v2b6q29OjIYTabWm4VdffxGMzBKy2O12kpWKipLLb0ZBVqi Jco6JQgEe7QEmiN3BDrJyXxttHOYYa5SA2MyMkT3JZAlsphN3twVT2IbxhhsreoRDAT7x4w5wv9H 6gYvtQSqXDGEzfHh11ofE5nkvrfsmRR5h/7w3pWfCCTkCvUY5WyzUQyQfD1Bqoj7n3n6Hj1iXfX7 RxOL/DXyGLBrL9ECiA3Wk+bNMj9meN4l9Nj0fN2U8nPvhsp2yPCkO+rtb/rlwIhPBZenA7jZteHN +rrSeQeBJtYbLX7Ch4V8/+MUmdEnUHS3YQGIrFBdq9mpimVZIgudoY5fc83CZjx0SfGoxEJGO9fC MuFTefrEnn/Sg/8ndXAY4m/uznvCmqSO5auRgcWIJNKRubtOKbknVRR4LKZyD7b0SNQe/K6Am+aT xq4+1mXKKqspYcyUZMDDtiWeEQOEOk1A7F60R12llFxVK9CQm9trpj2HguIRAK+Ifc6VCYlzYoHT Br7OMfBwTTayZEnv8RrNpul195oh/hxVY8kAWe/hQWQfaLJKjc4AYNHWR4/ofv6j7gXSI+ATh9sL qMQ3SU3fqE7tNkonR5E8zKCd9lMdpFLcsqa1DsTZJmej/8MS7CcCNH6u+KWjUH7AUl8+y6K+tRFn V/DbjKIflWwTugFNGE1uYL76T8UQZSmAaFW3PADEytRYy9uxwFlAzhLIraaAYytaDpPu9phK4Ljr IE/lmFzIx8+Qqpb/kucl9KFuWtIMOGL5J0whADcxaHIXC8xMnYCU5fCggXoXbI9JqaFyxI/pMcoS DeZNfnmxgMLe7xxPwsso0Y2fJA4Nn/g8WJmb/9kAS43eEZ5u1s6BaMRHXNEWm46x495GP/P5aiSk /Fiaar5ssNb43HMDWMn2RGecIpbhjiT4VmgczOmRidbSWMcAHjtlcdp25hN+5MfVpOV2iuXaVkWM FPfjWC6wZvo5l6IjRuzEOy3DKOQ/v1+S7eQlxqB4KYzxxhbtQxVWEU8OmreaFj3ddTrn2yWgqoyf 38bIAr3gD/mcjtWLBRriYbHWcJB5LRV8Y0kgKh9T9QCIvsQ0WOCp7WLD3skQpO/BOEZ5PKSo5KUT /j7EPfmOx3cuPW0ZVpVIgjW+WTykLqn7zhTx6H/K5j237jZ7qy+cQd0oQn5PS9cXKSOoBmvAe16e Mr3z8VfIL1Vw3pKHmN0p4Wgo2WnVgc5h03SPEzm1ahPAf5tBsVcufCav3yKIE4GkUzPXOT2Md3Ve huZ8jbsdf97TTXEtyKRZX2RF68qGKGcPQGQm4/zYufIul87crBThw7pGNDt8ioKqHAzjrJbuEJMH NrHWVgrzLlFnSyv5GMsRu3B3BAEu82N6vuXplNTztRvy/98Vv/DxEx4L+6HXFgIVcv2CiiuRPKSe feTo7/H0fN8zoh7VdJ7evqjnMzhqKWTCjLtvpn/yf8pobg4oUWpq6SMTxuLHxS59WriNaDM0SMWV x+MIz9WkiEG53+4WdTTVsKO4LfNC/nmal89tT34ln91YqAInup3a/CZSRpiQ9PIBE2SY1asXzvXE CMfyzVyoMnNcsoYwS55nYyjGvLJ6O84CR9VJP0362hI3LrmDw3RV5iZzJ7F883hmIr4tNvV0aUCp nXa9KuSbkZyf7ktNyqAFaG8/ieka8pcyHNDSbme9bLuI+aWgmQK/M1yiw8CB7hnfrew2D2iKSFO7 oWfrE4YCfMYzHk2CL2T04xfRxn4ZgqZ6ST/bLNb8LsBmbwFFEgESbRPbEWeaTQuywL5fBg8hHrj2 7ocYmQMUxQD013f8xhftVhUlu4GGDqC0vc5ZmbRfIxpP0DoUtUDjNF4QcGFQi41i3nLEr92xhZZ/ sB3MPWq9L75FIQEQzRTNYGQY0gvxY2duHL7RnuqKtWUP68z6diDiJSQcTCzUklcaR/HaMBe5OfcT KBy0dpSHZErwCY7d5DYx5ETvWamsTRRLtLbPOmIKe/QdJVjoEaDmLxvd5jL5tY19EGGoeKhBPXOz MaFTuO8mNZJFRUboOBAkuGLa3npge/dUhi4GygB61aJWU8NtwkUEsWCZL58D1Kg/sn68g3Dz+nFO 8mfOyQZTzU4LqyCLOFRqFVSYRT4w/y8i5Aj/g8f1Z6RjyVGfEIgKhE0NIF27ht7878flP8lzF6LW Jz9i6RkpC9AWMFbKNWgu/zKghaU+w0MmRaNqG9b0hGX1UsYQA4xT8rDMP9W0XgZW/b+NHXOgIKpT ycGlVnMy4AS5+cOhaEjIeQ9hjlq+tXLe99znwGlw/mLPyX0colLNLwl3Lts+GesaEwREj/r5Ie/y U/JMji8Alb+axsHkHzr/0pAhPU8eW6tRpnUqMp/zyymqJJRiw4mz7Kh3AG4v+50P/ORj0aH4PoFF MH4uDxiqN7cNYANaNSSuoREal5+0qQECllpl7cX5zByKn+TtNFHJNRZkYcQQgRn8jNIbaMjhbpMo ++t39TB3QdWY5S6tTOyroAFFe4LxT4i2jWgebf4Cb4rJOFSwZ4d8J/bfBa6/mI569GWWTP2wVc4X psNfDbUTm+NRJrTbc/yWLqq9P12WZY9fAsKltU8HpSAUSk0+1e6rXz9t8+eQYU+Y7P3Xirhpalu+ drirvqTPOTeWtJMKKeQlaCBjtz22jxXTlxxsu4X19Sxa/njFyUp9iivRFtiLP9zLRuW74dE/pHHp /N8wr6YK/vDuMozdpXnkN0wdO4lUKxUdBIdGhJrIHWQBJGqG2gADf5TlaLYfDPAATZ7gNh1cnq3d cOcvRF1xY5fyRI4rONjYYR/DnLK8C2WJ+0/LWv9nqqTRRujqc30bZknp/Z4aFqhcFluyZhIiRf72 8nRvEoB4O9vVZRsppbbkQ6J/csk1T8v0p/i6Ia148M4yr6TLKLHvnWCK95kecTFWaDVcRtTFNc/O IjTuAslfBmGrNEIucssOY8F/7J9BdX4BLOQEC9vAe9Kygj+bnSFin1tN9BmzutLjGkcCyHJ87/Dv MdOZeMAFsTCT2pwOdM2TMra83OkrhhrN4SvEiOLJWjAfcHfGlAPG2/tUF7Pqn8MnZ1FbYrgJAMt/ BU1aMbLy9yWpxZpHX7nCfbT1wkvJNRfscgR/M3uk3N38KHFcg53TZ+3dvK59EBKL9Apa20upHLuT aIHlhoWZKF3x5+TDxZRSnkevjVvWa3MNp76wP6LDfsmsRFC1351S2xzJyt4JlI/HHbNfJpILgyQl DW6fQjEnULlHTzVf4Z+eVoHxbSB8NDWiPXejAdV2er3YDKvfwv/Jyr4FE3mkg7g+UXwfCq77dj1i IrB42NIyc+YonMkc1OTxud0bJ/bSBIk/BdFGyY3NwdzkJL1tEK88OQp5DXhFxC2ticQr32NIqLa4 GoxFheb0nrOc1RwKh+/F3gAPpgivbCAh9lzB6kgoAZI5WdopBQk4efIISRoDifSABQW161S1tCI8 LLLyUGastda2YMheu8sDj/gUKFx/wv49X9Bp4uBHhh2N84Xw+kd6bddzfzBDsApA8rgY7S9zv6pR usL+kCfv927ibDGebS1/sI2N6HJhUYEyEiVCutV2sc1Wiz/K9BhzL2i+gGTzBvzU84XiJuCSXXUw a3rb93FWAzabls0dBgjvXBJCEHfKji/z0JzEjYURsVHfz7yrHcrCH1fRzlvrUpPoCE2+Yc9t5oss NuKjJXMuxa1NK+AZWDfWYDJFIrg1CC9eU95vqq0ZQ7XlVtom1EMz9ReRJpRe5vqxvrDnUPh6sNp9 mrx8WzP3wVnehoMXedu890Gzmh4qgMDhcyedXxb30TuYwkNAE8EFiOb8dpsWBpXAB99HYka8XTCl AiKojR2Ew64fwPM82oqSMaLuwrBOGyCAZ7s1CCtmo/LKckuf/7Qv2igMT1Q1pZ3oG9UWOBxk616C BuXGbkvhYbNQd1OmnkOhnTZ8h/6Aqr+IRHGApwbGGn6ZtyPIvVcOvRMjgb86XA6mFNV54kktA0gZ RQ8klMdB3j8dewwaAUjo4uVHPrEwjAw6lYKw+1JddgQRVE7cGmad9T/XzEzsKjkpwOnSqFwDl7ER wTkfDXR5bxbKjwpsMUn50wYAHRP2Rqm0bDdogn3Hb7wQ1Afu8CM2T01bie9WT7A/4HFLTT5/kR2B pCFVULW4GKdbUetIwZdZCzZ65aiSCSLa/bzkWd2RkaGwYgrCjMoE8PVoN5wkkdgCGFBtNS7aQ4GD UBvCUWnpgJkLZFCds1BA63yoo1zWLtzLq1LhhhdIzOVN0PSpCcp2yEnRKIOt+Fbz5m2dXLIrikrI hdIG8tJhI6q5hBW+4Cund0l6FmmU0ntDC71gSTvZGid91cqghejtvsdlQcU8oG2PZkn1ZpBpI/Bm fJiHG4Apc8tOtvuj/0AKGuBbv1MvC5QgPFt1gF3IHzocgdE7ogf/Gj4aFy7iyQ2Bkl+EgPzO/kG+ VARALwuQbpg1ZZwfjIdgmgm8iZEounPrI0F73T4/ewX58jz1iFWPPtwlg6uxYgN37EmFO/Kf4SLQ 1Kre6oKuoUim9bjEVAtRpnZO+MU1WpEvAqrt/BF/DplfdDUCBERjJYbkvMsOAYlq+eISanUjZwOF MMTNNyTRCfyZRY6mQCWdssBEwyxFqBVKA4MSFkwQTHHL3BY5hVHzEZ6s/yyou86/qi6gRbncytlw /sx3uQl6wzgZcsGNOX9JGHIXp4j8oy/1gIsObtCry9otKerVBKtc6ACWbOx5P/qQglvH31Ingotd 045d7B5LrxbmSsUKDalvM/aNAiBjSvxqU70Y2wNf9CHjIxYzv4vSJElVkoyrTAVHb1G/cz21mPqI D9RKKYC7CYDZiYKAp6N6MfSmwPdkzBnIuXLcQiCox9gngTozzNxfhGBAV7sRxpNVMCLUknwPoPUZ GPTYD6ncWhUyc2o5yQFszeOwjKJ06IGbU4ERKrRFAa5QLHGT0IO+xZVXUoQfgLDHHuCCeWTenQmz 6sc/HxCXKUjOBjG4PS5nO9haba9zkS6UEesv6K+LVVnwNs8T0pV4Xhfn2ammF7twpawQkEdKX0i3 lHDHk8avu6oiCfcLipMSvC7dQz3TapnxDgg2sqZHq6RLSTNhGXeue8CRbmPO1NgTDMeoUp7FKLtc UZzc+JAI4o/n3Q1xoznJnM3EhtopRfP7lBKokkKdfqw0GNJvy4m8c642cWLwEOMHz428es63Iwov GeJvCbEFHlcIVYYXof3FMTOeF53ronH4QnWYMNqcS+IW2IC6AKRMK+tBKIC08bVS3nJ9Vs4UI7wM EAykO9c2q+4rb3s3cyTN4mdegBoA4y79Sp3IM2ZC8D0SLxROfmdVsEfavufMKPlyqOX4TysD6VK2 x4icNOSsSDYVqZ3k36j9+YnzsJNWNjqjLhWFahsgPVrRE0ovNSn5dgJcvS+fyHqTye4LL+1SUtmV 1kanILdk69ruLmyTtwzx9y3tQJRxaXBUAheaD+lC/9UY7vmT4vo0pkdprYYqTaZl9oeqrPBS2FvI /55BS/UL0OlCyt1CymgoyYWfYZ3gsQZn1pSS4VOul280a6K3Mg9bOt9PM3KXLS6BUKfp/K1JJ8Q+ bA44ad5qnNmjrrbdfBhaAPnkmXe1V0U0FY3m0oyI+2RPVyf2baRtGnH4pZQBVRI9o4mNejz35R2L E5v788IOquGYmdCsWWm1dlWWmrDlpQMikeI1cXEdeiM09YDRZfR4nFpvW2SWbUBtyX53CWip6LYE byyXj5mQ02TrolV/1v9qTAh42Q4kXno5lCeaUHXvEhkwEoJJ3YRtqMRbZw+JTqnqinyZpMeSwjrc ZQll1dgHTWsdBRMxoyPp/D/3YnGpyhmsHNKvqALJXQwtS9fCK+fgxsGqGW1es3tCRbuLFyrnWn+E VulDgQB3goa3pmpjxm4mX6/WxcKJLU/jdoNHd8hLEj5ZgKkOPxTbrvnBamU+hhvMz0jpTuRGa/P2 tOQSdtdWTDTAMPpgbf5iWuZzxhb8kJbkkewJDsM0tCSWrxyWtwFaSqv/EM7zcTv2bfMU4YXUrON7 KZhB0YpNsPS2Z5VBHPkm6g6mR3nEgeGEz/zPu21xqNZZhdA+SFcyN8WLQATMVgjTuJ13jcQk7T/y tu3x9gn8XrAOGapjOKUiNzU9GKfex4NrzJRROplrSjz+AQpOrQBvSUWqnr0smC65owNQbMLEe+5o rScl3RLj1bgDcu00P3XDBiMRiGJ5rRV2HC5P9Ai23fBIH/qT8b0THhBren0FLGqCt9e0qeue/zJk 9XUKyt2oDYUstF/vHhAr2+5+2sGxEtxZyMYfYniAABz9uxWuZ0NJvLipPmvDQJluLgHx0xi6B2HG WHaWcJXCDIoMqDoVQXGSPLcTr5SRffl9sSB4OaHR7UZEh+dQGqnMGQyKRGpiSEumhWFEJkQuCBL0 XJG2mM8tIr76KaNWv7WC1pzdys60J+7HY6hZETu4tvgPnHf/IPpXAtb/bb0yz+Z6VHQUydlnvBLY SNd3/UasrHBc4QmCcAIcy2Llqa8mVQaX+VRRoF8G8xtEdONms/gBys7X2Zz+YXQdSCQyMjOODGow OJnc4ohNSSSZJm1o92PEVRVZCFbqmAh7gKYrG8s84umk1vxxpjNs9IJtMCIPCNwMrx8Uyv8A0aC9 FKoUoecHyV8s0xtCVhDoOqEv9j2nSlhmi67G0631QWc2M7if6iOM9IQ75WtVroufEfwVHdZbQoab oTCwdmO8ESUxAbUuI2+FmEGdLqNR1M3R5pwiiUBEo4hvJTMNFCB1xiiZ1fN064Xh+hDthX/WH7AC lmI2R/yyTfc0TYO6A0B/3Umng52JWQgljbhSzOxYQq4s3ncgLl4xAgHV/6/ZhdNQn5FMaRQPM9CU 9RutJpFW1NFKFqobS6y/zUbzstP98LvHxyLRqE6Unh8Ee6qbjH0w2qff7TN4LXajCwj74sel49h4 4vV8kGVyJwgrR/7zbYpBGNZiSI3LMpMH+TpWRtTyK0yM1ORDBzvqrSkEiUOH1NltBAyoLPC788/A my1bsaF2OjCgpQmtc/chppvUfPIfqz5GhKOTqF8hCKeX0mWXBAmvH3cNTz2RIlVwURFHcKcHqcvV mKroJQ2E1m/DujXUPflcaZH+YplycS0hpkbOEMUts33xh8/wpaGQM2OHJFqXXwIfZtD/Ye6aqSmq jqDwibUxlSQTRLKNBNPDR2QyzQMMJ+p6S43I3/+M26KgzpwTD3VxNSzlEPUf8HnxrhtLK8wCK5om nPLVySYPApFpJ9hN7/HySg6SlRu14Ir50VDMzEQbHN5CGgHG4G+bxWcgkfiuLUiFDMIGGMGRJSI5 Oe4FIxb0DYHdxJ8KzsEl3bssQuuOzjOMPW0kOQyhVqqiukZ536HdQkn1p+cekyYkJpTxJxkWFT0t +OSBBR1DC9pNTXLex/OvhZ9jPZr22PnKAZe7loD98sRETQ8uVQuvedRlX5XWLLFsLm++6xsv/TyL u4Zgi31h6RTfokl7JKr3hOsWluIJi5SrorexmDb/J7vgS0cmt4g8ut1IeIZ+uhY3g6kj8jFC98YP 2tBAJboUSwmy5oF6BFs+lWOc4vEBHk1GOlQmhfaWUR1Q9TgiR6qqIhkNSqjiwbr4JKE+xsBs85k/ HXIlG2S8XM9mCjjihJPqaNtfktofjOQ5bDxxWt6xfxBbE0Z4PUFX/c2nqQhn8JLrlsIAFsRWPfjI QaCd40DqJuphR3b1Hj8iBSmHIbH0Hw6QK+xNt5o5yYQAvgYKSA2V+xzCzoVBR76PZivXUH7srleA is8VA/brH+QaI4FGxJff6HOrN79tbtrX6wtGjyQLCYTqk4z5ycyS+fNaa1wPAqb3SVejnFfPPvcR XPzgQ/P3fe4F6ktwSE89czVynUG1jlVqey4GwxpKHwqvHZC8Mel0sRENgZSAASnnJ+T5YcLUFTES 93qOSmch4u+Fp5nf2gt4uqq4L26/LuhEEP2yRvIU84P2iS1C79lFADy4pE5TN73fR2XXsuXbr9mi 8ewgX0RmGok2osXxpAXpacjWHH8UmKYqJpAUHH3btIHKdyUo7C0eaDAVkerZpEHU+5SF15G2BJ/f kp/GSaXMBJ6eTbxm/22wfxikST8rgWnvPyv4O+QLmuqbdG2c9bTsq8stcDAYWFx8lmoyW8DNRjo8 LnlYV1tH5QC6JGmzwt/c+GgxlUDDXhFgoO5n8Uc85AbgJb2tJrh3PoSlGnSmMLa7Dc7ZSBgiGSL3 OCxc9NJ8NB6U7Hy/sZlaFJTBykjX59Qw8Cg9i8SuW95btI7zQ/ohIBG2PiokxVzGUp59Uimpplfa 2ClJnjAE46QfYMukci1JhTIsD+gpGLy+/5laCVMGPtk5hvQpGmJblIFb5QJDpePSxtZU3Ue7Iqg2 yUi0QDFHexlYCs/IfeL8CknfMlipEL24yZrj5PW+15eWMbjYCfcyczAx6kv81lEHOBMEh6IwzfSb rZRlplylQY6HWyFMkiiTPLqzTeHKwiz16g5z0sA3NnNCDMKbKd9AjTk8P+ou6wNdyMg4EFgavHY4 N253PW9wsj5A/BIIMUXWHInGzvUGgOaS0/u0UjgPXQUDOwJLSCZRwwrBEGaZSLh3cMt9Kqq9O1J3 ObhUF0tr47r7aeOUhJzP78eI/GuffNOMkRHNl6GeG/Mj0Csx0FciqCixtCDjfuOOMnR/t1g4j9+F KTMtyOXgRzUFgkKsDBSwq0GoCqmcvhus76uJAC+fEVFVFUSZv6NrgoXTe3OSgXSmjLDub/0EnGod PVNXhZiaVNkr2wGiupI/Uk18+u5y7jABSZWzr655FE9FdVAGiP8XpNPgqYPzKUZa9SJnkOfJGv8K YaqGCXFaQWve3bq0LAINvUeflsx0NIXdrDY2TuNK+xbPoRq9gfdSUUbrYAXGcUkWgX7gpgffVx3b RSjj5Bpqc/rVJoyHtYRGa9cL7moOm+7DOgqDmGKJ3XKkFHdTLke9Yv5FOw/x0toGIUg6DxZHMFza ZCXO10mmYBwejp/fihcHJV2rQpFdKPFGEEdXmo2Sgsu9PgDUcpRqOgWIDlfgrHJ3OY3O31KIyBx4 SGwi2cEs/GwZh9cQogaja9HtWH3ce5yt2ml4/iVdGrYI6d4zCo2Qagb5WJY0WfJ/6Ws7XGxg/Nds X+UfzeQmCRsglQqReobJJ+Ic6ylyb9WD5Mlty+xGCWZzmmogC4rOKiiF9wy8cT7YGdpj8halRJou vPuAHGEGDpoYexMGGRiYxUbG94paBBKUzOQfC3ZnuqN3TJaBvETg5JSLYMAfzV61vxDZe570V2tt UdfPE4eX9M5zR82rQ6mwRUQdWxLpUpuKopzjzHXltBYKTVSd40/pKnWGo5b1ASdthVXhdid2jD6h mnRqsgqwSK4RgTRBUiu5WQmXCPsRiV+o3wsRgp+iIedHh8L5vcmOfT4zRy4GVkK/TOKEGb6pDN21 33r8rhGXBk8iawGZ/NQ2JkBJkQSGHeMQvwyowVe8t/bu0nNKIzpAV6mtrkhRs709q/ffnzLRLrov q3eCpnPjMEZ0n0eNeRnPkOvTE/3otHdmorSMOjvPIbuYQSW9C1B7jBURYaFJJRiuTfAkvyHPMRDM YjnaLTrSocnEVZVx79Py+IglUsso97mMD4HZU+IIDbNoIY51FqPq619sypohKPIfjAMUN+CIeWEM FMHXLxIFfNf2ZOYyKaOkstsc1++uz5eey/zEHZSn7Lyf8uP0BSN3kG3Z3+eK0mH4rCe9g4CIOQsz rCdFB3QD1dJ96UTQi/Cvias+5da7djcFD9bCj22VTpVnSFDBJL4YeHNF5fMRZ12ixS+ArGxRpq9f T/kq1SvnMZ4LLZjYeY3DhtJsYPG0eEQbzPvg9t9wDo/sO5gyzSgp2wkxgHUFYOJGD+/hQiCjdxmy HJ6ZreR1Ys2oNH5HQbeAjARh8isV4RhJA21RtMC8k5njBGKky6yf2iY5ah0ftzol9fVhDxRH9oI+ D7jeCpsvGIk6Z12ew4DvUpntNdgws8E6sDzmtP0OGHHarVcnXb2FO3T+hX6A/9EPGOInnq43ZB6z 2TvcXnxNlPls8P02IZNif5uDie1qtkGha11HF/SgL+jqSKKtup98r7Sn1AwcQVJBnoi6Bcz9VbnU avn98hK0POAqT6o3k4yt27gm15j6fnW/fF2zTgSlFoC6DBB2SaX2HALAqYgLPC6u5bUo7Lv+C9SZ GY75GMXuwbG4fA5GGaW0EdJ1zlQv4cWZCLRCZ9eQ6DmIIZUjKUshlykLtFSq7xkGA6xin9LW6cRt xSA+gxCKW3QfAmYGbxHdyO0i0QNle5wPqziuog0wwLY9+PMmuiKw5dJGyDX7XBGKJIsCpooyA6vz 2+nf1U7y5NHjn9hi40NztSJwCcL9gl0lPOONJJLLAqhaJppJaSYdqvv1whq0vhvOP9TujumqunrJ 2MgeqXmEeSdTDKCY+ZMOUZbeUYs1TRCZMDSp7X0jNmJ4/MiEUfQHdT3jrZNaTFNFJHY2GlIQ4I+e VWtFeEk6weAurFmLF6WqluGssZSIp1EkPOU8qUyBoZCh33kBGP3gYALulnNEJdFwsqxm222NUu+H fDnKfBPL0L9i1jwVYI3aUNrC7utCorL+MSCBSveNTCz0MMufTi4lbh/VQsDluvuBnucNvtkHRQj8 D/gt7CRi2MMge73fIFCTq8Ub727GSyS80EgaZDsCKWxyMgZBMSB+uRn0PsMcqc/K8JTdS9rmrFZ1 bfUhqFMEOaNVtdX5/DBGg+sDY2GDB2o/mkCaLJS5xG1hCudufPINhc2WNtFjT+xQbk6Bhq0yb41N 2vCaYkrExWi42NdTD4jLup4Moddep2ODNh27IE0XWLkzWI5TI/78PBFVBOuAtRoKwsq5nR3492Iy LfowYaWKu0tIlBtl6qp776MjwZUFcuw8Y8qKHTcfkwM1GvEp+Qy3OFwcDjI37KwFWy5H6dh5aXcC BDN29sghLYc5jr52fbf1Utj/kSW9KsP5tF2MlHUBAIR5jL0qnQdOwfihLT+HbmMMJYSY5mWkGpyb TeDjNLsDQmEkcelFHNEbOLJHwiS1WmV+Rlri6/F5KJPyotB5MS80dMSEcNhGLbKgdORgbnOugaUf tLNa7DrUKnCrGzmovhfpvzFCvqKmRqKRaLlAALcjePfNUrS+bov1NZfkQnOfiMQnMWWv4dSum/vY +N2PCyYw2cE4gw73w0qEoFW7jHCHPy4wxTMoIbcijHXMC4vuXOc9DtZfsazqd2CFoRZPbxfy4P04 GMkqdqGNp38zBYAxat1KF4J3FHteiquNGxzd06Y/TlP741cRhWALKpwpG5ukx+RMy3OYeOMLDHXU aPzlOCbAOu/hUYHtjaUmkzuok64Tq7hJqevUqMT1NC4Xg4I2RqEJh1wfvJVX/8m6mG/Ig8Sb577T 7aPZg6HJppH2LcThJCibuUTR0/DQZKc4TrIrG2kay5N39lnfRfdW38O8aIic68SMmfH+c6buOU3G rANx88lB+r0Op2frvDeEvvI/ieyXKf7qlA0gGXRU9q32tfApCl39GCcHbIkhWu9EBSVNRSbHoYx8 5NOvyyu8OZMaHtAxztoD0Ls4WrYTdVWfAu4t0Y9/Tta23gZFehZpUqvweNppimeVIb3lJtvlVZfA daFyOMN2GD06U2A+sEI60wFZpr3ZZ9PorGuxXRe9Up4X01r7cj/66z240ATAtq7AZlPxMOu5hSX4 F0Pgcb2kvC3Zfiz6vdUk/TlIWIpv89xf//8uYkKb3riDYvCHNJdQUyMxsE1e7LsmeILamI+QBS3a YkCxDcERcMb/kiSLrdftFKQA4G2CHDEkn2jNKKmvB5urKKpMQksBq3/tP0CZaeJzv2YGZg4vMIdX DJ6LWm/ve6exzpzEDcL4/ddAFhKqg2mDvZf4N4VDeXFzJDDTvWLaSjW6f9WLD7KlZEPHQsjVjlnO gU9ZMKHhHhf4hr9vFeHaofgLq9kcWWCUP2Frln2VMDxGszeTl/QxzTH6N+sKL1XsGqjEdJdPQDSR mqphqmOV3t/22To1eSBG6k4CMbbbHDMAgzagdatzByBVxIpyMICzHVdRMMlrbGvIrtp+v9YkvxjT N8gQD4hhmA2v2i46idh6+Qml5FlBf3yBLnR3W8B8GeDWe/ttsHupkxxT3b8XJ6V9ESVtvCiK2lia KKaNFl/pTf8NmN2zQSemYnEOpc83Tu3TqWrkgoEFyls8g/Jwg1bvkdjPNMRw9Oz+1j9ZNv88rh51 588lyq5QsbLvo4VW/oaEvp+eCG36KKjVgYGlUTu+HRVROz3thaxb+yJTqmvMjiaHx0kCvILJNxvI CJHCcmnoV43SNfkfssHpHQps7McTzSqsiMbDFTiG6wMHOVnv69BOmqOFkZkShjdceozSWHdMnB+o fKgWJhKQKaijgyqFwkPB4iedsFnStK97Q9s1s1PvswnjeHJ9FKCC3PYCYcjPUxNb7HykERMcxCtN GKnkJ7OTGjDC2MnWwYRKhoo7VctEYGUzU7IAmana0Lb+2X6bryb6UGJpjMKR2+fYAv2AfGAa7Ohd aLVO3+BVUGAgtXAm3xuvcrCi8jVOMyeXoPBbLSasslEKniPVkIOFLaYmK3+02dOJJHObpIi6//Za PQy/F2YfTEV90ttvICA+JGPCkHentgckWhV4IKo390QZN6jUHIyR2nshjBb2uBf/i7DXIYgvHqyH o+uG36a/GpQQIfBL8XubpLEoR9LmdMteGL86M2gbLmXXKIIm+PEMUB2HwR7aHwCGGgCt0B4PAlu7 w4voBEAyj+8u2ryLyIe10/3bRxTu7pNktcKsuWPhPDoKbXM333gCS4ews5wpPoMcONUsiS0KvP+D Wo0lawzgLuqOpIenCtlWc70gM3Lq25Hvj6u+ua5rQl+SItjHNL8CUWGrQqRPDoRBAIOOrnihSObs q9xUvQXBejOd/QlRQw2Pt7L1lmQYFZw6f9YGLsBGlwxwR/7b7GRVrTs3bCv/ejFv3SrkrLI6Uzot WB1Ca5luis1/GQ02USCf780b+HrBOgMeIt94Vd1+UkEt4GddXSfXN0Z9FhIQ8hHFv75f/moEeJcG BMEH1rIeHbREnuQa2PqrooMuiwtXL5iOyoTMjHZoW2n0rU4qHCA8wyreq3beMhL7LwBPy5HofnkH 6aYM879fqRL3mAB+GpwuxyI9PQbnO+cBisoZMZ4Y+/wUEy3ZWBFspMVgZR1tlFTyBwzc4sWekn9D gGUaOu9n+BHLrEN2rGl7yPzmP08Cf5sWJWfQ3R1m8wDUk2qZGYfLMauwCw/4JSKb59QCtwpfCGN8 KFNUbBTEP5bd8EqkFLZGzmZEXzt1RoSOdI0SZBYLDmRtH4yeXAlbdagNPBlMuzh9BAbw1gSVm2ha ath6Msx5CnrICZWEsMzTBLK58dCsuUSZQhT1mEpV105skFdsWM/Vw1/YzygYCKAExr5sh/REuZDe K/itEynNnAyK1QRL/ZXHDN/fZheNn/3UBSgCk4B5xsKVmA+R4d7SvSbmMJx7XDC73lJN+x6d0s0L U3nVDqv+CJVPJbTD9Qh7bqTM2F1o90bVvQh68lkTSpzvkX73VTAj4cDmayUgAvbjjliNTjEr/jW8 lQJVTZWNeCnSpRZMWBeABScqAzf3gDjbbY6RCW/wss26KrDjpWt2GE6AfFq5foD1FX2/c9Dlnc2C V3w9/tMLgWhqvj76MTNNjKDmE4ux18JwhQWE3LrLGAHknkuNN5eNf97nWo0vtOiLZ1APq+RouuNz CH+J8EjWsi/TWYpsM34AReDHF7ZdBB9fuLQXRr8jCoicn3p8KzVnPyWJ5mUPxIAQDn3AHYEUzd+T V9yogMkFM9KD3qhuqblVFBb2HnFYCZX/uSl91gZaOPhr/nzjw7degj7aOmMJyRIt03QPY8vY0liN EitCgw4tXZpiwpPDO14X1DJ6qAGV1Bmt9lpMaXdzNOlsvcyAza58TIOgokw/2bIb/iQukMG+JCgZ 869L+N0oAnj39FhgkYl5TfLxNqgSlBu0zgXU621gVGP8AdpKArdJp8sWSdIbSwt+0JMrTLg3qc1S sfmZZHenp4ioAF9nwpfo2mJZfFT9mcTbh6tio5Rfi9dY6dIu7uvIL07YnP68LafOgw1P007Z3qRg 6HluUDsbQ1nK3cfgWje6D19JSyoz/bNlJems1k7f68zQ35UHkdw9BdDklR4ia8DYnfjxaXg/p5+0 dB+B8gXCJmqdCF15eP98VEAgGo8ZRw6dcHz3FwjuxQOHFBxkVECeOotOkuWBE7dz7f1DqPdfciG7 Xl9yGDbSsQJckQJOvvmZz4pj80nTA2nWz8ibwplMNTBmV9Bgci6uZaSpbz5OaOyJt88gDQtBgr76 Vith9BDunRta0eml3lcybU0KRcdydtiqsCB8qom4t+eEQufCe7WXUCetJJjgs8BagQ5+Dk3fbWr1 z8Pc0YGYWQF3GlwGyJquOMNi/dvXmYeHtGIGNUsbBD2JHh461j3n18dmYeUoaV5pFSx+p3hfj6AB nJm7KX6FPV7WHV1w21ai6OApgjad1FN6N8Ue7Zj6LPK/BM/93YTcBksF6Tjk/fa2Vk1D1mb6xe+Y du97SWLEW9/mFPErQ3mvHOUau3fTWUKqBGL3BezXkhBS6EWrqQX9MJ6r1MNlu5ZcvtayiHSQTlJO YpVXqQguhHRNEsqnOWQ9ZDfD/cwfWPwSLULZazr5uzo1kI0EGrm1xbWxEpbObL86Uensa8GCEJYz B79sqVKBuNNK41O5d/tIA2AcvssGJi9cc29/MmW/MMw2PnUsRzWTmn3vI2hPCkqsoz553DvL2KHN c9ax+8E6LBM1kF02n25aDjWi4nu6+3iTeayw1tX16fPpChu4UWPwhIqkTHUufVH/QTsHja0OajM6 juuut6iOuhXuddet8RmOB5lrSlNKKMfLPagNiJvwuKZu3DkmrJX0ASyYG4uPFBja1+rtn0rYvsC4 nnRdqUAUwDBWAW5ljoA2BIrLeLp3oKDijTTEN2213/5R5P+usNBq5Ok2vlDmZ/c4mIp2lu92kEet XuHea0vFrsGYq30nXfmEhTuvT+Lpl6JkuFgp/uVGj6ZORFWQLlFPNHIqAPDkufbiN7OIvqwJdm+d Ab/b4fgtxBbm6IGbJaY6yR6rMS5O1p/UKkwvgVOaIqHh5PqrXpvEH2ySXmDliNy0HSvBmvkZOQqS WxbAnAE0oSIWQ/gQ/VDTTk5NF0adFUXCkZTQ3Jl9lOFCWv9gV1teQKcTIXlyZVUAia1a+NnV05op hPugPr3JgLwqPZL2yWN5bwnqjDjExXW5HDfAQYOkXQC0gweYN1CTVZjN2nUFFubtMxtDGTxqcCPJ CG+9F3+S0nPKzT/vs5k0g3sqX2BPA579pOezUMAUXhMO9D1vaXdc7+spdN6lqXDn2mQyAv79ZMLX Xu40lrjoXeA04fYSwl1bv5f6fQhBPsZifgpyc9o28jLuVIwHnIn4LLKr1IByxe1zmE2NJ5TczOTw aq3n4FfD5CWY3QejkYOY5EqwgHuNr3YJCd+4eQdjVSfWyiWMGb/g6jsGA8/59RnQBL6SaFLLWmUp gQJS+ue07JLsoVMQsbKkbSg4xTRfeq1whwLx9pg9molM6M/wKPWMj9CNZN0u8inF/uh2ARAlo4kL XIlXZz9+5Gj8WxAexkoG5p11vfBQ6aEe0SGpjPxF3QJtdWMKQXjYNMo/E0LLCMAWda5Ugx4XaGtA /PjPo/EA2XlrBzAbdB3erC9VoMyd/Iom8JZE5l0m980cXmHd0Rpj0ootsjqQ53oq+U147NR80JfB +chc77JI7itWAFTVnLdn2HOk6WQtRAgAZchjCx5y5gNMFKCgxWelEZMIW/v7aNuPtNd4Khq0xY8B jqiHPvWt0aMkMdxrLsG4NlWgYjlOLOc26FeF2x8iaTY1aketVkpnzXYKi8x3l1LCt2jH7ALsvr0D p8xI81q2CAY4ufuyGdkPBsP81O5UjJon7uU+jwzCROLPzFoXNX4wQqPzwb2hI5H8WawUZD4LFzMx /u3N4diBaxcVTdg3MlDVCMAAK9wrEr6VPvCmSUVkL19RJG7VqCMPgvy5q8eESShvc2dHpo6taX08 qi+P5Gm17yIASbLvNyVGMeS8Z2epC5fxxIqyZ73+fVE4ESOKt1o6rXlct1r+cRVPADEIPBRc2dvo NfSTcPFvVHmkv+VF9VQNmCF96ZyiRCzT2Li+nEVi8ytiNYwVfVxmkylAKvKF79MvSCr3dALDhGMB lbiMN43X/rk3eXYPJIwg3n8eGJwlUQ+bhtHQkLd4jG2SR5bbsy1K7cNRJ8xxNFQpQnd9UJarKWZS YtbhCNGG3pjJ9791//b0vJ79ZnjE+8CBCBvJI7urWJ/4Lr/PDWYZOI3M1irSZ4jj1oKieI8KlaZB 6RRcF+9wLJo89VKnI8qxzY/45y2ySpeu7gb73gI4ZbL5czut5nXi/PUVtTWblnMOv/8E4Xn0cqEn i91rprRPhy2YFGaXqKj689BQUrnFr0vmjwQjPChOR4BBkvGpBBr1KO+RfjLX2DR4EtqcbDc0FTCN PebeUE4UVm64Gd8/4pHVYkKUhQ7Gae5M+TJ9hmtEnoJUZiuUa6+cxEVgsOdixhjyij/LK1H1t/NI KBJPCy79IMY8bJWsUSwAJrgGKqop6zBHedkK5xekvvnUnYZ2DItK7w5DAhCSjJFLKi9QMgX0ox22 NCSAoFa1zvLH/u1fNUDKGNx6LY0upHTAVxW01m4cu7dJdWhFmjptkFs1oCNiblwtGNWtuf+jjIFU rdeiO7bMUrpsuHs1gx7aaf5fdoLM/4wDxPx0o2kxt63+5vEM6N2Eil1CZO00MnNEl+znGCziCPxN 5IrrCXC7QHilC1Eea58iSl5AWvFZRrXMT63OYGyJca1oB0AfFhVlunNXQJLlrG5iXhZqhZAx3Lkh dpqr0jbZQ3P/TdREpLkz/eW/C/UviUKoNm7nsEbIaxDFN9Do/AZSlQt5w0L2IheN0o26ldlhPEIS 9nz/Ys780xaMFu62c9JPgh33EwMyqOPoPm8vySy/CZY7t801iyVm+YCxmTQ0vw3w7eykpkYo/lHB Bc63+oRaDJ1cK6+TdCznU1DtxxvJ56nCTOiiF8Tuzmu05JLH8Sz0+AuFCBRON+Blw2Qr+75ieVZs kqBGaS3wqtyvC0CJdQevM3cCio+YlbZ0o+I4Uemjuu+PHNW7WTU5NgBoiOJZXlNsjq6vHreUE2Es C9Kda5XSTGla+8Ap8QVYVsNtYxqQKAX1OGQqxwSRQwi51OpRpnFSPe7U3smA4lkITi5G3xj7nGFy MlMoSY3hbk901FJe6baGzAie8wIeVBJjKXcfMhoG1TfRLUdL2djeR5p1R0L67r6+SeyNKsTANw3l Cq/yLbJeJQegXT5kB4FCPms0PYzoAoZss3E6zx61TFZiS2PGpzsNLfok83yBgipY0YnWw302O50L P4jvE+W/qmpRUjbmirN42ivAkkA/8WXidAds4hTTwagvID9Bf9Af0FkNdsG4gN93CEMSk7uuvSCV kERjjlLBF+OY377CTmtc0ai8Uqeds2FFaOuONdQ2NOJ3PlDvWmYSGS/5tu8ryOycOMED3zce/x3F PM6Il9aI6p8VjGgIE/Y09iQpIobqfZN1wXgrIdtLVqUemlJZ9PahaKBM6KnZ+jrElttjO6/Gdv79 BJRNHhDtxF/szuesVsOH8g6iAMVb9SjVc0YH975EfKeu6ENPQCEKbzakuRoaEgSORDfAblac+qS3 dspnvWCL+DsuKPy2l3A/Fos0K8qE3vfgVGUeUd7FTzRUt7NzRNz0A8CFOttmqRxYPK9GGoAgDkVs rtyzn+Y6LsLSLswp+uR1jzIJOkinIY3ZYBhhpK9LFRTWmLeorScZ4HVrRKIHdWgOlvXLdVH7C91p E7H6RkAb4SVecqRnLpSvBGC2oBanpu8ZnX8jPZykUKnxMULcIwvelPWB1P1JyNJSHztQtvB/6nmv WAvVAZ4J52+w41LN57ne4+9N/HeBBoGnx+XrZiNNCyeZvVDxPS5/6nSYAjlfKjYZxHKmHY36Fu/2 8HIVjPjoNcME1SU3xeo3CHWb4Ab0eW61ki6wf6/mIY3WPspLaV+87WBUS3/U22XYrVZzjKelcxkl JAB2B511W6n8t6v2sTs9HwG36eDklETqJNmtl3QxQ9wDi+D4SVEROEjtRqLfOUuoz0LY1Oorisqe /BkKDca45e4BWTi/yuGsxstWFcpwxmP80LvuZNJ4b/OjiHsPFBHIsJBuPgYziD/l1N1axS99H/j7 0KklWg42TXT+CwbkksTHyzFlxLgaoIJAxl1JBdpdvwBoEVMB6+Kcl5ni1CDQycyh94BZ7B3M3YUp aIE4/vPShv4WWYDzqfW9pxBbFTCvfYjIokQsRXqr9rGJT5ht09S+mRL0xfi7Z1giH2lTCWHr0bUd JoFEX4CvbpGy+d6h6IrjxuqFsgnN2EIOC3uv++MiEb6ACgrcH3IJ799caOi9F2gbMxsEQEeixstu aJMAqMMSFRT2JXmw0tKF2r6Ftj65GVQU0Zo8QV9MfifyvEmBT8UFc/dbGXCcRqGvcUh6a1ZqHzCl uO7P3059okST6mUeu8zDSwH7nRV592Qp7l76f4RLzfTKoE25TCZQ+SpgpEFXCRMoZ7dA0PxpT6kW Gb4MwXJ1t3owDF62Cpm3yUktAHqTW8iYfChj0+mTBxLV4sHoBur5QBaneOoYgZOcZ/gY2di4MQ2P McXh58EdcIMmtVJyHMTOKu9jvs/joA1Djpq1eZq7Xb47JLn/DIQ3vLBDxjyHl5kA8UFG/7qRF9L0 FRWhBysC+MuGaU6A7tt3vHGuwueUnjmEIzhW1vdE7YAwKczL5E6lgC+PWUCHwgMtgefehZ2vryH6 wa8Acyf1fIbXnBmxwNSMa3cUS3u21uxj6pwEYcEuaFOCazckxANJPslC7hero0P7XnNrtU/l60tF mO+kxh/oFs1LUTgme8Y1LO7T92kwDS3BM1RQNHsORH32zI8MErl5stppVnUDxxeHd9fPudi1e9ck 34bqmMAR6Tm8wgNEBdffRZL03wVlTf7m45UY2xtOXZ8UfJ0AeXqgmgwRUkhKKXRz7Rn49DRPNxNT hfFOqZBXhOoLUUIBsnsklWQBBXXY0RqLo2HK5gFK8ZjSBBVrV5e1C2FnvRTBqrBVHkKdRadP4soH EWIiEQYty9YnO1C6K4mHOAMWMmWxg1FaQVa8dlc8fFcpP4lUanEEsQbxIbI+2DXuw+aiUJMHG8Et zg/RTHxHTzJcgX3XdYXrcoNGCUPN6SEji6UQbWH6s1JO8Zrm1GHQR0xl3fqb8W9Uv6ntHIqbJyCk xi0mw8O+eRmPBO1ocHWLaJCGHuYSqdiAL8H+1NPv+Z1lkc58ENiizau1k7+OwuOZ0m3/3gho39tS pisMntsvKxRVYA913Ve6Unm97LjNJrAibjqrFDad9GnX1p0DfVhd94os9iQITSwrodnFaMwmNVZA EUssI0viRZq5TLMEpHH42riH6ZT2n6NxEub97Ok6VyD8CD0nis/6sDG9G1CsmMFuGzx3cExjznDB H1vhUqgk3YmZZfoqPaCM71hLDE4PLZwMufrrSa8nKRCT359V6pZ5UWJrkiIuK0LRB/qmozugEodR X3/BVpEYYRDuN4p4ZOWeVJVt5PKw2ICY30fhDuQz4yxldpiSwLb5dw4FgaKOG3onE3ibhxlYwXM9 AQ7c4aDLhWTcCihp783rGG0vcZRM9t3qV48YBBvgIGuSpHSDxQ9W6hvhgoYWnLWrBdk9mgnfZ6r8 2MwtWRGrGeZ83lH9Dyh4XGsPbDv8FV9pslIRBH/vPxdSXnf3soaAbqz4QXgJ7JJyTD31qm02ZSNV X0f9x43DZBaawULwcDzMjG3QoJPlde7eNmbHGp+GjGqN4+v4n6U9dypBbLpD4V+q0OkVKLfLlZtN zrL64aI/SJWzFQQ1jK7/kBtepKgrNKG2srytWd0o+y6qjzNq9p76ldresu95DOllmSyAjYL93GrB NdofPMNSto1HlRtS4gLlYC5ChnxaPHscpRfvO8dGSPzcwNhI9HGl1ZlWIPY19dkF33YJyV0fUTbF SfURWXzIN2P5qpPKQv9D6IRS/SKzYdHu9GyEzd1UbMq1AXPgQGwhEYX20/wOWg+GFnskOXQ14BhE L+TQ7TC5/tMApVayNGjmb/pUVC4UNUD9QFLBHnm9l4JBYOoCpq1S7CrDmrjL/MEMstPL/G9h+Btb igr14EqOI3ooPuSNoDAhNjapjwFfM1JOI+KotdACj5bhRpmT0Dc2j9cH4p6yXXZu9YI8fEKnKCZ3 st6dW/sSdQDiApZKUvICSxSU2xXD/MxL9KNQpeXA9F3ZFY7S0NfKQe3ou4SSqNtt6OinHtBf4Bjg snPzMdUzIvZVTPbRROXBkX9J1XavfIS8fBCutSiBMAp1Ts4dHds3UgJgJ72+6WkvW772x3tNdSZ6 Fyws05kdKl0Ya+lucQ8/oYxYpUhxpO1WdgvxtZHvicizDLKOejPo2kjzbuvRC9dLPTdknGhIORv4 XypNf0KuFTb+EZ/RAwubuzNCE/5sxF8/q6KkANGTRmiD1qlb+8xzvAOd9nFgCNiKR9iGJqyy4fQH XZkSMb7gMoDfLSqOYix4a2OQvPI6ui3bPh9Bebq5XY/cpvBUNAVfzQmpmMFWZcLiFWJ9OSRG6RxY FGd3E0py4EoBpiHxQYdrFQV92O0ERTW+FAvQxIglwGO0NrH0jKwErqWT4cwi2PO7dvN0ZhhlppaH uSMS7rZLnX8CzKb99ANPL08xGIJdpvkHT+afgzCWzkFV+ae34VDJPM7LHOE9nQya29VGB1ezvroK 0okECRjG0ys3JaW2v5+AhdvFRcEpyjWs0WIkT48Uo2QjyRaGVRJ5bGhqP9VWBG/lWvFZ2cbCzEt9 QGf7sMQytwp2z5h/859WpZYac1vDSet+/gfDcmEO+eKRWXBIwSWmCxDbBbaq87LpW/3kKJsGJg7R K8mrRSmsDQqK9jtkWpt+fm0HRdxqJR4beCVRulVsZOUTtfH9Lzbd3U0w/b+5iib4v/peOsUcJZOK THmld+zTveyMAedhWJTq/WdCkYwKImlqb+M+AqisNstWebMOVIkMhN1+DyJO6Boi23R+VhxZIRd7 lg2zaPUzRg30RHzQSy8AkeqXF2hSaaZCtA3NIkduDbFb6cVP0U9QqsK0EnB+0rAlzmtTOobEipwA va2EQLDWolG7Ch46tJgMshyFCBOk0s74B3tB8mJjdtidbKu4CXBoIaepprWL7bGbxmK3PM4Oh/+D 1k9opXTKtzbMWhQRteIfR+lfGzhRzlwoXF9RWJePKpZsYGkcAJXNvOnDzph6VBUwAWsAeIfTQXK8 6Wt/AJCNZmpFxL0jVkeIq1dM7EZ8ARPYIIAfqEbXnShRFnq8E3RTMBdH53pDAlGZOs4ojuE47rgv RrZXjRHpvice19eGnjZGZT/yrxrA5BRe91vP4u72RduSDEnVr1V4/WP9s0qtPwH09/p79VOMEol+ gNHeHM3SIHp8nVWCJhiWRK2vufO1dxo7Wa6/o3HV1Tcy+cyff97YtBO5QshTpkSdGgwFzYOF8l6/ 4ud/KDR/MUOf/N+utVZYVsE+Jaskt2VzowVnIue6ed+t4ot14aSzTcNIGbZyINXY2Er0YzdwfxdV HW6MEfWJ161bxOaZbN8tWj2SAec4Xo/AQvg9NifiaRZc0Rj3Hvr6LKqNSI6F6E8tR+sas9lmCu6H dz5PXwcu9QOt8gg1cyF/rd2ohkXywu/wzX6Yj9u/vPnaC5WXMs8h4UHb54Zqo3ZeEIukx90AvTaf 7kZwpOo+Ad1Od2o2QciNnrgA5m8IAjx7x5ZkLeqba1piFidxsEayzzHwMPTyjbgjG35clsNbs16d v+xGA2PaseypGPhzlA+X1+za4NExhpXN32Yl+ybtJu0wrzIQOCQLylExfUk/vU2xfGpPdOXbMVJm nq2ZaqSKFvYhuvr/EEbnFi8blyf7ciBzjJ1gCn53WGTJBkKXwHE13UI+Z6niK54TfROx1pFLPfLc orgkE2wOkWb4f0WQpyP8QSmbQpVRf2uiNw5PbRSmz7nu2yUvmDE/cGD59Xf2kVR6Av0Jgoix6X02 Xy6ejEMtT7TYxwVu5VmmFt3aRVZnhXt/LgD3yVON0HFhEY1SnVN3CfpAHXi/ci97laZbJlTyNPsy BoHKylbdbJLZjgKxZM+dO3dR6qLS8d7QseTceo/H7KffMCssgv6GlN3vW0UQd7grKrua9Y9ncCmu H2PURWnuCpQXgLC71XcotgZycglBOYb0ueYnMrZg6mGtaCGj6j1mtOPNC2fi+4Aah4qMP5IJLaPh 2EaZ4mjffnqLT9aRnU0MKHgZNonFtohIpQ3AQFEWlvE5Q8v7FpqePbqXZVrsAw3xlBJIxvASf533 /JnNTcMBqJpfprITuqvuzhPTaeEg2HfIZDW0WhfuunNDj+k8sa7JXr/twMB6dWZFfMEmuXNSEO25 utxXdwSx9sJqU/ffxw7OazFlbfho47RI9kLa5zNseEL7T9HSeJ9G9pl+1KucQ89nQWih9MQYeTtI aSsXmX/iqpU25VLJ3Av+xq1F75JmPJAkFnd9tpw6Q1ILnpWIxp89g7N10ASnsw1NOFJ08BUXAblA N7DfjBAz0604m7bZxdmR6U/ggI4fPyv96kWyJKNhFkE0W7Lc4HrUAyPCDC0HiAu0yMUEaJz7H6mX +77/T2U7Bbv9YjDup3qExijn4rNC7nOkyjg36Tuq9cz9ID13a0S5yw0pMpPObTN4wQCF3e4aLYwN gQ/Kn1pBxLA3tX/99PwcF0P9Dp6dIj/y7KaKc97JNyucuZbDn5vvTVpkeGlvnzxDqlEuAn4t6IOn kDH4suwlmFWF5DJJu0NVAI11B5HwJXwXmaBdpFuVh+Ar+E1HDjE3jOvoHBFKD54R8d0GxAKSGK1m U4R6Y8utTds9gW2Ufjmyl1ILYN88+gHhv/g1hWL3IIOgclXb78QrTFY62ZbagLg/y5J8Kz9x9NEz t/SxsTUUtQa2EzNbdxfO79VjupbInafcGr+QUmX594wfmfcYRG5lacYoP/s3+yp5AYx1Oi88HC4G RpODv95JPnFeLoeXGyuab83vwo9zqQWPgplVn7T3l9sSxBzqur4icTysDDqcmH+MLMHNjbgGyXfk 88+ODOHh/+UDC3Od4IjJ7cSBWn5YAqIUZVvk9mG0Vo0WbVM5QNAYCISympRzBZgI15QhMHIMc1+w +RdAd65VHTPn4yo5BtgENUPmjHs1Twa5JkZmBSzEf8w3LiexDh4C1Kh1VUTFRIaHlPKGjE97kLcv 8AEVUvJolc58kOeSdhRhotbra9R734XnuTXgwOQL4VmMtfPiecwkxdwFo0mnUjGhw8pkpLF00AKa qPyAYWl4hDUlU77wnhuN7iCR6FhEpvpeVGuPk+EgIQSV+IRUbr/8sNEgfL2W5SYJEEizOwN4pruB bstJF/f37C3Ntowevj9zqMvPjZbcpF/m8Ps+N/9EJRPT+52KZ0M9ji1UPga3fSN3R3NYQQW2ZXLc 9MfI5YcAB535yQQ1sK59MugJwCqbyZnqB8ro1k2YOFhYiRwPE9sFCwJV0jOAkHZuNLw70DzblK+h JkeNBvTVx8po5YnNEvsEA3iP9ep/+Tdvm0m+GfddsPPrZIPVEgKlIXM96qgbGkECNu0lN6an/XfR uxupv7hP+M6mMzz9HQBmpohCX776VOeJ9EkfV/67ui0ex7IN2JVLLmxmTr+ztTW6I1aNQdIbx9iF zpT3JM+0emKGPRVRKRZ1xWIugusdXwMlr3J8o/A1W+CobXbg1soQRzq5Cw+FRHg1HS5plgT+E4QB FLEqHrlVBHUG/Kjk4zkCEdegWjDpxufNMgYbwF/xQRKUUaPZ+yAIRfwY+rkQhR7vcO88ZQnF+9ph 5uOEeuUnPGjB0mIxHfAeJ9r6rTewjxyIXmnyjUEqb+UD5XsiuT5/a78vtIpLOVNn1C6YkwGTiPeR kCbfMikY1sZUqWU0W43u0g3AnG6Ythikg0yPx+pCRiNECrWhimrNZmbqtk+FAgqTceUAk/Mm0MCj UVdyAwuapRnWr+uYBwlW1dAbZ/sm3ghTF/r+UwckhjKt2Gpn1r7Rj/DXrVv3cQUS8oMPj1UkRaOn +rCds5oOvjrQnAD7HsA3bdsiakeQ7UQSCVkSPLUeK/o0W7f/l+IvEK1bb7zHj8VohqYeCLlanFs6 HgODnUEK9Pjcbbgl9oThUv4B00oGAh00R099s2hImkNn0tQPMS777y0cvNEIrC0rb5zCzeCI+e5W Sjfl5kC1hmmXXCWUIF51Tn6xd+CupU0wqQ++KNdme9gT+PviQBUDJ7gyI4rIEQ0fHlP690z/JmXh J4/5HjfSBn33wPY07xeTucgGbTFsbnolQy1oqSFz9hYe4PQSnFECHOnKfce86qxHVlqXAfiEsLU3 IDrmQ5vkDWWbx43diw/v1qiIIANYXaaLvBriNoC00+T+HE3Q6ZLrcglHjX5mGnRVaQVjXKRpR/Ja C3e/9ObsrejWLjiaIO/oMg8+i5IVrj5kh6J7fIJs/dNRsG717hR8nBMOaZmjOATKVn3p1TcXk1ST mfAL/CtMJsJxEVFuRw+xe2Gf3kqk3rgFl7HjqrJzeo0oX23u2iMa57vasEO7yGBclMsquTC31ahR mkz8TiXALd8AhGt7FaMYtEwhlKKrL4aK5jbCz6aD+fled9AMi+TLpi5lIlaAISbpkNrCbtjdig/F 7nFNGqOg2H6QOOsorwBQtN060UcMfMPRuMhA6Dv0Bsu0DtVF1psR30qlFHyfHH4EO1w4Sc7+be0x lbHfLKTPUfY6O65WmHHs0L12F4mC0YanmSlCw97jA4teVC8j67e48ZYzVp6BLq/87pwpLwDEjqv2 gTUFVHh4dMkrxqnTuAbwynXhMPueZwapUf3poOeB9r8+VKHLb313x/3MRqFY6BO5rSWgkcSIENmj BJKcR9CY4q78VvTZw3cjgIGBKOBLvdedI/l/y0YNMOlWXR/tDAEP3yOAJvJknBLD5pRFgesWAePD N6smTFLX0WU7OR8uVJ1hhLTt649Xq+Tjki/vAC9h6a1vL9Ppke4AlCceJxWvnwuI81ZnNlyKM/B9 He5UbcG60DAHGF5Ab0lLj9AwtuMZlu0yIat8lghoC/nIexbMbF3xM9NG0zu4YuswIefaA5qqY1bu zTtJObLvENQlLfFL5YmxWvkYv0A1Gn0GkdTK0iblU5pYYaWK3PBQognLHPxKJ9gQmCnp+fCaaotH Ics93VbuKp6KJAIjz1vR+Pcw6KWNO2iEfaxyuwWp/8j5J6zVxbGhjLUre58Gqdwxw2vjW06+EvVN D6u/nnFVCmcjU45muqRMCspud1Mxmls6JWC2obh4DZNjM0zPVMEQ4w/VGczKaEIEzKVobLYPwWWg ggICZAoDLpdVDz6j54SAwxyJpGmEUiI2t/ZzNqF8kz2G9sSO95GlsjsjfXGit2gXThpYcuLIkcHk PTq3m33t1MZF8xiDD2xim6FOMs75LYE/abcwIs4mWG/JyqJlaxrn56KeH3WdNJJMu4aq3kjFmJW6 vnwj4F3q83XltGyYcgGw4j5wtTZ9fg8d6sLMuvq/09wD6gHw91h6KGooL4ERDz6zeldQk+wwt5Kj sHXtrcXMG5jmz5Bsmfxp4FdCQ0oNkOpbzoimmGiKDIv7mO4Uq+cbzIVFSiyixQwf/aYGlOAynsgL 5QaPq7BpcxyRnVw6gHtVFkAyIUPpiuTKetfhco0z+2s9GDO4LILIUBxyWthpq0T/C3CY3Si+0sdR 1scBnUskd4XZVeiIvtq+bOBW6RUoB/9gc8zqzC4KoTxZmc9E9olVdaJipPSNJGBAF9KXPdYJqZxU JHRLKadzjVrJ/abUK0+VvO0ft4NKVTwXckElED32ExhREKyW1/wUX5b7mU/9dF+UUnw3IsFR3w72 HIvSfrAi1xAqFGkVgXHMjrNFlhZPnLCNkaEaXTskIUdnWgMtHwPBNwnyjW4nYvrpzg7rxSDcUOOO qJzAi0mVQjtyIauMjscf7hRHL345XyDvUi5KRw+9m6/CAsEevigTBRs7wvf2fXvAn/bS/Mz26G99 hwrmBHCf2SE5TcXf0jhs/I5HTxBf1TRfvtn+fNhJKx0J+5/XNLBGdWiZsWZ/7nDlnZ+SuEmwv3Uy W3pnEOqBA1R4ZTuqAVrXQz+uPRwuUTahoZ1agBqjOZ47mt324ja2u4HUOVaaOCrAVOTcaMlKk7m1 4xZlsbbeGfncwDIyjWvdC4kGYWep3dgMh14XeasMbyhNKNLqwgmc3nTznIPBNB6BL3SyGxVLhlMA Xkf03+H8FCgqF+ZYa4UxKe5JYyDSnAPMhRO7FtoQFvN7UaT7p3g3wHz3KoHhl7m3jbpFmB9hJTwR QxQik0qBE+2mb1qUyHJJEoDFFxOWYH/OHkX2Al4vF0adWe0KTCctqRdJpuEzdEVVll+mcimreEFh h86BqHDLMhl1/sorrhoemUfRokotVyZb6BhexcVvR3duOp8bU/hJag3rkB+4bfbLil36jf/F5zET +Pkz8bvgQvYuN1+296avh8VWVx15TZJ//pohiZ2v2mhlKcuJYrQ4KEhGwPCh4eoU5xOG0jaeROWK s8Oqe6r/rgs92v87MxzeTToMiT0R4RSk6TecWvZ75gKxiwvhiAaFjiaD3rodmjYohATABC8F0Bpc zgv695VwVEGkp+diYKX3UD5Yqzs/cuodIZ8HhDIRoQhdwq7VMRjbLCJ4RP7+4kB44ZEpQ0deAB7m p6g0gDfpi8ufob0/LYy1VBKs5/2K1u3NW89yGfsnxELB+2szNay2wfsMD09SAxFJCwthj8PTCVnS 5MT+/4XBld6U6Og2Uyu6u2Vn9z3LBMqvDLuT4+o2aqfkd6poLpiskaelMaIbiOqbqX6e5Y8onPtK zPP5rk6yB+9wmwqasfumzo/MXB9QhANDdU51gPOhzUxW2kECRzoRYTIWr/M5rWJuTTPp4CegU8P/ thZmoI/S4FKqDy3zzNGUVbuf6scDgUbZ+6wjHWiMmAv1qdYSahBtJsGpv4BV9THNNGVME5R7QKKL NqtXaWskY65i+gTs6xaA4Gw5wpEFCucd8aFu6OeO9AfR6rZQVbyIcwLcNGdUlRnphLKITlZ+Xkpp 8mZnOfiDI0n087Kh/Gatye5qr1uduWX7RgnX5xNtV5m8zD+b9s4TrlNWL2/ncZGuXYLi9GypwCKO WYc2dy38/qjgaOp1xssto1i+vGqT6Sc51uiEaQjfY19kopd7LUQkDm2jeLvVZ9WiiGHoKJAS6wrU dcEFOxzgAk4B/ul5EhXwlJlyX7bQdEBdLACK34+/k6/4LyAq5CpXU30QaWeX7NZS2zp+Ttms+JU5 TCR+HhK1ruZEkzfKn9OqWkYX333tXSmOdd87XQ0oV7L0xTEejNSrlUTH5ZmEpxTov4Uy9ZnttX/A 2/hkMGZV9SRMNRKb44jAFV1A/pgBVSN96L/MmQIM3Hbb2yHYBxq6wTkpDEMKt9Zse9D0m7lcEx7j ROW2VH372mTfV6ygbngmIllG+e9T9UI3AArjLgWo0+Md+bFU8oDalEWt9MUO+bl+ukURu92+lIv8 K/eVQ2Hk2T/IIYItxyB8Fc4XcJ/3PzzSpYqdoOrWEAt9qvBPl//68o3FQoV5y86uQrgv9goBe1Lf UzAV9G/cJK2pgTPKM0UFIIUmb+lG6U558Y+vAFCkvHkY7QqQcPn2IGJXMEGyc6bgmN4lJwNTtgu9 BZxMx6TOFa2nFsbot+f0k7Bd5UYTz11AACEYB2bTOG5VoE5ytDESi6CBdkQMSfVke7dT6ZT4IPKk rD5o8RYfeOwggjCc1fqaIoqzlkjdxXOfuILWiD8lnj+jdKTo1B+DgFtMX3pXn9J3fczuGHqA8R/k opjvE9fNEY87t6WMXFbQ+ZpBVq4s+Uxoj4dHr9DVm64iI95TH3oDe/CsA6c+LBfjeEpX8QscFJuQ 0O8V2duKy7e+6SWq5l7uwqRVxzdv8tK9CV0SLLlm65D3hukh7OWkdVRKoBb0H2IdgODauNo8G/Rp Sgf0f680Fq6yMqSvDEH+WwIQ/rP7LVnktANKcjAP8mCiHXykAErxVmqaeZaBSVYB1wb5rhND+eCj fUkmlWbXBMfhoV0SY6/bcM+UL6ZuiKDYaF6d8kGThT2+gUjfb1PUdjZeVOYj4g/Aju3+nQpvJkp0 oUb2J+z5Vv1U1Kgkh99vI1281bC79gqJAWJoN8pxMlr63GBN9xJjpiayp8TRVt6r+g5ua6BlN/FS OKaOwx9PpkMs/5uetmZblay1vX1VGrl+IZrk2xj/lBW8x0v8OSTlumMCFeSVBR+LEpVeYpAdLo5F EbfxnhA1PbgFtyh86LvS+72SbDqSsoV8LNIYqIJ7DWsvOOPJiWA8ZjL73vr18TP+baPzQOfNpnF3 Z5OALYvtwTaLwChNhnDEv3v/Y2el93LMxaugOGFtlhRIsUSrexHMNfvnmiftcxxEg3JkQ+GOiQBd 2p4NvVUBuTYBot5gyu+3FjYLPkReTzHdAKVQx2fZUi6ZM1JNiw6+3PyprRyssXAIj5yhBpwqLc8e RW0TVc94oeecygzSdnbLQGNzyOECxXwE2XsiJdJW50NacwaK3+qvDqCFzH6xkUtYRge/HmvZ/CHe jWmjtXDF9mPAih/keBL+viNjM0Hu3EpzNAsh/xiXpSeBZ5JdM+bn/QaEBwYUiHjI7LXbaKOuy8Xk zhMJGv0hD9JoTPj/ePcGgmGNLUxRmOzVMqiuc6Mq45qLmsSUS7uTyNQJHR9WtlTOOZhHduQ+HcNU FZpwgzDePrhC3WlRWu/hHLat5mskOVQ3F3NsvAoAfaFgvBvL2JR6fztcJQ/k0JKgCkgean2KfZzG WFLz2+sSZhNKRYOvHcHTpjMXqfrJkIEf+7bgtbjFObeT6xIrZMf3pW0859gecVIn+z8c96U9s6XT fWE+/ehzN2IUcc6DFBTAWkMdq9+vAi6NVfPy7N8meT7diNvZ0ho03Y6PdlNpymqFRCje6kGGSYuc 3mTvHRDFXiYs0PnhtTpuUKSmNZC0GBhbjIJgk1QaiwsTpKm6F/XuU0nTX/Ka92LgYsHDSolsx1rZ Lhx1nH2J4wrt+vEqVsTm3ngsXAZs/3jJDC1xh2JABtHY2dHBD2Mi1Pd4v7inkUsCO7z1a556inEt jVUNFl/94vTg4hCdJqiVzMj5lVN1+6GSwji5qaL/hpVpOtxd+NwIMrcIjsDpCgZKXDSMokQRvNiC 7wLTU9gS1+kS9q8DZVPL3lMak8Y3MFGK5KdfCYpnWRmB3gt/p44K0piNWEPzN/aVkRgdet8YSpME HNmvicOlbp05Rf+6A+Rzl5JNw8chFo96ablna90mSEfO3Cg/KGRG9lLwfEtzm6CJ7cQvis+00fkQ EJrMTZavcBUHckj768qZ1i8LcFdCbSBPOzaJm0p4sISntzTgIUy3tNYRiFTMooU9V2qxSWPXQG98 b4kbspBdsJz2X7pHUEcYWVgjVe6s4CumC/GgxSXu1r8dICUW9KsOjyOelltDK074HAZe0p+YfOQF 2GjmYTiHyPXRfUvUShWqP+VWD/LyVW4hCyMiBGVZpnKaXf9Mau3g7C+I+wFzGT9+w5YHXDs3wGPY 9xxpOzo1Q+CGJZVaB9mHyaq0Eh5Uot/vxPhUEFblYhldt5Vs7lSR0yD4REF8sDcNnVx47rPAabjG 7yuKROD34ftJQF8c1MEtYEPwJ7pfGkPxvLoJcB6ebu33jAG+/Jcaw8GrP+HRkWMYcCQU6VeQveSk yOjW3n3X8eUWjL/jZSOyV+O515p1+YPp/8PhljpyVwB7kFfbJCw+fHnjVcHqUU/hPzzBpNfzrmmk g10bDPW8S8+2suytP07+z3Ja1NozDU/Uc9jlpau50wiNo61RwPDtns0swWOJk0Jdogc9fP+SUbJZ yt/evyxImwpZZDFNNynklpTQmHjn1zBB4zTrPilFNxw9xGQTqRo8sgKDDNgaj3RrYI8+sN1D0Oux ubvoM00s2fokR6c4sHx5YTsRHeVkvBY1YGY/iYBQq3LMJHDtZ5sbSMDuT4uA50nR4mv4V3umzEwC DosB8bvGqleB7VPfSWJ8bxOHMyxDaLcyOwkDuYt20LP/AxN4exZ7w9ao89o8b12Pn4fWbfsj5VBM hWzm9vtUwlL+BF46YACIuQJprJaDJC3FU0HUuEflvXZ1OOvyljdOFAu1KakHpFWilGB3Ezc+W6wt PoVm30mQirCuLH27dN8tZ96IvZ0F5mVQq4knvvXqabZP1tprcr7XAbsP8k/5q3nvi0EAOTNUC9Nv cu6JGHteJp2PiBagPL13g8BSEncpXtDDBoV3BYbNf/RyeyUHxQlZGoPlH9kBzl5MOqjrJdbwc1Zb Sh4UcCTKrjKngLS2BSTVfWkqE0wea/cUiE3YBeAcvjnl9J6ieNkqfvJnrFUkWFhQl6+yvfbM29EY U4FbgEb04KignvZJyDHBbWHJGrUWOQdUisa2UAWIdYPTLtVOer6ddcKTkaDx7VHP2+hZUoXtlKKH f46VVadwwpQa1rAWuoyAjwMMlY3wMHv41NFmzcEPI3JoBriBX83dLDdkXmtvLMLXJU6tToUeNbHM zsHxVM8GHqEOukT/TTOn4/NpvyboOIV/0ysFTGfYEHI76Q5kNtc3Dvw6KT/SpjI6fcHX7Quje4vq oWVXoStaxFotvQTmfaB2K0hcMPtH2xFvNdnsILdaYN/zm/6k7ha6gtnhUSkDQbCGwTGkyzkmGmnM iYuxBWZMphWlGespt8gxTe9Uu8w6ApuxcJQI/XkAjs3I/NM0mFmmtbn/3N98ipwcQsbmLSFsbmCj PaWlR0x7wNOpbfqcRX9rhANdOsotng2qhdVle6787cJMOJiiW5jlqaywWDVEYhUCmORcU8q+0lwe h+lxIBsPdlnllVtaMatbfUJAS5yIviGO2UU+VCngxWSlMRdKx8gcPFqFFFJ+hy6dPGlyE2rmpZs7 Rqzm+1QlpBZlyOyf8KMEm3p2fzVJZvdX+4Qyl9A3UIv0aApFYtmQcPTddiKJo0FdJbhpOcwnjbhG oEXF7CSnLFEDzaZwh6dFdnMi4sm7lMnmRH7+iFdK6OKSuaDl8wX8RKo/onIpLfQy/TI/6D2wrfpL k4UU63ZMyNnGKVUz1Rr1b05puN8PsGobe2KjGImk/RLzztjoFCdMACP4GfLUbx+He7TxX3KM+8EA YyBfMWRQ/6CAEoFYt+ZLIBQgw+iySSO98gxF3dj2OB2zkzG+Oz3kblcZeRAkrXYrf1wNmdg5YuoI IwnqlzsO75f/KjvUBl8o0WPu25vXWh68CXDMi6Z3fp4Pi1PefkqeMs10XzyFaENkH5BMwSM/gtp1 ng479Jizg6MANgt6OZ4CnbSfb4EU2ZQFpfQFKrblnsJ8LKKA5yQWF5nd2ajHZTxzuT0i6f9nRolR 2Wmxim5unCv0LKbHedk1fbYk6gZcFLVu5l+wYuG+1dULHvaYsDoL1n3jacHAIe6++gSXSRVGeOiV nWM+mBmijN2YyQCd4Zg0dUcRjVRyWlGqZxu6SfPJizoKhAwPGtT7bVwnRMlfRotaPUxNDFx/e3Ww cuwNqY3MIkVf8Vs4DtSZP7SITjqbwErrUimFIDrKIytD251KaN02j2iq3hhnqKRR8kHgo/MHe/pS xul8FJfxW/nBgIxU19HhUc67rNZO+4gqIg5armVENDqxbstK9WhOp5eXkEfhTKPXfBXoKsAvEvWo JF4DxhoPqgLoDKpdLbrTvXstYEG3Nx2qdrMLWBd1IVnUBcUCvjl4utna6uTDbrjJOwmBmN5W4FEg HsfXzrALxOzRzM0q/m9f9HKU1ihg+A3Gs2r0YdkMY/NIQOXlCh39cjEiUJuzV50Oo/RRvAXZqEdh JULlubAX3vSBADSbTNKyvn5qOx8pbF7U0w2xqU5di2oX/6qWEnBDAgAGrILW7zmxVIHc5oEbPbRL n042bRfjcyYfXJqs7v/J9iRxijsh3kERIc8ANlD9PaatWMNakWIcuGOO+wah3oUnCuBRvrm/FfxK 2r4MDX/QAWIvyYG5wbyrmJSoEntJDCccCYw7hLK29zkcTDryKoSA8wsyRJUNS1B1CCp8heapIhuF ztZGHa02vDGDoRU0bN1/W5qV+o6jom38afChhuo40348/fmJklNgfBW/5ayX34llMmRvXXAm54AZ xxajJtSnCyIZRri5Etnfkib/LJKuGTJvJ06cD00NhmzPt6wtJfNvp73gSEO3I0TaG51jCxvy9b9g T8vAgDSfKVRG74OaeZ8Yue2bsTzjY4U/fhyMfuf0jwbJ8ZfuCfkkl3nMFgy78pfj9JuEk4wPrAKj bl0vlhqiNetEFwr2M7FKrmkUiIYndSBJlSc4suZeVXlNf0Ca1YxzbV10XJJTthPQvdyRV6huo3Uu 11sKkr7Yrmt5uo27JpJlbXbqpBJ6BVxC9pwojE5FJVOVfndO/kBjgf2N3k2rXUrO1s7UWAnlS1SK Q2XZlvzFXViYrdlSi8CPoJDdi0WTJ8gpbeCvQ8tqW5gtlrzCfnfw9YsNZlW638/9kgHX+7vbbgRc PcH4cAjlacBbtanBb1KihPcuXYwJRjRPKiD/HyriyqdP/xvoWQPUgu/EwMGrXMY09hPIaIjOUs31 5jkPg7SmKNB60GKv7m4ty54jL8Vij/IP6jKZbyoP/jv4M9lpC7PMd5lrHL/nLNMlRsRTTP4ffmgV wGlQ9NCVHKRUC5HOD9A82Y7vrPQ0po4cQeOTuJKzzGGRwrh0x/BbE0Xkn/m2io9lVx2n2s1BMfQr 2156dOEf70gWFiNFUJupcfDbzeINNA4OjkeZEgRqyz7b0BVC6+moYFTrwumePVVZyp942O9duq7L DpBvrFPiATkslwNpRkLFmwKn4kP5w4y2zvBtjCLkSg14+L2kGO/tZPgPyTmASQIwmuXwgCPaJBU8 /76XgMVQgvAyVm7sep5X4KL7l9wiL+3IU9FMYScYDf5TboBGPOROaArXTXZFuU9TdHbsDTvUK5r/ Vb+ElUe0x95dExJaKjF90P+gA7big/PLY8dRwohZQ6j0lEPKjuUgeEzbhuyNogt4ysfx7IhGAn36 VMfTFS/oK02bPWRY9LctDWAudOA0zdF+3qfmH/S1+WeVypvL39JqrNjjZNhoeysAZO0U8ak0/oQa yyCIqhPJUi5ESRGfOfIJSUvMfa5e8gUL0jtS4zvFQBtKOK4QFp3jQLMqa8RpaXzkJFDfB6SOvpj+ PjFI/4rchh8UMM4HTh7CoEWk2yotSNlmYlhbeNIb20YpfgSLzn1CIBle0RN4+wat1KvQM0qo+BMs JdmtgsmKCOR2QkmAKZ8hBDyXvG2rfu3DLZ7KEnamyujjwUYL0XeEk5+6ytV5F3inaY/KeTXf2rjz tLYXYB/5ni06dI0BJAmiJafupr5RB/EhGxXfnoRX30Lswzj7hF1siEdc7WJ6s373p07rlOlIBe+Y EVf7n1DtkgojWU5kHTPkCgH+Ia9hk2BivV6XCFsz7ks+y0d+IULLEk++tWGTmF1c2Z6xqyyK/alt Hh8qQ9uMFgVVj3myywdR6efPqhSuKBouwKszL86j3XVwLKRsQTO8Tx5MU2pDH2K8/z80WsRj0SEM u3fh8Krg/b9I7fON10YYgsoZvPbr+v2jhCf4KWYXzd6kq4cckWj/AhqEaiXSZrxPyYFY2HtI6xyi ZViIevmkHQOrFic4m6bj9wO1RqVDSu8GZgPeWTY/tr0Lh9Byyk7KBDXutG6hIpXhdiUiSg+tYD6X LSjuSI8DpY4kd/wksZGI3FIUxOO4/RR3j8+tU0c5JY1UFDMf1TdzSYheXVeZD3HWeCu4nmSDvsOD Z7uqVOyW6kCoEcEKGqcE4IuKc2oRPocOaa964KfF0iT5JL9nM1GH//p+Q1daMhkeCqt9NEmrgb1W x821V+npr/xxKJWpo6fX4dmiGMp/m2rsrSu5HNLuhQXy1MbMKT82WqndgQhC81BiWcl+iVWD7Wae to0ajKXu4hKE+R6vPLdnjyLOZhYyqEGApCzzLw0K97BbuTFA1vtjGlGWttqW59TKEosjx5TMqM4W CE/5tVXf9KfW/FrPPuKIxqkF+3K5YBaHIzyz8hbCSxFR8TN2hoq+QDD1CKNsbKtqofqV5Y/9WR9G DGQ3yZMvW449bKhsb45EUkX0ZwoEfwWh9Ll1r8HisMg1WOpl9YBJSpYnO1GY8xd0Bq7FsnRt2F11 9UCFicOLsrqBrRppUoFENkGaYVNhzudYeE3NmbFomSNdxaE/FtXFvw9kMr6QqHADkiGu3fzT5bjy vjKjKirkJCS88jhgKhfx6Ue/rN+OBsy5uF0NC87cxWaWm3K6J7r7zblzKEQHMB5F0mRqfWVVP5IB 22RXqGo7wy7RGFtzLdpwgXm0XecUHXyAcqae7tKcuji9CspAbIeGWgp7quC5lGKn2Th/EWrbjsHC dcTS/C6YuexswCO5SmeeB4sCrIUgYDlTdlSa2FvB+o4bLShNDncoxn5JcWDbg2qaEt0lHE6oiI8u xp1qJd9GlsM4iGMaQ+wXUaxviVGflDsZQ96QLRuRtiOFwNtppWcVa/GWGgrpM2QWkHHrJnGz8Lh5 6CYDfSAC/KKhgap7ZcdhqF3ywLVClcqJBLJnEZtIHoQNdPDvFQsnXGJTsHhhjXG0b3GaJO1FwYX6 9OYbNinEAbxidc0I8m0O9gDl9DxRuXwLVRnTMPMyNf0z11awfgi09H3w7hiqagoxTYeTJVkGqQo4 Rq1bZ8YbhSsIbaTLlBat6JFwGWQ7picIGDBelRlO/ITCTs9rHDRqyLTMMJOPQ3NbCfe4n4D4waLj s5rSBve+QcIqErM9nlSDVLIeDJ7XUNTQhCNUFWJDnJEGMHTYkTloDv+9lrtOVSnJX24saWDq7Jdd E3RNh9WzdshXhLAd1CKUDmhtKdAf7Dt2xo84YpXOTGBfGpX29TLUXmHWSjWDDZ1nMY+E2KPZrNR0 8MCgNT5oI6JFuT2/MQEdEtdTDRdUoBL+uGNeuXBvMYonBdApAMEW11NbvCsJzVJt4ikmNF6f1pqX wZqAJO/xjBxfDMPpmr0H0FYO75+JQLGrqiMhpH5Dj3P68j55Y8vlLjNjKlV2+nWHJh1qkNHlayHW 7rbqXEVZvGP2wyfRvIsSpTu7OdQnuad2VLo6QjtHrfUiz8tjDgD6v8DPdhiVbf08A9b7Qto8W6mZ jbTu/u/GfPHwktQgiibgZ8adJSJmGwAju42gFFSzp/Cjiy6RP7V3p/GsHfA5MD8pIn1f+Rcv9CcA DeTz34GHueh6wOGzZF70/iWdvUlhHiCowvHYNcA2WZScZa3dmgP91bLSbqD3hjUnR4CjjiaT41Wy oLkU0JQHrEDsUzuHgs11nJhPdvOjhHIWwqgzSjwO5TJrjYAHiYvqr/ZagbVh77a+fydlyI3UkXlM A5yVAYgaVdJxSq0GXzPmAfbb/hG1bih30uDPd2Xp6631PMdY76k+fc8EXjmiWEfKVkh35vKOgfog ELlJuFZQqSU4c0k+jYwKx6Ac9DHMk2uN4/auSwaN3oINjP8z1WPkHMM4pO/5kFMzO9pj7epIVyyC SHwsM8+JhFk7toFOCCP9MLPPZ6gO/Wc7KXKhJM2BFnFbBhTChlKcQToGFL+0plvf1pklqV56Pu1c X+gw4E4aZDzR/lfk1JI3tGmNKGI+pCOlybM19mpNRSGazXfbmIbOHfySQmLj30eio64M5EMoA10u wWQNPB6htCW/rk/4/yV/TzhVoYsRIzqmiQiEpKwYqqk/b+VasZIHzG42kT6vdzKB/EPyE2lLl+GG DsGoh3HTwwnpXqBLPRmBNwxk0MdmTu1XnNQPDeel9uEczgm5yfz0AKA/kp5gFkSiHXH9NQorsR6O VU1UT33q3LYb6zpGM2MxrZgFlFNqL9m70ok63SmcLQRE7p12OVqB95X83/jRx6+dWPR4QnK5yk+9 +Lb2OSlsc+DxXXzakYrTXgktJ2akjdXg7oAd4K6m85uPqUH0zb4B/DZ16Pjsw8ljHQWP2PgqvS3U NJWSukWhgWL+I6l2iNF8bgC6wxczSb9jO8oq9Xx9nJ6Y67mhjbOVMjuxk//4u9B7s2ihAvXN5B8c H+KGzUaLuW3vkCQn9rIHm52Rx1GIFv7J/ot5Duv6FaK/sPvGzM7xALoezgg2Mh5wZ7iArwfSCevg p2bfhMRIJL/rJEtv648OzX4ViacmN79kZ2+ebWte5XFOxyKsMuIVpUsfUPxfvgz9+Srz4jx9CNkH 9Vs0NFXqq8Q9NVpz6iYQwvYEhkhIpVlHsgU5CamkdLhKxpBqG8m+zUaYOwPW1nam2iNSGWXRnvK/ A3DQpJGTim2SLf5h3mxxYbF8vAlQDxL+zfmMkjlVlW6kw9r7EDZnMBVjIP5XqjKPyW+h3o3V7qAQ /pzOGBqYxgLVSFm9tDdvQ+EqHPNZZejORkeD5met82g9log9E2ydX2eOK6Hm3Feiy+CCBeeb3X/Y q7Vo5MP0MfvohPXLdA8oOKS0wyJplDHkHlMHT7JhZJG7CBiWFD0YJLgeNQw7grq7TL3nTF43qqHU XpJBURHSLAN+lZb4396wMI5vm81yfqHgIS6cZQnZnm9xRjFrxZerf56G9iGsVNdGAskFhsaMR+kX rcjP/kc6K6PD7hNRW0DgiZRQHk27oBWGdZtURcLtugtZ51hAK64TmN/UZRbXWlrT8UbGy/cAHHRv 8KUESTPv0j2pCau5jH0jNSVrgCxkZpCbqEA0qEcdwe4KFkr3bNZZjcj5/gKAfZIeSNcbiTxwhz/7 zxPx6UL3nN6YsucX1SRYgJrcidlz2X5PKP9G92WtPYDZy/TMPFawiZivhlgp7o4G/Ne0rs+urcR7 141AcjnpBk+RtPBD4CoZvzk+h9/yxiIEE1J+LIoqr4EkAkLuE76qrh+DqxqTHElwzrHnRJ67EbcI ZMTtq5xYYVGGiUIFYx78o4/nnXBgjSggRbgV0qGowksX1La983/UGJa5y/HdMwIli/I8O5KuvLno j4Q+eQ31Q3E23Uc728vwGvbwe3pDuR+HqQWiwDbD4FfDw400nfCVXndjdVXf2sD2Sg4OGcKfG8n9 cFyXlWDtB0lzNNJ4q6lzWBErMG6bOin6WxHsQiV+rMbbhokAsV6o3GY7XAaaRjidtxFTtBksKSkh ToDGqyFzuHJnFxY1VlAeRUx94lfJwM41fUP0yigw3XaNB6tS+pG0xVLMAn2PFxIOM6yre5wwTeFY 2DgMrkzjLQwD1dgYVx4JLxhM5KJsU9o2IQWbg/Ix2Cq+jV/zA4pEvXCjQmRq0SScx5ER75o7CFPn +uXIy5Qz8aHnmWR+GHBnAOJJOnshNZYMGfvH1xcbLJrECHAlbH2cJJqLs372b63Drw4dgs13Br/z OQgnbe/modZyvXDfBly5YxW4lNsU+DmYyFmNTRacmLHCSrAl0NGKdXS5U0ia3xS2WSctJ2my3CZ6 BIL4sjecoevWf4ZQ8bVaQHZbfCH4WTas2AtsG8sLkzpPU9zfLfbxGSO6HXFOzlXu5zlDkdYNrbsC YJ/Ut6iYcuD4/gXl9AT/PJkh0SKp8MmjY3DjjEskEWUeX5Bp8O1gvFBcNih+1K6oYWz4VfsPgWDW e4v4l/IADkpIkxflPSHTd3nmAzOj5Rt6ZUBWW9LTyy6IyO0CJhSaO8VxjWWLcpxlP1Eygy28bQBN noX1gNCVBCIPnnL2I2oAkT7zZ1jaLV+Nw1vV3c1UVbhC4nJTnRXl1oWX9Wm4Lu+4IC8M7UuZdVJ2 DYiiAG8xoRFaeu4O/MfFXn+wQIag+B579Uv+Ja4RJzPQVS/MAIgRofRp8gLXU1ScQEaHkRwlQw2W tNWXP8FNwG8nXV2GOfdlwrp5CbOqVC2yoFHRIpyE5Wgn7kMgmuhK2m/dBlIqmiC8MWyip++QxQiw KL9JhlUSCMwTWhGJwdkoTBMCsJg7UFUkY18bRJpEihge7rmciGtfUqamgSZpvEPRFDVafpZdvJOV /GvQYjojJfIPQrddYRbJk6iEa8e8GEMUTTIlUE2emWgstXam0addmPMOS6KU1YlkXs1hJRUlVx++ 7UDHuetZhK1WruBWyQR6Y3BKp0Job77M4c92qVlodV5gBOmPLikezFqpNqjS+otocZPC6EOekge0 ADy/i0OpZew8kXNvSW9CUoq1A0S2ePZW4Z+jlBdeXW9xd9TT9JwScQqp79ZsJLnxhVzO//8pQcRT UUia1tPrxgUBJdRNEStz42DetmeLsx6hc6M2tej29kqosmoohhIGewo/U3ujsql7DeXcfKryIOzs Yu8BJlqhhmTVoNfyTyH8Pri68zXDTZs6xLkyclqLREBq2r3jVhbQ7tELjtbmU74pRTGeOHR52IJC bf6SyXoo8INtaDHRJicQvL992TR8rcSuG4S0prliPo9R7mKD/nizAYkrz4nW49xI74/3ln3FizYt IiCIBSeymqP4RoSrIVFkc6M6wO1JwVUGmfIfKhmmrt0hjZQjjhOP0KqBerIcdIZiBtHC1UM6Dixj ZYxh3QSqGk3huN2v5r0py55HU1ocpHnae/ZX0pF8LJeuN/PyMB1OH5twDsUdQ5npAFXvI/F60kDp sEbUJaGiUKY1PdY7m0BeObzzsv9LjQRxeyNLigCQms51QD9fA6ItIep/GsxKbAu/L4BDtRVc5SuQ mNpVfYl1nj9otMktJdn8aElcHNz5p9kQ+HiiyuWpHQSnueRX1hl7LIWGhE/O+w/IPnHd4PBHNmuV 3mlROQQByhbDjku8ER3Urws2igDHVKLd0SZJGPzSI4OTYjWEI/XSZEHBgxvGhZNycMJWsQwI3VOX w9h7TgoHS93u/S6UXEpC1h/ds8g58yxwkH+1LHtuA2YldsF9BrXS0xN9rL0yobUQUFkc1Y3PuUCq l7s/5p4JE1sEcS4ShJdk2m5Cva9gu6cKAN/k8eTAylh/qoQW1Yk9W6VLVoH4B0G/UZUvF4cUmacp AO5VVaXNfqkgAHZEnPhO1s7K55YgcdmSfv4ROI9kbEM9nkZvz+DJ/HsKNHoAxsbM86+vgdQwiAKQ HoIlM0usO5/IR7yRvEHb7IFBOwdV/vI6Gn6JCx6gZQVMSrPwj0/ZSvu4DuCdSi8+R1xX7JTM3X0k NcveQ0d7xmGI2wMRMMNbfZr5klcRA0S9mdgCcmobi8Lg1mHCpQlkIfhY9WP4odv0nyKW7SvBKgQv knvVh/DYhBUm78ROIEQo6diWn5sMix5x8UDrGpD5LoeVE/QBF+qJPZGzCH91rZQQJCzx10LiFk5w 1c51lpL43Vo4xEX+KLTM2qmj/1n88jKAMqSBtMuZnOC18eCLTXqkgvYIGQpo0jaBFiGTY2uU+hl2 0t3BkJT7QjZ6Dbk1yBX1XrVHE6FFlxA/v5cVwHOtGZnxNGjEjshrF+fv2DnlT7pEylg00pPd1b0c psVUhUbLkUH21eqjPkbQPPNwzEfMbIQwcUl4qUrIPGsr+JvX6TUh+QzaDGV9sc1Oj9GuNbhQRT84 m7E4xv++CPuE4Hd8pudqJg8HjWr/H4KJ+Nbx+c/+9M82P9C40RRH5zcoxx8iFTH+ZWIPafBeYgGv +z0Y0n/nhyTrHhUjPNuOL68Omawe2Mkijp4x6T1yYuVr8jbc+p5dZiyqKbOL/cV5hkPgzz5a1EAu 1xQF8h+qgwgABiQW9/0kCtpolId+qoxvJ00PZfYKEne3goZBPio4NmSyJcBvIjf+9r6AjtdOC/Uc /p9dEs3xJKHhZ0pII8+6NpE2WLOf5SUHeRf2LgrDXA16KYjwsp+mBbBktIu9EzJFbbtoy+ZhsApe g6lA4BrQ5N5X1vs0AmtiEDYm01M6XCFeTgms0uJHLmobM2NWxNnw2JI6NxWI9FM+PisQenG+iadL jCsSWHviWXiui6wjrcLV8X5XaPV54/+8pjcgl6Mc7WNj2zwm5VPH7KO4Ecnd1g26vmiFAWhn19n6 t7MtNoOjp4U1M8C7i5zWipgLu0NFqsy5MSPKxcpvUa8TsgtUpFUfByfoaqcllwXD1cxaBMUsZPF4 6HjPHYGEHoJv1fErWpBR4hVrieBNTgl3JU0wqZzSEl2p5S6qbWjlYRuQ1D8iPBYnkWdeai4XLpcb BUpNvQmsuB4loDL+HkgEJaSzhtqL2IQfrdyKon4ed0gN84edxLyRlq9jebePENBUiTLkMIx6pxcb kTGZveVc9sbGEeFOTv+v7bLmST/DonbMlRnApWOYApL5dHsg0gUVKOnoLIQfa3j4IjrrlvSnaG9m I//U9P0rXM9IYTrqRzGqXl9ePEJYEBgpaSigymCdzqdVmL9LMo6tGdtROfamhMi1nHmXte56Ro57 IJ97a6yhjzmZAw+e+kjBzHA8gvxV/T6faKLjFAx0J5d1NB3Re3bXFQQiKrko+84pM8FGF1abPi23 OrO9yo9u/6avVAYyzSLOKAEy7S1pMT9zgFMLLUoJHgvTD3fV5aSHilRarFKA1HcgZn4eVgExtDRo hj3Nqoo2LEAWKrvIh4AVjP7Jv7wkrE4JPTw2liydSIR+tYuGmZUFJ5mKR/UQi1gxbHmOjBSUL38D LRi64Aobs4oczHZ/DBRNWp0KBpVUVjWbRb32OTnGqg8CXFwgufNCRpn297+wtY2WORcAaYO0Trhh Ju7ibjEV5WoUxP5SzDwjYWYTNW+cnKqemLawDhX+niuHyL1geWvpXHn0WZsor/tZ5x5bzkq7KhhH +fuZ4oQsCG+LPIF9rQNo7jeOzAvW1JGIWxlbcyM9nKFl2+4j5MtjIsx2VuOGbIqN1CIYLypxbeS8 IAiVmbFc4H0gZawC25OuO1i2hapz0BtzKOqNNHfaijzB4yp1vu01/bEIHpAhjrtdIe93ZgPVJcjP dbZTszxl/iMZLvIzTjkJTnqBcQTXRLgSzidulK0Mqf+7Lh4ozBqF3KEXU4+8xMnM5n+vH6ZGto9Z Mzdy38RwanHXUP+i+KCMvE8wXUanRoL+NC86Iv+zRRiSUuML9h397I5VxjvKAsC93nNL7wvAtlsD yQTwwrMkL/VLWMghWJXHDRxJ2B2g0K4+TGimu7mTu7nT6JSLzJ9EXJHrs4RZ7d63lrgeChwSnX1u yxF01iAF0jzggfoGJfX5iWA/+vCyWDFTiFfsPb4Il9xYy7wlHdnQjhuZ6uWGacTEftHeESpUeWyt 1Yz1XI/YjxNkStIEz+6vH7pmm5vNjoFVK3f6bmIDVvrP6ctjlOUL3WrC6u+kR/QRYBL8EhOY1XC5 M0xcRpQADVhAvVSLFsOsk5ja7KZAzuTJJp/o/wKvt84E7+TzKMK1Mtpg6Z+qCYBPGv5k6T23/9xj zKYT/KQTLE+V+57oGDuKLUa3T0VXN1MDk+KDYE4QAILkA59kYS0yYI5DLfZrQn8Wl5uA8dwS83sR kTagoDB8MTSB6tS4EjsZH+qThy5z6EwuzCBC+D+E3pwQV2olbIk/HIOtIZAx0MwhEX7H83tZ9n4g QSDlrZxxz7HVKTddpXQxOncdR8Cxu7pUNKWmcRvK/WkHPLeO+fiKZQx22re6oh9BBKqv+1fAMOa5 ESWL50OSerm3E5mKn4gL7XsG9w1dqX67tJep0Zel8eGhJCaNsMrKFRSUYzpbC8FJHNrGki2MStiO Bi2VSAyvVTVa2AwzL56xtCodeRpWfCyfG+pMJStl755M3Y+F27EugMtHfbWDdNd+l4uthmxu3XEv e5tI6Fm7u2BSSa4YLxq6nWcU4+w0SojHs8YtHeLYlozB9yoASU5GdGMeE1Vr0GqJ+yJmgXxSNBvb v5LJ+UhGIgEvGPq4N7ChKudvQ0FWijYGQS+vzwVyEf2BFWnX9ML2f103mXJoyHsup7KT83YC+Xsd vDRzrocLFkjFaIusN8CAv1G3JBqKlieoW/OTUdwLFPYkfPe6+/gFUU8m17KVD1+MAWSp4kXVgHS3 GqwhCuxsD6az/W+AoEqL5XM+jHNMoVPe/5gdL39C/Nsve9OatG49lrU6paJc6UjnSJ5Q2hdY1/XZ tYvTHLmQffZ3dlcVDmSZu7COCUQKnoiWzNcDlf2at3slkPKIbGmE4N9DNMsQCGv9jdeKd0qF2MOH R/wQmfNc3ub7guiOuwdrb8tpE5Gn/9JEYOEsTODFmQs0IfroEBq5GvO4IddiXmLsX4jCTzppBcuN CNTeWxvyaNSc3QrQOJO9ylI3cWWz6XqQcajRYVdQg67X2dcptKkm0Xj0SK5yjBwgHPmdmuwKwAGw JIAZGPzryyeVztU9+3MUJpC/mbGwxwubF0ZyukWERqN/nBeY3CIfWECD/cCju2jWhfzx6cuTzQfc GG3+ojmtqB53iHBXhQOWdOqLSlO0lqjR+qbcGwcFtWbbPFujj3fhh/74QAwZpXibwRWYXcvSIbQf /WJdD+zIFgAKRKtsTLwT8GLO//0L8UfffiuweLTiU1lyEiRzo0kRta/mNmrXi/hzjyczOkC6AHYf JFh03Iuub71U6vNhOcdTu/icxpxlOBY7PVRu3Nc0BTElVXvkdmsIo5YLxsBDYXCI8HhJzHO+gvgB QAnoowcIs9PPHqC3EWYiTBLX10BK8dK892e506gZmiH8tI92MT4xMGYMFwW6zo6yvrVWfyPJg9HE 8B7xnJMlwkWItWneJIJ7DhuD8knoT8ZFvo0FfE+rWHaFJ5QLOBsoDLFssB0P9Nk6oOUKKyuAVVLh Ojhl9uYp0fAxcpymcsFTPp5kXGAwmZ0XrsMw9Z85zAOuUcdaO6lLlzusVaqI5Z7IqLpsG0Fo0Lns xwfbH+JrUleFPpCi4YJfXRIH2bZqUWI7KBqfoInreQ1y3bmwMRRNzyRAVzprMXc3nQM1RL/GMm/S /bPtUlJS/PXnYs3WRPLSE6Pxhiy2TtpqepLP52zm1h2L/h1s9QQeHmO2e6+LTyyWLO8+m+14v5uI MYmdOHX3iwuwDWl43JZhCslj+sbITDt5ayVx20TbT1eb/KC7YW0SQcovkSs8ODx9LgWvFdL1LtZy kjKacJ8f0LmMh1/ySa+xJjpUDL40uftS59LaaOyzdyZLpKRInmT1cNYKQ9mryzF1iWVkwT7chDPm LCbB99i7E85uAPhYwoiWHGd8c4ioenxYYFJ3n8x0pweyJa8IDZZmxldlw2V3jeiYweXct5RAS4AM oUGcLiudniKSsrNA1NAbHMajpBBdSugJIIFbhBFCofQnjxjOOHajkbF4Hzj5CUwCIIu9PfWFFL9x Cf1ji89neuTn5QriB94WAITXYIrzrzY9hZYH2cJBfNZpMl1eeDFFn8UWyIP+jeM0mZCvTh7P/34R Skir2phfyyEK2hZR3TENl/tuct8a/q9UEUf9ZzFWEXB98OouXkHRXrhpMXgrdRXeAggoQC2qdUrh KmK1fAC6yjsYP+1Zx6h5CDMDrmQAK2nMBXMiT9p22tk+wEARaXtmPC4Bxzno4qDhCBe2AFmjJEsp mRVvW6ld/A5fB44VvlaXw4UCgigyoJZTLMnKvHJdLemz+nr5zJiXqKy7ABaEnznwdhbbYUbW4HoB NJI96ejbVLzWfiL7h0RswrfwKaw7H+KIXJT8W02O+kk65oZhK6ZzFqg7S/qaP88eT7jKizS90xmN p2+OeUILi56Qk2lPuah6EA46iZ2x1UyGsQi+Qnlau4Z6M/ZXh2/NaF42QBEaUlIkfDelkqLpgqxz h2vYL0acz3fEAQ7ZaLcbI8WoQ6FLRkV9EtSvbYHE083m52sbCkQsTfBpizOwLU8N0CsWCAnqUxKc tO6kfHBaRNwMQzWUNJcaXYVHZ3n6m9ickmOtVSnkHbc2KYc6JuI15kRlas+szfKjMXfGVOgulNT9 09Ql7FnHS7SujLtfF0dCoLN1B+bO+Q1PD6mH2ZQ4IT7zAZ5uhW0Wi64QiZsCgMXTYd3BaobTKF2X BwhJyCoZf2UqzvO4gSN5UzIyStX041LihPHySB9kAdFwHyD2UuHoiHS4/1d2/p55xKoJLSGZ/oga nuB024ifBs/ki8L1LEWQku08k2KVaBQfwxOB1rEPWwAmUX150ioVE/P4TooAXqH5ybO94GgepSFO R9rpYy4EgTjR5yFlQ4CPW3P5CUhEwiFPpot7sjlgg+PsJ4Nn2k3VrwAobMlBCJ1uF3XQ0RJ6Wqvn Uxrhimn78ORugOdcipF6ac/UkWoUI51sqfpCeDBEiut/fZgfRp0xDVnFEFDTLLxFuv+WXBc0p/Os 7cDHSforSgYEKX87mnAfwgNTMiAFStHgBytO3GyFHsTeFOrMzha2+aNl9L4GqH9imvzCIHHd26O+ RPl/7+8eP4Z42NpYYgNZoC5EGISlN47QvFXFxlk8LVHKiA+xkmI9Q+MwZKtxHNCWBVY8EiPurWoJ 4hBOiFOg9/FvFv17JPx9kM0TmCdusUfPZbwX4utRB+JY8dfTGLvIPFh/HI3+3PoVvBlaqLzmpqtT ScoP4eu8HnwutIYVv6uvLap7i4RNRNxUmELCOHtwQbveluaCKxmGdcF9FKPWx3d1YR6TuLZJQThW YWScQ7StjhUXgxHJ+ZaiLAY2nuGIQiIH1mmudYUpgQD4PxqotQ8YuZtu8IuN1BTOcYaH5EAdrCHe WVUEE8MwlyOi/PbamImjeFXkPd4fvgE0twsUl82a8bkl1Z64V4eDp75ZjENcU5PXKZsA4Irc2l62 uJm9ZTbNQdVHrGvxpJwh4PIkWJGxgSkZmVNKtIOUMan/7QoG3IG079GelNcIhKlrLKmBm/5yRVn1 OWXutmWy+/vSkovXoKESEHVPk/3nYYMzJcXbEaqJy4v5BEKdpxAsgNvAMe+UHeemXfDjKT/iqX4V qpK1MBG0SdxiceIFEvgxAOKbK/OBkvu1+JdjGTOiXXXZF5fOVZ0sFGiWquQxx9lGgGQ1GcTNSksu jBop/r/+Q0fBZwpLbDmfFKlKz8IKVx+eVD7TSiARiCPWMI7qRfAk5B15qNKG0tstEcJAHyOYnyJD UUF8yGkEqQGVkAcZ/owiFicwLxBPd7ZaxwGgIBGG7ntf3HyZHK92ywzPhExKe1HAa6izhzgRcd3I 7QH8l/QtPbQYB6Lcu9JKLcJyRAvIrayf7cbRnLuZm0vUBD5gNQjAYA+dfrNGFNi1EBNvITm+sGzq beFpnCK5lzOtqQGruwjn664Tgajcn/zAeZKtXqwj+ZsZVncausLOc7RUNHtSTrBEIkynk1KEqIh+ mZwkd9gRcFDH6NG9/xpZF+6WB35D+VjsmV5Hy4I0mFhU2e/wIiHnMP3Ql3OppxglRedOW+Vlz7TJ ycvf0LwgZ6NFIVqJfPH1z/Ljo42n6ouxkHQ4iNxs9XiMCtMu9NE5s4aXkfO+kW0nrR4lQyd9JTM6 jJBVUljWV5mh1FPaTr9RkDPZdxsblO0l//fa0u4Xssj9zeQ8t1nUm4lJrqgmH5j5USU4dmwbAWHl B2ynmRJnaF1lSzD6B/848OGT8rHz0YbQ9j+yfl6UgH7aGJtt+o+LkmsPPJZA50VKHZzfavWgM7fX WWP2RYKMgJB5LbjmKld0+0XiBdJL39shIf+2lRZjwf3giUr9rmanUr1wW7723gLMghodNdat62iJ QXwegBsBchUWa54tgLHivFe7fgcjJKQeK2QBrCE8qQwHLHULJgop7UH+J7dNhVnKtgi1dNc83c3Q V8lRXJzgpWpwJnOpYiKTxguUdEay3vNJ+DyiLREIwfew0X0KkWnX0SHlBMbf4Pir46UlfEVVt73Y dYrF+sfnneWcRn8RJTNSjy+g8E67gt1uBvRMJ0YhLtXGOB4t4v12N4irDIxssk/NPWyukZRbiiWA iJt/ZbaFZmXLD28/ARs7zQEcwVgVanjbpAr8iCna5XOV3pKAdUI6mWyqNCH+F+ppda3lCOdYK0Zj xtuDKKXZBdLsSm+v2zHwMsVRQ8GP9YPbOPvfaomVtybPcEU8LsvYPJCPnIqlvcUyKZT55Skl9r9B 9n3DRph65597dVIxHHKNMEMzRqjwTDOOxTXmdL2PSm94WGx4TY2D3DdT78hJIyzLvYNJPxIZvlyg 26ys6Q/VQZwTM2ccfYQTF06RRflq7SDqMRkYEvSVOh8x+qlTlqy6em8TO1J5koKKgXkvAJJ+q0hd rp5ZF/DIral0RO4QjpSAmP6aCJ9gKFu4R06IlUkkdiynFOYn1pqafQyLP6haFYxlEMSvNrcsR0n9 ihhrF49kdQ3bujBDSHSZ12PSVvlQtRhBJt3bOsOpN3bI7lp8hG1ekPYm/K8Hq0A98ALsITRlXbRP rNfPozBD3EMdB+GpNtnjAYBV8wJvDSJatSqSlN8UG6prqlEEgr2pjFB0BBV3hxxUrwm7pMAOw9Sw Q+NWIF4dId3AwJ7WaWQh/S7U1YR5510NMpOFSumcKpCORJqFrXbDyEc6r/yDFqTbcDRbbO0t23+e furxh/DLGdgVMgMpKomeZWVKIAeoRXmfdleo+YdaCX0blLsZyDal/opV+BTP4Gf48RQ6kZ4CRfaK 0ziJxXMxY4G0zWQFTChx14tZF3rrdRmfrzUWsLvBTDrlzf8xeHMTw9A9F0FszE9gD1LHKhm92ase SxzTsSK6OdOhrHUFBdq691KT1EEr8L8m0h6uMVLCF5PNVIrQKxSV16rFNjs+8HwwhKoUlHUqtrFi MCxhokiBKYyXmVRyjl7SfLv3xdtDaX5uyIqCy4K1BaRP4ReNwnVjxORHenW0v+0IyMaITchWCEtV zP0R/fxle+LSagRyldcQm0q1SIxuRERaKdtCGyJ3ljRsettAuQU8FHGtVs175p1/VIPJZcUApz4m ilaEIFBwFuruQnIu25JWAPKV2OcsnY1BDbNTcE1/5pIe8UiD4gROGmJRzCmjiVtlYSxhPAdFlcXf WYSaixSbYx6gPNHeO9TSKkpA7RogMsRP9X3jAdvqUSD1FSfwlk3kcZe7wQU+gk689G7C6P3dvL5k 2CFW8jPUSTD5dglgYLt8eRAh5JTU79gqHavRox2EOfdHtW8BYr6+Fb2jc+dWsQn4nt5pZN05H7td cEV6vFjrwS+flrc6fkpDQ5XAbknCpsSNN9SVSPT7kYbxtljl4aBZ0JDpwA6KpDCyB6D0rW6iQ91Y Jb0bNbHxKlN45RgTD/1r+aNe8sSizTn4z5l4YDoFB1RD5lpvmG94Q51k9FZTK+t0SZlDwWBewVnr 2MVjRzkggdN4b05PCCy4Fu7eNPr6VSPfMBm3mJptPifvR+yQGdHDNe9T5sVFJhWPzPeGtmX/hMgp 5FRiSXOd9N4wwFlkRMjV0zwMsr0R4fMx6w4uTgoTuTWeKo6+Kxz9Yv2ll+/BsRhi6xKkVcrrI3li TjO92dgfwD3FOHeo2SQAGOhb9vGG9wdIyXzZTXkVeT+/ZxwrKXLH9juiweL7FnWRsemR3fID7Tg8 XyKsdjzpVEJ4n3AvZTyQV/ZnnTuOmkDypMNusY304u5LonW8j5MtuzWI9HQKtQ0aZUUnZQbDrwf+ chBTB5sEr9O6CkfWytx7aVITfNIZ1f1ioyQ8g5posMVtQDVXJOL8CNSuJcw/2qJLiL5fntC+xiqG TN6NoL4JHwd/Fht2wc4TijP+8+/ns9Yw2gQLomKwvi0swNllyJDdtwYK+9i6GGFP5R/b8ncsCe1p lK1xSRiuu2MeuMkhPzhN+RbSmoPRfklz+TI7Y8d2/rap55IIWVaCw+gLENaRt+g6rINGPF/BHOO4 E7mrDDkuoL3mAzFBL6axgyt+j9PP6/yCalGUCj6uf7oYAMhRBSudJVw6/LdQ+kv+ZTrtoDEhL+mW tX73IvG2Fqx1TbU91QosnyAYma37oBXsBXDKElKs36Vp50BvmDhmIYMQk0DxY0Ol/g8j/AoznLbL GemZZAGpktzYLpnHRHHBpBR24jJTtqPgFbBl3yyU1b5sneM0qxQePwwgt+Nt7R/oNPYPdi2lJ+42 i2vSBzGIFlGlYrPvNfyqh0d1QSYDu2s+vEycVWs+UL7J/km9+KYDO72ssZ4+kjoX+/OoLBgnv4Ip IlAI7SuOLJFW8QfXX8ikeLnUM9lCSLOZKJsI37L457aUv+vMK3NUicoYSdb+MsECsNnulXpqII04 aFMqNCjmqZGuGW4Iq+JpF3rJr2QC42BsA6sooNhr7kdvc/KUwugouWhOGGg4vAeP0+JjMci6zaSg R4+neTB+go1C8NtJ9Lcxdus55xNFyZbpELrvdiLzvTFESuBc9pIC1+cjuy1PpJzG8YMhyAunUOJj MFCzljGzWKaywwrkMRc3WVzByhTNONnMkQLOu2AvfBjzrpHopdaZ5M7qqXwYKlxe0YmyzlKF3HYK BwexpWNDEf1ARAD1yYkR5ts3w+aIBvf9Yl/TDXSoVdFSdd6yBpd6yeykqH2obmAMBq8gz7k0TSfz sbtdX0yDYOuBbqgiYYAGmikIaQV30ssN4zFJz5leH1UylQoNOWsEZW2OoNF063XVUGRXuQskWWS4 sZcYET7toK+TBP7BsQMXyn4kXCrSmEi4ZtzXcLn5ptl7OsMwtEVTO2caOSBTBh+gEwxqQDr4qEbb HkHmwaPeARvp+re1hZKr5qHpuSTN54POX7cqQ1bGyK+DikIPHYPCGvdNXNM2a1609BXUp6Ml0/TP xhXXTO3LMkqwX/M5XZFaH71r4KwGjldqKtBhQhhf193ouXPce2rhAifR1JnoDIwduQlo67VFzNhc Pvs0D20tx5jfklHHKB50cFsZ7pGlOo1DE1CQQsaVAF4BqekX7MjyVYAVZcPDvFqes3rz6O4U8act umjwclESt6KUE2rEB0SKwh81k4ilKG3NhhiCjh84Ne4g4N5W9m2w2PaqsktFdZuvYddlbeYYZQ6U pQTnMPLOGA/9O4foza5QY5kCFes3ZXK5n/NZl4HeHcGk6SpvK9q9rKfUwowzb+wKZqZUZij9olHX 5rlA2NbCURjhp5AIwKgvqy2LaNYNkhrofKgWXGZojTLBYlltvfFHFGHGNxsdYPPK4H5i0bC23r4R 11JQCFF89Odd7PIg7Yp0ez/kL7Q4Q4qq/9WiUcmkNLaxb2of3w6yS0aPt9pWLd4rj6Mrpg63I+5m 5AyGNFsE2D3cgvjTMXh8NfyEgoMVRB18HfGcUD+/OaMUpWpX46Tr7vJIHETRQ1EcrbMcxAwmIi9c a96GtAybL066kMlWx5vrQ9vvhzlKcKl4h4DYbJ21Q4rQ2OxkFZDlGuTVrybllpgXENggy0AVbIki 7EH3R+2UQ81mHAxLbqMDBfED++NRFCDTVRQlCa+4nDNiFpji2bqNEAFKu5CTLczwfPIauzNTCki8 Yo6aoMf8QzcUYbH7J3OwI6gez5lY5Wl+0Bhz3hinarErNUITO6PBE0Wk6eUpfBCUoCCX3QBYgOth 8zJjBZThAwRHjl7V1H7nmGj5Run/mla3s1G7wsw/q36pN+37hybmwWaNctp4+q3uGOL2DsYADl3e 8s/2ho3/0VlJQzuckssuOLj827AvyAkgHMwE27NmSFq/Sx5gJj0NgVzliGtMWUXQe/JlMiHisrDa B3HkVkB2aDLv7ikQbgjmeP4ZydalgH2EQASWndwXAk/Efue4d5sVHa31YaVzwxQ7sls+2/fVtxxC kA9OrdQj63LObvEfGxzaTnbfv0XtbSejYSMUuV/M0TBnF4BXrV8l0iRmD+BE88FrJeRPBg66O874 2EXIwcSsfBAQqE3hWJEk4LjEn0vL8k1gMEmvHRQF5QY9vEAxPEiuxde7stkiy31vAnKa8XewFeCc ScK9Mt+U8mChQ55k1tvT05wZuRJFAbxd44mQkYoS6MbFOagmdaAUzHU5iV6oBuGw+0nW0eoURDuR va/KUFjlFs0Op2rHx28gOPfLpa3R7+eKNprDW5XCG7ab7zltxC1+WiG+8jf+jV8k6+OhaKb2Hxup rOwvfrhPHKr5gfNp4KAjCIJKdgYwemhHk0lr2Y8N8k/2pS3nGq8khG1SM+S0Ey2OK2RdGA5wDfD+ P5mPajrNmkrHwLyOTVSJ6p0aJZkUbdE4nXsbsr/zJdVXqsyWQ3Cy45gEP3CTGYA2nkiVjY05W+wq bLq59YwBhl+gwrZvmtTijwHYUVRR4I2KSP8lFp2OBcR1Ya7mOmlA7j2ME6mtZQLIbMWZ+9gttu3b 8YB9tZj4LQGTcDYOia5FIHWZbQo802dR7DAOWhBPTYcjD4NpKQwJE7mIGPgUygHRoO6GWZ/KHaqz C6JgOQJV5dnK4AcF9/M/s9czVfn9CBtBTMp4/gxaCTdjczaUhRWO50DE7Jcq1apJAZfYnNUVmUJm 3pj7+sWOoA0BgpReOkvWni9ghtgz3zbjRYjhefMhnEP0ujwRLNPXgXk8Xji4exna3pBomHg1BwxB qfoHa+2GaDZ3C55bC0GIPQfCXxP2gseUhYzbkvjo+tfBt+A7DSrid+/W20NohBXAKU9wPpxaOqpu MNkxI6oZiCiKbDYLAmPPlrJy4JAgPiBA+QBnHet/AFlZxwl1uIThpQ5wH3nnGSY3bfv+j+JXsQgA 5W89Iv6cKwtS5X92rsAQRJy1IUobPvSLtUsoLWSewBliyL0uAE4J6t/Q/iEjq2s9BtMKTrH3WfqZ 2qIFqgr6iV5vdBL3SehIV0jvQ3ohyLbYGrk2j0Pmi9GH+v6OJofETMSNZTnpF4fJuDbURWvXUjQL 1GPel0BlXEpDPxEbh7hFaKsLRz6Z9FlEekvBCiZVYJ1YOZf3gwT9WFxdpN+BS1RGU8OtX9Pg6fB6 W3Xjh4EVPSFwOYXAQt77zCA3oiNyAdrtCVwrbmLVR76y9bZnBfU51Ry3TjPMiAtCksufpqS+Eb/+ EA+T7Gv2v9VrnT/gH1ZZmojCo7ehhK/Bd9QMhsmbq+o3wt/H2JfYIaNdgDsnvBJdSgSEpi0Qm5Sc T926dLMcJGODjLszeZf+4PAaMRKliHFtR1UyE99nnZlSPKb8AkVwDo5rLGtx30tbXL8K3iTZ5Uru JL1qnQmAxGYS+gPUZbBW30MG56jyifthx6UMdfQTPSDqhoX+rxqonxU97OY21yk7OpiwCjBKRZo3 cuRsYlwpGA7OiHswN0dCAelImk/ScfrLCScSzanID1jPkqTadOUTl3MYtbH1ROSZUyyqV5ez0eqp wXT+JOi+LztZxigC22seQmOiUOce6ha+y9Cb/H/fc5amXGscoqte1YTMXsPQS4JG2+wPSWHURhuC 2aujWAdLMlDTJc9x/qMzuktAjfi6H314c0/yNeruRDi98XT7xsN5CBDp5tZiOnveTzvWczZsu8hR 1Tjyu9qzmfRQz1Nh8OVaAznpUiLcf2TniuP8fZdYGRkT6TbFFVkEQ3HfnQ7QpNktbvI4lsT3OdjR 4CeyNd7KK5M10FlCWtGJ7/5N/Bt+YRPfSPLxbb2/2niOISGBHiFVqGvIZvAulCoJpwIagHIHaHj+ fONwdpdHNYdesqJPCIicFlX8cvBAGH2obDuDTSd6QvaTATSBTqvg3mkcZRgl57nQFzlmNUGwkHQ3 F+IPP2N/w48GycEN8TjaIFxjvi9YawgSfkL/OBtk3Aa0hNKMVhKqlXwSQGwTM3/9kjqmbk4J/9y7 JSO2X4MM9GPZvxhcr1huhfy2ZLXjDeSWwF29KmVNNV/ULwXEbUF47yUyJJaNVaopWKVGjDklQOeZ mhSaUahpiXhretr29/hn2f1eN2wQED7t7NjhbNg/zEMgYWJV9nWVavE1bylGwFZxnkHuYglA3bRZ rh+H/Ro1kz1l54z5ZKKFa6BG8MsSLo+3payGeJMkN8napvvWDUCdkFo8JxJWaTlUP4A3hhR2g7Uu sLf3/hjphbYICPqnlHynLeJN80aQ14sVREKu0npV+XHaHLOgANIAug4b8sXT80G+Ej2Uaz3cvUKm SfNTyaumgQfbPaNQ+Cho9anPfF3GF/i0iU+lsY9r+RcwlVPPibf3oMcPOi11SEbJiWFZQVlp2oa7 8A/nw3B9MGOcYdn0kAZvH/F0couU1LDrMJoFo21uWdHVxgu2QFX0t5AVtyxsgrVul70TzfMfwPax g+PtFAZywQGfZ0tWt63QpqV5k+9pUc4R1+rV8PS25juJtb1kLP7CRHVVxx2wkeuumPbz+wUHmU3V f6WhL093CzWPQ2Ugxi6SVXHcMyPwP5b55Bkz1udzCYEiUazXn5H7/+6FmqxckEfKdwMhksZdyxbl HG7hi1Nyi1uBRV1R/S5VywTPDbtS3APEEWMbcs4vRNVJ+5lymX7hscBIL4Ex77dwk8fE30aVouR/ L0qw90n+Q/LaXNIchrJWFhFuWI17Rxnp67MnCLx2SuSVzLRylUyDPxUoKZL1gQRyHd6y+i9cJBSD daR+rkNRZ4qWdeqptju6QyI4URXav5245t6Hb9Qp7t4iZ1naPxR9i4XzSNy6T0PtiVtld9biZ3Bl 2766I0YzZw1d1YUrMOWWAgqeSrrfyjaPdj60Qawq0Lb6GHUxu14yEnpSKehiqYs3rIluCo+haYDu 8FEx9qcEDWCXCqpq6zEUQrmNT4rFLDjaQNtqQhEQEHBypSbZWzf0U3uPg0PVitzg26cw9ONBMTej KGDDBwoVTOwt3j3C8cZ1A4Z1lvpU1w1zynatHJVJxGiPuQAmaRhlBj8qk07b9haqA8xXGq7oeu5G 2KbDUDjrk+XwbtOpZTISJZkjMtE3UejICEvUyQiORcVsSaGIRy7UwTC+t2ize1iT2nQYIXzWpAwa F9lke2Q8SLz0loMvDD+YZtjujBD4Oi3p0SqOEGqUDT0MANO7WEj0ZKXgXpuIZI3n3hiV+uZsqPf4 49Pq922INAkNs168o8NPcQ767M0ovH9bjgDDPSjIuKrm+nDcTHddMQBUib2fakqDHaJFYNyMPaY/ n8Zjnt+QyBwghS3NyvpIZRuR+QXDGQO5JZjum+Zqg+iS6wNDhnByf268E3Oc6W/F0JPdskuKw8LC K1CAjClGb4jl7kkkF2P5Ca/HAD988sGkAeEcCh9nQ0dwFF4z/ZrkAiigqxWo0PoWfRqrjyM7aLDM tvbw7K84aPqh/y3u61+SHHdvZmhdDArATmxeJoi4VkuuMHAGIhOu66aeCwUWYr+T+FY1lQiK7qGh KY93uUXlLYk2zlYfImqblydF8OkAdVcigCuaAbj0mZ9uOlMmejVZaIJnoVQMGdizPahGGKXYinZD QeQOpIa/BudUJHMgVVxRgaTZXGP3hsdr+mGltLZ0yUs+gJTq9HiXi8t1xNg5tsLdN9OmErHwMRXQ Wn8ThEH7BDucjSi3jtXhaWScmceR4dpi/NXM3octuUP9w8Aly6Z0acm0xvIVjMBpB4dfx/XHZZct NrrInZMrCGg/KYlaB1DdVIRJFGgpjkeQId8P4sDxX2OAoQ4GXQWyVEjUM2HZUN66SHvXMbwws8QO 83JEQ03/Wwymtn0v8VxCG+fPxszKyjT9z4MeaDGk8qlY9JP2hHuQvtB17XOmaXq9XbXtRMCKg6Yb ysxN+RLvbN5O1rk9F1QLERfPGfJ8hA72NyqlEi6wcK+N+r8gXlvlURMMHUWXxmDtqyPVT6W8vKJc DGOOLcrKYm0kqPaw26F90zQPdNwHCMSuyUlg5Txh/NoTqEV6zbjRA1OC8AfH/7HfFJWlE+QJuQQE cwk8FUhBPXhK7r6qMKSCrOCgZaMnQ3xelcT1AstU7GVVqhdIzmVoYhE0ieIDI5gxlQz4AlWipvaC MJLdXcg/XTWppQApISMb11s3KKcyxBAl3zakCUeutoDuKP9nq+ep/ZRq/r/EBkKuXUEoJtYAUDtU V1l1kfylmN5rd4EdlBD33XH7glx8nodIUc8gz0mEV/qNd1U2xDJajtXHKieIYfMCUciaqgmCYr+k +mren9Y9k14McObbTaLhHfuDq35lV+0AX4g1Ag4pJzQa5PnMbK4cY7MtixfoTfz293ssVfGXWW+V O3+3MfQCnVqVWgjdAFArhuNejklnisTF/x9LiV41U+3ymBTeDNkR5PdZIj8RChnnErnUDMUMuT1B 1KFP5CvKI4wbGTmM+hhXwY6VF1jcc8IVf63czGdgK8I/CXiYh3zrcz1Hlp0nU26ovj+XnoPg0Yn9 hGOSKTB/7Cd6GNbul14hBt0SX2axocjEi7B1SMn8ikUkiUYWRfhbOr2/ZLdCIuCZjcE2GAF1cz9b 2p6t1Kx+Pyfoce+TA/zt9NnvrVPs9zfTEmEg4WtKPznJP8j3PkVtyAFOVExyWQn6Y/nhqMvvV7T9 wR9AWq07LUaqk3SI8N6qAhtprBdg43p7J+NCwnw6wiq5K2OetfxycamPdcuC8lxWT/yuflTcMsJf xuW8VlPGKuiq5qgAIK2GPWHWAyGuQbXbKaktwLPnFPC7R7FQPpLPlf0gfeFeiTA2EAyoOar27q2R EEuCfXvczhdjSOXReWh7gpo2G/ddTGWnkxSm8MlznGNgFJvmkXacX9itk0lugXquv9aDc1QrVsNm KYi3O3NcitZvCfHw9O0gZCdDDguqk/A5Dl1CO39BeTw6Wf6lYoTgsCNbXNW4RXoeqRPFSdZLq+hK OSJy9O2oCASvaFAi8WH9VRM5IMc/XBjgaNiNdgMdMtIcWWFA1MEqb+IC7ZwDynzHtscsQthcET77 W428R69W0G1d4Tsp5JrJhQGy81wc8FeA0skx6+eHO/UakiFPInk0Y4hApILgRhJrT+uUIThcs0CI 6gDmlRmu0/nUKUIWcahHCI3kPpGTGEueZXwGJy5J+y8Du6Bkf3TpDJ5ri6UH1m8qOKUgCDli6AZs f0MZ6EZXjdsS7rpcUlBJ6eQ94wpCWQT4vEwBVbTGUveQK2kT5HuZHfghWN3OPF12uVXtxAmLTzRb OvXMx+fHSP6hHIfNx/85zgmZGbosZ5PyaWggf0o6QQUVq9IuKPVE2Uorn/RrfKCekVW5dH4+KXVF b+75kEt/1eDQdO/javmQy59aQVA+XsXUEldjpEoX/QjnWZZHYaG91x87QGPsh8aQSJjU1vMYrgdj ady/Lo2iW6ZZAP+ui1QoCYgd5ad3uArgkVu/L3B2ZkYR7PsAG2LYTMliByplo+SkG7R6CFkt+oPB Ob7S1lb2WONrcKYmAv2fCbvdo0hq20ggbrTZZZcVi4pjneEThfm2BIX2io5rXxKqI5gTFgMS0oGW MRXY0wyl1rX5KZDN4nSoadOu6DBbIXKJQcIAu1Xg5yF4XLMKcFEdIfx9M9dhyQ50nuMY0F16t1xa k5nG45NdIglQPmPrdhXpReuVj6zgyDA12VY8pmPWw4bsVtkgmeiPeBiNUb3ZcX2uG5ucOcpxe/+F zzK8ghvnyzUvyYPp7LjSlNf3P4OAX4ZyJXeaVsuEELk/7pnB63Xn4Pw0D1rJaSwaZ9hEM57tI/YC OqYIM03TB7bwyYLJyZ56OaPcMgolJg5JH/xiYTKbtBQ/A5MK1o1I/apmZBKRzoi8yPSv2uJ3xiQG QqQpReibPvszgOM5n56PFEN7jEDeLAuR4abHMnqVWFC8AkkhHPa1/28oS8oCa2K0xBRhFyN1GgDv 3WT0/7LoO4cIa65fiGMsnKKrX+dxv4EFIX+l1N2/BATFqIqurN02Im12C4z58vg5S+Af+RGgzOjH yDGFh0hYXBjgD99nK2kvDcnV0Wj2DbVdTVpUO/sX9tmm9eFl2j6J2DC8wcvIMo4VfLzmxFyVH26v vT5N9r5LabsK1gqf1BQ0S4SVWNKdJlDrkYmi1tlrELLPwPLCCo8CxHhfKAuTtKhIb/tDR05ThCCp XDzC+r3mmMLRkV0Z6toceIZb/8qrbBQS5JD0Tp8kAhvI/OBoVYCoYDcjqy/nqQhGRr8QsNEfkePa g914KNSR5wLKmK1+Nl7FKx1jNKYk/aP/DV3gE4el5iI8aI/zS4E0p6PBEDm6E0dJsVfa7z2o9rJG +Yjtv1geknVJ/BsBiVzWPZqqh6HqIXYq0U8H2xF+WlV7j5n+r2qGIm3Hn3gjnf5uzpOqjjFdQSco M+jQ3TcE3eruUU/t1PY2l+ba6UUyQUFyqtUhk3s6OzdkGXwnoaeQo2y1qXWeBD9/2CvmTsoSwBzJ gohBdJGbQbFRo6nXn2BK4ShP1VOb4T/1lVwkJrYThlRGDQMRvgd1wnkL8LWM4ChBc/Rdiikofg1N Rvq5zrKkh6tE4CO7HZyE8vwbZw6A5AFfsMm0SoRol/XXHshtuzdKG3JW7Kb6mz7h5pynHD1/wqJl jQawD8+3uCXnBEQGMS+Rb+XklbdiEH0dHdTPB9A5Gs5L5L8cyVkIyYc7dUDpnxU0aGN+rDCHCFbd FaqX9D0cRYP6ecdSAtWVCdxexseIfkudHlL1p13mVTbqzkBBlMOgGdbHWwdTl8JtCT1vR4HfgptO FNqM2tPtcyqCFFl93BhG0MdSlljRUtVs+bvcygkAChOooUBVhCyl4HImb2JehfLBX2Y6e0UNYuKS t1v3+u9ptvmsIuLa5XWk0GEC9WHiyfeOkMd5ck2urZzH5zTG+d/QcH33LbIIP+V72sa0WwywJckY UdWaQj2j/rQGrEcn79vj0xMnXWSt9XDaV6C/xDcoTVwtygfdxcOQh+IR7sICrRQnQ+70ad7N4odN vCFDSQJE9qSi2Mz7Ctz0w/xP6AY4zpMnkk1GCWLCXD4EjlWo8eLp7DziwB9iY1eIKqfImmKnAzAQ kooNh2OkeA2lwhCLlQX71Ic4L3Ur1TDGg6wb5cYBQaHXooc6H7BuFN5dwiK44k8gVMl/Tva5uZ5Z 3IdooWdSLYuXulqjw0E+KpzTx4mhmfDjO5ycTScoOHalowXbFi44HvlbLtOuA2xvgILhFcEDNkeG /3ZMf+aZCSS3xBYKRko10VdG+yr8rklnAn0DfrcwpywIEUiK3UZFPEk4rflhhXQFgG1Y6koPGn0R p7IO3mL/HGt+Mgm756osnA+MIp4E3rKIVYqKRcjMjZUxnGsNkN6pg5A73lqSa9AldaIabMVh6PoH MxeX2dHPbl/W2IMbI2NvJwXHZcSK6Vv1L6qt6zC6iqrXBwfxUMGoukmHBN7+WqvsR5ntQQngAa6A kOxFJuDDNEr818cW0MiRlARefVFKmZ4VPUGU5ef0qrqtw0AOHmUP90CGR3NJ0jTUO7lbtAFEDpmM XscP4nNPslQgEM34MbRMAJlBDFKKDMLYPdtJ1qSxVY76FWXK7Ah4J2yvJfCmkD/4+t0RtJKl+1p0 iv4x1y13FKOKn+NdE6FO7U5AkmQnBwKh+wqV759qMTL+zKxU6KfxXNhBMMr0F8+nD1/gw885JAOe Py8L6c2c/8UB670I3Inpk1b85BLwmb1LGxg/toFALpG1I6PcoqYn3jg+dgk9lPgkTgL1i4iL9jh1 m5zBHm89EGYAo7Uc/tUKJJQfp3BH+hrvbsjBB3tVzop9vBM+JYaYctIbHXs7gvkdesz2nVkODTLH WJvojUbqkS0FAdG5y+3CNqPlhsDnBEJ1zRfdNGbP/0n1l54cIxYHjdDQcY+kpToxBRSt4YYtG62q R3G/8C2BNwOP84lhJEuDCQ+b8uK93Al0ajdVO/PHY/vq7itfI6g5huBETaUV6HFNhQjlNXXuhzIF R6e4BHvBcd3Rp5fak6ALXgHGNnDF4Kk+8HkIeHPA8Wb7146a6wnRX0vwU3bB5vkEAAhUgWYe+H3t r+QCCYUqRGdWguXJ9CIpKnAfXkGA0/HHn0dAUxsEIGdDyK3UGHK/5So9h58NQNBMcidPozauDAOf t6iesjg+Ktjehhtt6dtVPiT2V8FgYWe3mQZof+qzixhWPGk4JhS6Zuj0RSR9BEr/S0gMg6PaqvAI eQztOn3jKmT6ZUPxbqpGJccNwlGZzMnjrTPfX9HQMGNLibuaVLeOYvNDHvjN/20e/X9sAN7g4YV4 0sFRSMdm1UjzDzRCz/AK67qgq7BjBGNJBAN9vWMjFIXA6HsPd5UV1je/NA+j+U9JuPkv8jImPP+i N3vP531Y+9B/d+LycCI7D6JB0Q9UBMDBJH6aEq4rmEUNyuzpoy8D31tFxRCk5fSaxWplkwCpBAVx 7vvB04LBLzQKPd7nMRzNZvVkP6gSNjfs+Ztru6h/WlT0BdHli1Qg0hQd76crlizO5+AA6wDGUC50 8MuMbz/s4m8DnhRHg0QJssc9o0uQOEa9Pdv6RmTzuWKlcN5sR1wS9yuSluTfG/LUtZUe9T+c6llw wbndHpEa3JC/V3cnZJof2c6CjtJFnF58Co1yGAxh0imOKw1XGzTzOjFnBJYR4L8MTYPUNE13ibm+ j8DGvrBQTQ635uMSVABjU2eegR61z/8Qk9nTw1UFDEQ/VFGA7so6yT0PBu/A9fxJrsTBf65YgA7W MtsGaF9IYgteiCQ5OEqHiBFIhluNUu+b2UtYTfyKabs2oVXv1/eVQfpoC/RG+pG6Su0ua/70Rb+U ugWn9Y2+N9EizbdRIsMMFMjfwukT71fY0qZtU5fueD+wSzRBjJP02QIiMtA86sF6UGm6liLUWLuU LJuRJH/Tdpr8BAL02JZX/sItN2Cy1Bnh19A/+rA4ppYwl2HygvQSivwXsbjQVI4ERTg4AlA3IX1j 6um6lUzeAVUJuA8EN88RZpfjEZU/Z6hvIv19Jy8pyXB68ACcmOPrMn/vcEDewHoCJJpm5e0R/kj7 CVSCk61rJq58vMgDOnrNIrzb6YJEjt94kJ3I2RFFociJnDAwR6KoopWGTF9yN1xv8svnrLlhQOeg IogSiEDleE0Wc6wVK/PqsuA+h4SU/c7azfKrBfFsoxhNj3ZO48hRxOke8M+/hsSQQSnYyPQS09bk 9ew7q8kYO40pnhg2w8OTIrInJqIgX3NcC6PF/jzLU9eO+4RIJPvmmQE2sEbFbGHpguXMvmuhzv+8 I9/xCLGD3JJWf6z9urHVhRr84f4k9ixN2Gmr7GfAeF950fePPAsCJfHiIFku/GmfQXXJjSWgCbm1 JC0yzgITR5pUhi35YPRgXBWqIkTeGsiJXtEu8mpZfFmGm60/kzRJ/JwW0aFHwyfCHK7wWn3fgyWv 9QaTAzus0eqXTkY6iYqA6plr+mNUIMvxrdaGPhCCxRWCVKTiDPryHAy1GBzwH8jUKF2Hs0SVV9FW 2nfayKbQNLk0pRdXsSq8DM/QfgLZ+gDo/uAxbqGUrOHLQf8qa3kjK2vtqfqEUzx3GfF4rCiOP+0a hhSJyJur4HrEjAl4yWMcLfJVGg1ecx/25j6Lf6qcTbqdsdTE/g99fICc9/LD+UjdtYSi0Uxo93ii D+/F6kByXTGZwlhT12UjyCNJu9B6YFKyaakLzQITMgUX12aFwl9T9yk/D901Ts37l546q2I2IhJG jkYCe/72TFVoXwTXFP0VRuL6n4WPkG6cnRUxjA4MuOBS68SUkhaJEYf5RPyNdLpwH06+duZsqYws KDzxp1I+mpkoR/We5bSV77aleQOLyVTSUX6XzPzqMJ6Z1FxBT+AONs37YWt3PGO742PelslztRq9 FNf8r2nKcjQw7/bkBAMDsXAfApFVgHHra/pz+cFEDwtq5sBZ9tctsenEV2dUwhRNt+pJ0Hdvm9sz p4+7Jcu7W1jd1iWPAILSCYjNct1dVZjYPEcz7234Co8XmX5YwqP0m2ESy1UEL7v4x4FD5Ju93vFn mp8ImrvBK4nlPYneQgSFxLModw7HGEj/wjq0TKMyWACyev2GUUCg21js7U3+A4OSXNm9TZ3HybPV XuZOygA9Qs+E0UWuonmXurtrYfR43d9+vpL5B35Ok5qqCaLVn4DLt/GElY5t9kunAMNaNrWz+7zu 5+DM+YXIHRafuIaFN/YShDhsTwFrCQnVoOEfjf2yFTloXkSNIwrdjN7EVLVe4wnviJ4kEB0LlGRU UbROYzLXSkWU7NTtdOszqJ//TBuzTSozn6ffvFUB03+UVPzyBtHdGTU3T/WaGLtIpo23wj4SaW6P O7qyi+h6WF1NK9Vyac19WXtatrPGWW8hteeItLiK4wne0WDph6Ml9rcY1mI9EL+I8p2w7F742pgS w76WHVDclwdu6Ni2JSceSUXQlT6IUCKxB7ShlZo8sFm5pu5HDt7o9oSg1DJAsbyuLk89SS5HYbZn FL+KjqWjA0dxMFlW5pzgEFAzTp2wD81QiyvR+1iKpnj1kQtEs4y3gV48MlhasHVN3lZyOsHCMeUj xJ9EIs6O5gJ4dfj01ZD0jmryjmAY1BDK+XYFDWGkzZkUGDcFZ/FSRvMjw1xs0nzjMGd6Jv6Rj6y0 tST1xBY2sOYtPMibwWrARSMrxcNXkoojufqRBd415OhpyMoAgPQb9+Kww0q8Gk1zfKeGfsROeGBt +JN5NEUAmxYqdDVuiBym71d1cXt/5rGIjrXQ4kCa4j4pl2NTIKjTa65dXxzLqd4A2+7bdIZidtRd SMNBUMwHNmsNRP6k+38phWTRg8eno2ZEcca7H0E68tT0Um3yF3co2VMwxRE3f+TvX0RruQ1uvvzI fXOQJVtNmILTrb7iTO2u8Hk1QV/gfc9rskEt6VtP+1BYxjYPXhTmGKnXEpLWJgHl1kIi0U9wK8/F LnROUgtlG4fkBT8XonB/ryTcNRrdQzmblWcRAE/a8ajB3cMKLj69wb+2dCjdtiAyKRxHnwFwv5Qv RDEBrYN0G27YxZvMt3WarjvW2SFU+UuW/I55j6UVGsV7hgOHV06t8Dv9lYvv4NkSaYqbhkNqR0/5 hdjzkpN5WZqgnLq1Wuc1pedWoF2aN2hq04HebccuDSwBb1G9Mf54kSLI0m527U4fDqH9EbCErp7v KDYLxBvzoP5SKEViwdBg7l2bk+Rt5rpMX4fMwD8MrCjIew== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block VPP2S7XN1rLIapCTypoFey/NenMux4RkTbybb7gI9i8SwEzEbNbaNzT7RrPLl2E25d4N0d/XU8Py d7yVqhVTeA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block mV7LBTCJ1O+K6vVtKs/+ojUmqOiUOs+3NDck2clKVWd1N+WfSWhcd/TGaYPEJljlvtpXlzPmPtJO U/dmwoddXe94d2orzVAgJHVwzW5crNmK/rOWCf1Xk3ngtMolAbZSkGkb8Es65GBnqOWNwqdhheHJ s5qpE1XnindQq3ebbik= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block mLn8aKVShvFtPdU0lNM3pYkJpP6eBXGWoF3wYuwnVrbXqTcbENbJRHLtsYrGgkRg89EELZPma01L 2zKvUtcb5rjN27XiOZSw5f5Yo1liw13JJtBn8L+C8valQiUeHWLlKzKLIBuSkA0crG+VIolQidW2 52qqpPiyl43S3JvtuNJiSvNSnKi7VzHO4uDhWJemZnG39ZOxTnpRVgTXRPfN8Xlv1EQWg0Le+ulo dROiK5aR82gdnt2H5wlO9+r1BuL2NInkRSbamMFLrWQ+s2Vf6yAi2W2xPMksXBzzbMgDKiBtgMaE Lepd4Fd6x2X/FxYXzsMX7B8SRJUM+BfQHtruKA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block TiJOYo+P754CD+gSjTzX8l9n1DpEXy+iAnlpWgA14s1RHbPie7RaKeObxbrQPe4zfFAgoRtr92B/ fBWJKtwWREAQZAJzwjKT3Wi8NR6Cfujl3csAPwtHlrz0Ah9Ajgio0Eyqg+QeIzbbFjXs1/8ulXv3 Y4Kdp1/m9VPb2NwadoQ= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block a4W1fFPr2iXXUGC+N3P2+acmlaaUvEqD8IQaWmgIDGrTULF4rdxg7z/ZmQUBBALrPbMxpT3B4x8G tAqB4uiZ5SAKw/rVgQr8GOKj+pSmv7qOo3Z7pqxFqksbU8lHWWSfqkFpmfbrc+Y+cgmtKfG3pVvw 1Z8w4VlI+Zwe3RpUQ8qfLkDYacvRgpo6zDdDJqJNmbN/h6i/4744gk3TWw+ksgLKM3TB0JvmWP+O ScOExjNjRQrf6NRt/XWGFP+AQFpv60XShGNllHwU2k/w/8Semz6o2mQ8lIQe/dFSvmSYoigT1Vz7 hwgSiNJD43kYEBW6vH9/gtS0aeZhtBI37Cnt6w== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 71968) `protect data_block tG0uVIBPhdF+TFCFcb65Y2qwHUgThVxEmnRXziU48kXt6UiuQgu03sQvP0bOgV2hN6FUY8f+jh0p 39GYrf5/XX0PgoixHclBU5i7iORpk+QMrWsChVsflmbS7Pau+K9gomdpc3y4op0ZEwCssq1qZn+0 yKcoJ/I7fqbZkwlA+K1sZub/Sa7nygRvxmnghwe7jVKstI2bH/Z87wY68f0LxbH8laoKU/BbdP/s Bu3SxsT9TqAxc0LugjsaE7C9XyllmY8+JI8yG+tYyBNDkpa6UGdoNnFEaGEHkJ9zS3dloPLFC0Dy QKpTpGEUvdEYOUTJwu3P08jymbAli118KMZJalTXBznFebwi63dfiHoXCZBXHJh2gEd72YiGtGeB C7FqcA+0LnGr96mQgbbXWfiHIuxY5Ghhjy7mWdS25tgxpD4ba4vlvaLTfV1FY9m8r+o+xHeq9Y/0 8vrwouX3a9ZYwt+LkfaR8zloFjSLplwK/SIjB/QOwQ7XBVmjBM4eIiZ2upq3o9CwsDOt+3Y6xixF ytE/zu/e0CV4e5LFg8F7yuCVFwJjw/pSKqDADslUbAtKtdddnZ4y6/NR6p25hqq5+FttyceGM/UP mJIjY2A0BwLPt1Ef7R8Y1UMsLBGO4oeZnKB9FWccXi1YJ00UE2Zm+Nu1nChjd9t8ow3ORgSPgRe7 8fEWzHVDDT4Zahx7bQ1hNdNCS6/HRv0jwmoGp4I6v8jA43ksxYbBDD66Tye37BpiqYMoCNcKA8cd U4l6Ic2qNyC6M7f2CgHNro39uy1SNQVOMPcLRB8t6utrSD4oNjbdpUjuv1WtSRDshvdq3WF1XylD Scq9vwXqI9qWWazWX+58AOpqKuK1nBypd0eTda2bIxOK0dkkjJKjJlVx/MuObN4Gfuge3IhX5hPH vMDhFTznv8Ga1TYzvqpWQK94760wZXwUkWAgYVMSBSk/rzqoaq5HG7jbZx/FnDM5nPe+pGw9awIg 9A5ALMSG8iTbzqNf9dY0zeDmi2mfTDPORq4Eq3ZqIqTv3hAm9Hf9vPCIPFSjeaqU0FF9vNPQyZ/D 9xWHv77i6PwQQ59/+x1U5WDjFAoufThqGQKQE8eUkvcvZ44gcbVHIV77CbuRtBjPoShHi4Ipu7ID aIO+4ZN/jBaPyfU5CwQASp7XcBKEDq//fFwjrw7xOMD5OGoHWXYaZMkiRVu7QmjFP2NmL+s7yrr/ Kg4u7FRSh+0FoIIpl5FOCIioQVxfjOozNj0hEanD8er5qWh/CN3zHhx7GKkNnZZT7gkaicCRH3z3 UnFsL3km+m1a5g87Mj3uYQNObrFIxAGD0FK+T0eJrKCBhNKCLIyvXHsralJkm0gipMos+sLor9Bk j0bAx25fjjx+9XeF+0H88OuuRA03EL4g8rJf7t2LLxC3ctCBSxlUg03zo0/KgMUM6b7IVv9ahDVT i7DWpmzHokPd+KPyyKkdVfQNbGonEcwVou0wGszDwBnNT3Vh4M08/6e3fuvfFOE+B6sY4zbHrKD1 K+5ON1D9wr7iJJiGsyLdhhCfFE5dQXSWGy/0V/0n55c1Xb3t5n15YsxV0Fjy1mruzrwOlvZYZF5J /Easu3EALy654wx2EgQT2WJ0ExsJSejKHrh+S8CP4XWcehlkT83FidjBObU+mJIBFym6KdOynB3B jAfRWECs+BtprI+9GLyn/c4zMVzxN1UmNeHohcADraVod8/0+N3dj4q/soaDKtMcPgbhIm1/uHU4 h9awBUKq+WeWiVLWb3gPuYOUoT/8OkESorKRmLttSD6YS2ojkkufxzPGSIyk37RkBt+pjqmDs1/Y lGT6Of/j8zAJ3fI5T7syVcZsM3rTNtc2+aQr+Bqe4IT/QkcKkxGVXNv96D4LjqD9c7A1FE6ClLil ZdAc5qK+rwqpE/KxviYi35isKQqlN2bNovZ4LhDEIGd5l3psMWufqbOLrghRggD0h+VkyeMRG2Ne 84jvgQzc3at4eHl7E0xlMP1AGqLjwg+4p7O8sh5qx/5XI4iZ3+77RVFK1LJzuf+C7aiZ0ItE+oUh fKOOOKmHrtf1HjGMUFx2ue1lW5T8s0nY5IqEJsdDlbo8d43/MvCdoUW2fBFHBXkfyPklQyenoeVJ nzZXkvhyrPH4qqG2v5bxS0kIaE2P+vC2WZPisumnkzENG/ST2gZDUKfQ4UtYYjLaGwdIVuG+n/1K 7Nlp0dPVmsihUnuLAoedavc1qrLfPQr7trPQ0dSYwwfKzGGuahQMrgW2IB3YiAMAzUABBplUO+Y8 kEYQgtpkKieu8QY4DJM4BJ5dDprHm63IImc8t16dfENe+RDQT14fRf0DwDPB6zSd5o6G+K0e62eT MN4FW0U7xQGyYOB62ruNyVVGwDhOPixE2vo9AY81Atudrp1XPWtD7X+EoztJMTvvEAoUt+Vlln3L 61nYeEDS0saqWOoC9C2Gp8DuNdHyl8kiwc0nkuLx1PKSpUnHt5S0drraFUX3a4S3Zl62vOgsXQuY t8QtyEhvzkLgSiz92PSE2H8OEnLS0i0/hKUb8kStVmKE9y13DDucNmCqXqkAS7lfJ+q7LPu0uyjp qJv04ATbrHhYRZZGXWB8eHjHH3AHFxh/NosN7EmD1sj3L1CoXLVkL0FfuXzFBayOqAiaW7GUm/6f gi7S3rtGlIuMx7R4DPabycx5HO1lGRLahF1AJqd3WILuOPPXzwPqtrmDNakjH5kIvrEPTXYigY/D ifsC0mtCE7M+kmHUraBY6CoqaZhu7y+jAzcnemFVLUYqao3FiGbCNCW+eJ+hRsHkzZl3yzlVsNTj vVbQKYYgqqsVI/4GP1M/GeE31y6s1nTvBljw39Rwuo1wsXx1K9vXoc0oLLMSdtWmTbTlbDZ8aFtV pMujTFuuzwq9I9idtqPttae+M8nG30Q6UGR58Q5y1ikuwl545LzI6++neB0cefFC/W6vmYTlmItJ Hb5wfsPddOoy1TtRHnGS9A1iyjQ1T8yoDTnk6LR215L3fTBFB2zHnnuMnzSO5Z9dZ8IicEaAEWwM KIs2ilodaq2/E1ZqsnLgZY1EuEGUCfmv4ABqlcdAKpChkxo7/d+nJRSQxoTKuISUBj1LEdOG7Ai9 7xcy7j3CHnnlKp4VgbfkLw1nykAmo/PcLv4hkV3CqCPEoZ+LesD6Jn+XYvjsbzvItVYyLWa1bCXL ifSAclu7nqVFqYqRY25cCJOp++12xHpQnT1jfKpKCUI1UmlPp1Jongor43akfGrESuoz+WddrmQ2 2v44QeXa1Iq9A9W/KfBB3W6mSrPx1XJTTGVtHdUKuS6WGcjKJVdyr0zmDKP1686xstIY2xBKPiJC G7NUH5KJO93XaSr6XKnWrYeXWpgOmXuH9kz9gj00m1c5YOJE56FHedXy9DRpuMEoIjDH4TlQ4r5V h90Ezs0DnrjUwo5j8883UpUFTpq3mt3guSZVvTTZA31DVEv88n/ni0drliPRYcXC5FOUH7aj0SFL 8S98lr9H0qhwEYqHvgTArSndvMGPKOt61E8+DNo4339t4hqZsOZQD1qs+MDkNIbvnRlDggRf8Inv X0vo9AHjQr1VO0LewCZR6YAGHTNDAjUcbEJ4UworbjYZHAVLr9T9eyXstgh4+rybrzu9/1MIIAfp d1107DPzmO+q6/ee2Ubo9i3X43XhvRHnDboqdOzdY+qu/jVkUu7Cb6Rv9drjo2Pj/qOeBoosjKiM m/+wZHR6H7oDL3kFut7xqxbfdd5/EJY+rU+h7JTs8kwZaXxeyjCDAozAgGtKaL4rnXNFakr9FVu6 ss/QKnr5e+lf4JNIiG2zbgMGZjtHF1/wF3bEKKuj2TJNAZ5bFobkmAnbuacsFf4FjPvKyvP/S1cb rc7CmPn4xD/TYrFuiIGyLQ5PjCqtsgDUp5WKKsbFsc7xMmJMm0pslxSCkIQeU2P/qerPp/XPQhd+ tmTykNtsGcrCOKzhx1gMwTiAZHk1+fpfJBt0kQ1XAZ7unM/PzZL52DFNmbrsadvwX+gPvZ7npzBn AZkauYqrCbU9SBI9AeLLv0CXGcUoPrMtlPelR9qC/yW1DBPGG+bd0X3YcREmcgZVZNeP07qQUmD8 SgKs1L3sJebn1ZQ6WRDUs5VnHmrMFiT0BNl+3VoCTXHtPgvq1Ej8w5MF44XLbkdFfWcIdz02jlge 2OzCkdgihViTXgWLTy3iTTMUuX+lubjVaPgPhoR6knMNmiQLuSnS2UAxdHVWGA/215qoAMMePT3M xfYWQ7YK8SXlMkkxt2wkAGyMrVM9y0NZnGQmDlEZKK2Jg4fPGwJDIIxJEmT3oHmo4/Cy71iB/57/ PNFYQaoTgbohOJniDeBDlEp2g53v9ILkYjZeSM+7XE1P5qGzKxPqWTCsGMVkqRavGb71eR1RV9fp 9oRXjXzezkezZ06OgcJuYQso9ovAnPHRDjmFo1RJHTD22OgTB2Fl/YBsD+FgtdtBKu973tNs7W2Y xKBdDiVirTWHA4f2YmvJI7vdM4vNLskFzerkvSV3IWBqIvP2nte8TDWg7RmpJP90CmkWRZUc/rKI fWDSy8QKQzRUWnRFA7kC7xEtrHnm7El4In6CRHorljMlCqUyin+fq4NE1+BDgTuUdrac0EVscnlN rO6sujfNHQ9jlhkH6Veritg48xaDO0zl4my5B49nz2UtzDqNgwg0ro+UdQTMP2mlLqckse696epM t5bwCs6ujX7u3qTvvSO+doIksNF2uGtluoBZXrXyKPoatMm1fhey7tzCCIDBnjFBiRv4wyZ8VZnd jO4T6w+IoQtXA8yW91vZEuoXP8LlRAxE2fxFAlLGqeG7x+vDDirtq8jQM63qNcnzpLtucl3foV9F o0O+b9wZMke48ujQtATHzGPm+2I1B53lk5upybRc0ZkGPLFRqgsVMPTkfuxPf3ZypD/IUBuKXL/s qRk5LfcZ+rpBY+fzsCdUw/TOSI5X1zYA4w9nSpXfabOeYsd0XREUnQHIzLYiTCi0tSe/Ei4CcotG rmb8wr7BgJVnzpPMmF4S+aDNydULi1v5FafDVqXjh6XGZhPF5o9+eG8mn7SNrpGrzFZLjN4r20ug laC1UsxYXD34/UpP8v5pRTbOC2AjTH1Hb2fsfCHqALYlY7wiQbIaoFGoI8G/3Nz4uG8jZVG1yuVy E435szp7VQTDOg+q8FRo52SjWJSuzp46n0mP9F8KpfmyjbYvywY+w2V0FgSV9dRmcuPwc+PePNyp Uy7Rlcbpihr0VsSm1s/50zdJfJOOePIwN1cRueRaGNEOQWMpzSsevP2/xhsO+1WF1xaRY20LEkPB qO6TP2odzi4w+Gt7rmNR2Ruy3FZFO0ovfhg7vICBgYNyI5JOwaFj6wcE8p+v2+iDkwIPnD22Xhgp Ef+BrsHamFNlgCTfE2+yxaolxc+adGsRApkLEaAE0xo00E4Gc/2Or7jVVB5qU555AP3TWZ3bOb7e hEM+AIgsQjTxaAC9BCXtFa7ptTe4mR700yxfU2dbs9Iftt4l5tzAY5i/2kXnAba8R9AxdzeB4Y3e z2+kDfmr04Ix/iqv6rW4FWRNw4tcnnFT8KLyRU2I/CGDdjH3cwdtfS14qMLreyZ5iRWeMkpu8UKu OJjBGaSCaIiwJA5okR6YkmD3TAxgC9NM/BbO4pixJObpy0BIUG2Y8qujZhcyutKX5a30LwhA23C5 mUH10ayY8LiO+OM9xgVqWuopwd0RRZyOzooy28XyPkh8dakQuJfpHdTjOu3vnfmo3eYvlCdGOXd8 h6DCoridHzOKhkhhY+8R6VczTkLKWk9C66Fzxt/YIdiNG44AqsfAAY15Y8DzbpsBuvPEoFdMG3i9 YhNWbw+MuFRUrB9ln7pxqh5njubUVy4wx2l+4oGLpWQseSCerUWTGRZzhfUYFyQpnm8wiF+/augb 13NU5RBoBNnenYPdE9rZjObmuq4YvW467GAUlUsOzNlZXXRjBp9jZv2HU8tTN75j7+RL/wlBz6ax qlyfwm+T0EHHBB+Pb8omQ7ThIt9mnaaKfSpoO8ddNMhQ3U6yocQ/cMzxMzxuzpg0DwzZYs4EIsI7 8bDJRrynS49k0ibbDq9i/rxWWjSe0yaLky7lYq3Vaf5BURQLlYlvmD9KzXcLe3d5lvGsH4Opkibf H0QRI6YD8uEsMG8cyYVmrybNYT6/IMB1yspP6Mj6OfToFmUV997CJIbwYu8z4UsQMVDgq57JKPp+ SRpl6cVwtNB/qK7ZeLwUX2yfP6+AkSQjLDpiKsGZvHmwHzmaL7UOgsjjwQamFCDa9mzZMbxuwm0A LfHWhAZEloYSxEjPDqNJ7vKCzFk7D9pnQlbHoWLiExSuk9P9hDjY+049sssZrMXy678OPQmeG/oh Pg3z2gxw6co5FcFDP1RLi5QKH8d16ec+tyZqwGv/uPo26czzkFVzd7shyP5v8C/s3HCP/9cxJSks 0E6CgDoduFUIOv9SE/CrKVn0A1x6NxHyMDCjUyGwzfq9HbQay6696UPjddr4u9NhTsnrAq8ueLzk sgOJ5GAHvpeT3B95IYunY+5rCiuwfy2psQD36WIKL0fXSJXQ2AoX+i1dY/S98/pgVXECML2xxs7I qj5OutqGRmBYyZJep6dfM8t8W5dTqaBDjfMemCi0BTgZUNv83DHpGmmrEZ/YvTzIoto9NRRhl/Kf /x+Kh/TegoZWy/43oEaw19piV4MeVSbvxf6CpsqfPVNprcazb629ZxJnT3VUuetoM86xpkdqDijv w2qQPFGpIVGayaZ/WraeX9oHextCGcWRBs/2neZiJ6DJN4khoadrqHwOvTet9AGBNenULiQyEINw qTv+T5jbsx+X+Zkxv6kC27t+5BG0MuX5vM/EG65vdEJrZBIqI2Q67h8rUBfnxx8o762ypFdMT3Ue onyoKXzDpeyPleTD2ZKA5PQFzevikBJ+7mwH6UuuiX+dbH2wXveBke8YjXq+/RwBtWmv+J6bjc8o Lz1Kv3pbdTXpAIBjp66A8BB0F4Mv6Q7fAxU5UKnBvlEa/vN/In/OTY3jr0533Ve4v+0XXgF1OZfA fiHGAarpIrefskRsZa4+cNnImy6UZ7JJG5xCKWQDInECgFS8+WRkJUT9wcAwt1ClUtpQtJ9SmNSn XZKdwtqbB7SZVeLa2ahvkpmqnyfz7z21kDLd6CE1KUBKqVhYMAVBy+g85t2AwL3mJlHGWyhEghiM 6BOPk8Z3Nf0guTC5QxXFlC9843WhIxHhg0YlwoUVo5l9ArkifKxnroC/9UlqA9eIB6+/zuCLzdSS CkvLxOzQw2Sh0YgDKN1eSaPgOMfEZNW+mNo/WThRmuIgfEpZfc+2GwZVuf8yy6QMBcjRIrpAUlaV 488xXbKx88Vpt/6TcZGccxG07uw18m9b8P0bQlCCBdkuP464fBnVrg4vig+Q2WN/t6E2aUhpLXQO X5QIHjx+teCDTFrN1zKCvRQILMfQVc4LBr5HkDxfF2QIhOt566For+UwnS1tm2djasNlBsZjRtrZ hG4aJ3wFNn3gQIcRZCThgSrQLmA4VVlO42z1TmGrdeuZE8lYhIookuFZYSu/IZdlUcEvMf/z7Z+0 0GHUsD/j2M7BV8LJkWwLA4/faA9VEJoeZPQcAcc53UUrWzr7LY3q0N3DXnxNCUaTT2krCYrtuEQw h6pLBmxmdsLXE6494UbJVkYKBZ1U4r7WKAdjghXVLMRS/fUpXCiURd47AJ0wY8n1divBZxrxFb/1 BqMCjTEjcmFSO5IRdHXEQNNHkdm1SvRtQvtnjVwzJn1JgsFCPUdw6GpDJhHkI2CBmkA1eC5+Ryem kt3ABKMpfJ8dMkC48XQWtVHWDu876NybIbGXRhszyjyVZBQFyCbVX9Njxf2NQhfvspOckFnIyONl EKQsol5WGWOyjicAbX34UP+jv0hqmsTrr9Znmqbuc777MnCzgpx+xoz/crcckG04tweqTE2/Cclm JjpTYq4t4ARDwKGPOmL7io1xetASaiWp1MeQcrmqzQftaGlth60vKDOyAzgDfwIa/4HbWaOhuOn2 FjoWkbQHX/vLZ09OhfciHhGNzVfc64FIXAtOcmzXfCnD/bCrQYDV6ruvYGyYkjU3Ys98P/Dq1uzo +esy/BfivUBNKiSgtK0AWY2MDN67HkJx44+qoaHOBTS1wdus3o2Jm7ljo2HcnIx1djhYwkS+MbTa uEWlS3iOhT8BFYndVjXYFiL1owYmi3K0gV8077YPTQO5+02Lf/Ub/1w5yB+wfBDWXGsnsgUHE8Pd 9LLrnSR0xq7/uae/EAFMZ7CmdA2iBYwpr775Iom8Ct0mUitsmBFrpPVYTktqV29yoSFbZejLIf3z 2f7bc52nsSmr8lT1wqvsjrT/zUj3lRmrvRpIKD+7Vgwym6Lqg2+h8syFAVkHrS5LwyBPW825//hP HheWJu1DvCqiqqQAVInJCrhBw81SFNvtMwE9vzz1XpI7HlIIy84RXoHqnrvvvm37UHe2tyf2vv5c M2Bp7vbjhD/FBI3VmtKIx06rhOIaT0hEje3m2v+As2eFNTggQ1Vsdy25ZTOKt3yIHyPGEJibAmsl eO8vXoTcE3Co90UszTVskyOWsOELnI1hwNcwSSHEamSd2bO/k0zj+RauGqG02dB4liMXR7UmQa0U esHYqxBnsCEMdOXVlbMntLh9gznyAbybUKXnaqevRDKTwvjY952ID1YdVLVxWYoRa2m5RBX8EBPa 1aE9pnkkiRWJyewf/1+CVmBZoqwdvK07TNAMdYgMaxsiPSAldCxWUKHziBBCWh2XswuY+Kd2aFzI VQ56TLmF6Pm5euZc1pRCrmhTJuUNlDnZqgAAxnbBG+1y2xmhmOxQ8g+MIMyqa8PuCV1u8smCbMqE js9mLkvuYGhSlvH9LscMqQR5yJoMWx9eSp0RIWaJdgUetlTNP3t7bYKv3NFD33Bf6BDEFnQxudhq SdZiKoWB6Bek5NDjwwEKX1ObsNVngbniZoY9LgwEtjt8Scrk53iDYY4qSzWkU3uZrXxiLnXJ6uml kRO4T8QUS0a8WubwBERPHkuOZh9Cbb0tYlm3n1JVhfk4+RL0a3zSsavG1as07zJDWdcuBLQ5e9K0 H+mg7FaL7ZwGN049BEFLj9I4YTNZmLEZRw7/35fXsozP5VYiOySKkwWrrMqCXTQ5WNCr4ckkj2lO xtZEUd4GNBShOCz3WMivmHeTmZx5Oq2qVLQJnHjoBqhlV7WUVy1IeSyvYsnVdSsEG+Uy0Bk5Ezg7 6POxsQvnsbOJHhKIdjBly+lKyYtn0VRH6pJD/22wfZi45N0tdJwJe9m969clzErvtP0qsJKVv/g1 gvv9zl/YgH5Wncm9zelLjcvdl5pdY8HPH/16ENg5fxXxKkx1F8HShaGJ01XQ40vpbekhrmpxWMbh ezdwG3Tg9UVl88t//JOiPR9+a4t9T9l1ieqKbq6fDdILG+rvRgDTaRJkdavg1Z31T2w8EhqyQUPi vllAlCk/eyTk0GJVtVtkMacQPqdKSesi4DKJvzde+3efrktaRGeLEQaBjHXs7Mx7Rhm4jpYnUzLh 08w7hi8q3OMOmRluCywKtwqtznrfTXUZq0mi94p58XFKRXUcZ68hUdm5xTgD+yInk5gTRreuH7vF Y+GlpUM1jKZcXiOsxskZuovlFppuNfFfAQQIqxtbc7Srih+Z7+kUocYrHNOGszU2ohKEfruN0k9g 8uG3TMyXw68Plz7i1QVHUI74tmpaX1pflxdB+9UM4cLaA+f02K+WACadKDGf9uZJASA6iZ96MrLF cGjgC8mEWQfRdxtwOsVxRzsOWakfGuiQtb02Jh2hj9j2P6gFj8IqPHIy9VxDJIgYm0aHmwjD6Mmc tUtcqG0xbldBcehiZpqplvlQyL2mXaiiswhpSNKmvhxnIzaecQVNu76jUhSyy7/Cl3ZwgCnHvcR1 Q4wE5Kn3v3KiUciv1kEYjswID2avp+16hdLnkG+2ifq6NgfP1vNpmfMdW/NRWvbIJfZsVdDDxpOt vo++kgiTQ4wjxpEliGs3dBsXrxzhoMpkSyufnlhRuizmwpVFCMUfenMlQw6i8YyiXzdsmbNTUXyB 2aajMDxYD4MwDsIDzF0IEIP/TJUNYyfkZ5OFRuM59MExBt+w6BNX2rzINB8OZ+vZFEVLxn1VuBNf rh3SfeMorUAi/9CxhJbrFgeYsCPIPbpC7uIWtcPT25k4JerkSc7seHFvwlWvMJBEhOAt980/cJNK 3S3k1VPOww5aHGiVQQLdy85c2tVRUf30b7yiTe7l+WK8PJfWbhZ4nEkSUi2tFVxDjdapngMzhd+b AqokMkEBjw7Zr1vuFYTY7AngzEYcoxplQW3sMRovsmZ2YVXesrvgaOV4YNRwrmth5GOJc8rjngll eyReOACUTgYqdygaWlWvw8Z+AmA0w9eqhxPq4RLpzp9AHJmeMEG+1LuJk/ecc4Xk5k4yAyUr6ZVC DC2F32kVl8yMn9WgeP5W0nLc6xU4W1JCzEq9Z32Bz32TsmVFTi24zT2hrNwdaYvQ16lnqnNrMCvW CLLZAH66akq0GRU0L3QPMIOJYvMCzajcyfPA+8IMcVvHXiTfTg88UmzSttzF8qy+1kQwuuIM8c6y b3fkHuVOZQwfO5ZvG+fgS29O7OZ3w/IynKdcuA9IrLLzB9xrQFHSENxf9eSnFC4iPiA/rZgIU2sY to0EAMn4rOJ8x+8yFDDG8FgWuMJCDUiKca/f6HljkfoxinVMQNrWEGmzw5jPUnQZf3g5kIML4xMO KlAWuO5B0G4+4FRhXzkCLLf0TCobY2ttI1n7CdiKggBtaQT3IvZwibjL0qRI3fziIMSGkYR06X39 fDgtDM53oFRm29zEXHSHnZ3we7bl6sz8Tr1ORPshK/hinvp5zelVViuOx3xyx9YQzyh2flLxsVm0 iAXg1b4+I1edxq0s2jgfyeAbs2CqHL0qFcBY++ENsTzOI9pPd1R9FA7DW+fLa8NLr7Ttc2xQGALe cciTg8uuNk5Azbgm2G0pQc4O9emqYuYNEmvcdxPjCTk2PcikX1VLWe36E+TsYgs6zHTKfiNn7Z4v DjRC2uUdTr0robnt6xxKsdoTFKFZeFNE/25QexxkoIvPclpxc4OYiaax87aJItTVbRQYl0kGVHBp wpBdyEl0djhK13gkJKo1InSa009V0Ssd/mIW2J5w79ejDajX/x4re3bycyljEioiw2ByBOZRE6Tk eUVP6sZVfE+bbF5XIgcBwVFd8qnPZTxgNSp0bPxuhtwIx/rztmsEQzCOP3ot6bDeb82vrjKHqojR S+SQdy/huBMSRkEjKri3ww3zmTPn6TRKq0TXKB5mE9Kf6vbaWGHvRA4rkMCySfdvQU+z06PVvVPu 8LOuKDL3DTibBUrJ1AViAelaQT2ohFpNE1BMEJfNDUEd0CXIN/mifwATYJSaceF6DQMUN5D1+PFn hx7biwskD6dP9482jEjbGzpVXThGqa3QqzuUwdmV7Fa3ib6NXotEKFWTA6HqUuQi5CFcbK8xsO+i cJvemaTsQ5KaWMA2PVVKAYPFzDJHEVupzIgzVEahMnyCsMMVATtXOBQTqu56oGlYQHwFEjh/ZM6I xYmB0ldAJVIjCeXj55aqJpfTzi7WM6kNtWeXEXcveO29Jk45Vh1UqZSH68m/+nhR6gIh77B9xZAF a2mKOvDcYGFzcaUJHXJWq3zyDBmgGQPZoe33gYjCv/DPMY+++J0BwqtkTmiENmPqCzUfpW7Jncww WvG7qDyMiaNXy14GpKtm4hX3S3WtFK1Mlg3dIB8M5fKhpqO5UIz08mrBvNvXgPju2dkFnX3a7ZG1 zXbCpzh0Yzlngw+7YOQsLr7gbvXveg7WFr27+aLhqc4fA0O+0FJ2jTSSQo1Fn7HZ6hbqnjyCTR6g mCh278KU1lpmi58ST4xIo7fwry/Bi+n31zek9nsuHmmtqK7Iuwyj5Vre+lS4cdrWL0cNoRGlzQlM pGQweF5DlfFOAP3sgIycLOUvpejjq8zw1X2htoPXWVOMhAAFCtJmApAoQ2IT3pqPxJYD2Mu1UaFL u7RkJcQ3KRF4iOevpB/cVA+VEOV1rNW+LKDArdZXY09Q0uvI1uQFKyiJKLobM0KDnO5zlc5x0fia rfBQpzfPh3l371bCSBdP6DPlWKo2PSLI+TzddlOlS9M8zy2cDBnM9pUSyTKRSDgqhSs2In3NrK7t Id2TiGLAqHXUetF2TgaR7ns9XufHKv1nwGMuCuK981TIWVoCfzZnDGg12aLqfKnJ7ciOiIJxL8XG SCSM+xMvdbi1Ct/g/KoZGAwPDaCgh1vi499S3iLmWQXrdf1PQmq4R8yijApbMcz/h4s1n2+L42X2 ExIh8iTE/RmMei0yrUuZo5HEoqzGG99vCP9ndJ95/DvOVM/osRMQB3Nl9tORUp1tDiEpMrrAED+1 k9bg+1sHNtEFScJh4uCqQ0VrQuu57YHiVPTF/WwjfkXlvg+tekq++x5ECFuPk+kC1w2hFu2Clac1 ICpug6MzWuWpqOTKWGiEkmal9oIC1vVrfRfEm/gkzbZ7kTrM0R1MF/GbT8NfJZWXc/fSd9lpqaV7 125VE8o48n7sWcdMgLRdnToWr4vebtGYjAqy0P5ljYgxgm0ndKhy0POPrdzu/n2EmHUNBbQQJJNi 1CL9iqiVOhAh2T15Vu3qX9kWPqPtZ1yWGOTFi+YeL91I9R1Fs4o8BP3DJkwp3RQYSUboRUSkxWPu 30xCG/SMytBhTa4QC80yYeU2pfrKDXPANp/Gy9bydnrD8apMqp5MsJMZJStZpcNHCoHvykQon/HD zeUsZNnXuY9abRiEHvFtE3RUQdah3l6hYW8UmkV2R13J2+r1UCJkCKog8fewapurnlMoZgecteo5 SFoGp0rkNPFLkLyYPrLA0FT6j1bSG6QYENYgCilXzgarzvVToyYAXubYWh5SEtJk00dmjnaMkoUL tdmFxK8WLHfGzDoDD9chB2VT9PsTV4YWgo5sXdtoDSpqIZ7JpDfur4bUZVEYtpKTtwvmk4qkYE5y GMw5VsVF+aK5lqf++Oe2XUm3/FEMDnrZLXs5ufRL0xYjerPnKQcl3L/h2e3vvHJBlxt1E9tHArfs RyAYrwB//UvruuexksMRn6+Ocl31p/MIJTDgTr2scb3tHqRWLwYyTl3kOsZzLOa0gH6QGi3fG7UQ ysWipovp8FKShZxf4h/qLyz/KdmGETRjvFf4kPCrSjyOWzThJY5oNsvbI89j2Ghb09yVzac6FOO1 lSMZIrSlb/Jn65AmNXS30nd3h3/XDGHnhel2nZ8bm4itbtr3jPczFI2xqPFJr0oX4aAsYLHZ4FnT ufi5YcL1voClLURRAeVdP3WFI28LjpwB7UXLrPp4g3wRtsZSE7PEvP/x5faBKqAbQ4Y27DKu6CFB vgh4MBnMuTnFTsFG2e18P5aK/Tzx4ZVfskkXEY8c54da887Fd4j7mvVaBKCD96gxSANdmNzlGeha B5laIaXvCcOlaufY/yZV/YZtpMbrpTdFaMn9J9a8rEZ3IpHhkcj4/OszLyDYM6Tk7VdBfobavoR4 qkxFtZaYEFwm2JI6D6A62eL8YztexORbYSvMVViyyhxrvydrvi+uwxgK3lgQGDIhiAgq+ilkTNq1 bydbgFcqiO3Jd1mGQLH3JGanVHK5LUaGufq5YaijcZOBjUg8baZSZOURsKU5EaxWusUBJV9j813Y GDuK4mz8L6dpXcXIju5k2TFugxx9+OHKRqkP7ZZiQOhbG3NFtB0PvrPwVwjgeYkLk6qSICVuncs6 PQBoCo1WJmQo5ptyli+QBVgFGAkyYt06Y3Yw82ayvhNsk93BHrNCEu6xd0sOmranEg/nUsy5WhJa GoXKsxylNx4++AnCFzPUO89cW7NXNPk9sn+qZ3nuIJw/9ViQYPju/SBVw/gkHVTFe6Yln/Nw+xbn OnaQTQaQgW1yAxbsRF3AZuEdDi+C9KdKP7X+13fGlNwhlsP32neu2Hwx+j40ViH4URXheN89b0pa QlI9AgXGI4NnF08FsBCjH1+Pv0fCLAbwS1fVPKQqKtJyG4lVrJtnjIx5XYrP3tvHf3GZo2akWpBa iYsoH6dckVW7z14kmQ1chHAefAybkDj6PycS7C2qtELahjqzZoJAZ9u9lmZK5XVt37RxonwKwgw1 QoDIJ5llJzvI8wVmygdW5R7YsxIw3RXU9RKO5QVsjTeK0tDmo5b5V2HedTcYI/nPjOmxhljY0/K2 abnAZShvBBDl8rJDOSEuf9duqtmP++tKzuezXWe3Ae817ckZ9gpHbsGyUepoHSrxrixSq7NSSAcU 1RaUe2N+9O0lCUhGjXz4/Trsvk21wqEHF4ZScFnIUPdAmLSQD34FlowZ/eSh/yKkF5fyWdAvL5Xy 7LejEkJh7OowKIWysa++UDFHtp5LLSnE+NNieSEBApsHQhNoUg2ocvMPIOMHo4DJD337iSxF2ZFi 4v+C6DdAofKS0zHsIt3JOgvgvAWicyau7gybude/cIbx9WMgXJP/aPCiIWZVXgWE/IhHqbSW2HCJ nO0W4Z7ZQkGmCvNd4Nvzdi9uJaZ881YnF2xq3t7kzaNPPyJ4KxLDKUBBuMvxnyyYoIKn1D1/DEsb NlvM0pzSUkBKPuonP6Zb1ARcuw3sj/bJqZ2AU8lImjkI2C7+Fu8gVwApCeeDelgcVgA7UiQC6S+e C5UBCkqH8HGYLKirN1Hb11Jx0nKyer3BUlUEp8ZZY5GILLZEmTi5RKXHRt+hJyw69U+Rymo6GqER 8RuY7mq1B3UU5UX3xYZTOaNeT4F0aiaC+9xp0gRhpmWebu1kmHC8ySbp0Hq1vN5s7yPEuvgcGxWi yixUhtSVHTKyTNDTjbMYE5p9LDm6fzAfPdrXmvv8M5zDVDiiINmMTj33KIwrYjlJ8WnEWiifECtd yZxLKqWekc6rBxuC7Uody5t+2HLuVoCCKeQsyND+LmFtKwB3DBkt5OOruUHaHnDWMMUIpyOZSK+2 8LwUdd2nxQTorAecIevp+0YnWYSeBbmtqJuCvJ757542VN0ez8ApeAnyuMiV54RIfNEuFXIsTk1p i81JoqCQ7zWY9ktMLF3GmU8ISyXNDmxBdhl/ZFKSUsTeiIFXyGJF8BQgGD3mb/JPzEHNWWOUFhqq /B5RXJQM/BCy96A7jmq1UYmo+S72sp+DuyWXYyLjco/u9Bo/3IGRqXX+x6FymHFjQBvjp7im+WKD JHgqpK4WAzKOjye4vxdcXxeHcxcYJWnhS3ImitNn6EtqWswRsW1CrfALqAUe78phbJrgW42Y/KOt hEV/78jIAQszrOeh69JDuy88dh4X/Eyo5IpOaS1hXBbkrLgyoyDDMKX1WQZUV3sqHrUnT4Q2xRAT UtNiIp9grFT4CDaZhWRCVKaY1XNVChIzV7V8m1KyZ3JcDyHR0+khyKYpH6JD3X9Dcvrh14j9h32R QR2qW+PDJwPF5cWsyrImjb2xAgHjNSmMvyKmXY2viVPr7KFtzMYY8cVsBPrW7xjifaBEPjuXg4fj Mlq7SSaIFzqqn0iWT42rgPT80s9mUDPK9UZXbpd7SdBYXS/z+GOt7VS1uDf/DJcL0Q7MiuL0zypM sa4UOEZIaGcjwwaK8PvaUoXEZWWX0vFFj/tz/FibF6WKdAJmNUZf+p0W1SDgbMfSNhTngT4x2+bN C4jiOSQtPcHylrn2OKv0JA6rD1eYWjIqyGDHv6vhPs/iBrJDNKzpKKRUZ47auHGTrpMKdrHY7ElR IJoVsQE12bI2B2i/AZjiJn41HkZ370jaiExcxY8DVWX8eWCxyY+B9okRg3xJ7dmFzSNhq4FqDSeD h2uyoVXt6sX3399u2B0Vvcc4hnS7n3tZKWZ05jcm1oYDu9/B8hRJNhsyApe+WK1Smrm3Q+Jri8I7 aUiAo/ozvhbrJI9Ykr/yM58yKKwJ4x6LmX1pLfI1W9eOvDeMxQYMlpfpflG9mYTaXhUAbqN/RpM4 DxbFnB9xrJIJQCAsW9FP6KceAY+JSj1yyrV/JX5jJI033fCDMhj6RZ3/b7IgO6L/k2IosKnhBHbi tA8O/jifkkfNFkQxOKFFslgwxpJIx2FI2Pyecx53b/yUtwAMDDWiLhX+PtBEtYfXYe4pkQG3xJGy 23TM3iEUPzhhAjS12a5PzClTZBH1WoJ0zNUf0KW1vMDTghFrvHS1ddAxtNDIsj0A0FxC8I9XvhqG BDkxEM1aGoWrOncGe3c0TMOgRUjmkBtTeY68/Hk5zT5DSOk+QqNdREr8Zfdumy7ZZmIcXG/rjxB7 5ZLPBzRn6FhQ1W7ApnVONQ8rENIy3IA6PPa8Zf/aOinUEGxG09/9yTCHSB7xyCLH7Y+f3bbxt3mr MhcPbLGZ6J6dkfz5Ni1484TO2DZMJCLeLq3ggGbwmKFxUFU3a14tGjK6S0Eib6qQjz0C+PakkG1c ZdzmhAfjp7De3ftzxYJZbJ8U9sXHYQcKWi8UulFg9JXeTTQ+o9WOooG8wij1aGFdokyOhv0RI9Rm ZXvzIF4p3o+rpL8LRWm2GC5X1Zcf8cyw6WTAghM/nUR1PQGBjEgR5ro2/exKCKJt8UgdJL0r0tDB B40a059QWoGSNcCBCSj7Oww/MMRoo3VoctEuL09SM8KAfHJncP8ScbMEznngqu9L9K6t2UGZDMQR NGoRCmyqT+xzMYtDYDNJyiQL44VqekEylF0CoK8kG7lQBPeTc6CVRRcPj5LHZRZ/LhDLvCkXrpiZ dM5r8fuKqgstveQMPsfgjDNNtEpAq3yn+u1/WC9U553CUD8DCfF6/l/u9+gwZQD1bz0z+7SRsyAq LIjPyLbgJJY9rTm8hiWT1QP5LUvy1HQI3Ro2/r30cuZuoHm89Ppqh+YlXr5wHKYXHXttAD3nKGQX CH2OxJZ8+ccTz2zNLPUgDKavnVZRA/n5lJbqARM+4Rh8XdQXefKj444QREIw4AuAfzSR8tWOX0Wp jCpvAat8i7n762rP990ghvArVONIkFX57oU7E7JHi59EWpee0gjpZXFyv12yYeK8gTpV9dEgD97F tjOywF7fYnaQ5BrNt2SuU0yAjZL6DBwe7t+s0IMQvZEXCUCKQJF0QpsScqp7oLVvBwW362XAlhsp BN8leM2EH8USR9KAekmn+FlOiZUa0jT6Hcc+WJBAnZY/rLvW+WQJD/woklcq1oxtFK3t5PjPYzjh J9iRITw4K3TR+N9/0Lc7fqILATyVDytJV41heLYDapbI+mclmHwikltCPp4XEo48ulPMoLiA0w+P fmzVtEbFXzEXuwioCHHGwKunhyWxaCWZFqsV3vxEW+bAe+e+nQjQNVSj8oKhSmgqWkj5dPuyU+oq f+ikT/3vtFzNv4ifllFIdzjRDZzTvAg0bYk9am51NoCLLrNGgPojejk7gR928h1E+IsvEhzNQ91P Wdkj/Ero789FjcMf0ZUf5CVuup6cZaQlhdA9pwxV4GENp57gnNcNwMSq+wH0qvl5oni+O3ie74f0 38awGoFXoAPAlWuTl4sYG1McMHFxGL/G1k95UleE2R1nMEjqIy1+DQNJEUMbywCy0TSvN+Nrr7HS T73V9L3A77AYmIO6EPaAw+aBRskvgXtcIWDT5q94edqtDftVYkUnWpgP+wQ1z+tSojpLi8TKHifa cUBpTuaf3EvHgT9+SyLsQPgbk3nn8WgSKJzT97+G73j+4HT4JvtfNZl8+K1ypqHyXn0rwrWKCdZd AGG5E7VHfc9HhsmxJ0yWmJ5g8GFFFRnQnpJAcV6jRwe9cmhG43o2AA9wF2G0eO7KUictpfadbOWL tpVR3vk8hu+g+p/wYDa2cxfnKjcqqOIILKCikxnrY7K8x8kGvZkkmNxMfRjLm257Z91wiZ4HO8yz UW77+i3INGyjXvLP/pa2OmNRJwWEUVa/zRmqJsM6k8ulniLspJJIf/WrQSuAAA/lzEOEtI1Xi1fw X/r1CFLXVF7Z4UpJ0JEF8WzTtt6AWWuaY8mYPVJgJP0y3BRwBuw6/K4FG+rxRkbkdqfHSPusSZ8q +f6cZ0u8tBW9rrIM8np+ea9VOeL1CHemlKN30CKHybiDNJMN+VfZ3yapnYG9hZvftuaHCy3aAvPH glrAwXTdabNlEiiF/2nbHn4kSIcOxxHRljbL5rymy1IvWVYk22bnFrew62PijH1+8dOvBxaYjyxo kuKy1t3XM9RQ+dTZqKyLnykz4d4OUrjy8MfVhsh/Yuz/Pa9z7I78UPYST1mKhkMOc3sfBwD7NYFn gmTuWyV6M04sfTXKrBpJeKr0OD34FGXtSa7QhO8VbDjOZUamrT320VQqWMdkZmaVF8lwGc+VmmW1 4Q00dOlqd9/msMa9v9OTUH+oyHEtcsXeSWMOWaagGcnG/yjmdXadyyQ06csZuT9Iqig0WvBSXGxA XJDHYZXNk9m9tD3dfnWsNskYm2CfLE06YenuUBl54z/n048bwe1WQKxbU6dyvaNRwrw1UJj7fWE2 9nSgzf3yjMTaqPgPJCTLDfSFRlVBOV5eTkQP2ljYDvBZrcYuDkKH2ugteEtCsFQbiDtXNTSWOLfD SbutI5xIFGCxMvvXVIoIblDHYMYJyRHgSNEGFULr0JSQJeJ3OOop6ft9Opa6hClq/Wqw2h4KO3Cj sUDMdieSl5Sa/Yxcjby9Ikmh79wLWBMafc2Eo6LIooHwswQ2VRL4gcJLtN/Ywt21R+XZ5FgQ4LJJ tB+qCqUevrbU4HCv4v4nnsWOSO/HoTtctD9FG3ZUeog7ROuX4jRorkL6OzGbl7kJwMlsfDPEq7yR EEQAS/QZjJC1ewe7TN0MuCwJXU0X7XsueL0jdGQymvIGAjA786N8JL/MpDjGWbN+5yJTaKeXu7Yi PIHZTMaWtoF3JWeL1i5LKTtRi8nMAkB8xieRz+n6aNRwuTqcHVJRtFOLmUOp8YAZk/3dAW6FkZ9p Os0/kcfI2m7susefRRor9gv/ixmeevMnA8Ry45w1hSoJQLxynLwCTVflfc+vXDV4B1zK3+ObYvGv KgAYjbCEM2SA4Rkvnzo/sO6VtFoyPNRuR2U0++WF3c5rL57ccnAhQw/AtEreZZg0jackHQ+UDhZ4 fJttgxASTgNLiOw8qzYaDdIQz6BebIIu4SZCvXOo0ASC3gXhTBeKkR/p5tTVyRmCSZhek2oh83Wr SltE9tiuYtVy8QbfWVrw/xHsIJeOXpokIMhUUpqcdCZ5d/1ea+xB/o1uUE4JiI01deWIlS59GNMG JKwlW9DJwj++cMH4kihsT8pUr1mf9tiwenxkRPCTAFX9hEQUhCL/rAUOFQI9vSg3ZJ58pb+sZmEG 2dS0f8lEHqaTve8198Lu+wYjwsniexrpD6E75RuKhbdZ0nY5Vsk5APSPiDecyf2XBFPaSolgUs51 tFoGhNNWAgSjVnSeK9CMOO5WcBdP7CX4Eyuh15GUrQu39uhvTIZCArlost7iKw5iSdvMcjXwmGUI YbMsbJopw7HqcNXp84bBUnbYUeG/vc/ymHNoyXNcFtVx1BxdEqTA0JJLPHZ8Hk6tNZYvvkU/gh1q MFrkzPIEnwDGVoHEEXxXOZ8kLr2Fk131wrMQMEJrp9C6K/3pi+8MYN+VQan7GKsxSvSMB/1dQGSU KAO0yr3imeWFYd/mKovfrpqppQ0sG/BUk5XoO9rjAUZ+KF9gUOQ6utob5GU0QvqflM1c3r18ufQs 6vVUNyWpxk0ohyPqK7Ew2y1OhGIF6YZqzs3+lk9XWeU+3vUEikgz6r6JY7Y+XYMB9vPUUehxq31Z qD//yoOJBywZ03WDV31/uetrNR803//wNnLnP18zFfPrbm5e54gTJwQ/9QKmXCct2VNy9a8HvLvo 3KaJnKWMDWWLZB259zeD+XOxpG5GqMZ4PYzez+k4x7AJYI7sazCIrRjo6Eol6KDGF0W+UTjixXV1 v4cxXxq/sHNvARPE3BdPtRQHDiFG1rzeyl/cKiFWcV3ij/MQO6i6R9nlrE/m50qsozhDgu4++4Pf C6Pzr/bknGSJCfHEWPqlzJrewgS5zShec9zvH8eRu+utJQ6M/st6rsAcaVuHjMGWsaAhSjo9FgMy 48gpcAOLNH8y5fy5h1DJYER+GkaC3+dRMijiPf1t8E9aVDy28z0qeALmbPfYSr/FU+AA4FfUulil s1RKbceL12XYfBVvRCQrjhKDMn6Z66HIzhBqPJm8+Jn/5631+b8oOBmMC5qhPUSIvBblrmqWdrfh /vgh4z89BmdgUvWQ53LHBdE9r2P/NFgRCLcUGJBytSLm++1XvEtoosiDvFESzsDND16Zj8IEKXVD t6HeJ4DoBVyzzwHI5H98uAJkySO1nMDmPQXNUJuV//vnAcgUH3va3IshvmSWLHwdtKBnu8b7TyRf /G0uyfS9zigWSjVWP2w33XTwB4xRtPPXPTtI/4UkIC8dsMe6CEf1492bEWKYkNVC8rVMIddnHej2 k/ajdiBkoBTtvrfXPxZC5xZdno4zA9tNXHaTr8G6i5SHegQnaXO5tIQxrIosL9AjSQUnKizqSiSt yJXBqj1wMowzFLTZBH+5ksNEWhVgBOPJbnKzH3yok2NrAPtPa1YFRNDeU3KJXT7ppM3UE5zVeQLo co/7QunC2RzTlJexZUoTm1j9GCWO0EKu2WtyxUKX7AN51vj/QJ1KDHeO8V7rkkpDAYgqUKBXevwb DAZmgQ3+PvtJRGBufa7LjclZcaHSneSJ269qUpIndlre6Ty2UGw8ItMdiJKdSp46D47FdHUAAar8 0yvv/8uDtQDLXQTkC4pfHpNxgVH4WOyHXPYHwmaLf7Zo+z34b39ke/7YO3zk2LrT8k4r+heNz0U1 ejiuYoY0Vuai4ctExvdvoI4qI5ATCgU8xxCyxKHFfmppF8QtDNTsJGYQ1Z4TEVnVNgMZJUmjcBrJ 6B39Amzm4r44hH+Id2R2PqkJ1Aa4zEEaIO03Io7st3KVDY/bcgSsD7ixw6MOubjhEog1xodTZhB0 hVUN3xZTzygc4bIdKujlGaRUHs7MC+QQTTC4ijh8f/JyeTorQUWWDWWDqf5NLnnpy6wLyslNVs4G 8g35EPTWTba9nDHKojKFTA+0VhhkKXrXfyxC9aF8ujIKbz+fWW5TjrmHiXeeCpkqNSwqII67pLLb LuxxPJoRipzRVdi64BRt5yxeH5OjH2mNnjHMU6AO9vvQ0bHjO2yTdsQBM0WxhuLvuusmVz6fpAg3 YNMCFgCh08Rb8brSRobrN8qz5qH/btFe/Y5d4n5q9fQrLuEWjUyg8vKWwp6ZYic9WJvxGBfLKHKw j02D2mPN/gFIqtjFEFSmk8QsxU8nNcieVhnkJqEyUtqeOcy/dCyFJv5D+njgE+TajhA0AYruq3PF y43EontjhEEAmA7bieTHPlm10QPuqJk/eQO+emPR97ORGBR8kYjXRjz9/n/c5XWPBocdRKuZnSoz Bzz9VNi9w3g6FGJgOUXPF+8hpXK/FM9XLt4AMjY5bqdYsC51eOc3zM1ThNKwvhr9oz6KkGel6y/G rO6wt0ZFxYBorKN5g2eYcso9M4K6AqMHDQ6/b20N/Z/Znd/mDeCKtMuoYn0nlA/Oxw9hR5dt87IY piaKF7HuVp+x1OkxGeOkoKlC4MzTmI+yPUGJkqrWOcC7WTUkntvFqo6a8tsH0/3g7XO24LAqYbcU QZVd5/2beEJWkdAdVtupP8BLAlPyGtDwV6NBd7QerwCKjj9Rx/Oz74dN2IFOMZ2eXOQPU594aP9a 9dk6VCUiLrCcwAPHRvwS75CkCQsrmwHoypKEX+8pawk1fgD7a8GlSiQyxS2KLO2vnDeWV5+OIVJu 1NA1JHCAr0jVt8Af8CMDTEYlp45tzwzCs4qTrFpeKDkT2siXPKC9PebP5TTSVppApN6lzlkMHLoe Eh40c2kJIF02tT60tc5YXCrFEu4ukgJUFdJTg63htc7oXaBO8rf8O2fHQuu5DdS1MqCDiLzV8moz kcvqyv37ucm7CcjOCFjF28qaCXe75ObO+Dc8KYoWoL4AnYEQomQmNCGtu7oZRNDc/qL8fvLRxKJy k7guX+a/tjDZzt8IWKWXMFMgGMJa9NbxQCDA8zc7cB4HR21Tvcy3fyPLKTvrvBdqGcM5BmLW6N2A 37R1YIRaeZDega7Y/DLGV/S6x0EZRw6rq1NDYJYl3C+A/uf47v5PMuw4YYIAg/ySiu++By7HLu1l W63LLslDvaffyBOrST2OJAfEvyQyW3oEPCmRxzvzsQ3PnM1THB2ofAUpM5gEhPH16AEmjwdJNclC sLkBcdyPmCXc+h2TcrHyDzrDUf7+vpnawc3ZwuYZKX36E4prCU/6/DKoKPTg4Pvkd4UTIB7x4519 nJufKncOdjD1pKQBCqZIRJ6gcu5SxBqMOn4ae0rnRg0/k2oLhoMHFTOyiuhouw5yxfxlbjUIPYk3 SGRpHfsi4p2t5f0fARwThpzq0nuPJz4kcU5RKAd87/7Ex3PbYAblHm/q6OORFQzbJFLMO22Eg+eI wr/E/15hXvSbinl80QJSJC7ixbzzQQ6zTWgMTjLJV/xTlfdMRajdMjm+Ljsno2MJ5wL8fi0f1Lni oBJlLFv+lK8k/YIPtY4UEG6T/Fu94vRcHUWQbT6D1miq/10VAHjPtGcHmeh0RqZ6m6KA57fph0/F 1w94vslOvUZFtQWcMqXp6/c1oTA8gKzV7jQF1BcgYeJIJKY1Z8/slkkRnrfw4VOHsEPMKm4ANOnv hX8kWIXyBeOVmP2/5SFC8valcdpT7znDaFmsq7C0Od7uDLqCVWSXHN5M4IN0CMs1ZGk/Oikzy0Wy Jf1cy5d0/nGTl8lF5V1KUZ1N95JitBoY164EdrG6hKbq0U/NzYupZXXs7pOV3dhTczuObxq8bKFr Sn4EzMlb5JZuFIrukbw3xwDhvnw405Lqj3ntdZIBOL3dDv75J4Q/ZfBLECCv8L824zJHdi9ejnDI r0huRL78L/A9rT3mhAqXajKoHoNV5sOKwz3FApzWYrw/OWVHKMBAA5S1Wyn+BDStP+7nfzj0/7hm qqb6cu31WV7E1iDLz7kTqqtFLaYTfgG5AWF+MH6YGEcYpaWOpPJyzlMpKPzcGolvhGitAXlkG1uL dkrIZGzR+djKFPWW9zIUlomca3LfHbUY37TQz7Serm7r3zGIr+B38OeRqAfTZstgd6zQlhAomEtJ C6s4N/hHqJaNQIm+OZ58MqPRNbSGVuVMvqJMiR6kLRkEj2ALPdVnYTPMnWjc9WYBbxxcdE58h4f6 u/eRUne5dbgn/1tc9tydV84vom6L5PSeRG1gg3obgo7Ncf5/J3YPLMTvwB7Mp74a46nsGSWKZDZL qH0aeIJtEj/XjO64kKYyHQkATdDPPEOEQp6wpZyfUthmx11kCXVs25EwuFUBW/DXA7eJ9OC8x5O1 eJdNOZZWknOEtNgyKpIKh669WioD8q2X8shNT0ODmsYxkbe9XNf2TfnG3m57T7FXEnwW3GlbwQdS 7ZKQm4Zam3DX6QoJIPU+xPluHvZLOT44yUmiovTJ1cg60IYsVjlZQPXJa0AW5MmGckQzY5hAmKPL hHhBA7A5u/uhjN2evmB9EEE3v4D8+2cSB9rrV5gJOWWEQSmWc+vn1G+bhxa1O6zZEd2a5p41kyWu XmgGtlVHVTlQ+0JGjo2d9gX59OuQWs+Qrylc8ZeHts36lMb158voRW7zzTS5IW4iOh1UKowzubDl OBU8w/lJt/IQdUmC0qcx5NqHpVzi3cpMxOuaBhXR58YqgNYnAg9eOsH4huYCrSjmXw9oVmS6o2ea xXILVRUOxRdgdnrupndxvHdAU4pp6iheKGLiKw7QBdsEtyAeZg/o7t/kbq5VSoYXAOedLg4eRCe4 TkOPp7ralQhvigm7yPU25MGLLCeye2xctuKvi48TuVnIFLLGk3lIXg4rFKipcYdoydtIC1/tTq4n Cekkpa522nej5BOvLzwZgjgVgE2k7fSvvdXcivaDd+A/7XKH2rO+QK+mdqCeatp/uVsq/y8R7sMH ap48EZ4gjVXweHMd+Ot8LFH9oAx5VkfYTVPw3aQtufJZc+M3NhFy3Y8gkH1JmpgcgEp3klbiU7Cz HeHLtelo3jW63eDLybl9qTmu520tp8HP/FwvDSkuJ2PoT5xciKe1kDc5KJZDjemjtrbYHO+e5vTk gW4xWod0/CdkRuKdyaWU972YQ6JZI49IX+aZSFaXPWcp7AAbyWQllS/mPg50X+eIwwceR3NVjFXN iVxk2e1x4GZRtnH3QqQY05FIFvVxxGoImcvYJyiycYMCDNSJA7Jl6Pcpm7ToQ7U+kZZ9jEShL70n SpDf2hDAFIT1PQYiHOtzjpkxcXqTmp5bPR0kDUUiNjhpn3nN0zL9zDzM2xG2iM43GVbLH4tBDCfF ojsonL9Ye5GpQE0uPV42ZIqDOwL7VNfiqiwvCgxn9CIDXptNDu3dbahmn+mrRFHHMaojLFXxwGB9 MwwRUzr+2pM9sWWve6V+XBl0FzHhUpNpccYRjGkEppMKmS9OBAbDTR22iEzLT0zTUnuFQnPjx6wi 3POmTrduG2d4OOkiRxVtyIhXVL5+vBukSi5roKIQmqvyDHva2FlXFLI2VU5QiQTRyBRkywcY6/Xp 4maoZfi9iSg7RanX2fLXhHMRyssHjk7NsVKJDGecuF+0ha6+X1X8Cn81lX23oFbQwE9itVdBHIaa QWzk0h4fLjFCYnjA4CYWfwca1rbUJeZiaPhDaYT0g172J57tKrxx/gxw9YKVqcyyHx4OqmmuOqCR bua+pMoZRD060RssytbBT8Xp5wun7KfyjPCPH1CjsbmwrS7ImZ4i+9q83K7DAHe02JqHh8vj8CeP nuxNFd2wdrY2ip3qdVUSq02Rh37BcrVCwmqjYyGCjjGXiKkUDeNp5nMdJh1QT+X4nIie21qem3cA RImnkCkPnytnmTVtebC94qzU35m6HW4/UfAPjkJPB8ZY+bGvQB4jWBUuPehB7GDn83KMqUq4eBNF PZuHDj3ujlDwH1yaQCFHPWUdeEqLAsfPvPgtQRyEIj4Wkesw4TcBWiafFooWaArpSie6hJnO+F0w BfU+s2B1mcUWYTLuVPjDs9h7qZGwWyML+LoKhggu3LYswxxvhAdL9BR35r6+RltP1B7VnIvXxFiN cA4G/woI/KXwbBDIHNRsLFcbPyEHK3AdAbDYivUvASNhkMMBiB21i37OvG48VwbX2hA47t3Zf1BG QNsoqiBzbluIRBJPLKHCQjXdImEKhdgiwVQhPj/fjOPa62HUyM1GFqrvAKzGwxOSsL4LRhyXMGbI evX1sUN7Zf3C0ePB7EdwUz4Z/bAXAN5UYBKeYtxsX1Xk1aVcW1v3gUNbYurfvXCPstK+5YM/OgAU yEDYplkhWwi2g2tPvMAYDXZNzRTv/b2niVQ3l23Zwnmkeu2MTIvMt2MbM31b2RvGPP+GqNy4dgB3 rMIoH0Xww7trQiaAxrqoaY4SGpWCWPC+I2KYdbmeUPjtyo7s+ymBLfa8Yqw7ztHIoQyaEpLlTVGl sHKuffZhJ7xiyesabr0iOavGzHql1JbWKrXjdjiRGw1PpFxo5IRclNdAyyUiqcEzKTcPmztFCgW+ Wqw3IHs15Kr0/GGyqOB92EP0ywkdQUpJmuyzqJWD4MdRO1tYqrO3vX2YQ0FlvKnRZ+fiIMVzm6IP rEU3oq0TkwqBf8H5hQ7Uet7zj4bB8KnzZgZuB7dsisvfV9xmpdd2tJ6nNBc1osX4cvogoS5vTb9n jAHqf/0nPMFs/Hgp8lWD4vJ5QfvobR/u146DiY7OwmtDs75+sg8yx+snsyqOnQMgj2mWTub6xo7T CpikQRQctCQhq8GjIlZ/i1PwkUZtGHHmnQOlvtiROAmUFjXLIOaan566cois0sTSmXDOU4Z/cNtx xqDyncaJdRWcnUNqGk1JVi0f/a+O2kiaVN9AnaQOwq0/LTUmWTg0O9C7hAXstG+68xbEEQW/jadz J3qozBezcBdEEWoj8to0N4eQzG/nfvpdinqNh25U262MRQ/OP0lFQNp4F39NqI3MaO6BVEr+tGQe T3DcCSSr/LPQUUtQTehBeSaxnF8+8oGBOAl7gRqjzuT199SZF6GBstWxur8tAP65iUZavoEFFhtF x2iU+qnz0osA0q5yPuUisCwCVFkXt7DKMONeeRmhETb7lSUhGxDX0k5cfJ3M1A/14Zd9ZlD0Mie9 ZiizyhHZGVsRJe2epg1Wq1V15RCgLR3UHtmtAQvrbOtUexO7zsH5fh//vfjGZXM+wKcuEflrUCiC M4vcraExNiVeZVbsy6BIN9+vqxF69YJdYmzo+7npx7kTTj1w5NaezE9wQKxwj3zfO5YOerrOv0Tw Z44iI9MJ1VYd4MdBlodzE42MB1ObiBFFwjYun3tpnfNMy4VZ36MPZlIdP22GEF/lYULgawYvgTj5 LAYK960gvKB9DPZA5yAGixj9UeUGS3M5EhSzqG8Aw8fsfqL4UxaeNQ97BdCTUsUtQWa4Uc93zFu9 c9J/T74d2SkhEuiVXaKpSDm++qRe2IY7fjgaFIGq/RltaZxIcbNCW5JgUbTWRJed1CT4WH0U3S4z LXb/p/cYm3KZoE08186n+eSU9mQzdZldcQqml0ICunSYvGzdlvEUh+PBG+6fimW2bpnOgIx1vzp8 S33B0Ap7OoRKnlewRgfvHf9dnKlEQK0zHiKlX/sF8jazVtRRDJ7qiQFlqFkYTs/OmYbbpUnnA6N3 +L0s+Btxkwu7vDq3sUbawLAJvSvDZZkV4cGH8c+bsOD6YjT6QjgnjV4ugHs0i6kMjO69V4niUKnj PjfJQcuLVrJEpceTD5oBQOkIRrFL4yxa8Iz/kPMBg5h59x4+BBknYUf+9lSeD+sW3xFuiFOpoblC hVFBtUOf/t/F1wGHk1X+bbkzCNEq26bOLgqUqade7wkCXSytEEvVG1ZEfjzZhi1VIdkaznR6sjFX Rqtehw52xcBfB5Tb6d7kxHktQP8Jr9x2EJwgY5OQXsvK5PRzVBJVgiFofVRJsFsw76Nv9dDsufHk gqYycXOtwCnubiDwVLEy7dhEmw6ZDaNq71z16OWrqWyjlB1tuSrCpuRyyGdyaFoc56wji9gKe76I RFFd3xsW+8UOvaO9k581Mj5oZ8Bq9x1xYGOuMZkfpXvhIxJKLqVvGRHhzLECZo6VbxNLfNPrByN5 qx8Jt0SwpwGs3Uo2Wcgx/ynL3ZD9fW/I5ZSXAk2WzkSE3ryK9NUN/jJW6Kjz8uRJ4KubxJA15MUb OQvDIqR2NVCo0Wgu7NsjjmVB1zKILq+aB00DHOXuJGceEm5N/FgUy35Ipqk+c6fY5vhBm6ywFoGT iMZw4Ba5oZXFUlUiEDDb5s9edJtHFBWI44gMJn5CRkrhhgFTPdEIE057T5yip9llbNA0FRkInV5+ wWGkg6TSvNE8RmTl7bZsfTJJ3FyNowhyersOgB6yvyZf+oJfr9FHcrKTTiV3KAjhng+jpO7Ma1WN j4HihcV0u+EegHM89ezYG9YGmWFdFUX2xhx2ejpa6FMIgQGpBhPOjxdN2qyqx+cZt843VR+9raAZ 5sXTG0qk7ArGZRuWQ2SlGJ/kwpaAM8n7Mi9b5vBZAuQsVRl35he0k+p0hAVQj4Zg1G+I85VybNYv w4q+LwAqEPuf2Vwn/LxmAPfjjyRRFBTkdE3u9IXg7sp4npM2jT++KbfHyzXZNjmcS3Q6EOo2bXD/ ZZAwSNB/F6T/tYQrIh6mRhKt1mFyr2IuSc1ZVelybrGR93/8HrvhZCB8IZoURFefRbiIu4d5SZXX ZcTivsbyvxkjPZcVMUGlfERxtwQPnkpNS7+L0AnLoceSgkgyrM33xmpl9HRMyw+H7rR5defN1ITc uKVrRMt6Nv1xpc5OuXuojwu1ligmfEYwpbjYRuinMk8CVBVPqQDZBsI4WoWPN72IVFg1IISwC3pw JAIQwlJ+MIUNVzqICQ4Z3K2dn/FdXQ/w5NSN73b7uOTOTWlih5dCF/LYj5trVVfbs8tzxwuc7kec XVFq6AOwFDZlphNflXwz74/wQnfdd0mqo2D55mG5orYhmVaK0k5bv7gfNBBk+YaJjFr+hMp9Y/ky Phv0GZBdSHlauJubLBGRFCy5okQpwtkEYhqs3aHCZ1Ymq/z59iFPTFmkQLyQuIK/Q/nIWjwmM1Hu sGIlRC00PzqghgrokOSfJO0WblX2a7V9uxS/Ap5CtHck28376xrQcJn+tUUWEw/4qf7l8TxXF0ie i0zjL/i/7DhNtt9yMwIBb729NmXVLPa1/PP2ERpEUkEG4Cnc03f1xy4mEy5nMo56ATZdFicnKN8x PPYVobzuR3KnXdreLwCiVaRHJ8qcICbnBLWaLRNuVZXcpVbI1Jr/P0cntl/3qR2DW9tkea1QyVJh jgcWej4l6nJwf6iO9nQWVOGb5JaB2iD6I5Mmgs2DxxR8f0NoT5gWkZgiWAvg4AtjkCGgB7UeoJju 9DMdT4plwj6XJLGW6qkeR7ym6dmva5C+lCF1aCfeEUXTXCN/om54EzSHNA+IbVlab9dLiPpkKPiD Qa9tmZFvudokhzs1CjvYtIy3GvqnneUBvVUJ5jcVje3XMwdWmvbJuAvbwhiDXJBvsvyroJk9V6YH ezcTaYjSH9ANddw7kgjMJWl0vo4hnQY1Wsvw3kpAWfmqMs49eb+5hFT1rYWQc0nuLDFXFJ2KkrQg BBzoHXblMRpVTsItEQP0kh1PdO9lsGleW3bmYADwGgLTbFFBG5taWZ2bOLy0mzNHM8rzSYc6qBrl 1m4dgrQrNOcu5EP/C0np31dkFuzlCcqcm43lH6MQxtyMS0ifAv0y5vADHYQ2MQUp9l5gOuD+HBEu ERNL3Wpat7exQuGZsuD0GDSQPfXtY2JCdiGc8J6WBH/5ZmiZbH8ELB18dGvOvT7XXjNNMmdxtsjI EAavNiLECePhZpg/w1ZRbAvTjeihjaISFwq1tVqZUuFbhAmKoDbtoZSPVTdrhe5BPWwpFQhP05cA CtYLrKfa4s0IvTHy/z2BL05V+cvFJcPnmTkk7di9Aw3+Dmnjrpy3xMGAGB1NO+WOtWLhotHtE7Aw pytV9rsE70fyqy0dvmEDSl79xivoQBVJrftczPmjO3VBzOfOOhHpN8MlFQK3RVg3bmCgFv4QldM8 m4hXGbl0eMzgz7VbpANFWS7rcyGaDRG8BK2ewu5zLrkIjZIQ9Qo8xNVX8cHUpAyWpfq5FrQx1KwR nTLRIB5x49J0Q1eIlX5H2D6mwSH5blgkSUP3CzFzlA7hSvBxoWu9BoK3DfnvXc270eRywL1R03ox 8bxsOWBpJEfRXHCOC/G/VwlXQvIX+Ye6QqOi9b0oNkqp3OGrEjt1v+eYGZqPX0dqFZPvFSWAKbEw nuTKbvPaju3lWpJxsc/VXgYFqjXDCydlCAlShONN5pS/fi8QF8/QeLmYhv44xu3XyBD0BCo2AwGm mDpbvry5ItC3Y0te8yjWsYnrnhCxmhABQUOTMdpsBLctVSU5X1NHPvHziC6eEif+hB3TgEczLV0r baGo3jJN0fDEtD+RqZ3E5e3BRil8H3WtgLDgveLpOvwoz/e4K7xng2FKtu5OsQQaSROE4gYm18iw c8nGR/Y+M1sv3ss54bkyf8MS7JXs4CyTotKpxVv5vBC5H2iPmcsvUE8RbX3PtDnXuuxxTDB4nWKR HlTkY9b+0iJghXvS0JywdkNSTuZXHE2Tx/3cFKQqVdNy/zoNreJgycWaTM83zOqHeryRBvhjmqZv Qfb+MYVw+fTU/64PjVh8XDDbnjvKQ8bnOVOhWDTvbQytuD7ZhUpCUtF1knEELHNXZo02+5tJ4s0J Y8VWS18CEpts98YFhXF1NEKThNZF3UjzjmjIqthLdibXcReVDfd5+eOeNRyA6rfVmOfZIgmZ+mrn 3RFOd22fV6d+ALzzx36RL4TRTBpgwQr6iA+Bh8rk+uih728ut+E+L1wBYp5R9c2y59PxJoTg0l5R bzSSXwS6Su+ZPyrqVnTOzxjMuK75tuGfggPXLlA2SDNPIXGy3rSV3jtgQn7324eUYRqNDr8ObLKm Rd79Rtp3y83LTtS9iH6urV4X3H7WjEUmMahtVbNQAA3Lgt6cCW/3m7gzu37kl3kCLwmQ8qpXy3Gl /KbFkXsInNrK72bV0gl/SNwww5fdDCi7XRU3xXa1oPfm6JaaWMVdr5tRsK7aREwDCOlbydX5pwqg ZD5ZEb6oe2VDm6izVjFb3JCO6Fl2NzTo4dGAmovZ5YstMyAniBxHBQxfZUGcZjqXLaqtDDsaKl42 N87gc20x9Ue470ilkcddRNPSMynLUQ2PYBC6Kw8b3+IQ/xGenlXbclprcRXIIP1CzKq8KUEkrrMH fY9IvadVWTfF1Gz1j4aS3ljCzC3w7EhJX7OzgxE6hlWCU8fuI0jwXzZY4HTBuEkWl8nG1oaOtBzy MgXdRsywKPmZt7cdpwMGQe4BtC2TErPDnkcQkR0DJbz2zto4TNsyrOH6HVdQ036EcXBR/y4KiYoY vjYbNp2NBivomtbo3iay3FCXk+GmUA8jAscBCEer2hCu6agXgIYM50Rn/FEoWeJmJbmCzwjutjk+ dSRWCL3nvMCjTz9UL6LTI4NUFtfw3JmPKCjlf9PRFsLFhFAhSW8EMX9oluxlD8RKkV1ZSP3mhU2i wI6sLO4frYNvv6J2jBrZh0e1HaBUp8SFl193GXPE5vUKB0FXAd7MulKgXQIN7keXCaoKYOS+dvrX 9epMk2a4MGcZSzvzt7SqG7scehepLHiiG3cuN5A96+JVQuc80Lgn0EL/b2pplUhtV8LczWnyJIIE NeaMmL/Ue3zyWrAJC13IG/evYs+eHLtWzOGHH8bNrU93bfCQhKtdYEpGMQHbMPqQazUOQX0n+Pe9 7gTJTC/SeeC4UACgX9Bx9rdhEZe+iu2l/8S4fJ9McTGCqkSfIMKRYd4kZ8ZhO5S/2OSWQsmqQnfF Es37idfgvJc7I2CDI0ROWu+yKLkGUXKXtQQWZ94LKsKyNpfim23l8FpNeBq788yUuYTIIDFQWSOn UB8kvW0HyULIt2NO4gCLDAD+Z0Iokr7Yx3XQuXN9yMa1RPK7Z14mknGTCHPm/caA7jem6nezt/qP yImALyKsc273EWlCdKQ7Q0oZckSOcireko/0eMyIpj7Y1WUwoVV8hzJCW151spGbjoPDeMyts/Xd 0YkjIBTKPvVAFYxkHULFPGJ5nzdFwvGkGBFOiDXGCdmTQzFpsGTN/smU82uXq8ab9aJ2GZTfyKDf WVKRzF/ZGqnYLpfrKLQYtw2xr/CzMY1c3NMzyxwmhVnQKV8BBcnABWaFSew/WExQsOs3PZqTiaQb tuyW4+82/gl9g0qT3f1gi+ORKkMdZoEwDuEJlX5ioKgzkdBkXwRiDJUCLoUVIwO8O2gPBkK6bAcA m/o6MJ5I9zF7kQhWdDzFKSRsBIodxX3hN1XteREWlXqI8n0UEYn+HKeFkYRCXnaU5DnZw9/JBtm0 H49UROLuXdQTNxKPKgkUK3b1gSswgakLaXJt/muTgBatNu3GBViClPZ5XAyoXQhRLKlTyTUzP1G/ YvrTSxWsiD0M/0g+av0xgRYSbidKJa5x/2osO9zXvNmPKG+EVKiReodciAk86tOI5G064wFImD1d KPpQHjubfEZ7dd/IQBKH3XRfoc8luDQDcJhMj4VVhwU8r72+hGQzyVEBwgLa3vnVgw5SmvfF0F5k mNYhdmSd5gzOV5WmSXAui4/t69Tp5ggB3gOwSNSW4GWvVswxpTYFfVhveDwXqcK4MqrJXOwD81KN +yPF3JuHo9oGO5cSSdVr3qvdpJGNTg9M/1TpFGQY189VG6PxI7jSl/HwzpxyxC21EUDsI7WqzN7c 5HcqmRC/20lUd9lke5oGbvEm4OIyCzW8Zv2jiDyoAEiA7nFGoD3U0aiJ4Yd/XtItpwCnN2LHeIDU A+DJpvKr87QpLVD14OvgItLtU5uUBPxgKqe7/cQhQnaRXczN4JpprY9e9FXSDIPy981lPrdTs1in BjVYegqfQ+lmkEPwo1P1XGDaiLXHCHHdksyKJRfFZzDYUcIs8isYMgcJPH3gmSRdds5tmMIhe0dy mrfeKNZacpuHVhazeaVQQ/YYH+BtxlANtfuiJOqZj7iVj/f4cE8TwDMwnTDf59bgfMGzoXZ1Gfhd gpn7Kw9YM6Tp7bHd3J1D16mnVDgcmLO99+nOfOD7Tl3tiZq5LcJ69HWgp5ISBZQSB+TEYYlsF3Ea 9LKXpfR36uI3Q1zWZ1Mf6SADc9N01oBGwaxVu3wK/puIptdzIgVgkE1JicyXGGEAsqVKhpJ7IonM 3FkBcB4gij+8YHKQsG64C6LXs8BnOSycW869DQ5yuz0bG/wUnOgSx6bVm+V3N5XwnUH8aWCDaI/r y2KYJjDeMZa92aOlRrUU13uBDehRACsS9e2k0QHEEzLaxfVh5FNQhR4dFnrJWACw963f/pP8IM9P sbV/5SwuWt9KA/bYHsv+gRHZh4WmkeL4iupmqbfhCLVgf5Md//1HkLdQ1F7HnvX+oZkt9ZauNwQZ 8s8sAWnglIsmZQL7xTxBgPeDsC53CAS725gJ+s1OFre3NvIfEIdme4NTQSZItlxtl3IKNksw052i EEUrJLGy/ttr2MJKXuNiS/3tHMde/Gk3sDYKFPHwQLMMIWpgMurRkqBGZ3jc+h4tt/8sg3A8/jmw PUqMM7JxDhGny3o8RIkKqgz1Jqk/3JgFt3SEi5Vd/plluONPxxqnE1MYwRnIN9FNctfi6tClbT9s g9JvCy1FN578e/JOnMn6pDPUDNbZi4HMsYu74CeNgs3fwRLjPHw9NVi2XigXAihM/LIAIivPLBry hBT0aycgFeg6gh78SK8Ml+lSBq8ovtVEL4fqgkKS5LZPu+obzWagKnHOYmBCibd31gnCGYTwo+DK IJcT87yrFGKYy+1bmnrC6Yhkx2X9IVv1B/V9rVQPU7drG8VkzyreK9QWRXbQGMuHps7VEfj2/nZZ 4Mw/SP72vss1Z8LM+vDYuDlGq8452qWKIxxHqiunhqjWoea7xJoF75sC+4dLCjLJqoLLmdj+77QZ KEHc1qfSdbiFt16QHb/znKGQq61V/+kMHVw5oAeC+oLKr7RBeOCHL410iBvopmCqZsoeDMxDwENv LqDXouTQ8x6aSrpWqVTrEJMXFuBC3Xy+YxJX0ZlkLmpyioHKUfHVhCmO4BlxEfribygG+2WBtyUl EDCHjBQND1q4wZoxDy6hTpA0v0kuvB1Ee2NT62xkJlfq7B46uWX480S7sFmFPD/m11/NrGA2cmZL lUfWBq+u1DulfLY6BYpJSkn6tdLbCpmJM0Xm30pqkiawFtzJLfCwWKSEHNF/ClB3ygeJgMybvENr qpnL5BGQMf4M19peeRIp9ypJCjUE3wrq7fLWpUI6hKRW5qpCV4Ek+cE/320MgbLXMvHRuh9XxKf9 Ko++kWZHJr1Du8TSJLL+1wnbZvkeZ1sRELELTqcwwsV/H/qBE30MXFtvbgY9YAEROsZyjgzzGLv/ 1HAzJAbDoftozMQC2i7HUxWy0C+jfxF2AW49VSaGxY28hgolm2EnAQ0eLoJ5ZVRECw3qKrP1Kkox 2rk/8G6P8GNkueg3RLg/q2LoiPnn6Gpfqbc3Q/JHrHx3YNNWnMyAz7KtlDlnNQ7QIskCv645LWNi 66an9tit1kboV1anq6+SqeUNxQ6EQfJFbf6J2OnkhotCHsS+g5Y4z42K2sR0bg7xgbSf4QczDwxT HXbhuEp7QSABdWrtbQGt6nUrGmLPcv7vY1vfkW6Zx/DjvQOo+vZnC6FtpuxmO7WCOy92pS9psw4G 5v8aJMhPAclSShxtpLRvClkmGr97uX7D1qDfFw4fmExPWlKGtNK/uOrICdJr08h+erqONBtvjH12 093sPImqnwVpVR4lQ5lflUT9LaDxRZ7xcsmkPfosjplU796PvbJusq54xUiqlK6TQmNOgk0bkQaC 3xbQ/PJl9Z0Y8zhVJe+HQgcVrO0YiknYHhQHdzR3IhW/OdH5BU6y4EueOAXCKIT27lPaO74dLmDn x5zuwZ1K53Vt5ibGKXENLEqWho0N3xAvphtwFjK5DvJrIzmbryHklrhTZx0lecOBR+iR0EG+JKtL IdNvoLeet5DXQapNtLpqu0mH/iBe4V61q5rmhzSvdMewvGSHo0w/5mFYjxCDwf1aFmtEC0MdtF2b w6bH5bOECeVYiT3J0LP6J4gncovf+wjvurYoo6v5CPMNRFnsqaymbWjNckwhho4DPzYDC0A1BSvl 1DT6iGbQBJaSOrwjdzIHPjmrJoMIPsn+1mokyVz9uWUYg8ENwbNF8aTGaNj6SiAMnG8rGvH4CJqU G55YjZjxURYkZLEFqzE0wXEmT2OhU0jC0xV0nVXlyUzYmxNO3lvI4RIcqYm40cUZ12/yAPZhpqS1 0FNfrNveR+LPVyM8dlCjgOjlPAFjQUjubZgZMrmZuoSC88nJDPq7fEdWW9fp1/Dz6Yvv6QDOukgU DT/MZMuRIy/pVrInREeqAD9DzAvXexYNsJ7sruS48nhdZrkVTqm9uS4weySRXlLkOBOD/eHJAeJP xoeFla6COcs0o/9xLbDfZgfP81H9Q8eHgIITTZenI4q80xJ/41x+H8D+P6XTkdF76TZre6v8RxE/ mTUx+UinyohcOKnij+7eHJTu++e14v2b6q29OjIYTabWm4VdffxGMzBKy2O12kpWKipLLb0ZBVqi Jco6JQgEe7QEmiN3BDrJyXxttHOYYa5SA2MyMkT3JZAlsphN3twVT2IbxhhsreoRDAT7x4w5wv9H 6gYvtQSqXDGEzfHh11ofE5nkvrfsmRR5h/7w3pWfCCTkCvUY5WyzUQyQfD1Bqoj7n3n6Hj1iXfX7 RxOL/DXyGLBrL9ECiA3Wk+bNMj9meN4l9Nj0fN2U8nPvhsp2yPCkO+rtb/rlwIhPBZenA7jZteHN +rrSeQeBJtYbLX7Ch4V8/+MUmdEnUHS3YQGIrFBdq9mpimVZIgudoY5fc83CZjx0SfGoxEJGO9fC MuFTefrEnn/Sg/8ndXAY4m/uznvCmqSO5auRgcWIJNKRubtOKbknVRR4LKZyD7b0SNQe/K6Am+aT xq4+1mXKKqspYcyUZMDDtiWeEQOEOk1A7F60R12llFxVK9CQm9trpj2HguIRAK+Ifc6VCYlzYoHT Br7OMfBwTTayZEnv8RrNpul195oh/hxVY8kAWe/hQWQfaLJKjc4AYNHWR4/ofv6j7gXSI+ATh9sL qMQ3SU3fqE7tNkonR5E8zKCd9lMdpFLcsqa1DsTZJmej/8MS7CcCNH6u+KWjUH7AUl8+y6K+tRFn V/DbjKIflWwTugFNGE1uYL76T8UQZSmAaFW3PADEytRYy9uxwFlAzhLIraaAYytaDpPu9phK4Ljr IE/lmFzIx8+Qqpb/kucl9KFuWtIMOGL5J0whADcxaHIXC8xMnYCU5fCggXoXbI9JqaFyxI/pMcoS DeZNfnmxgMLe7xxPwsso0Y2fJA4Nn/g8WJmb/9kAS43eEZ5u1s6BaMRHXNEWm46x495GP/P5aiSk /Fiaar5ssNb43HMDWMn2RGecIpbhjiT4VmgczOmRidbSWMcAHjtlcdp25hN+5MfVpOV2iuXaVkWM FPfjWC6wZvo5l6IjRuzEOy3DKOQ/v1+S7eQlxqB4KYzxxhbtQxVWEU8OmreaFj3ddTrn2yWgqoyf 38bIAr3gD/mcjtWLBRriYbHWcJB5LRV8Y0kgKh9T9QCIvsQ0WOCp7WLD3skQpO/BOEZ5PKSo5KUT /j7EPfmOx3cuPW0ZVpVIgjW+WTykLqn7zhTx6H/K5j237jZ7qy+cQd0oQn5PS9cXKSOoBmvAe16e Mr3z8VfIL1Vw3pKHmN0p4Wgo2WnVgc5h03SPEzm1ahPAf5tBsVcufCav3yKIE4GkUzPXOT2Md3Ve huZ8jbsdf97TTXEtyKRZX2RF68qGKGcPQGQm4/zYufIul87crBThw7pGNDt8ioKqHAzjrJbuEJMH NrHWVgrzLlFnSyv5GMsRu3B3BAEu82N6vuXplNTztRvy/98Vv/DxEx4L+6HXFgIVcv2CiiuRPKSe feTo7/H0fN8zoh7VdJ7evqjnMzhqKWTCjLtvpn/yf8pobg4oUWpq6SMTxuLHxS59WriNaDM0SMWV x+MIz9WkiEG53+4WdTTVsKO4LfNC/nmal89tT34ln91YqAInup3a/CZSRpiQ9PIBE2SY1asXzvXE CMfyzVyoMnNcsoYwS55nYyjGvLJ6O84CR9VJP0362hI3LrmDw3RV5iZzJ7F883hmIr4tNvV0aUCp nXa9KuSbkZyf7ktNyqAFaG8/ieka8pcyHNDSbme9bLuI+aWgmQK/M1yiw8CB7hnfrew2D2iKSFO7 oWfrE4YCfMYzHk2CL2T04xfRxn4ZgqZ6ST/bLNb8LsBmbwFFEgESbRPbEWeaTQuywL5fBg8hHrj2 7ocYmQMUxQD013f8xhftVhUlu4GGDqC0vc5ZmbRfIxpP0DoUtUDjNF4QcGFQi41i3nLEr92xhZZ/ sB3MPWq9L75FIQEQzRTNYGQY0gvxY2duHL7RnuqKtWUP68z6diDiJSQcTCzUklcaR/HaMBe5OfcT KBy0dpSHZErwCY7d5DYx5ETvWamsTRRLtLbPOmIKe/QdJVjoEaDmLxvd5jL5tY19EGGoeKhBPXOz MaFTuO8mNZJFRUboOBAkuGLa3npge/dUhi4GygB61aJWU8NtwkUEsWCZL58D1Kg/sn68g3Dz+nFO 8mfOyQZTzU4LqyCLOFRqFVSYRT4w/y8i5Aj/g8f1Z6RjyVGfEIgKhE0NIF27ht7878flP8lzF6LW Jz9i6RkpC9AWMFbKNWgu/zKghaU+w0MmRaNqG9b0hGX1UsYQA4xT8rDMP9W0XgZW/b+NHXOgIKpT ycGlVnMy4AS5+cOhaEjIeQ9hjlq+tXLe99znwGlw/mLPyX0colLNLwl3Lts+GesaEwREj/r5Ie/y U/JMji8Alb+axsHkHzr/0pAhPU8eW6tRpnUqMp/zyymqJJRiw4mz7Kh3AG4v+50P/ORj0aH4PoFF MH4uDxiqN7cNYANaNSSuoREal5+0qQECllpl7cX5zByKn+TtNFHJNRZkYcQQgRn8jNIbaMjhbpMo ++t39TB3QdWY5S6tTOyroAFFe4LxT4i2jWgebf4Cb4rJOFSwZ4d8J/bfBa6/mI569GWWTP2wVc4X psNfDbUTm+NRJrTbc/yWLqq9P12WZY9fAsKltU8HpSAUSk0+1e6rXz9t8+eQYU+Y7P3Xirhpalu+ drirvqTPOTeWtJMKKeQlaCBjtz22jxXTlxxsu4X19Sxa/njFyUp9iivRFtiLP9zLRuW74dE/pHHp /N8wr6YK/vDuMozdpXnkN0wdO4lUKxUdBIdGhJrIHWQBJGqG2gADf5TlaLYfDPAATZ7gNh1cnq3d cOcvRF1xY5fyRI4rONjYYR/DnLK8C2WJ+0/LWv9nqqTRRujqc30bZknp/Z4aFqhcFluyZhIiRf72 8nRvEoB4O9vVZRsppbbkQ6J/csk1T8v0p/i6Ia148M4yr6TLKLHvnWCK95kecTFWaDVcRtTFNc/O IjTuAslfBmGrNEIucssOY8F/7J9BdX4BLOQEC9vAe9Kygj+bnSFin1tN9BmzutLjGkcCyHJ87/Dv MdOZeMAFsTCT2pwOdM2TMra83OkrhhrN4SvEiOLJWjAfcHfGlAPG2/tUF7Pqn8MnZ1FbYrgJAMt/ BU1aMbLy9yWpxZpHX7nCfbT1wkvJNRfscgR/M3uk3N38KHFcg53TZ+3dvK59EBKL9Apa20upHLuT aIHlhoWZKF3x5+TDxZRSnkevjVvWa3MNp76wP6LDfsmsRFC1351S2xzJyt4JlI/HHbNfJpILgyQl DW6fQjEnULlHTzVf4Z+eVoHxbSB8NDWiPXejAdV2er3YDKvfwv/Jyr4FE3mkg7g+UXwfCq77dj1i IrB42NIyc+YonMkc1OTxud0bJ/bSBIk/BdFGyY3NwdzkJL1tEK88OQp5DXhFxC2ticQr32NIqLa4 GoxFheb0nrOc1RwKh+/F3gAPpgivbCAh9lzB6kgoAZI5WdopBQk4efIISRoDifSABQW161S1tCI8 LLLyUGastda2YMheu8sDj/gUKFx/wv49X9Bp4uBHhh2N84Xw+kd6bddzfzBDsApA8rgY7S9zv6pR usL+kCfv927ibDGebS1/sI2N6HJhUYEyEiVCutV2sc1Wiz/K9BhzL2i+gGTzBvzU84XiJuCSXXUw a3rb93FWAzabls0dBgjvXBJCEHfKji/z0JzEjYURsVHfz7yrHcrCH1fRzlvrUpPoCE2+Yc9t5oss NuKjJXMuxa1NK+AZWDfWYDJFIrg1CC9eU95vqq0ZQ7XlVtom1EMz9ReRJpRe5vqxvrDnUPh6sNp9 mrx8WzP3wVnehoMXedu890Gzmh4qgMDhcyedXxb30TuYwkNAE8EFiOb8dpsWBpXAB99HYka8XTCl AiKojR2Ew64fwPM82oqSMaLuwrBOGyCAZ7s1CCtmo/LKckuf/7Qv2igMT1Q1pZ3oG9UWOBxk616C BuXGbkvhYbNQd1OmnkOhnTZ8h/6Aqr+IRHGApwbGGn6ZtyPIvVcOvRMjgb86XA6mFNV54kktA0gZ RQ8klMdB3j8dewwaAUjo4uVHPrEwjAw6lYKw+1JddgQRVE7cGmad9T/XzEzsKjkpwOnSqFwDl7ER wTkfDXR5bxbKjwpsMUn50wYAHRP2Rqm0bDdogn3Hb7wQ1Afu8CM2T01bie9WT7A/4HFLTT5/kR2B pCFVULW4GKdbUetIwZdZCzZ65aiSCSLa/bzkWd2RkaGwYgrCjMoE8PVoN5wkkdgCGFBtNS7aQ4GD UBvCUWnpgJkLZFCds1BA63yoo1zWLtzLq1LhhhdIzOVN0PSpCcp2yEnRKIOt+Fbz5m2dXLIrikrI hdIG8tJhI6q5hBW+4Cund0l6FmmU0ntDC71gSTvZGid91cqghejtvsdlQcU8oG2PZkn1ZpBpI/Bm fJiHG4Apc8tOtvuj/0AKGuBbv1MvC5QgPFt1gF3IHzocgdE7ogf/Gj4aFy7iyQ2Bkl+EgPzO/kG+ VARALwuQbpg1ZZwfjIdgmgm8iZEounPrI0F73T4/ewX58jz1iFWPPtwlg6uxYgN37EmFO/Kf4SLQ 1Kre6oKuoUim9bjEVAtRpnZO+MU1WpEvAqrt/BF/DplfdDUCBERjJYbkvMsOAYlq+eISanUjZwOF MMTNNyTRCfyZRY6mQCWdssBEwyxFqBVKA4MSFkwQTHHL3BY5hVHzEZ6s/yyou86/qi6gRbncytlw /sx3uQl6wzgZcsGNOX9JGHIXp4j8oy/1gIsObtCry9otKerVBKtc6ACWbOx5P/qQglvH31Ingotd 045d7B5LrxbmSsUKDalvM/aNAiBjSvxqU70Y2wNf9CHjIxYzv4vSJElVkoyrTAVHb1G/cz21mPqI D9RKKYC7CYDZiYKAp6N6MfSmwPdkzBnIuXLcQiCox9gngTozzNxfhGBAV7sRxpNVMCLUknwPoPUZ GPTYD6ncWhUyc2o5yQFszeOwjKJ06IGbU4ERKrRFAa5QLHGT0IO+xZVXUoQfgLDHHuCCeWTenQmz 6sc/HxCXKUjOBjG4PS5nO9haba9zkS6UEesv6K+LVVnwNs8T0pV4Xhfn2ammF7twpawQkEdKX0i3 lHDHk8avu6oiCfcLipMSvC7dQz3TapnxDgg2sqZHq6RLSTNhGXeue8CRbmPO1NgTDMeoUp7FKLtc UZzc+JAI4o/n3Q1xoznJnM3EhtopRfP7lBKokkKdfqw0GNJvy4m8c642cWLwEOMHz428es63Iwov GeJvCbEFHlcIVYYXof3FMTOeF53ronH4QnWYMNqcS+IW2IC6AKRMK+tBKIC08bVS3nJ9Vs4UI7wM EAykO9c2q+4rb3s3cyTN4mdegBoA4y79Sp3IM2ZC8D0SLxROfmdVsEfavufMKPlyqOX4TysD6VK2 x4icNOSsSDYVqZ3k36j9+YnzsJNWNjqjLhWFahsgPVrRE0ovNSn5dgJcvS+fyHqTye4LL+1SUtmV 1kanILdk69ruLmyTtwzx9y3tQJRxaXBUAheaD+lC/9UY7vmT4vo0pkdprYYqTaZl9oeqrPBS2FvI /55BS/UL0OlCyt1CymgoyYWfYZ3gsQZn1pSS4VOul280a6K3Mg9bOt9PM3KXLS6BUKfp/K1JJ8Q+ bA44ad5qnNmjrrbdfBhaAPnkmXe1V0U0FY3m0oyI+2RPVyf2baRtGnH4pZQBVRI9o4mNejz35R2L E5v788IOquGYmdCsWWm1dlWWmrDlpQMikeI1cXEdeiM09YDRZfR4nFpvW2SWbUBtyX53CWip6LYE byyXj5mQ02TrolV/1v9qTAh42Q4kXno5lCeaUHXvEhkwEoJJ3YRtqMRbZw+JTqnqinyZpMeSwjrc ZQll1dgHTWsdBRMxoyPp/D/3YnGpyhmsHNKvqALJXQwtS9fCK+fgxsGqGW1es3tCRbuLFyrnWn+E VulDgQB3goa3pmpjxm4mX6/WxcKJLU/jdoNHd8hLEj5ZgKkOPxTbrvnBamU+hhvMz0jpTuRGa/P2 tOQSdtdWTDTAMPpgbf5iWuZzxhb8kJbkkewJDsM0tCSWrxyWtwFaSqv/EM7zcTv2bfMU4YXUrON7 KZhB0YpNsPS2Z5VBHPkm6g6mR3nEgeGEz/zPu21xqNZZhdA+SFcyN8WLQATMVgjTuJ13jcQk7T/y tu3x9gn8XrAOGapjOKUiNzU9GKfex4NrzJRROplrSjz+AQpOrQBvSUWqnr0smC65owNQbMLEe+5o rScl3RLj1bgDcu00P3XDBiMRiGJ5rRV2HC5P9Ai23fBIH/qT8b0THhBren0FLGqCt9e0qeue/zJk 9XUKyt2oDYUstF/vHhAr2+5+2sGxEtxZyMYfYniAABz9uxWuZ0NJvLipPmvDQJluLgHx0xi6B2HG WHaWcJXCDIoMqDoVQXGSPLcTr5SRffl9sSB4OaHR7UZEh+dQGqnMGQyKRGpiSEumhWFEJkQuCBL0 XJG2mM8tIr76KaNWv7WC1pzdys60J+7HY6hZETu4tvgPnHf/IPpXAtb/bb0yz+Z6VHQUydlnvBLY SNd3/UasrHBc4QmCcAIcy2Llqa8mVQaX+VRRoF8G8xtEdONms/gBys7X2Zz+YXQdSCQyMjOODGow OJnc4ohNSSSZJm1o92PEVRVZCFbqmAh7gKYrG8s84umk1vxxpjNs9IJtMCIPCNwMrx8Uyv8A0aC9 FKoUoecHyV8s0xtCVhDoOqEv9j2nSlhmi67G0631QWc2M7if6iOM9IQ75WtVroufEfwVHdZbQoab oTCwdmO8ESUxAbUuI2+FmEGdLqNR1M3R5pwiiUBEo4hvJTMNFCB1xiiZ1fN064Xh+hDthX/WH7AC lmI2R/yyTfc0TYO6A0B/3Umng52JWQgljbhSzOxYQq4s3ncgLl4xAgHV/6/ZhdNQn5FMaRQPM9CU 9RutJpFW1NFKFqobS6y/zUbzstP98LvHxyLRqE6Unh8Ee6qbjH0w2qff7TN4LXajCwj74sel49h4 4vV8kGVyJwgrR/7zbYpBGNZiSI3LMpMH+TpWRtTyK0yM1ORDBzvqrSkEiUOH1NltBAyoLPC788/A my1bsaF2OjCgpQmtc/chppvUfPIfqz5GhKOTqF8hCKeX0mWXBAmvH3cNTz2RIlVwURFHcKcHqcvV mKroJQ2E1m/DujXUPflcaZH+YplycS0hpkbOEMUts33xh8/wpaGQM2OHJFqXXwIfZtD/Ye6aqSmq jqDwibUxlSQTRLKNBNPDR2QyzQMMJ+p6S43I3/+M26KgzpwTD3VxNSzlEPUf8HnxrhtLK8wCK5om nPLVySYPApFpJ9hN7/HySg6SlRu14Ir50VDMzEQbHN5CGgHG4G+bxWcgkfiuLUiFDMIGGMGRJSI5 Oe4FIxb0DYHdxJ8KzsEl3bssQuuOzjOMPW0kOQyhVqqiukZ536HdQkn1p+cekyYkJpTxJxkWFT0t +OSBBR1DC9pNTXLex/OvhZ9jPZr22PnKAZe7loD98sRETQ8uVQuvedRlX5XWLLFsLm++6xsv/TyL u4Zgi31h6RTfokl7JKr3hOsWluIJi5SrorexmDb/J7vgS0cmt4g8ut1IeIZ+uhY3g6kj8jFC98YP 2tBAJboUSwmy5oF6BFs+lWOc4vEBHk1GOlQmhfaWUR1Q9TgiR6qqIhkNSqjiwbr4JKE+xsBs85k/ HXIlG2S8XM9mCjjihJPqaNtfktofjOQ5bDxxWt6xfxBbE0Z4PUFX/c2nqQhn8JLrlsIAFsRWPfjI QaCd40DqJuphR3b1Hj8iBSmHIbH0Hw6QK+xNt5o5yYQAvgYKSA2V+xzCzoVBR76PZivXUH7srleA is8VA/brH+QaI4FGxJff6HOrN79tbtrX6wtGjyQLCYTqk4z5ycyS+fNaa1wPAqb3SVejnFfPPvcR XPzgQ/P3fe4F6ktwSE89czVynUG1jlVqey4GwxpKHwqvHZC8Mel0sRENgZSAASnnJ+T5YcLUFTES 93qOSmch4u+Fp5nf2gt4uqq4L26/LuhEEP2yRvIU84P2iS1C79lFADy4pE5TN73fR2XXsuXbr9mi 8ewgX0RmGok2osXxpAXpacjWHH8UmKYqJpAUHH3btIHKdyUo7C0eaDAVkerZpEHU+5SF15G2BJ/f kp/GSaXMBJ6eTbxm/22wfxikST8rgWnvPyv4O+QLmuqbdG2c9bTsq8stcDAYWFx8lmoyW8DNRjo8 LnlYV1tH5QC6JGmzwt/c+GgxlUDDXhFgoO5n8Uc85AbgJb2tJrh3PoSlGnSmMLa7Dc7ZSBgiGSL3 OCxc9NJ8NB6U7Hy/sZlaFJTBykjX59Qw8Cg9i8SuW95btI7zQ/ohIBG2PiokxVzGUp59Uimpplfa 2ClJnjAE46QfYMukci1JhTIsD+gpGLy+/5laCVMGPtk5hvQpGmJblIFb5QJDpePSxtZU3Ue7Iqg2 yUi0QDFHexlYCs/IfeL8CknfMlipEL24yZrj5PW+15eWMbjYCfcyczAx6kv81lEHOBMEh6IwzfSb rZRlplylQY6HWyFMkiiTPLqzTeHKwiz16g5z0sA3NnNCDMKbKd9AjTk8P+ou6wNdyMg4EFgavHY4 N253PW9wsj5A/BIIMUXWHInGzvUGgOaS0/u0UjgPXQUDOwJLSCZRwwrBEGaZSLh3cMt9Kqq9O1J3 ObhUF0tr47r7aeOUhJzP78eI/GuffNOMkRHNl6GeG/Mj0Csx0FciqCixtCDjfuOOMnR/t1g4j9+F KTMtyOXgRzUFgkKsDBSwq0GoCqmcvhus76uJAC+fEVFVFUSZv6NrgoXTe3OSgXSmjLDub/0EnGod PVNXhZiaVNkr2wGiupI/Uk18+u5y7jABSZWzr655FE9FdVAGiP8XpNPgqYPzKUZa9SJnkOfJGv8K YaqGCXFaQWve3bq0LAINvUeflsx0NIXdrDY2TuNK+xbPoRq9gfdSUUbrYAXGcUkWgX7gpgffVx3b RSjj5Bpqc/rVJoyHtYRGa9cL7moOm+7DOgqDmGKJ3XKkFHdTLke9Yv5FOw/x0toGIUg6DxZHMFza ZCXO10mmYBwejp/fihcHJV2rQpFdKPFGEEdXmo2Sgsu9PgDUcpRqOgWIDlfgrHJ3OY3O31KIyBx4 SGwi2cEs/GwZh9cQogaja9HtWH3ce5yt2ml4/iVdGrYI6d4zCo2Qagb5WJY0WfJ/6Ws7XGxg/Nds X+UfzeQmCRsglQqReobJJ+Ic6ylyb9WD5Mlty+xGCWZzmmogC4rOKiiF9wy8cT7YGdpj8halRJou vPuAHGEGDpoYexMGGRiYxUbG94paBBKUzOQfC3ZnuqN3TJaBvETg5JSLYMAfzV61vxDZe570V2tt UdfPE4eX9M5zR82rQ6mwRUQdWxLpUpuKopzjzHXltBYKTVSd40/pKnWGo5b1ASdthVXhdid2jD6h mnRqsgqwSK4RgTRBUiu5WQmXCPsRiV+o3wsRgp+iIedHh8L5vcmOfT4zRy4GVkK/TOKEGb6pDN21 33r8rhGXBk8iawGZ/NQ2JkBJkQSGHeMQvwyowVe8t/bu0nNKIzpAV6mtrkhRs709q/ffnzLRLrov q3eCpnPjMEZ0n0eNeRnPkOvTE/3otHdmorSMOjvPIbuYQSW9C1B7jBURYaFJJRiuTfAkvyHPMRDM YjnaLTrSocnEVZVx79Py+IglUsso97mMD4HZU+IIDbNoIY51FqPq619sypohKPIfjAMUN+CIeWEM FMHXLxIFfNf2ZOYyKaOkstsc1++uz5eey/zEHZSn7Lyf8uP0BSN3kG3Z3+eK0mH4rCe9g4CIOQsz rCdFB3QD1dJ96UTQi/Cvias+5da7djcFD9bCj22VTpVnSFDBJL4YeHNF5fMRZ12ixS+ArGxRpq9f T/kq1SvnMZ4LLZjYeY3DhtJsYPG0eEQbzPvg9t9wDo/sO5gyzSgp2wkxgHUFYOJGD+/hQiCjdxmy HJ6ZreR1Ys2oNH5HQbeAjARh8isV4RhJA21RtMC8k5njBGKky6yf2iY5ah0ftzol9fVhDxRH9oI+ D7jeCpsvGIk6Z12ew4DvUpntNdgws8E6sDzmtP0OGHHarVcnXb2FO3T+hX6A/9EPGOInnq43ZB6z 2TvcXnxNlPls8P02IZNif5uDie1qtkGha11HF/SgL+jqSKKtup98r7Sn1AwcQVJBnoi6Bcz9VbnU avn98hK0POAqT6o3k4yt27gm15j6fnW/fF2zTgSlFoC6DBB2SaX2HALAqYgLPC6u5bUo7Lv+C9SZ GY75GMXuwbG4fA5GGaW0EdJ1zlQv4cWZCLRCZ9eQ6DmIIZUjKUshlykLtFSq7xkGA6xin9LW6cRt xSA+gxCKW3QfAmYGbxHdyO0i0QNle5wPqziuog0wwLY9+PMmuiKw5dJGyDX7XBGKJIsCpooyA6vz 2+nf1U7y5NHjn9hi40NztSJwCcL9gl0lPOONJJLLAqhaJppJaSYdqvv1whq0vhvOP9TujumqunrJ 2MgeqXmEeSdTDKCY+ZMOUZbeUYs1TRCZMDSp7X0jNmJ4/MiEUfQHdT3jrZNaTFNFJHY2GlIQ4I+e VWtFeEk6weAurFmLF6WqluGssZSIp1EkPOU8qUyBoZCh33kBGP3gYALulnNEJdFwsqxm222NUu+H fDnKfBPL0L9i1jwVYI3aUNrC7utCorL+MSCBSveNTCz0MMufTi4lbh/VQsDluvuBnucNvtkHRQj8 D/gt7CRi2MMge73fIFCTq8Ub727GSyS80EgaZDsCKWxyMgZBMSB+uRn0PsMcqc/K8JTdS9rmrFZ1 bfUhqFMEOaNVtdX5/DBGg+sDY2GDB2o/mkCaLJS5xG1hCudufPINhc2WNtFjT+xQbk6Bhq0yb41N 2vCaYkrExWi42NdTD4jLup4Moddep2ODNh27IE0XWLkzWI5TI/78PBFVBOuAtRoKwsq5nR3492Iy LfowYaWKu0tIlBtl6qp776MjwZUFcuw8Y8qKHTcfkwM1GvEp+Qy3OFwcDjI37KwFWy5H6dh5aXcC BDN29sghLYc5jr52fbf1Utj/kSW9KsP5tF2MlHUBAIR5jL0qnQdOwfihLT+HbmMMJYSY5mWkGpyb TeDjNLsDQmEkcelFHNEbOLJHwiS1WmV+Rlri6/F5KJPyotB5MS80dMSEcNhGLbKgdORgbnOugaUf tLNa7DrUKnCrGzmovhfpvzFCvqKmRqKRaLlAALcjePfNUrS+bov1NZfkQnOfiMQnMWWv4dSum/vY +N2PCyYw2cE4gw73w0qEoFW7jHCHPy4wxTMoIbcijHXMC4vuXOc9DtZfsazqd2CFoRZPbxfy4P04 GMkqdqGNp38zBYAxat1KF4J3FHteiquNGxzd06Y/TlP741cRhWALKpwpG5ukx+RMy3OYeOMLDHXU aPzlOCbAOu/hUYHtjaUmkzuok64Tq7hJqevUqMT1NC4Xg4I2RqEJh1wfvJVX/8m6mG/Ig8Sb577T 7aPZg6HJppH2LcThJCibuUTR0/DQZKc4TrIrG2kay5N39lnfRfdW38O8aIic68SMmfH+c6buOU3G rANx88lB+r0Op2frvDeEvvI/ieyXKf7qlA0gGXRU9q32tfApCl39GCcHbIkhWu9EBSVNRSbHoYx8 5NOvyyu8OZMaHtAxztoD0Ls4WrYTdVWfAu4t0Y9/Tta23gZFehZpUqvweNppimeVIb3lJtvlVZfA daFyOMN2GD06U2A+sEI60wFZpr3ZZ9PorGuxXRe9Up4X01r7cj/66z240ATAtq7AZlPxMOu5hSX4 F0Pgcb2kvC3Zfiz6vdUk/TlIWIpv89xf//8uYkKb3riDYvCHNJdQUyMxsE1e7LsmeILamI+QBS3a YkCxDcERcMb/kiSLrdftFKQA4G2CHDEkn2jNKKmvB5urKKpMQksBq3/tP0CZaeJzv2YGZg4vMIdX DJ6LWm/ve6exzpzEDcL4/ddAFhKqg2mDvZf4N4VDeXFzJDDTvWLaSjW6f9WLD7KlZEPHQsjVjlnO gU9ZMKHhHhf4hr9vFeHaofgLq9kcWWCUP2Frln2VMDxGszeTl/QxzTH6N+sKL1XsGqjEdJdPQDSR mqphqmOV3t/22To1eSBG6k4CMbbbHDMAgzagdatzByBVxIpyMICzHVdRMMlrbGvIrtp+v9YkvxjT N8gQD4hhmA2v2i46idh6+Qml5FlBf3yBLnR3W8B8GeDWe/ttsHupkxxT3b8XJ6V9ESVtvCiK2lia KKaNFl/pTf8NmN2zQSemYnEOpc83Tu3TqWrkgoEFyls8g/Jwg1bvkdjPNMRw9Oz+1j9ZNv88rh51 588lyq5QsbLvo4VW/oaEvp+eCG36KKjVgYGlUTu+HRVROz3thaxb+yJTqmvMjiaHx0kCvILJNxvI CJHCcmnoV43SNfkfssHpHQps7McTzSqsiMbDFTiG6wMHOVnv69BOmqOFkZkShjdceozSWHdMnB+o fKgWJhKQKaijgyqFwkPB4iedsFnStK97Q9s1s1PvswnjeHJ9FKCC3PYCYcjPUxNb7HykERMcxCtN GKnkJ7OTGjDC2MnWwYRKhoo7VctEYGUzU7IAmana0Lb+2X6bryb6UGJpjMKR2+fYAv2AfGAa7Ohd aLVO3+BVUGAgtXAm3xuvcrCi8jVOMyeXoPBbLSasslEKniPVkIOFLaYmK3+02dOJJHObpIi6//Za PQy/F2YfTEV90ttvICA+JGPCkHentgckWhV4IKo390QZN6jUHIyR2nshjBb2uBf/i7DXIYgvHqyH o+uG36a/GpQQIfBL8XubpLEoR9LmdMteGL86M2gbLmXXKIIm+PEMUB2HwR7aHwCGGgCt0B4PAlu7 w4voBEAyj+8u2ryLyIe10/3bRxTu7pNktcKsuWPhPDoKbXM333gCS4ews5wpPoMcONUsiS0KvP+D Wo0lawzgLuqOpIenCtlWc70gM3Lq25Hvj6u+ua5rQl+SItjHNL8CUWGrQqRPDoRBAIOOrnihSObs q9xUvQXBejOd/QlRQw2Pt7L1lmQYFZw6f9YGLsBGlwxwR/7b7GRVrTs3bCv/ejFv3SrkrLI6Uzot WB1Ca5luis1/GQ02USCf780b+HrBOgMeIt94Vd1+UkEt4GddXSfXN0Z9FhIQ8hHFv75f/moEeJcG BMEH1rIeHbREnuQa2PqrooMuiwtXL5iOyoTMjHZoW2n0rU4qHCA8wyreq3beMhL7LwBPy5HofnkH 6aYM879fqRL3mAB+GpwuxyI9PQbnO+cBisoZMZ4Y+/wUEy3ZWBFspMVgZR1tlFTyBwzc4sWekn9D gGUaOu9n+BHLrEN2rGl7yPzmP08Cf5sWJWfQ3R1m8wDUk2qZGYfLMauwCw/4JSKb59QCtwpfCGN8 KFNUbBTEP5bd8EqkFLZGzmZEXzt1RoSOdI0SZBYLDmRtH4yeXAlbdagNPBlMuzh9BAbw1gSVm2ha ath6Msx5CnrICZWEsMzTBLK58dCsuUSZQhT1mEpV105skFdsWM/Vw1/YzygYCKAExr5sh/REuZDe K/itEynNnAyK1QRL/ZXHDN/fZheNn/3UBSgCk4B5xsKVmA+R4d7SvSbmMJx7XDC73lJN+x6d0s0L U3nVDqv+CJVPJbTD9Qh7bqTM2F1o90bVvQh68lkTSpzvkX73VTAj4cDmayUgAvbjjliNTjEr/jW8 lQJVTZWNeCnSpRZMWBeABScqAzf3gDjbbY6RCW/wss26KrDjpWt2GE6AfFq5foD1FX2/c9Dlnc2C V3w9/tMLgWhqvj76MTNNjKDmE4ux18JwhQWE3LrLGAHknkuNN5eNf97nWo0vtOiLZ1APq+RouuNz CH+J8EjWsi/TWYpsM34AReDHF7ZdBB9fuLQXRr8jCoicn3p8KzVnPyWJ5mUPxIAQDn3AHYEUzd+T V9yogMkFM9KD3qhuqblVFBb2HnFYCZX/uSl91gZaOPhr/nzjw7degj7aOmMJyRIt03QPY8vY0liN EitCgw4tXZpiwpPDO14X1DJ6qAGV1Bmt9lpMaXdzNOlsvcyAza58TIOgokw/2bIb/iQukMG+JCgZ 869L+N0oAnj39FhgkYl5TfLxNqgSlBu0zgXU621gVGP8AdpKArdJp8sWSdIbSwt+0JMrTLg3qc1S sfmZZHenp4ioAF9nwpfo2mJZfFT9mcTbh6tio5Rfi9dY6dIu7uvIL07YnP68LafOgw1P007Z3qRg 6HluUDsbQ1nK3cfgWje6D19JSyoz/bNlJems1k7f68zQ35UHkdw9BdDklR4ia8DYnfjxaXg/p5+0 dB+B8gXCJmqdCF15eP98VEAgGo8ZRw6dcHz3FwjuxQOHFBxkVECeOotOkuWBE7dz7f1DqPdfciG7 Xl9yGDbSsQJckQJOvvmZz4pj80nTA2nWz8ibwplMNTBmV9Bgci6uZaSpbz5OaOyJt88gDQtBgr76 Vith9BDunRta0eml3lcybU0KRcdydtiqsCB8qom4t+eEQufCe7WXUCetJJjgs8BagQ5+Dk3fbWr1 z8Pc0YGYWQF3GlwGyJquOMNi/dvXmYeHtGIGNUsbBD2JHh461j3n18dmYeUoaV5pFSx+p3hfj6AB nJm7KX6FPV7WHV1w21ai6OApgjad1FN6N8Ue7Zj6LPK/BM/93YTcBksF6Tjk/fa2Vk1D1mb6xe+Y du97SWLEW9/mFPErQ3mvHOUau3fTWUKqBGL3BezXkhBS6EWrqQX9MJ6r1MNlu5ZcvtayiHSQTlJO YpVXqQguhHRNEsqnOWQ9ZDfD/cwfWPwSLULZazr5uzo1kI0EGrm1xbWxEpbObL86Uensa8GCEJYz B79sqVKBuNNK41O5d/tIA2AcvssGJi9cc29/MmW/MMw2PnUsRzWTmn3vI2hPCkqsoz553DvL2KHN c9ax+8E6LBM1kF02n25aDjWi4nu6+3iTeayw1tX16fPpChu4UWPwhIqkTHUufVH/QTsHja0OajM6 juuut6iOuhXuddet8RmOB5lrSlNKKMfLPagNiJvwuKZu3DkmrJX0ASyYG4uPFBja1+rtn0rYvsC4 nnRdqUAUwDBWAW5ljoA2BIrLeLp3oKDijTTEN2213/5R5P+usNBq5Ok2vlDmZ/c4mIp2lu92kEet XuHea0vFrsGYq30nXfmEhTuvT+Lpl6JkuFgp/uVGj6ZORFWQLlFPNHIqAPDkufbiN7OIvqwJdm+d Ab/b4fgtxBbm6IGbJaY6yR6rMS5O1p/UKkwvgVOaIqHh5PqrXpvEH2ySXmDliNy0HSvBmvkZOQqS WxbAnAE0oSIWQ/gQ/VDTTk5NF0adFUXCkZTQ3Jl9lOFCWv9gV1teQKcTIXlyZVUAia1a+NnV05op hPugPr3JgLwqPZL2yWN5bwnqjDjExXW5HDfAQYOkXQC0gweYN1CTVZjN2nUFFubtMxtDGTxqcCPJ CG+9F3+S0nPKzT/vs5k0g3sqX2BPA579pOezUMAUXhMO9D1vaXdc7+spdN6lqXDn2mQyAv79ZMLX Xu40lrjoXeA04fYSwl1bv5f6fQhBPsZifgpyc9o28jLuVIwHnIn4LLKr1IByxe1zmE2NJ5TczOTw aq3n4FfD5CWY3QejkYOY5EqwgHuNr3YJCd+4eQdjVSfWyiWMGb/g6jsGA8/59RnQBL6SaFLLWmUp gQJS+ue07JLsoVMQsbKkbSg4xTRfeq1whwLx9pg9molM6M/wKPWMj9CNZN0u8inF/uh2ARAlo4kL XIlXZz9+5Gj8WxAexkoG5p11vfBQ6aEe0SGpjPxF3QJtdWMKQXjYNMo/E0LLCMAWda5Ugx4XaGtA /PjPo/EA2XlrBzAbdB3erC9VoMyd/Iom8JZE5l0m980cXmHd0Rpj0ootsjqQ53oq+U147NR80JfB +chc77JI7itWAFTVnLdn2HOk6WQtRAgAZchjCx5y5gNMFKCgxWelEZMIW/v7aNuPtNd4Khq0xY8B jqiHPvWt0aMkMdxrLsG4NlWgYjlOLOc26FeF2x8iaTY1aketVkpnzXYKi8x3l1LCt2jH7ALsvr0D p8xI81q2CAY4ufuyGdkPBsP81O5UjJon7uU+jwzCROLPzFoXNX4wQqPzwb2hI5H8WawUZD4LFzMx /u3N4diBaxcVTdg3MlDVCMAAK9wrEr6VPvCmSUVkL19RJG7VqCMPgvy5q8eESShvc2dHpo6taX08 qi+P5Gm17yIASbLvNyVGMeS8Z2epC5fxxIqyZ73+fVE4ESOKt1o6rXlct1r+cRVPADEIPBRc2dvo NfSTcPFvVHmkv+VF9VQNmCF96ZyiRCzT2Li+nEVi8ytiNYwVfVxmkylAKvKF79MvSCr3dALDhGMB lbiMN43X/rk3eXYPJIwg3n8eGJwlUQ+bhtHQkLd4jG2SR5bbsy1K7cNRJ8xxNFQpQnd9UJarKWZS YtbhCNGG3pjJ9791//b0vJ79ZnjE+8CBCBvJI7urWJ/4Lr/PDWYZOI3M1irSZ4jj1oKieI8KlaZB 6RRcF+9wLJo89VKnI8qxzY/45y2ySpeu7gb73gI4ZbL5czut5nXi/PUVtTWblnMOv/8E4Xn0cqEn i91rprRPhy2YFGaXqKj689BQUrnFr0vmjwQjPChOR4BBkvGpBBr1KO+RfjLX2DR4EtqcbDc0FTCN PebeUE4UVm64Gd8/4pHVYkKUhQ7Gae5M+TJ9hmtEnoJUZiuUa6+cxEVgsOdixhjyij/LK1H1t/NI KBJPCy79IMY8bJWsUSwAJrgGKqop6zBHedkK5xekvvnUnYZ2DItK7w5DAhCSjJFLKi9QMgX0ox22 NCSAoFa1zvLH/u1fNUDKGNx6LY0upHTAVxW01m4cu7dJdWhFmjptkFs1oCNiblwtGNWtuf+jjIFU rdeiO7bMUrpsuHs1gx7aaf5fdoLM/4wDxPx0o2kxt63+5vEM6N2Eil1CZO00MnNEl+znGCziCPxN 5IrrCXC7QHilC1Eea58iSl5AWvFZRrXMT63OYGyJca1oB0AfFhVlunNXQJLlrG5iXhZqhZAx3Lkh dpqr0jbZQ3P/TdREpLkz/eW/C/UviUKoNm7nsEbIaxDFN9Do/AZSlQt5w0L2IheN0o26ldlhPEIS 9nz/Ys780xaMFu62c9JPgh33EwMyqOPoPm8vySy/CZY7t801iyVm+YCxmTQ0vw3w7eykpkYo/lHB Bc63+oRaDJ1cK6+TdCznU1DtxxvJ56nCTOiiF8Tuzmu05JLH8Sz0+AuFCBRON+Blw2Qr+75ieVZs kqBGaS3wqtyvC0CJdQevM3cCio+YlbZ0o+I4Uemjuu+PHNW7WTU5NgBoiOJZXlNsjq6vHreUE2Es C9Kda5XSTGla+8Ap8QVYVsNtYxqQKAX1OGQqxwSRQwi51OpRpnFSPe7U3smA4lkITi5G3xj7nGFy MlMoSY3hbk901FJe6baGzAie8wIeVBJjKXcfMhoG1TfRLUdL2djeR5p1R0L67r6+SeyNKsTANw3l Cq/yLbJeJQegXT5kB4FCPms0PYzoAoZss3E6zx61TFZiS2PGpzsNLfok83yBgipY0YnWw302O50L P4jvE+W/qmpRUjbmirN42ivAkkA/8WXidAds4hTTwagvID9Bf9Af0FkNdsG4gN93CEMSk7uuvSCV kERjjlLBF+OY377CTmtc0ai8Uqeds2FFaOuONdQ2NOJ3PlDvWmYSGS/5tu8ryOycOMED3zce/x3F PM6Il9aI6p8VjGgIE/Y09iQpIobqfZN1wXgrIdtLVqUemlJZ9PahaKBM6KnZ+jrElttjO6/Gdv79 BJRNHhDtxF/szuesVsOH8g6iAMVb9SjVc0YH975EfKeu6ENPQCEKbzakuRoaEgSORDfAblac+qS3 dspnvWCL+DsuKPy2l3A/Fos0K8qE3vfgVGUeUd7FTzRUt7NzRNz0A8CFOttmqRxYPK9GGoAgDkVs rtyzn+Y6LsLSLswp+uR1jzIJOkinIY3ZYBhhpK9LFRTWmLeorScZ4HVrRKIHdWgOlvXLdVH7C91p E7H6RkAb4SVecqRnLpSvBGC2oBanpu8ZnX8jPZykUKnxMULcIwvelPWB1P1JyNJSHztQtvB/6nmv WAvVAZ4J52+w41LN57ne4+9N/HeBBoGnx+XrZiNNCyeZvVDxPS5/6nSYAjlfKjYZxHKmHY36Fu/2 8HIVjPjoNcME1SU3xeo3CHWb4Ab0eW61ki6wf6/mIY3WPspLaV+87WBUS3/U22XYrVZzjKelcxkl JAB2B511W6n8t6v2sTs9HwG36eDklETqJNmtl3QxQ9wDi+D4SVEROEjtRqLfOUuoz0LY1Oorisqe /BkKDca45e4BWTi/yuGsxstWFcpwxmP80LvuZNJ4b/OjiHsPFBHIsJBuPgYziD/l1N1axS99H/j7 0KklWg42TXT+CwbkksTHyzFlxLgaoIJAxl1JBdpdvwBoEVMB6+Kcl5ni1CDQycyh94BZ7B3M3YUp aIE4/vPShv4WWYDzqfW9pxBbFTCvfYjIokQsRXqr9rGJT5ht09S+mRL0xfi7Z1giH2lTCWHr0bUd JoFEX4CvbpGy+d6h6IrjxuqFsgnN2EIOC3uv++MiEb6ACgrcH3IJ799caOi9F2gbMxsEQEeixstu aJMAqMMSFRT2JXmw0tKF2r6Ftj65GVQU0Zo8QV9MfifyvEmBT8UFc/dbGXCcRqGvcUh6a1ZqHzCl uO7P3059okST6mUeu8zDSwH7nRV592Qp7l76f4RLzfTKoE25TCZQ+SpgpEFXCRMoZ7dA0PxpT6kW Gb4MwXJ1t3owDF62Cpm3yUktAHqTW8iYfChj0+mTBxLV4sHoBur5QBaneOoYgZOcZ/gY2di4MQ2P McXh58EdcIMmtVJyHMTOKu9jvs/joA1Djpq1eZq7Xb47JLn/DIQ3vLBDxjyHl5kA8UFG/7qRF9L0 FRWhBysC+MuGaU6A7tt3vHGuwueUnjmEIzhW1vdE7YAwKczL5E6lgC+PWUCHwgMtgefehZ2vryH6 wa8Acyf1fIbXnBmxwNSMa3cUS3u21uxj6pwEYcEuaFOCazckxANJPslC7hero0P7XnNrtU/l60tF mO+kxh/oFs1LUTgme8Y1LO7T92kwDS3BM1RQNHsORH32zI8MErl5stppVnUDxxeHd9fPudi1e9ck 34bqmMAR6Tm8wgNEBdffRZL03wVlTf7m45UY2xtOXZ8UfJ0AeXqgmgwRUkhKKXRz7Rn49DRPNxNT hfFOqZBXhOoLUUIBsnsklWQBBXXY0RqLo2HK5gFK8ZjSBBVrV5e1C2FnvRTBqrBVHkKdRadP4soH EWIiEQYty9YnO1C6K4mHOAMWMmWxg1FaQVa8dlc8fFcpP4lUanEEsQbxIbI+2DXuw+aiUJMHG8Et zg/RTHxHTzJcgX3XdYXrcoNGCUPN6SEji6UQbWH6s1JO8Zrm1GHQR0xl3fqb8W9Uv6ntHIqbJyCk xi0mw8O+eRmPBO1ocHWLaJCGHuYSqdiAL8H+1NPv+Z1lkc58ENiizau1k7+OwuOZ0m3/3gho39tS pisMntsvKxRVYA913Ve6Unm97LjNJrAibjqrFDad9GnX1p0DfVhd94os9iQITSwrodnFaMwmNVZA EUssI0viRZq5TLMEpHH42riH6ZT2n6NxEub97Ok6VyD8CD0nis/6sDG9G1CsmMFuGzx3cExjznDB H1vhUqgk3YmZZfoqPaCM71hLDE4PLZwMufrrSa8nKRCT359V6pZ5UWJrkiIuK0LRB/qmozugEodR X3/BVpEYYRDuN4p4ZOWeVJVt5PKw2ICY30fhDuQz4yxldpiSwLb5dw4FgaKOG3onE3ibhxlYwXM9 AQ7c4aDLhWTcCihp783rGG0vcZRM9t3qV48YBBvgIGuSpHSDxQ9W6hvhgoYWnLWrBdk9mgnfZ6r8 2MwtWRGrGeZ83lH9Dyh4XGsPbDv8FV9pslIRBH/vPxdSXnf3soaAbqz4QXgJ7JJyTD31qm02ZSNV X0f9x43DZBaawULwcDzMjG3QoJPlde7eNmbHGp+GjGqN4+v4n6U9dypBbLpD4V+q0OkVKLfLlZtN zrL64aI/SJWzFQQ1jK7/kBtepKgrNKG2srytWd0o+y6qjzNq9p76ldresu95DOllmSyAjYL93GrB NdofPMNSto1HlRtS4gLlYC5ChnxaPHscpRfvO8dGSPzcwNhI9HGl1ZlWIPY19dkF33YJyV0fUTbF SfURWXzIN2P5qpPKQv9D6IRS/SKzYdHu9GyEzd1UbMq1AXPgQGwhEYX20/wOWg+GFnskOXQ14BhE L+TQ7TC5/tMApVayNGjmb/pUVC4UNUD9QFLBHnm9l4JBYOoCpq1S7CrDmrjL/MEMstPL/G9h+Btb igr14EqOI3ooPuSNoDAhNjapjwFfM1JOI+KotdACj5bhRpmT0Dc2j9cH4p6yXXZu9YI8fEKnKCZ3 st6dW/sSdQDiApZKUvICSxSU2xXD/MxL9KNQpeXA9F3ZFY7S0NfKQe3ou4SSqNtt6OinHtBf4Bjg snPzMdUzIvZVTPbRROXBkX9J1XavfIS8fBCutSiBMAp1Ts4dHds3UgJgJ72+6WkvW772x3tNdSZ6 Fyws05kdKl0Ya+lucQ8/oYxYpUhxpO1WdgvxtZHvicizDLKOejPo2kjzbuvRC9dLPTdknGhIORv4 XypNf0KuFTb+EZ/RAwubuzNCE/5sxF8/q6KkANGTRmiD1qlb+8xzvAOd9nFgCNiKR9iGJqyy4fQH XZkSMb7gMoDfLSqOYix4a2OQvPI6ui3bPh9Bebq5XY/cpvBUNAVfzQmpmMFWZcLiFWJ9OSRG6RxY FGd3E0py4EoBpiHxQYdrFQV92O0ERTW+FAvQxIglwGO0NrH0jKwErqWT4cwi2PO7dvN0ZhhlppaH uSMS7rZLnX8CzKb99ANPL08xGIJdpvkHT+afgzCWzkFV+ae34VDJPM7LHOE9nQya29VGB1ezvroK 0okECRjG0ys3JaW2v5+AhdvFRcEpyjWs0WIkT48Uo2QjyRaGVRJ5bGhqP9VWBG/lWvFZ2cbCzEt9 QGf7sMQytwp2z5h/859WpZYac1vDSet+/gfDcmEO+eKRWXBIwSWmCxDbBbaq87LpW/3kKJsGJg7R K8mrRSmsDQqK9jtkWpt+fm0HRdxqJR4beCVRulVsZOUTtfH9Lzbd3U0w/b+5iib4v/peOsUcJZOK THmld+zTveyMAedhWJTq/WdCkYwKImlqb+M+AqisNstWebMOVIkMhN1+DyJO6Boi23R+VhxZIRd7 lg2zaPUzRg30RHzQSy8AkeqXF2hSaaZCtA3NIkduDbFb6cVP0U9QqsK0EnB+0rAlzmtTOobEipwA va2EQLDWolG7Ch46tJgMshyFCBOk0s74B3tB8mJjdtidbKu4CXBoIaepprWL7bGbxmK3PM4Oh/+D 1k9opXTKtzbMWhQRteIfR+lfGzhRzlwoXF9RWJePKpZsYGkcAJXNvOnDzph6VBUwAWsAeIfTQXK8 6Wt/AJCNZmpFxL0jVkeIq1dM7EZ8ARPYIIAfqEbXnShRFnq8E3RTMBdH53pDAlGZOs4ojuE47rgv RrZXjRHpvice19eGnjZGZT/yrxrA5BRe91vP4u72RduSDEnVr1V4/WP9s0qtPwH09/p79VOMEol+ gNHeHM3SIHp8nVWCJhiWRK2vufO1dxo7Wa6/o3HV1Tcy+cyff97YtBO5QshTpkSdGgwFzYOF8l6/ 4ud/KDR/MUOf/N+utVZYVsE+Jaskt2VzowVnIue6ed+t4ot14aSzTcNIGbZyINXY2Er0YzdwfxdV HW6MEfWJ161bxOaZbN8tWj2SAec4Xo/AQvg9NifiaRZc0Rj3Hvr6LKqNSI6F6E8tR+sas9lmCu6H dz5PXwcu9QOt8gg1cyF/rd2ohkXywu/wzX6Yj9u/vPnaC5WXMs8h4UHb54Zqo3ZeEIukx90AvTaf 7kZwpOo+Ad1Od2o2QciNnrgA5m8IAjx7x5ZkLeqba1piFidxsEayzzHwMPTyjbgjG35clsNbs16d v+xGA2PaseypGPhzlA+X1+za4NExhpXN32Yl+ybtJu0wrzIQOCQLylExfUk/vU2xfGpPdOXbMVJm nq2ZaqSKFvYhuvr/EEbnFi8blyf7ciBzjJ1gCn53WGTJBkKXwHE13UI+Z6niK54TfROx1pFLPfLc orgkE2wOkWb4f0WQpyP8QSmbQpVRf2uiNw5PbRSmz7nu2yUvmDE/cGD59Xf2kVR6Av0Jgoix6X02 Xy6ejEMtT7TYxwVu5VmmFt3aRVZnhXt/LgD3yVON0HFhEY1SnVN3CfpAHXi/ci97laZbJlTyNPsy BoHKylbdbJLZjgKxZM+dO3dR6qLS8d7QseTceo/H7KffMCssgv6GlN3vW0UQd7grKrua9Y9ncCmu H2PURWnuCpQXgLC71XcotgZycglBOYb0ueYnMrZg6mGtaCGj6j1mtOPNC2fi+4Aah4qMP5IJLaPh 2EaZ4mjffnqLT9aRnU0MKHgZNonFtohIpQ3AQFEWlvE5Q8v7FpqePbqXZVrsAw3xlBJIxvASf533 /JnNTcMBqJpfprITuqvuzhPTaeEg2HfIZDW0WhfuunNDj+k8sa7JXr/twMB6dWZFfMEmuXNSEO25 utxXdwSx9sJqU/ffxw7OazFlbfho47RI9kLa5zNseEL7T9HSeJ9G9pl+1KucQ89nQWih9MQYeTtI aSsXmX/iqpU25VLJ3Av+xq1F75JmPJAkFnd9tpw6Q1ILnpWIxp89g7N10ASnsw1NOFJ08BUXAblA N7DfjBAz0604m7bZxdmR6U/ggI4fPyv96kWyJKNhFkE0W7Lc4HrUAyPCDC0HiAu0yMUEaJz7H6mX +77/T2U7Bbv9YjDup3qExijn4rNC7nOkyjg36Tuq9cz9ID13a0S5yw0pMpPObTN4wQCF3e4aLYwN gQ/Kn1pBxLA3tX/99PwcF0P9Dp6dIj/y7KaKc97JNyucuZbDn5vvTVpkeGlvnzxDqlEuAn4t6IOn kDH4suwlmFWF5DJJu0NVAI11B5HwJXwXmaBdpFuVh+Ar+E1HDjE3jOvoHBFKD54R8d0GxAKSGK1m U4R6Y8utTds9gW2Ufjmyl1ILYN88+gHhv/g1hWL3IIOgclXb78QrTFY62ZbagLg/y5J8Kz9x9NEz t/SxsTUUtQa2EzNbdxfO79VjupbInafcGr+QUmX594wfmfcYRG5lacYoP/s3+yp5AYx1Oi88HC4G RpODv95JPnFeLoeXGyuab83vwo9zqQWPgplVn7T3l9sSxBzqur4icTysDDqcmH+MLMHNjbgGyXfk 88+ODOHh/+UDC3Od4IjJ7cSBWn5YAqIUZVvk9mG0Vo0WbVM5QNAYCISympRzBZgI15QhMHIMc1+w +RdAd65VHTPn4yo5BtgENUPmjHs1Twa5JkZmBSzEf8w3LiexDh4C1Kh1VUTFRIaHlPKGjE97kLcv 8AEVUvJolc58kOeSdhRhotbra9R734XnuTXgwOQL4VmMtfPiecwkxdwFo0mnUjGhw8pkpLF00AKa qPyAYWl4hDUlU77wnhuN7iCR6FhEpvpeVGuPk+EgIQSV+IRUbr/8sNEgfL2W5SYJEEizOwN4pruB bstJF/f37C3Ntowevj9zqMvPjZbcpF/m8Ps+N/9EJRPT+52KZ0M9ji1UPga3fSN3R3NYQQW2ZXLc 9MfI5YcAB535yQQ1sK59MugJwCqbyZnqB8ro1k2YOFhYiRwPE9sFCwJV0jOAkHZuNLw70DzblK+h JkeNBvTVx8po5YnNEvsEA3iP9ep/+Tdvm0m+GfddsPPrZIPVEgKlIXM96qgbGkECNu0lN6an/XfR uxupv7hP+M6mMzz9HQBmpohCX776VOeJ9EkfV/67ui0ex7IN2JVLLmxmTr+ztTW6I1aNQdIbx9iF zpT3JM+0emKGPRVRKRZ1xWIugusdXwMlr3J8o/A1W+CobXbg1soQRzq5Cw+FRHg1HS5plgT+E4QB FLEqHrlVBHUG/Kjk4zkCEdegWjDpxufNMgYbwF/xQRKUUaPZ+yAIRfwY+rkQhR7vcO88ZQnF+9ph 5uOEeuUnPGjB0mIxHfAeJ9r6rTewjxyIXmnyjUEqb+UD5XsiuT5/a78vtIpLOVNn1C6YkwGTiPeR kCbfMikY1sZUqWU0W43u0g3AnG6Ythikg0yPx+pCRiNECrWhimrNZmbqtk+FAgqTceUAk/Mm0MCj UVdyAwuapRnWr+uYBwlW1dAbZ/sm3ghTF/r+UwckhjKt2Gpn1r7Rj/DXrVv3cQUS8oMPj1UkRaOn +rCds5oOvjrQnAD7HsA3bdsiakeQ7UQSCVkSPLUeK/o0W7f/l+IvEK1bb7zHj8VohqYeCLlanFs6 HgODnUEK9Pjcbbgl9oThUv4B00oGAh00R099s2hImkNn0tQPMS777y0cvNEIrC0rb5zCzeCI+e5W Sjfl5kC1hmmXXCWUIF51Tn6xd+CupU0wqQ++KNdme9gT+PviQBUDJ7gyI4rIEQ0fHlP690z/JmXh J4/5HjfSBn33wPY07xeTucgGbTFsbnolQy1oqSFz9hYe4PQSnFECHOnKfce86qxHVlqXAfiEsLU3 IDrmQ5vkDWWbx43diw/v1qiIIANYXaaLvBriNoC00+T+HE3Q6ZLrcglHjX5mGnRVaQVjXKRpR/Ja C3e/9ObsrejWLjiaIO/oMg8+i5IVrj5kh6J7fIJs/dNRsG717hR8nBMOaZmjOATKVn3p1TcXk1ST mfAL/CtMJsJxEVFuRw+xe2Gf3kqk3rgFl7HjqrJzeo0oX23u2iMa57vasEO7yGBclMsquTC31ahR mkz8TiXALd8AhGt7FaMYtEwhlKKrL4aK5jbCz6aD+fled9AMi+TLpi5lIlaAISbpkNrCbtjdig/F 7nFNGqOg2H6QOOsorwBQtN060UcMfMPRuMhA6Dv0Bsu0DtVF1psR30qlFHyfHH4EO1w4Sc7+be0x lbHfLKTPUfY6O65WmHHs0L12F4mC0YanmSlCw97jA4teVC8j67e48ZYzVp6BLq/87pwpLwDEjqv2 gTUFVHh4dMkrxqnTuAbwynXhMPueZwapUf3poOeB9r8+VKHLb313x/3MRqFY6BO5rSWgkcSIENmj BJKcR9CY4q78VvTZw3cjgIGBKOBLvdedI/l/y0YNMOlWXR/tDAEP3yOAJvJknBLD5pRFgesWAePD N6smTFLX0WU7OR8uVJ1hhLTt649Xq+Tjki/vAC9h6a1vL9Ppke4AlCceJxWvnwuI81ZnNlyKM/B9 He5UbcG60DAHGF5Ab0lLj9AwtuMZlu0yIat8lghoC/nIexbMbF3xM9NG0zu4YuswIefaA5qqY1bu zTtJObLvENQlLfFL5YmxWvkYv0A1Gn0GkdTK0iblU5pYYaWK3PBQognLHPxKJ9gQmCnp+fCaaotH Ics93VbuKp6KJAIjz1vR+Pcw6KWNO2iEfaxyuwWp/8j5J6zVxbGhjLUre58Gqdwxw2vjW06+EvVN D6u/nnFVCmcjU45muqRMCspud1Mxmls6JWC2obh4DZNjM0zPVMEQ4w/VGczKaEIEzKVobLYPwWWg ggICZAoDLpdVDz6j54SAwxyJpGmEUiI2t/ZzNqF8kz2G9sSO95GlsjsjfXGit2gXThpYcuLIkcHk PTq3m33t1MZF8xiDD2xim6FOMs75LYE/abcwIs4mWG/JyqJlaxrn56KeH3WdNJJMu4aq3kjFmJW6 vnwj4F3q83XltGyYcgGw4j5wtTZ9fg8d6sLMuvq/09wD6gHw91h6KGooL4ERDz6zeldQk+wwt5Kj sHXtrcXMG5jmz5Bsmfxp4FdCQ0oNkOpbzoimmGiKDIv7mO4Uq+cbzIVFSiyixQwf/aYGlOAynsgL 5QaPq7BpcxyRnVw6gHtVFkAyIUPpiuTKetfhco0z+2s9GDO4LILIUBxyWthpq0T/C3CY3Si+0sdR 1scBnUskd4XZVeiIvtq+bOBW6RUoB/9gc8zqzC4KoTxZmc9E9olVdaJipPSNJGBAF9KXPdYJqZxU JHRLKadzjVrJ/abUK0+VvO0ft4NKVTwXckElED32ExhREKyW1/wUX5b7mU/9dF+UUnw3IsFR3w72 HIvSfrAi1xAqFGkVgXHMjrNFlhZPnLCNkaEaXTskIUdnWgMtHwPBNwnyjW4nYvrpzg7rxSDcUOOO qJzAi0mVQjtyIauMjscf7hRHL345XyDvUi5KRw+9m6/CAsEevigTBRs7wvf2fXvAn/bS/Mz26G99 hwrmBHCf2SE5TcXf0jhs/I5HTxBf1TRfvtn+fNhJKx0J+5/XNLBGdWiZsWZ/7nDlnZ+SuEmwv3Uy W3pnEOqBA1R4ZTuqAVrXQz+uPRwuUTahoZ1agBqjOZ47mt324ja2u4HUOVaaOCrAVOTcaMlKk7m1 4xZlsbbeGfncwDIyjWvdC4kGYWep3dgMh14XeasMbyhNKNLqwgmc3nTznIPBNB6BL3SyGxVLhlMA Xkf03+H8FCgqF+ZYa4UxKe5JYyDSnAPMhRO7FtoQFvN7UaT7p3g3wHz3KoHhl7m3jbpFmB9hJTwR QxQik0qBE+2mb1qUyHJJEoDFFxOWYH/OHkX2Al4vF0adWe0KTCctqRdJpuEzdEVVll+mcimreEFh h86BqHDLMhl1/sorrhoemUfRokotVyZb6BhexcVvR3duOp8bU/hJag3rkB+4bfbLil36jf/F5zET +Pkz8bvgQvYuN1+296avh8VWVx15TZJ//pohiZ2v2mhlKcuJYrQ4KEhGwPCh4eoU5xOG0jaeROWK s8Oqe6r/rgs92v87MxzeTToMiT0R4RSk6TecWvZ75gKxiwvhiAaFjiaD3rodmjYohATABC8F0Bpc zgv695VwVEGkp+diYKX3UD5Yqzs/cuodIZ8HhDIRoQhdwq7VMRjbLCJ4RP7+4kB44ZEpQ0deAB7m p6g0gDfpi8ufob0/LYy1VBKs5/2K1u3NW89yGfsnxELB+2szNay2wfsMD09SAxFJCwthj8PTCVnS 5MT+/4XBld6U6Og2Uyu6u2Vn9z3LBMqvDLuT4+o2aqfkd6poLpiskaelMaIbiOqbqX6e5Y8onPtK zPP5rk6yB+9wmwqasfumzo/MXB9QhANDdU51gPOhzUxW2kECRzoRYTIWr/M5rWJuTTPp4CegU8P/ thZmoI/S4FKqDy3zzNGUVbuf6scDgUbZ+6wjHWiMmAv1qdYSahBtJsGpv4BV9THNNGVME5R7QKKL NqtXaWskY65i+gTs6xaA4Gw5wpEFCucd8aFu6OeO9AfR6rZQVbyIcwLcNGdUlRnphLKITlZ+Xkpp 8mZnOfiDI0n087Kh/Gatye5qr1uduWX7RgnX5xNtV5m8zD+b9s4TrlNWL2/ncZGuXYLi9GypwCKO WYc2dy38/qjgaOp1xssto1i+vGqT6Sc51uiEaQjfY19kopd7LUQkDm2jeLvVZ9WiiGHoKJAS6wrU dcEFOxzgAk4B/ul5EhXwlJlyX7bQdEBdLACK34+/k6/4LyAq5CpXU30QaWeX7NZS2zp+Ttms+JU5 TCR+HhK1ruZEkzfKn9OqWkYX333tXSmOdd87XQ0oV7L0xTEejNSrlUTH5ZmEpxTov4Uy9ZnttX/A 2/hkMGZV9SRMNRKb44jAFV1A/pgBVSN96L/MmQIM3Hbb2yHYBxq6wTkpDEMKt9Zse9D0m7lcEx7j ROW2VH372mTfV6ygbngmIllG+e9T9UI3AArjLgWo0+Md+bFU8oDalEWt9MUO+bl+ukURu92+lIv8 K/eVQ2Hk2T/IIYItxyB8Fc4XcJ/3PzzSpYqdoOrWEAt9qvBPl//68o3FQoV5y86uQrgv9goBe1Lf UzAV9G/cJK2pgTPKM0UFIIUmb+lG6U558Y+vAFCkvHkY7QqQcPn2IGJXMEGyc6bgmN4lJwNTtgu9 BZxMx6TOFa2nFsbot+f0k7Bd5UYTz11AACEYB2bTOG5VoE5ytDESi6CBdkQMSfVke7dT6ZT4IPKk rD5o8RYfeOwggjCc1fqaIoqzlkjdxXOfuILWiD8lnj+jdKTo1B+DgFtMX3pXn9J3fczuGHqA8R/k opjvE9fNEY87t6WMXFbQ+ZpBVq4s+Uxoj4dHr9DVm64iI95TH3oDe/CsA6c+LBfjeEpX8QscFJuQ 0O8V2duKy7e+6SWq5l7uwqRVxzdv8tK9CV0SLLlm65D3hukh7OWkdVRKoBb0H2IdgODauNo8G/Rp Sgf0f680Fq6yMqSvDEH+WwIQ/rP7LVnktANKcjAP8mCiHXykAErxVmqaeZaBSVYB1wb5rhND+eCj fUkmlWbXBMfhoV0SY6/bcM+UL6ZuiKDYaF6d8kGThT2+gUjfb1PUdjZeVOYj4g/Aju3+nQpvJkp0 oUb2J+z5Vv1U1Kgkh99vI1281bC79gqJAWJoN8pxMlr63GBN9xJjpiayp8TRVt6r+g5ua6BlN/FS OKaOwx9PpkMs/5uetmZblay1vX1VGrl+IZrk2xj/lBW8x0v8OSTlumMCFeSVBR+LEpVeYpAdLo5F EbfxnhA1PbgFtyh86LvS+72SbDqSsoV8LNIYqIJ7DWsvOOPJiWA8ZjL73vr18TP+baPzQOfNpnF3 Z5OALYvtwTaLwChNhnDEv3v/Y2el93LMxaugOGFtlhRIsUSrexHMNfvnmiftcxxEg3JkQ+GOiQBd 2p4NvVUBuTYBot5gyu+3FjYLPkReTzHdAKVQx2fZUi6ZM1JNiw6+3PyprRyssXAIj5yhBpwqLc8e RW0TVc94oeecygzSdnbLQGNzyOECxXwE2XsiJdJW50NacwaK3+qvDqCFzH6xkUtYRge/HmvZ/CHe jWmjtXDF9mPAih/keBL+viNjM0Hu3EpzNAsh/xiXpSeBZ5JdM+bn/QaEBwYUiHjI7LXbaKOuy8Xk zhMJGv0hD9JoTPj/ePcGgmGNLUxRmOzVMqiuc6Mq45qLmsSUS7uTyNQJHR9WtlTOOZhHduQ+HcNU FZpwgzDePrhC3WlRWu/hHLat5mskOVQ3F3NsvAoAfaFgvBvL2JR6fztcJQ/k0JKgCkgean2KfZzG WFLz2+sSZhNKRYOvHcHTpjMXqfrJkIEf+7bgtbjFObeT6xIrZMf3pW0859gecVIn+z8c96U9s6XT fWE+/ehzN2IUcc6DFBTAWkMdq9+vAi6NVfPy7N8meT7diNvZ0ho03Y6PdlNpymqFRCje6kGGSYuc 3mTvHRDFXiYs0PnhtTpuUKSmNZC0GBhbjIJgk1QaiwsTpKm6F/XuU0nTX/Ka92LgYsHDSolsx1rZ Lhx1nH2J4wrt+vEqVsTm3ngsXAZs/3jJDC1xh2JABtHY2dHBD2Mi1Pd4v7inkUsCO7z1a556inEt jVUNFl/94vTg4hCdJqiVzMj5lVN1+6GSwji5qaL/hpVpOtxd+NwIMrcIjsDpCgZKXDSMokQRvNiC 7wLTU9gS1+kS9q8DZVPL3lMak8Y3MFGK5KdfCYpnWRmB3gt/p44K0piNWEPzN/aVkRgdet8YSpME HNmvicOlbp05Rf+6A+Rzl5JNw8chFo96ablna90mSEfO3Cg/KGRG9lLwfEtzm6CJ7cQvis+00fkQ EJrMTZavcBUHckj768qZ1i8LcFdCbSBPOzaJm0p4sISntzTgIUy3tNYRiFTMooU9V2qxSWPXQG98 b4kbspBdsJz2X7pHUEcYWVgjVe6s4CumC/GgxSXu1r8dICUW9KsOjyOelltDK074HAZe0p+YfOQF 2GjmYTiHyPXRfUvUShWqP+VWD/LyVW4hCyMiBGVZpnKaXf9Mau3g7C+I+wFzGT9+w5YHXDs3wGPY 9xxpOzo1Q+CGJZVaB9mHyaq0Eh5Uot/vxPhUEFblYhldt5Vs7lSR0yD4REF8sDcNnVx47rPAabjG 7yuKROD34ftJQF8c1MEtYEPwJ7pfGkPxvLoJcB6ebu33jAG+/Jcaw8GrP+HRkWMYcCQU6VeQveSk yOjW3n3X8eUWjL/jZSOyV+O515p1+YPp/8PhljpyVwB7kFfbJCw+fHnjVcHqUU/hPzzBpNfzrmmk g10bDPW8S8+2suytP07+z3Ja1NozDU/Uc9jlpau50wiNo61RwPDtns0swWOJk0Jdogc9fP+SUbJZ yt/evyxImwpZZDFNNynklpTQmHjn1zBB4zTrPilFNxw9xGQTqRo8sgKDDNgaj3RrYI8+sN1D0Oux ubvoM00s2fokR6c4sHx5YTsRHeVkvBY1YGY/iYBQq3LMJHDtZ5sbSMDuT4uA50nR4mv4V3umzEwC DosB8bvGqleB7VPfSWJ8bxOHMyxDaLcyOwkDuYt20LP/AxN4exZ7w9ao89o8b12Pn4fWbfsj5VBM hWzm9vtUwlL+BF46YACIuQJprJaDJC3FU0HUuEflvXZ1OOvyljdOFAu1KakHpFWilGB3Ezc+W6wt PoVm30mQirCuLH27dN8tZ96IvZ0F5mVQq4knvvXqabZP1tprcr7XAbsP8k/5q3nvi0EAOTNUC9Nv cu6JGHteJp2PiBagPL13g8BSEncpXtDDBoV3BYbNf/RyeyUHxQlZGoPlH9kBzl5MOqjrJdbwc1Zb Sh4UcCTKrjKngLS2BSTVfWkqE0wea/cUiE3YBeAcvjnl9J6ieNkqfvJnrFUkWFhQl6+yvfbM29EY U4FbgEb04KignvZJyDHBbWHJGrUWOQdUisa2UAWIdYPTLtVOer6ddcKTkaDx7VHP2+hZUoXtlKKH f46VVadwwpQa1rAWuoyAjwMMlY3wMHv41NFmzcEPI3JoBriBX83dLDdkXmtvLMLXJU6tToUeNbHM zsHxVM8GHqEOukT/TTOn4/NpvyboOIV/0ysFTGfYEHI76Q5kNtc3Dvw6KT/SpjI6fcHX7Quje4vq oWVXoStaxFotvQTmfaB2K0hcMPtH2xFvNdnsILdaYN/zm/6k7ha6gtnhUSkDQbCGwTGkyzkmGmnM iYuxBWZMphWlGespt8gxTe9Uu8w6ApuxcJQI/XkAjs3I/NM0mFmmtbn/3N98ipwcQsbmLSFsbmCj PaWlR0x7wNOpbfqcRX9rhANdOsotng2qhdVle6787cJMOJiiW5jlqaywWDVEYhUCmORcU8q+0lwe h+lxIBsPdlnllVtaMatbfUJAS5yIviGO2UU+VCngxWSlMRdKx8gcPFqFFFJ+hy6dPGlyE2rmpZs7 Rqzm+1QlpBZlyOyf8KMEm3p2fzVJZvdX+4Qyl9A3UIv0aApFYtmQcPTddiKJo0FdJbhpOcwnjbhG oEXF7CSnLFEDzaZwh6dFdnMi4sm7lMnmRH7+iFdK6OKSuaDl8wX8RKo/onIpLfQy/TI/6D2wrfpL k4UU63ZMyNnGKVUz1Rr1b05puN8PsGobe2KjGImk/RLzztjoFCdMACP4GfLUbx+He7TxX3KM+8EA YyBfMWRQ/6CAEoFYt+ZLIBQgw+iySSO98gxF3dj2OB2zkzG+Oz3kblcZeRAkrXYrf1wNmdg5YuoI IwnqlzsO75f/KjvUBl8o0WPu25vXWh68CXDMi6Z3fp4Pi1PefkqeMs10XzyFaENkH5BMwSM/gtp1 ng479Jizg6MANgt6OZ4CnbSfb4EU2ZQFpfQFKrblnsJ8LKKA5yQWF5nd2ajHZTxzuT0i6f9nRolR 2Wmxim5unCv0LKbHedk1fbYk6gZcFLVu5l+wYuG+1dULHvaYsDoL1n3jacHAIe6++gSXSRVGeOiV nWM+mBmijN2YyQCd4Zg0dUcRjVRyWlGqZxu6SfPJizoKhAwPGtT7bVwnRMlfRotaPUxNDFx/e3Ww cuwNqY3MIkVf8Vs4DtSZP7SITjqbwErrUimFIDrKIytD251KaN02j2iq3hhnqKRR8kHgo/MHe/pS xul8FJfxW/nBgIxU19HhUc67rNZO+4gqIg5armVENDqxbstK9WhOp5eXkEfhTKPXfBXoKsAvEvWo JF4DxhoPqgLoDKpdLbrTvXstYEG3Nx2qdrMLWBd1IVnUBcUCvjl4utna6uTDbrjJOwmBmN5W4FEg HsfXzrALxOzRzM0q/m9f9HKU1ihg+A3Gs2r0YdkMY/NIQOXlCh39cjEiUJuzV50Oo/RRvAXZqEdh JULlubAX3vSBADSbTNKyvn5qOx8pbF7U0w2xqU5di2oX/6qWEnBDAgAGrILW7zmxVIHc5oEbPbRL n042bRfjcyYfXJqs7v/J9iRxijsh3kERIc8ANlD9PaatWMNakWIcuGOO+wah3oUnCuBRvrm/FfxK 2r4MDX/QAWIvyYG5wbyrmJSoEntJDCccCYw7hLK29zkcTDryKoSA8wsyRJUNS1B1CCp8heapIhuF ztZGHa02vDGDoRU0bN1/W5qV+o6jom38afChhuo40348/fmJklNgfBW/5ayX34llMmRvXXAm54AZ xxajJtSnCyIZRri5Etnfkib/LJKuGTJvJ06cD00NhmzPt6wtJfNvp73gSEO3I0TaG51jCxvy9b9g T8vAgDSfKVRG74OaeZ8Yue2bsTzjY4U/fhyMfuf0jwbJ8ZfuCfkkl3nMFgy78pfj9JuEk4wPrAKj bl0vlhqiNetEFwr2M7FKrmkUiIYndSBJlSc4suZeVXlNf0Ca1YxzbV10XJJTthPQvdyRV6huo3Uu 11sKkr7Yrmt5uo27JpJlbXbqpBJ6BVxC9pwojE5FJVOVfndO/kBjgf2N3k2rXUrO1s7UWAnlS1SK Q2XZlvzFXViYrdlSi8CPoJDdi0WTJ8gpbeCvQ8tqW5gtlrzCfnfw9YsNZlW638/9kgHX+7vbbgRc PcH4cAjlacBbtanBb1KihPcuXYwJRjRPKiD/HyriyqdP/xvoWQPUgu/EwMGrXMY09hPIaIjOUs31 5jkPg7SmKNB60GKv7m4ty54jL8Vij/IP6jKZbyoP/jv4M9lpC7PMd5lrHL/nLNMlRsRTTP4ffmgV wGlQ9NCVHKRUC5HOD9A82Y7vrPQ0po4cQeOTuJKzzGGRwrh0x/BbE0Xkn/m2io9lVx2n2s1BMfQr 2156dOEf70gWFiNFUJupcfDbzeINNA4OjkeZEgRqyz7b0BVC6+moYFTrwumePVVZyp942O9duq7L DpBvrFPiATkslwNpRkLFmwKn4kP5w4y2zvBtjCLkSg14+L2kGO/tZPgPyTmASQIwmuXwgCPaJBU8 /76XgMVQgvAyVm7sep5X4KL7l9wiL+3IU9FMYScYDf5TboBGPOROaArXTXZFuU9TdHbsDTvUK5r/ Vb+ElUe0x95dExJaKjF90P+gA7big/PLY8dRwohZQ6j0lEPKjuUgeEzbhuyNogt4ysfx7IhGAn36 VMfTFS/oK02bPWRY9LctDWAudOA0zdF+3qfmH/S1+WeVypvL39JqrNjjZNhoeysAZO0U8ak0/oQa yyCIqhPJUi5ESRGfOfIJSUvMfa5e8gUL0jtS4zvFQBtKOK4QFp3jQLMqa8RpaXzkJFDfB6SOvpj+ PjFI/4rchh8UMM4HTh7CoEWk2yotSNlmYlhbeNIb20YpfgSLzn1CIBle0RN4+wat1KvQM0qo+BMs JdmtgsmKCOR2QkmAKZ8hBDyXvG2rfu3DLZ7KEnamyujjwUYL0XeEk5+6ytV5F3inaY/KeTXf2rjz tLYXYB/5ni06dI0BJAmiJafupr5RB/EhGxXfnoRX30Lswzj7hF1siEdc7WJ6s373p07rlOlIBe+Y EVf7n1DtkgojWU5kHTPkCgH+Ia9hk2BivV6XCFsz7ks+y0d+IULLEk++tWGTmF1c2Z6xqyyK/alt Hh8qQ9uMFgVVj3myywdR6efPqhSuKBouwKszL86j3XVwLKRsQTO8Tx5MU2pDH2K8/z80WsRj0SEM u3fh8Krg/b9I7fON10YYgsoZvPbr+v2jhCf4KWYXzd6kq4cckWj/AhqEaiXSZrxPyYFY2HtI6xyi ZViIevmkHQOrFic4m6bj9wO1RqVDSu8GZgPeWTY/tr0Lh9Byyk7KBDXutG6hIpXhdiUiSg+tYD6X LSjuSI8DpY4kd/wksZGI3FIUxOO4/RR3j8+tU0c5JY1UFDMf1TdzSYheXVeZD3HWeCu4nmSDvsOD Z7uqVOyW6kCoEcEKGqcE4IuKc2oRPocOaa964KfF0iT5JL9nM1GH//p+Q1daMhkeCqt9NEmrgb1W x821V+npr/xxKJWpo6fX4dmiGMp/m2rsrSu5HNLuhQXy1MbMKT82WqndgQhC81BiWcl+iVWD7Wae to0ajKXu4hKE+R6vPLdnjyLOZhYyqEGApCzzLw0K97BbuTFA1vtjGlGWttqW59TKEosjx5TMqM4W CE/5tVXf9KfW/FrPPuKIxqkF+3K5YBaHIzyz8hbCSxFR8TN2hoq+QDD1CKNsbKtqofqV5Y/9WR9G DGQ3yZMvW449bKhsb45EUkX0ZwoEfwWh9Ll1r8HisMg1WOpl9YBJSpYnO1GY8xd0Bq7FsnRt2F11 9UCFicOLsrqBrRppUoFENkGaYVNhzudYeE3NmbFomSNdxaE/FtXFvw9kMr6QqHADkiGu3fzT5bjy vjKjKirkJCS88jhgKhfx6Ue/rN+OBsy5uF0NC87cxWaWm3K6J7r7zblzKEQHMB5F0mRqfWVVP5IB 22RXqGo7wy7RGFtzLdpwgXm0XecUHXyAcqae7tKcuji9CspAbIeGWgp7quC5lGKn2Th/EWrbjsHC dcTS/C6YuexswCO5SmeeB4sCrIUgYDlTdlSa2FvB+o4bLShNDncoxn5JcWDbg2qaEt0lHE6oiI8u xp1qJd9GlsM4iGMaQ+wXUaxviVGflDsZQ96QLRuRtiOFwNtppWcVa/GWGgrpM2QWkHHrJnGz8Lh5 6CYDfSAC/KKhgap7ZcdhqF3ywLVClcqJBLJnEZtIHoQNdPDvFQsnXGJTsHhhjXG0b3GaJO1FwYX6 9OYbNinEAbxidc0I8m0O9gDl9DxRuXwLVRnTMPMyNf0z11awfgi09H3w7hiqagoxTYeTJVkGqQo4 Rq1bZ8YbhSsIbaTLlBat6JFwGWQ7picIGDBelRlO/ITCTs9rHDRqyLTMMJOPQ3NbCfe4n4D4waLj s5rSBve+QcIqErM9nlSDVLIeDJ7XUNTQhCNUFWJDnJEGMHTYkTloDv+9lrtOVSnJX24saWDq7Jdd E3RNh9WzdshXhLAd1CKUDmhtKdAf7Dt2xo84YpXOTGBfGpX29TLUXmHWSjWDDZ1nMY+E2KPZrNR0 8MCgNT5oI6JFuT2/MQEdEtdTDRdUoBL+uGNeuXBvMYonBdApAMEW11NbvCsJzVJt4ikmNF6f1pqX wZqAJO/xjBxfDMPpmr0H0FYO75+JQLGrqiMhpH5Dj3P68j55Y8vlLjNjKlV2+nWHJh1qkNHlayHW 7rbqXEVZvGP2wyfRvIsSpTu7OdQnuad2VLo6QjtHrfUiz8tjDgD6v8DPdhiVbf08A9b7Qto8W6mZ jbTu/u/GfPHwktQgiibgZ8adJSJmGwAju42gFFSzp/Cjiy6RP7V3p/GsHfA5MD8pIn1f+Rcv9CcA DeTz34GHueh6wOGzZF70/iWdvUlhHiCowvHYNcA2WZScZa3dmgP91bLSbqD3hjUnR4CjjiaT41Wy oLkU0JQHrEDsUzuHgs11nJhPdvOjhHIWwqgzSjwO5TJrjYAHiYvqr/ZagbVh77a+fydlyI3UkXlM A5yVAYgaVdJxSq0GXzPmAfbb/hG1bih30uDPd2Xp6631PMdY76k+fc8EXjmiWEfKVkh35vKOgfog ELlJuFZQqSU4c0k+jYwKx6Ac9DHMk2uN4/auSwaN3oINjP8z1WPkHMM4pO/5kFMzO9pj7epIVyyC SHwsM8+JhFk7toFOCCP9MLPPZ6gO/Wc7KXKhJM2BFnFbBhTChlKcQToGFL+0plvf1pklqV56Pu1c X+gw4E4aZDzR/lfk1JI3tGmNKGI+pCOlybM19mpNRSGazXfbmIbOHfySQmLj30eio64M5EMoA10u wWQNPB6htCW/rk/4/yV/TzhVoYsRIzqmiQiEpKwYqqk/b+VasZIHzG42kT6vdzKB/EPyE2lLl+GG DsGoh3HTwwnpXqBLPRmBNwxk0MdmTu1XnNQPDeel9uEczgm5yfz0AKA/kp5gFkSiHXH9NQorsR6O VU1UT33q3LYb6zpGM2MxrZgFlFNqL9m70ok63SmcLQRE7p12OVqB95X83/jRx6+dWPR4QnK5yk+9 +Lb2OSlsc+DxXXzakYrTXgktJ2akjdXg7oAd4K6m85uPqUH0zb4B/DZ16Pjsw8ljHQWP2PgqvS3U NJWSukWhgWL+I6l2iNF8bgC6wxczSb9jO8oq9Xx9nJ6Y67mhjbOVMjuxk//4u9B7s2ihAvXN5B8c H+KGzUaLuW3vkCQn9rIHm52Rx1GIFv7J/ot5Duv6FaK/sPvGzM7xALoezgg2Mh5wZ7iArwfSCevg p2bfhMRIJL/rJEtv648OzX4ViacmN79kZ2+ebWte5XFOxyKsMuIVpUsfUPxfvgz9+Srz4jx9CNkH 9Vs0NFXqq8Q9NVpz6iYQwvYEhkhIpVlHsgU5CamkdLhKxpBqG8m+zUaYOwPW1nam2iNSGWXRnvK/ A3DQpJGTim2SLf5h3mxxYbF8vAlQDxL+zfmMkjlVlW6kw9r7EDZnMBVjIP5XqjKPyW+h3o3V7qAQ /pzOGBqYxgLVSFm9tDdvQ+EqHPNZZejORkeD5met82g9log9E2ydX2eOK6Hm3Feiy+CCBeeb3X/Y q7Vo5MP0MfvohPXLdA8oOKS0wyJplDHkHlMHT7JhZJG7CBiWFD0YJLgeNQw7grq7TL3nTF43qqHU XpJBURHSLAN+lZb4396wMI5vm81yfqHgIS6cZQnZnm9xRjFrxZerf56G9iGsVNdGAskFhsaMR+kX rcjP/kc6K6PD7hNRW0DgiZRQHk27oBWGdZtURcLtugtZ51hAK64TmN/UZRbXWlrT8UbGy/cAHHRv 8KUESTPv0j2pCau5jH0jNSVrgCxkZpCbqEA0qEcdwe4KFkr3bNZZjcj5/gKAfZIeSNcbiTxwhz/7 zxPx6UL3nN6YsucX1SRYgJrcidlz2X5PKP9G92WtPYDZy/TMPFawiZivhlgp7o4G/Ne0rs+urcR7 141AcjnpBk+RtPBD4CoZvzk+h9/yxiIEE1J+LIoqr4EkAkLuE76qrh+DqxqTHElwzrHnRJ67EbcI ZMTtq5xYYVGGiUIFYx78o4/nnXBgjSggRbgV0qGowksX1La983/UGJa5y/HdMwIli/I8O5KuvLno j4Q+eQ31Q3E23Uc728vwGvbwe3pDuR+HqQWiwDbD4FfDw400nfCVXndjdVXf2sD2Sg4OGcKfG8n9 cFyXlWDtB0lzNNJ4q6lzWBErMG6bOin6WxHsQiV+rMbbhokAsV6o3GY7XAaaRjidtxFTtBksKSkh ToDGqyFzuHJnFxY1VlAeRUx94lfJwM41fUP0yigw3XaNB6tS+pG0xVLMAn2PFxIOM6yre5wwTeFY 2DgMrkzjLQwD1dgYVx4JLxhM5KJsU9o2IQWbg/Ix2Cq+jV/zA4pEvXCjQmRq0SScx5ER75o7CFPn +uXIy5Qz8aHnmWR+GHBnAOJJOnshNZYMGfvH1xcbLJrECHAlbH2cJJqLs372b63Drw4dgs13Br/z OQgnbe/modZyvXDfBly5YxW4lNsU+DmYyFmNTRacmLHCSrAl0NGKdXS5U0ia3xS2WSctJ2my3CZ6 BIL4sjecoevWf4ZQ8bVaQHZbfCH4WTas2AtsG8sLkzpPU9zfLfbxGSO6HXFOzlXu5zlDkdYNrbsC YJ/Ut6iYcuD4/gXl9AT/PJkh0SKp8MmjY3DjjEskEWUeX5Bp8O1gvFBcNih+1K6oYWz4VfsPgWDW e4v4l/IADkpIkxflPSHTd3nmAzOj5Rt6ZUBWW9LTyy6IyO0CJhSaO8VxjWWLcpxlP1Eygy28bQBN noX1gNCVBCIPnnL2I2oAkT7zZ1jaLV+Nw1vV3c1UVbhC4nJTnRXl1oWX9Wm4Lu+4IC8M7UuZdVJ2 DYiiAG8xoRFaeu4O/MfFXn+wQIag+B579Uv+Ja4RJzPQVS/MAIgRofRp8gLXU1ScQEaHkRwlQw2W tNWXP8FNwG8nXV2GOfdlwrp5CbOqVC2yoFHRIpyE5Wgn7kMgmuhK2m/dBlIqmiC8MWyip++QxQiw KL9JhlUSCMwTWhGJwdkoTBMCsJg7UFUkY18bRJpEihge7rmciGtfUqamgSZpvEPRFDVafpZdvJOV /GvQYjojJfIPQrddYRbJk6iEa8e8GEMUTTIlUE2emWgstXam0addmPMOS6KU1YlkXs1hJRUlVx++ 7UDHuetZhK1WruBWyQR6Y3BKp0Job77M4c92qVlodV5gBOmPLikezFqpNqjS+otocZPC6EOekge0 ADy/i0OpZew8kXNvSW9CUoq1A0S2ePZW4Z+jlBdeXW9xd9TT9JwScQqp79ZsJLnxhVzO//8pQcRT UUia1tPrxgUBJdRNEStz42DetmeLsx6hc6M2tej29kqosmoohhIGewo/U3ujsql7DeXcfKryIOzs Yu8BJlqhhmTVoNfyTyH8Pri68zXDTZs6xLkyclqLREBq2r3jVhbQ7tELjtbmU74pRTGeOHR52IJC bf6SyXoo8INtaDHRJicQvL992TR8rcSuG4S0prliPo9R7mKD/nizAYkrz4nW49xI74/3ln3FizYt IiCIBSeymqP4RoSrIVFkc6M6wO1JwVUGmfIfKhmmrt0hjZQjjhOP0KqBerIcdIZiBtHC1UM6Dixj ZYxh3QSqGk3huN2v5r0py55HU1ocpHnae/ZX0pF8LJeuN/PyMB1OH5twDsUdQ5npAFXvI/F60kDp sEbUJaGiUKY1PdY7m0BeObzzsv9LjQRxeyNLigCQms51QD9fA6ItIep/GsxKbAu/L4BDtRVc5SuQ mNpVfYl1nj9otMktJdn8aElcHNz5p9kQ+HiiyuWpHQSnueRX1hl7LIWGhE/O+w/IPnHd4PBHNmuV 3mlROQQByhbDjku8ER3Urws2igDHVKLd0SZJGPzSI4OTYjWEI/XSZEHBgxvGhZNycMJWsQwI3VOX w9h7TgoHS93u/S6UXEpC1h/ds8g58yxwkH+1LHtuA2YldsF9BrXS0xN9rL0yobUQUFkc1Y3PuUCq l7s/5p4JE1sEcS4ShJdk2m5Cva9gu6cKAN/k8eTAylh/qoQW1Yk9W6VLVoH4B0G/UZUvF4cUmacp AO5VVaXNfqkgAHZEnPhO1s7K55YgcdmSfv4ROI9kbEM9nkZvz+DJ/HsKNHoAxsbM86+vgdQwiAKQ HoIlM0usO5/IR7yRvEHb7IFBOwdV/vI6Gn6JCx6gZQVMSrPwj0/ZSvu4DuCdSi8+R1xX7JTM3X0k NcveQ0d7xmGI2wMRMMNbfZr5klcRA0S9mdgCcmobi8Lg1mHCpQlkIfhY9WP4odv0nyKW7SvBKgQv knvVh/DYhBUm78ROIEQo6diWn5sMix5x8UDrGpD5LoeVE/QBF+qJPZGzCH91rZQQJCzx10LiFk5w 1c51lpL43Vo4xEX+KLTM2qmj/1n88jKAMqSBtMuZnOC18eCLTXqkgvYIGQpo0jaBFiGTY2uU+hl2 0t3BkJT7QjZ6Dbk1yBX1XrVHE6FFlxA/v5cVwHOtGZnxNGjEjshrF+fv2DnlT7pEylg00pPd1b0c psVUhUbLkUH21eqjPkbQPPNwzEfMbIQwcUl4qUrIPGsr+JvX6TUh+QzaDGV9sc1Oj9GuNbhQRT84 m7E4xv++CPuE4Hd8pudqJg8HjWr/H4KJ+Nbx+c/+9M82P9C40RRH5zcoxx8iFTH+ZWIPafBeYgGv +z0Y0n/nhyTrHhUjPNuOL68Omawe2Mkijp4x6T1yYuVr8jbc+p5dZiyqKbOL/cV5hkPgzz5a1EAu 1xQF8h+qgwgABiQW9/0kCtpolId+qoxvJ00PZfYKEne3goZBPio4NmSyJcBvIjf+9r6AjtdOC/Uc /p9dEs3xJKHhZ0pII8+6NpE2WLOf5SUHeRf2LgrDXA16KYjwsp+mBbBktIu9EzJFbbtoy+ZhsApe g6lA4BrQ5N5X1vs0AmtiEDYm01M6XCFeTgms0uJHLmobM2NWxNnw2JI6NxWI9FM+PisQenG+iadL jCsSWHviWXiui6wjrcLV8X5XaPV54/+8pjcgl6Mc7WNj2zwm5VPH7KO4Ecnd1g26vmiFAWhn19n6 t7MtNoOjp4U1M8C7i5zWipgLu0NFqsy5MSPKxcpvUa8TsgtUpFUfByfoaqcllwXD1cxaBMUsZPF4 6HjPHYGEHoJv1fErWpBR4hVrieBNTgl3JU0wqZzSEl2p5S6qbWjlYRuQ1D8iPBYnkWdeai4XLpcb BUpNvQmsuB4loDL+HkgEJaSzhtqL2IQfrdyKon4ed0gN84edxLyRlq9jebePENBUiTLkMIx6pxcb kTGZveVc9sbGEeFOTv+v7bLmST/DonbMlRnApWOYApL5dHsg0gUVKOnoLIQfa3j4IjrrlvSnaG9m I//U9P0rXM9IYTrqRzGqXl9ePEJYEBgpaSigymCdzqdVmL9LMo6tGdtROfamhMi1nHmXte56Ro57 IJ97a6yhjzmZAw+e+kjBzHA8gvxV/T6faKLjFAx0J5d1NB3Re3bXFQQiKrko+84pM8FGF1abPi23 OrO9yo9u/6avVAYyzSLOKAEy7S1pMT9zgFMLLUoJHgvTD3fV5aSHilRarFKA1HcgZn4eVgExtDRo hj3Nqoo2LEAWKrvIh4AVjP7Jv7wkrE4JPTw2liydSIR+tYuGmZUFJ5mKR/UQi1gxbHmOjBSUL38D LRi64Aobs4oczHZ/DBRNWp0KBpVUVjWbRb32OTnGqg8CXFwgufNCRpn297+wtY2WORcAaYO0Trhh Ju7ibjEV5WoUxP5SzDwjYWYTNW+cnKqemLawDhX+niuHyL1geWvpXHn0WZsor/tZ5x5bzkq7KhhH +fuZ4oQsCG+LPIF9rQNo7jeOzAvW1JGIWxlbcyM9nKFl2+4j5MtjIsx2VuOGbIqN1CIYLypxbeS8 IAiVmbFc4H0gZawC25OuO1i2hapz0BtzKOqNNHfaijzB4yp1vu01/bEIHpAhjrtdIe93ZgPVJcjP dbZTszxl/iMZLvIzTjkJTnqBcQTXRLgSzidulK0Mqf+7Lh4ozBqF3KEXU4+8xMnM5n+vH6ZGto9Z Mzdy38RwanHXUP+i+KCMvE8wXUanRoL+NC86Iv+zRRiSUuML9h397I5VxjvKAsC93nNL7wvAtlsD yQTwwrMkL/VLWMghWJXHDRxJ2B2g0K4+TGimu7mTu7nT6JSLzJ9EXJHrs4RZ7d63lrgeChwSnX1u yxF01iAF0jzggfoGJfX5iWA/+vCyWDFTiFfsPb4Il9xYy7wlHdnQjhuZ6uWGacTEftHeESpUeWyt 1Yz1XI/YjxNkStIEz+6vH7pmm5vNjoFVK3f6bmIDVvrP6ctjlOUL3WrC6u+kR/QRYBL8EhOY1XC5 M0xcRpQADVhAvVSLFsOsk5ja7KZAzuTJJp/o/wKvt84E7+TzKMK1Mtpg6Z+qCYBPGv5k6T23/9xj zKYT/KQTLE+V+57oGDuKLUa3T0VXN1MDk+KDYE4QAILkA59kYS0yYI5DLfZrQn8Wl5uA8dwS83sR kTagoDB8MTSB6tS4EjsZH+qThy5z6EwuzCBC+D+E3pwQV2olbIk/HIOtIZAx0MwhEX7H83tZ9n4g QSDlrZxxz7HVKTddpXQxOncdR8Cxu7pUNKWmcRvK/WkHPLeO+fiKZQx22re6oh9BBKqv+1fAMOa5 ESWL50OSerm3E5mKn4gL7XsG9w1dqX67tJep0Zel8eGhJCaNsMrKFRSUYzpbC8FJHNrGki2MStiO Bi2VSAyvVTVa2AwzL56xtCodeRpWfCyfG+pMJStl755M3Y+F27EugMtHfbWDdNd+l4uthmxu3XEv e5tI6Fm7u2BSSa4YLxq6nWcU4+w0SojHs8YtHeLYlozB9yoASU5GdGMeE1Vr0GqJ+yJmgXxSNBvb v5LJ+UhGIgEvGPq4N7ChKudvQ0FWijYGQS+vzwVyEf2BFWnX9ML2f103mXJoyHsup7KT83YC+Xsd vDRzrocLFkjFaIusN8CAv1G3JBqKlieoW/OTUdwLFPYkfPe6+/gFUU8m17KVD1+MAWSp4kXVgHS3 GqwhCuxsD6az/W+AoEqL5XM+jHNMoVPe/5gdL39C/Nsve9OatG49lrU6paJc6UjnSJ5Q2hdY1/XZ tYvTHLmQffZ3dlcVDmSZu7COCUQKnoiWzNcDlf2at3slkPKIbGmE4N9DNMsQCGv9jdeKd0qF2MOH R/wQmfNc3ub7guiOuwdrb8tpE5Gn/9JEYOEsTODFmQs0IfroEBq5GvO4IddiXmLsX4jCTzppBcuN CNTeWxvyaNSc3QrQOJO9ylI3cWWz6XqQcajRYVdQg67X2dcptKkm0Xj0SK5yjBwgHPmdmuwKwAGw JIAZGPzryyeVztU9+3MUJpC/mbGwxwubF0ZyukWERqN/nBeY3CIfWECD/cCju2jWhfzx6cuTzQfc GG3+ojmtqB53iHBXhQOWdOqLSlO0lqjR+qbcGwcFtWbbPFujj3fhh/74QAwZpXibwRWYXcvSIbQf /WJdD+zIFgAKRKtsTLwT8GLO//0L8UfffiuweLTiU1lyEiRzo0kRta/mNmrXi/hzjyczOkC6AHYf JFh03Iuub71U6vNhOcdTu/icxpxlOBY7PVRu3Nc0BTElVXvkdmsIo5YLxsBDYXCI8HhJzHO+gvgB QAnoowcIs9PPHqC3EWYiTBLX10BK8dK892e506gZmiH8tI92MT4xMGYMFwW6zo6yvrVWfyPJg9HE 8B7xnJMlwkWItWneJIJ7DhuD8knoT8ZFvo0FfE+rWHaFJ5QLOBsoDLFssB0P9Nk6oOUKKyuAVVLh Ojhl9uYp0fAxcpymcsFTPp5kXGAwmZ0XrsMw9Z85zAOuUcdaO6lLlzusVaqI5Z7IqLpsG0Fo0Lns xwfbH+JrUleFPpCi4YJfXRIH2bZqUWI7KBqfoInreQ1y3bmwMRRNzyRAVzprMXc3nQM1RL/GMm/S /bPtUlJS/PXnYs3WRPLSE6Pxhiy2TtpqepLP52zm1h2L/h1s9QQeHmO2e6+LTyyWLO8+m+14v5uI MYmdOHX3iwuwDWl43JZhCslj+sbITDt5ayVx20TbT1eb/KC7YW0SQcovkSs8ODx9LgWvFdL1LtZy kjKacJ8f0LmMh1/ySa+xJjpUDL40uftS59LaaOyzdyZLpKRInmT1cNYKQ9mryzF1iWVkwT7chDPm LCbB99i7E85uAPhYwoiWHGd8c4ioenxYYFJ3n8x0pweyJa8IDZZmxldlw2V3jeiYweXct5RAS4AM oUGcLiudniKSsrNA1NAbHMajpBBdSugJIIFbhBFCofQnjxjOOHajkbF4Hzj5CUwCIIu9PfWFFL9x Cf1ji89neuTn5QriB94WAITXYIrzrzY9hZYH2cJBfNZpMl1eeDFFn8UWyIP+jeM0mZCvTh7P/34R Skir2phfyyEK2hZR3TENl/tuct8a/q9UEUf9ZzFWEXB98OouXkHRXrhpMXgrdRXeAggoQC2qdUrh KmK1fAC6yjsYP+1Zx6h5CDMDrmQAK2nMBXMiT9p22tk+wEARaXtmPC4Bxzno4qDhCBe2AFmjJEsp mRVvW6ld/A5fB44VvlaXw4UCgigyoJZTLMnKvHJdLemz+nr5zJiXqKy7ABaEnznwdhbbYUbW4HoB NJI96ejbVLzWfiL7h0RswrfwKaw7H+KIXJT8W02O+kk65oZhK6ZzFqg7S/qaP88eT7jKizS90xmN p2+OeUILi56Qk2lPuah6EA46iZ2x1UyGsQi+Qnlau4Z6M/ZXh2/NaF42QBEaUlIkfDelkqLpgqxz h2vYL0acz3fEAQ7ZaLcbI8WoQ6FLRkV9EtSvbYHE083m52sbCkQsTfBpizOwLU8N0CsWCAnqUxKc tO6kfHBaRNwMQzWUNJcaXYVHZ3n6m9ickmOtVSnkHbc2KYc6JuI15kRlas+szfKjMXfGVOgulNT9 09Ql7FnHS7SujLtfF0dCoLN1B+bO+Q1PD6mH2ZQ4IT7zAZ5uhW0Wi64QiZsCgMXTYd3BaobTKF2X BwhJyCoZf2UqzvO4gSN5UzIyStX041LihPHySB9kAdFwHyD2UuHoiHS4/1d2/p55xKoJLSGZ/oga nuB024ifBs/ki8L1LEWQku08k2KVaBQfwxOB1rEPWwAmUX150ioVE/P4TooAXqH5ybO94GgepSFO R9rpYy4EgTjR5yFlQ4CPW3P5CUhEwiFPpot7sjlgg+PsJ4Nn2k3VrwAobMlBCJ1uF3XQ0RJ6Wqvn Uxrhimn78ORugOdcipF6ac/UkWoUI51sqfpCeDBEiut/fZgfRp0xDVnFEFDTLLxFuv+WXBc0p/Os 7cDHSforSgYEKX87mnAfwgNTMiAFStHgBytO3GyFHsTeFOrMzha2+aNl9L4GqH9imvzCIHHd26O+ RPl/7+8eP4Z42NpYYgNZoC5EGISlN47QvFXFxlk8LVHKiA+xkmI9Q+MwZKtxHNCWBVY8EiPurWoJ 4hBOiFOg9/FvFv17JPx9kM0TmCdusUfPZbwX4utRB+JY8dfTGLvIPFh/HI3+3PoVvBlaqLzmpqtT ScoP4eu8HnwutIYVv6uvLap7i4RNRNxUmELCOHtwQbveluaCKxmGdcF9FKPWx3d1YR6TuLZJQThW YWScQ7StjhUXgxHJ+ZaiLAY2nuGIQiIH1mmudYUpgQD4PxqotQ8YuZtu8IuN1BTOcYaH5EAdrCHe WVUEE8MwlyOi/PbamImjeFXkPd4fvgE0twsUl82a8bkl1Z64V4eDp75ZjENcU5PXKZsA4Irc2l62 uJm9ZTbNQdVHrGvxpJwh4PIkWJGxgSkZmVNKtIOUMan/7QoG3IG079GelNcIhKlrLKmBm/5yRVn1 OWXutmWy+/vSkovXoKESEHVPk/3nYYMzJcXbEaqJy4v5BEKdpxAsgNvAMe+UHeemXfDjKT/iqX4V qpK1MBG0SdxiceIFEvgxAOKbK/OBkvu1+JdjGTOiXXXZF5fOVZ0sFGiWquQxx9lGgGQ1GcTNSksu jBop/r/+Q0fBZwpLbDmfFKlKz8IKVx+eVD7TSiARiCPWMI7qRfAk5B15qNKG0tstEcJAHyOYnyJD UUF8yGkEqQGVkAcZ/owiFicwLxBPd7ZaxwGgIBGG7ntf3HyZHK92ywzPhExKe1HAa6izhzgRcd3I 7QH8l/QtPbQYB6Lcu9JKLcJyRAvIrayf7cbRnLuZm0vUBD5gNQjAYA+dfrNGFNi1EBNvITm+sGzq beFpnCK5lzOtqQGruwjn664Tgajcn/zAeZKtXqwj+ZsZVncausLOc7RUNHtSTrBEIkynk1KEqIh+ mZwkd9gRcFDH6NG9/xpZF+6WB35D+VjsmV5Hy4I0mFhU2e/wIiHnMP3Ql3OppxglRedOW+Vlz7TJ ycvf0LwgZ6NFIVqJfPH1z/Ljo42n6ouxkHQ4iNxs9XiMCtMu9NE5s4aXkfO+kW0nrR4lQyd9JTM6 jJBVUljWV5mh1FPaTr9RkDPZdxsblO0l//fa0u4Xssj9zeQ8t1nUm4lJrqgmH5j5USU4dmwbAWHl B2ynmRJnaF1lSzD6B/848OGT8rHz0YbQ9j+yfl6UgH7aGJtt+o+LkmsPPJZA50VKHZzfavWgM7fX WWP2RYKMgJB5LbjmKld0+0XiBdJL39shIf+2lRZjwf3giUr9rmanUr1wW7723gLMghodNdat62iJ QXwegBsBchUWa54tgLHivFe7fgcjJKQeK2QBrCE8qQwHLHULJgop7UH+J7dNhVnKtgi1dNc83c3Q V8lRXJzgpWpwJnOpYiKTxguUdEay3vNJ+DyiLREIwfew0X0KkWnX0SHlBMbf4Pir46UlfEVVt73Y dYrF+sfnneWcRn8RJTNSjy+g8E67gt1uBvRMJ0YhLtXGOB4t4v12N4irDIxssk/NPWyukZRbiiWA iJt/ZbaFZmXLD28/ARs7zQEcwVgVanjbpAr8iCna5XOV3pKAdUI6mWyqNCH+F+ppda3lCOdYK0Zj xtuDKKXZBdLsSm+v2zHwMsVRQ8GP9YPbOPvfaomVtybPcEU8LsvYPJCPnIqlvcUyKZT55Skl9r9B 9n3DRph65597dVIxHHKNMEMzRqjwTDOOxTXmdL2PSm94WGx4TY2D3DdT78hJIyzLvYNJPxIZvlyg 26ys6Q/VQZwTM2ccfYQTF06RRflq7SDqMRkYEvSVOh8x+qlTlqy6em8TO1J5koKKgXkvAJJ+q0hd rp5ZF/DIral0RO4QjpSAmP6aCJ9gKFu4R06IlUkkdiynFOYn1pqafQyLP6haFYxlEMSvNrcsR0n9 ihhrF49kdQ3bujBDSHSZ12PSVvlQtRhBJt3bOsOpN3bI7lp8hG1ekPYm/K8Hq0A98ALsITRlXbRP rNfPozBD3EMdB+GpNtnjAYBV8wJvDSJatSqSlN8UG6prqlEEgr2pjFB0BBV3hxxUrwm7pMAOw9Sw Q+NWIF4dId3AwJ7WaWQh/S7U1YR5510NMpOFSumcKpCORJqFrXbDyEc6r/yDFqTbcDRbbO0t23+e furxh/DLGdgVMgMpKomeZWVKIAeoRXmfdleo+YdaCX0blLsZyDal/opV+BTP4Gf48RQ6kZ4CRfaK 0ziJxXMxY4G0zWQFTChx14tZF3rrdRmfrzUWsLvBTDrlzf8xeHMTw9A9F0FszE9gD1LHKhm92ase SxzTsSK6OdOhrHUFBdq691KT1EEr8L8m0h6uMVLCF5PNVIrQKxSV16rFNjs+8HwwhKoUlHUqtrFi MCxhokiBKYyXmVRyjl7SfLv3xdtDaX5uyIqCy4K1BaRP4ReNwnVjxORHenW0v+0IyMaITchWCEtV zP0R/fxle+LSagRyldcQm0q1SIxuRERaKdtCGyJ3ljRsettAuQU8FHGtVs175p1/VIPJZcUApz4m ilaEIFBwFuruQnIu25JWAPKV2OcsnY1BDbNTcE1/5pIe8UiD4gROGmJRzCmjiVtlYSxhPAdFlcXf WYSaixSbYx6gPNHeO9TSKkpA7RogMsRP9X3jAdvqUSD1FSfwlk3kcZe7wQU+gk689G7C6P3dvL5k 2CFW8jPUSTD5dglgYLt8eRAh5JTU79gqHavRox2EOfdHtW8BYr6+Fb2jc+dWsQn4nt5pZN05H7td cEV6vFjrwS+flrc6fkpDQ5XAbknCpsSNN9SVSPT7kYbxtljl4aBZ0JDpwA6KpDCyB6D0rW6iQ91Y Jb0bNbHxKlN45RgTD/1r+aNe8sSizTn4z5l4YDoFB1RD5lpvmG94Q51k9FZTK+t0SZlDwWBewVnr 2MVjRzkggdN4b05PCCy4Fu7eNPr6VSPfMBm3mJptPifvR+yQGdHDNe9T5sVFJhWPzPeGtmX/hMgp 5FRiSXOd9N4wwFlkRMjV0zwMsr0R4fMx6w4uTgoTuTWeKo6+Kxz9Yv2ll+/BsRhi6xKkVcrrI3li TjO92dgfwD3FOHeo2SQAGOhb9vGG9wdIyXzZTXkVeT+/ZxwrKXLH9juiweL7FnWRsemR3fID7Tg8 XyKsdjzpVEJ4n3AvZTyQV/ZnnTuOmkDypMNusY304u5LonW8j5MtuzWI9HQKtQ0aZUUnZQbDrwf+ chBTB5sEr9O6CkfWytx7aVITfNIZ1f1ioyQ8g5posMVtQDVXJOL8CNSuJcw/2qJLiL5fntC+xiqG TN6NoL4JHwd/Fht2wc4TijP+8+/ns9Yw2gQLomKwvi0swNllyJDdtwYK+9i6GGFP5R/b8ncsCe1p lK1xSRiuu2MeuMkhPzhN+RbSmoPRfklz+TI7Y8d2/rap55IIWVaCw+gLENaRt+g6rINGPF/BHOO4 E7mrDDkuoL3mAzFBL6axgyt+j9PP6/yCalGUCj6uf7oYAMhRBSudJVw6/LdQ+kv+ZTrtoDEhL+mW tX73IvG2Fqx1TbU91QosnyAYma37oBXsBXDKElKs36Vp50BvmDhmIYMQk0DxY0Ol/g8j/AoznLbL GemZZAGpktzYLpnHRHHBpBR24jJTtqPgFbBl3yyU1b5sneM0qxQePwwgt+Nt7R/oNPYPdi2lJ+42 i2vSBzGIFlGlYrPvNfyqh0d1QSYDu2s+vEycVWs+UL7J/km9+KYDO72ssZ4+kjoX+/OoLBgnv4Ip IlAI7SuOLJFW8QfXX8ikeLnUM9lCSLOZKJsI37L457aUv+vMK3NUicoYSdb+MsECsNnulXpqII04 aFMqNCjmqZGuGW4Iq+JpF3rJr2QC42BsA6sooNhr7kdvc/KUwugouWhOGGg4vAeP0+JjMci6zaSg R4+neTB+go1C8NtJ9Lcxdus55xNFyZbpELrvdiLzvTFESuBc9pIC1+cjuy1PpJzG8YMhyAunUOJj MFCzljGzWKaywwrkMRc3WVzByhTNONnMkQLOu2AvfBjzrpHopdaZ5M7qqXwYKlxe0YmyzlKF3HYK BwexpWNDEf1ARAD1yYkR5ts3w+aIBvf9Yl/TDXSoVdFSdd6yBpd6yeykqH2obmAMBq8gz7k0TSfz sbtdX0yDYOuBbqgiYYAGmikIaQV30ssN4zFJz5leH1UylQoNOWsEZW2OoNF063XVUGRXuQskWWS4 sZcYET7toK+TBP7BsQMXyn4kXCrSmEi4ZtzXcLn5ptl7OsMwtEVTO2caOSBTBh+gEwxqQDr4qEbb HkHmwaPeARvp+re1hZKr5qHpuSTN54POX7cqQ1bGyK+DikIPHYPCGvdNXNM2a1609BXUp6Ml0/TP xhXXTO3LMkqwX/M5XZFaH71r4KwGjldqKtBhQhhf193ouXPce2rhAifR1JnoDIwduQlo67VFzNhc Pvs0D20tx5jfklHHKB50cFsZ7pGlOo1DE1CQQsaVAF4BqekX7MjyVYAVZcPDvFqes3rz6O4U8act umjwclESt6KUE2rEB0SKwh81k4ilKG3NhhiCjh84Ne4g4N5W9m2w2PaqsktFdZuvYddlbeYYZQ6U pQTnMPLOGA/9O4foza5QY5kCFes3ZXK5n/NZl4HeHcGk6SpvK9q9rKfUwowzb+wKZqZUZij9olHX 5rlA2NbCURjhp5AIwKgvqy2LaNYNkhrofKgWXGZojTLBYlltvfFHFGHGNxsdYPPK4H5i0bC23r4R 11JQCFF89Odd7PIg7Yp0ez/kL7Q4Q4qq/9WiUcmkNLaxb2of3w6yS0aPt9pWLd4rj6Mrpg63I+5m 5AyGNFsE2D3cgvjTMXh8NfyEgoMVRB18HfGcUD+/OaMUpWpX46Tr7vJIHETRQ1EcrbMcxAwmIi9c a96GtAybL066kMlWx5vrQ9vvhzlKcKl4h4DYbJ21Q4rQ2OxkFZDlGuTVrybllpgXENggy0AVbIki 7EH3R+2UQ81mHAxLbqMDBfED++NRFCDTVRQlCa+4nDNiFpji2bqNEAFKu5CTLczwfPIauzNTCki8 Yo6aoMf8QzcUYbH7J3OwI6gez5lY5Wl+0Bhz3hinarErNUITO6PBE0Wk6eUpfBCUoCCX3QBYgOth 8zJjBZThAwRHjl7V1H7nmGj5Run/mla3s1G7wsw/q36pN+37hybmwWaNctp4+q3uGOL2DsYADl3e 8s/2ho3/0VlJQzuckssuOLj827AvyAkgHMwE27NmSFq/Sx5gJj0NgVzliGtMWUXQe/JlMiHisrDa B3HkVkB2aDLv7ikQbgjmeP4ZydalgH2EQASWndwXAk/Efue4d5sVHa31YaVzwxQ7sls+2/fVtxxC kA9OrdQj63LObvEfGxzaTnbfv0XtbSejYSMUuV/M0TBnF4BXrV8l0iRmD+BE88FrJeRPBg66O874 2EXIwcSsfBAQqE3hWJEk4LjEn0vL8k1gMEmvHRQF5QY9vEAxPEiuxde7stkiy31vAnKa8XewFeCc ScK9Mt+U8mChQ55k1tvT05wZuRJFAbxd44mQkYoS6MbFOagmdaAUzHU5iV6oBuGw+0nW0eoURDuR va/KUFjlFs0Op2rHx28gOPfLpa3R7+eKNprDW5XCG7ab7zltxC1+WiG+8jf+jV8k6+OhaKb2Hxup rOwvfrhPHKr5gfNp4KAjCIJKdgYwemhHk0lr2Y8N8k/2pS3nGq8khG1SM+S0Ey2OK2RdGA5wDfD+ P5mPajrNmkrHwLyOTVSJ6p0aJZkUbdE4nXsbsr/zJdVXqsyWQ3Cy45gEP3CTGYA2nkiVjY05W+wq bLq59YwBhl+gwrZvmtTijwHYUVRR4I2KSP8lFp2OBcR1Ya7mOmlA7j2ME6mtZQLIbMWZ+9gttu3b 8YB9tZj4LQGTcDYOia5FIHWZbQo802dR7DAOWhBPTYcjD4NpKQwJE7mIGPgUygHRoO6GWZ/KHaqz C6JgOQJV5dnK4AcF9/M/s9czVfn9CBtBTMp4/gxaCTdjczaUhRWO50DE7Jcq1apJAZfYnNUVmUJm 3pj7+sWOoA0BgpReOkvWni9ghtgz3zbjRYjhefMhnEP0ujwRLNPXgXk8Xji4exna3pBomHg1BwxB qfoHa+2GaDZ3C55bC0GIPQfCXxP2gseUhYzbkvjo+tfBt+A7DSrid+/W20NohBXAKU9wPpxaOqpu MNkxI6oZiCiKbDYLAmPPlrJy4JAgPiBA+QBnHet/AFlZxwl1uIThpQ5wH3nnGSY3bfv+j+JXsQgA 5W89Iv6cKwtS5X92rsAQRJy1IUobPvSLtUsoLWSewBliyL0uAE4J6t/Q/iEjq2s9BtMKTrH3WfqZ 2qIFqgr6iV5vdBL3SehIV0jvQ3ohyLbYGrk2j0Pmi9GH+v6OJofETMSNZTnpF4fJuDbURWvXUjQL 1GPel0BlXEpDPxEbh7hFaKsLRz6Z9FlEekvBCiZVYJ1YOZf3gwT9WFxdpN+BS1RGU8OtX9Pg6fB6 W3Xjh4EVPSFwOYXAQt77zCA3oiNyAdrtCVwrbmLVR76y9bZnBfU51Ry3TjPMiAtCksufpqS+Eb/+ EA+T7Gv2v9VrnT/gH1ZZmojCo7ehhK/Bd9QMhsmbq+o3wt/H2JfYIaNdgDsnvBJdSgSEpi0Qm5Sc T926dLMcJGODjLszeZf+4PAaMRKliHFtR1UyE99nnZlSPKb8AkVwDo5rLGtx30tbXL8K3iTZ5Uru JL1qnQmAxGYS+gPUZbBW30MG56jyifthx6UMdfQTPSDqhoX+rxqonxU97OY21yk7OpiwCjBKRZo3 cuRsYlwpGA7OiHswN0dCAelImk/ScfrLCScSzanID1jPkqTadOUTl3MYtbH1ROSZUyyqV5ez0eqp wXT+JOi+LztZxigC22seQmOiUOce6ha+y9Cb/H/fc5amXGscoqte1YTMXsPQS4JG2+wPSWHURhuC 2aujWAdLMlDTJc9x/qMzuktAjfi6H314c0/yNeruRDi98XT7xsN5CBDp5tZiOnveTzvWczZsu8hR 1Tjyu9qzmfRQz1Nh8OVaAznpUiLcf2TniuP8fZdYGRkT6TbFFVkEQ3HfnQ7QpNktbvI4lsT3OdjR 4CeyNd7KK5M10FlCWtGJ7/5N/Bt+YRPfSPLxbb2/2niOISGBHiFVqGvIZvAulCoJpwIagHIHaHj+ fONwdpdHNYdesqJPCIicFlX8cvBAGH2obDuDTSd6QvaTATSBTqvg3mkcZRgl57nQFzlmNUGwkHQ3 F+IPP2N/w48GycEN8TjaIFxjvi9YawgSfkL/OBtk3Aa0hNKMVhKqlXwSQGwTM3/9kjqmbk4J/9y7 JSO2X4MM9GPZvxhcr1huhfy2ZLXjDeSWwF29KmVNNV/ULwXEbUF47yUyJJaNVaopWKVGjDklQOeZ mhSaUahpiXhretr29/hn2f1eN2wQED7t7NjhbNg/zEMgYWJV9nWVavE1bylGwFZxnkHuYglA3bRZ rh+H/Ro1kz1l54z5ZKKFa6BG8MsSLo+3payGeJMkN8napvvWDUCdkFo8JxJWaTlUP4A3hhR2g7Uu sLf3/hjphbYICPqnlHynLeJN80aQ14sVREKu0npV+XHaHLOgANIAug4b8sXT80G+Ej2Uaz3cvUKm SfNTyaumgQfbPaNQ+Cho9anPfF3GF/i0iU+lsY9r+RcwlVPPibf3oMcPOi11SEbJiWFZQVlp2oa7 8A/nw3B9MGOcYdn0kAZvH/F0couU1LDrMJoFo21uWdHVxgu2QFX0t5AVtyxsgrVul70TzfMfwPax g+PtFAZywQGfZ0tWt63QpqV5k+9pUc4R1+rV8PS25juJtb1kLP7CRHVVxx2wkeuumPbz+wUHmU3V f6WhL093CzWPQ2Ugxi6SVXHcMyPwP5b55Bkz1udzCYEiUazXn5H7/+6FmqxckEfKdwMhksZdyxbl HG7hi1Nyi1uBRV1R/S5VywTPDbtS3APEEWMbcs4vRNVJ+5lymX7hscBIL4Ex77dwk8fE30aVouR/ L0qw90n+Q/LaXNIchrJWFhFuWI17Rxnp67MnCLx2SuSVzLRylUyDPxUoKZL1gQRyHd6y+i9cJBSD daR+rkNRZ4qWdeqptju6QyI4URXav5245t6Hb9Qp7t4iZ1naPxR9i4XzSNy6T0PtiVtld9biZ3Bl 2766I0YzZw1d1YUrMOWWAgqeSrrfyjaPdj60Qawq0Lb6GHUxu14yEnpSKehiqYs3rIluCo+haYDu 8FEx9qcEDWCXCqpq6zEUQrmNT4rFLDjaQNtqQhEQEHBypSbZWzf0U3uPg0PVitzg26cw9ONBMTej KGDDBwoVTOwt3j3C8cZ1A4Z1lvpU1w1zynatHJVJxGiPuQAmaRhlBj8qk07b9haqA8xXGq7oeu5G 2KbDUDjrk+XwbtOpZTISJZkjMtE3UejICEvUyQiORcVsSaGIRy7UwTC+t2ize1iT2nQYIXzWpAwa F9lke2Q8SLz0loMvDD+YZtjujBD4Oi3p0SqOEGqUDT0MANO7WEj0ZKXgXpuIZI3n3hiV+uZsqPf4 49Pq922INAkNs168o8NPcQ767M0ovH9bjgDDPSjIuKrm+nDcTHddMQBUib2fakqDHaJFYNyMPaY/ n8Zjnt+QyBwghS3NyvpIZRuR+QXDGQO5JZjum+Zqg+iS6wNDhnByf268E3Oc6W/F0JPdskuKw8LC K1CAjClGb4jl7kkkF2P5Ca/HAD988sGkAeEcCh9nQ0dwFF4z/ZrkAiigqxWo0PoWfRqrjyM7aLDM tvbw7K84aPqh/y3u61+SHHdvZmhdDArATmxeJoi4VkuuMHAGIhOu66aeCwUWYr+T+FY1lQiK7qGh KY93uUXlLYk2zlYfImqblydF8OkAdVcigCuaAbj0mZ9uOlMmejVZaIJnoVQMGdizPahGGKXYinZD QeQOpIa/BudUJHMgVVxRgaTZXGP3hsdr+mGltLZ0yUs+gJTq9HiXi8t1xNg5tsLdN9OmErHwMRXQ Wn8ThEH7BDucjSi3jtXhaWScmceR4dpi/NXM3octuUP9w8Aly6Z0acm0xvIVjMBpB4dfx/XHZZct NrrInZMrCGg/KYlaB1DdVIRJFGgpjkeQId8P4sDxX2OAoQ4GXQWyVEjUM2HZUN66SHvXMbwws8QO 83JEQ03/Wwymtn0v8VxCG+fPxszKyjT9z4MeaDGk8qlY9JP2hHuQvtB17XOmaXq9XbXtRMCKg6Yb ysxN+RLvbN5O1rk9F1QLERfPGfJ8hA72NyqlEi6wcK+N+r8gXlvlURMMHUWXxmDtqyPVT6W8vKJc DGOOLcrKYm0kqPaw26F90zQPdNwHCMSuyUlg5Txh/NoTqEV6zbjRA1OC8AfH/7HfFJWlE+QJuQQE cwk8FUhBPXhK7r6qMKSCrOCgZaMnQ3xelcT1AstU7GVVqhdIzmVoYhE0ieIDI5gxlQz4AlWipvaC MJLdXcg/XTWppQApISMb11s3KKcyxBAl3zakCUeutoDuKP9nq+ep/ZRq/r/EBkKuXUEoJtYAUDtU V1l1kfylmN5rd4EdlBD33XH7glx8nodIUc8gz0mEV/qNd1U2xDJajtXHKieIYfMCUciaqgmCYr+k +mren9Y9k14McObbTaLhHfuDq35lV+0AX4g1Ag4pJzQa5PnMbK4cY7MtixfoTfz293ssVfGXWW+V O3+3MfQCnVqVWgjdAFArhuNejklnisTF/x9LiV41U+3ymBTeDNkR5PdZIj8RChnnErnUDMUMuT1B 1KFP5CvKI4wbGTmM+hhXwY6VF1jcc8IVf63czGdgK8I/CXiYh3zrcz1Hlp0nU26ovj+XnoPg0Yn9 hGOSKTB/7Cd6GNbul14hBt0SX2axocjEi7B1SMn8ikUkiUYWRfhbOr2/ZLdCIuCZjcE2GAF1cz9b 2p6t1Kx+Pyfoce+TA/zt9NnvrVPs9zfTEmEg4WtKPznJP8j3PkVtyAFOVExyWQn6Y/nhqMvvV7T9 wR9AWq07LUaqk3SI8N6qAhtprBdg43p7J+NCwnw6wiq5K2OetfxycamPdcuC8lxWT/yuflTcMsJf xuW8VlPGKuiq5qgAIK2GPWHWAyGuQbXbKaktwLPnFPC7R7FQPpLPlf0gfeFeiTA2EAyoOar27q2R EEuCfXvczhdjSOXReWh7gpo2G/ddTGWnkxSm8MlznGNgFJvmkXacX9itk0lugXquv9aDc1QrVsNm KYi3O3NcitZvCfHw9O0gZCdDDguqk/A5Dl1CO39BeTw6Wf6lYoTgsCNbXNW4RXoeqRPFSdZLq+hK OSJy9O2oCASvaFAi8WH9VRM5IMc/XBjgaNiNdgMdMtIcWWFA1MEqb+IC7ZwDynzHtscsQthcET77 W428R69W0G1d4Tsp5JrJhQGy81wc8FeA0skx6+eHO/UakiFPInk0Y4hApILgRhJrT+uUIThcs0CI 6gDmlRmu0/nUKUIWcahHCI3kPpGTGEueZXwGJy5J+y8Du6Bkf3TpDJ5ri6UH1m8qOKUgCDli6AZs f0MZ6EZXjdsS7rpcUlBJ6eQ94wpCWQT4vEwBVbTGUveQK2kT5HuZHfghWN3OPF12uVXtxAmLTzRb OvXMx+fHSP6hHIfNx/85zgmZGbosZ5PyaWggf0o6QQUVq9IuKPVE2Uorn/RrfKCekVW5dH4+KXVF b+75kEt/1eDQdO/javmQy59aQVA+XsXUEldjpEoX/QjnWZZHYaG91x87QGPsh8aQSJjU1vMYrgdj ady/Lo2iW6ZZAP+ui1QoCYgd5ad3uArgkVu/L3B2ZkYR7PsAG2LYTMliByplo+SkG7R6CFkt+oPB Ob7S1lb2WONrcKYmAv2fCbvdo0hq20ggbrTZZZcVi4pjneEThfm2BIX2io5rXxKqI5gTFgMS0oGW MRXY0wyl1rX5KZDN4nSoadOu6DBbIXKJQcIAu1Xg5yF4XLMKcFEdIfx9M9dhyQ50nuMY0F16t1xa k5nG45NdIglQPmPrdhXpReuVj6zgyDA12VY8pmPWw4bsVtkgmeiPeBiNUb3ZcX2uG5ucOcpxe/+F zzK8ghvnyzUvyYPp7LjSlNf3P4OAX4ZyJXeaVsuEELk/7pnB63Xn4Pw0D1rJaSwaZ9hEM57tI/YC OqYIM03TB7bwyYLJyZ56OaPcMgolJg5JH/xiYTKbtBQ/A5MK1o1I/apmZBKRzoi8yPSv2uJ3xiQG QqQpReibPvszgOM5n56PFEN7jEDeLAuR4abHMnqVWFC8AkkhHPa1/28oS8oCa2K0xBRhFyN1GgDv 3WT0/7LoO4cIa65fiGMsnKKrX+dxv4EFIX+l1N2/BATFqIqurN02Im12C4z58vg5S+Af+RGgzOjH yDGFh0hYXBjgD99nK2kvDcnV0Wj2DbVdTVpUO/sX9tmm9eFl2j6J2DC8wcvIMo4VfLzmxFyVH26v vT5N9r5LabsK1gqf1BQ0S4SVWNKdJlDrkYmi1tlrELLPwPLCCo8CxHhfKAuTtKhIb/tDR05ThCCp XDzC+r3mmMLRkV0Z6toceIZb/8qrbBQS5JD0Tp8kAhvI/OBoVYCoYDcjqy/nqQhGRr8QsNEfkePa g914KNSR5wLKmK1+Nl7FKx1jNKYk/aP/DV3gE4el5iI8aI/zS4E0p6PBEDm6E0dJsVfa7z2o9rJG +Yjtv1geknVJ/BsBiVzWPZqqh6HqIXYq0U8H2xF+WlV7j5n+r2qGIm3Hn3gjnf5uzpOqjjFdQSco M+jQ3TcE3eruUU/t1PY2l+ba6UUyQUFyqtUhk3s6OzdkGXwnoaeQo2y1qXWeBD9/2CvmTsoSwBzJ gohBdJGbQbFRo6nXn2BK4ShP1VOb4T/1lVwkJrYThlRGDQMRvgd1wnkL8LWM4ChBc/Rdiikofg1N Rvq5zrKkh6tE4CO7HZyE8vwbZw6A5AFfsMm0SoRol/XXHshtuzdKG3JW7Kb6mz7h5pynHD1/wqJl jQawD8+3uCXnBEQGMS+Rb+XklbdiEH0dHdTPB9A5Gs5L5L8cyVkIyYc7dUDpnxU0aGN+rDCHCFbd FaqX9D0cRYP6ecdSAtWVCdxexseIfkudHlL1p13mVTbqzkBBlMOgGdbHWwdTl8JtCT1vR4HfgptO FNqM2tPtcyqCFFl93BhG0MdSlljRUtVs+bvcygkAChOooUBVhCyl4HImb2JehfLBX2Y6e0UNYuKS t1v3+u9ptvmsIuLa5XWk0GEC9WHiyfeOkMd5ck2urZzH5zTG+d/QcH33LbIIP+V72sa0WwywJckY UdWaQj2j/rQGrEcn79vj0xMnXWSt9XDaV6C/xDcoTVwtygfdxcOQh+IR7sICrRQnQ+70ad7N4odN vCFDSQJE9qSi2Mz7Ctz0w/xP6AY4zpMnkk1GCWLCXD4EjlWo8eLp7DziwB9iY1eIKqfImmKnAzAQ kooNh2OkeA2lwhCLlQX71Ic4L3Ur1TDGg6wb5cYBQaHXooc6H7BuFN5dwiK44k8gVMl/Tva5uZ5Z 3IdooWdSLYuXulqjw0E+KpzTx4mhmfDjO5ycTScoOHalowXbFi44HvlbLtOuA2xvgILhFcEDNkeG /3ZMf+aZCSS3xBYKRko10VdG+yr8rklnAn0DfrcwpywIEUiK3UZFPEk4rflhhXQFgG1Y6koPGn0R p7IO3mL/HGt+Mgm756osnA+MIp4E3rKIVYqKRcjMjZUxnGsNkN6pg5A73lqSa9AldaIabMVh6PoH MxeX2dHPbl/W2IMbI2NvJwXHZcSK6Vv1L6qt6zC6iqrXBwfxUMGoukmHBN7+WqvsR5ntQQngAa6A kOxFJuDDNEr818cW0MiRlARefVFKmZ4VPUGU5ef0qrqtw0AOHmUP90CGR3NJ0jTUO7lbtAFEDpmM XscP4nNPslQgEM34MbRMAJlBDFKKDMLYPdtJ1qSxVY76FWXK7Ah4J2yvJfCmkD/4+t0RtJKl+1p0 iv4x1y13FKOKn+NdE6FO7U5AkmQnBwKh+wqV759qMTL+zKxU6KfxXNhBMMr0F8+nD1/gw885JAOe Py8L6c2c/8UB670I3Inpk1b85BLwmb1LGxg/toFALpG1I6PcoqYn3jg+dgk9lPgkTgL1i4iL9jh1 m5zBHm89EGYAo7Uc/tUKJJQfp3BH+hrvbsjBB3tVzop9vBM+JYaYctIbHXs7gvkdesz2nVkODTLH WJvojUbqkS0FAdG5y+3CNqPlhsDnBEJ1zRfdNGbP/0n1l54cIxYHjdDQcY+kpToxBRSt4YYtG62q R3G/8C2BNwOP84lhJEuDCQ+b8uK93Al0ajdVO/PHY/vq7itfI6g5huBETaUV6HFNhQjlNXXuhzIF R6e4BHvBcd3Rp5fak6ALXgHGNnDF4Kk+8HkIeHPA8Wb7146a6wnRX0vwU3bB5vkEAAhUgWYe+H3t r+QCCYUqRGdWguXJ9CIpKnAfXkGA0/HHn0dAUxsEIGdDyK3UGHK/5So9h58NQNBMcidPozauDAOf t6iesjg+Ktjehhtt6dtVPiT2V8FgYWe3mQZof+qzixhWPGk4JhS6Zuj0RSR9BEr/S0gMg6PaqvAI eQztOn3jKmT6ZUPxbqpGJccNwlGZzMnjrTPfX9HQMGNLibuaVLeOYvNDHvjN/20e/X9sAN7g4YV4 0sFRSMdm1UjzDzRCz/AK67qgq7BjBGNJBAN9vWMjFIXA6HsPd5UV1je/NA+j+U9JuPkv8jImPP+i N3vP531Y+9B/d+LycCI7D6JB0Q9UBMDBJH6aEq4rmEUNyuzpoy8D31tFxRCk5fSaxWplkwCpBAVx 7vvB04LBLzQKPd7nMRzNZvVkP6gSNjfs+Ztru6h/WlT0BdHli1Qg0hQd76crlizO5+AA6wDGUC50 8MuMbz/s4m8DnhRHg0QJssc9o0uQOEa9Pdv6RmTzuWKlcN5sR1wS9yuSluTfG/LUtZUe9T+c6llw wbndHpEa3JC/V3cnZJof2c6CjtJFnF58Co1yGAxh0imOKw1XGzTzOjFnBJYR4L8MTYPUNE13ibm+ j8DGvrBQTQ635uMSVABjU2eegR61z/8Qk9nTw1UFDEQ/VFGA7so6yT0PBu/A9fxJrsTBf65YgA7W MtsGaF9IYgteiCQ5OEqHiBFIhluNUu+b2UtYTfyKabs2oVXv1/eVQfpoC/RG+pG6Su0ua/70Rb+U ugWn9Y2+N9EizbdRIsMMFMjfwukT71fY0qZtU5fueD+wSzRBjJP02QIiMtA86sF6UGm6liLUWLuU LJuRJH/Tdpr8BAL02JZX/sItN2Cy1Bnh19A/+rA4ppYwl2HygvQSivwXsbjQVI4ERTg4AlA3IX1j 6um6lUzeAVUJuA8EN88RZpfjEZU/Z6hvIv19Jy8pyXB68ACcmOPrMn/vcEDewHoCJJpm5e0R/kj7 CVSCk61rJq58vMgDOnrNIrzb6YJEjt94kJ3I2RFFociJnDAwR6KoopWGTF9yN1xv8svnrLlhQOeg IogSiEDleE0Wc6wVK/PqsuA+h4SU/c7azfKrBfFsoxhNj3ZO48hRxOke8M+/hsSQQSnYyPQS09bk 9ew7q8kYO40pnhg2w8OTIrInJqIgX3NcC6PF/jzLU9eO+4RIJPvmmQE2sEbFbGHpguXMvmuhzv+8 I9/xCLGD3JJWf6z9urHVhRr84f4k9ixN2Gmr7GfAeF950fePPAsCJfHiIFku/GmfQXXJjSWgCbm1 JC0yzgITR5pUhi35YPRgXBWqIkTeGsiJXtEu8mpZfFmGm60/kzRJ/JwW0aFHwyfCHK7wWn3fgyWv 9QaTAzus0eqXTkY6iYqA6plr+mNUIMvxrdaGPhCCxRWCVKTiDPryHAy1GBzwH8jUKF2Hs0SVV9FW 2nfayKbQNLk0pRdXsSq8DM/QfgLZ+gDo/uAxbqGUrOHLQf8qa3kjK2vtqfqEUzx3GfF4rCiOP+0a hhSJyJur4HrEjAl4yWMcLfJVGg1ecx/25j6Lf6qcTbqdsdTE/g99fICc9/LD+UjdtYSi0Uxo93ii D+/F6kByXTGZwlhT12UjyCNJu9B6YFKyaakLzQITMgUX12aFwl9T9yk/D901Ts37l546q2I2IhJG jkYCe/72TFVoXwTXFP0VRuL6n4WPkG6cnRUxjA4MuOBS68SUkhaJEYf5RPyNdLpwH06+duZsqYws KDzxp1I+mpkoR/We5bSV77aleQOLyVTSUX6XzPzqMJ6Z1FxBT+AONs37YWt3PGO742PelslztRq9 FNf8r2nKcjQw7/bkBAMDsXAfApFVgHHra/pz+cFEDwtq5sBZ9tctsenEV2dUwhRNt+pJ0Hdvm9sz p4+7Jcu7W1jd1iWPAILSCYjNct1dVZjYPEcz7234Co8XmX5YwqP0m2ESy1UEL7v4x4FD5Ju93vFn mp8ImrvBK4nlPYneQgSFxLModw7HGEj/wjq0TKMyWACyev2GUUCg21js7U3+A4OSXNm9TZ3HybPV XuZOygA9Qs+E0UWuonmXurtrYfR43d9+vpL5B35Ok5qqCaLVn4DLt/GElY5t9kunAMNaNrWz+7zu 5+DM+YXIHRafuIaFN/YShDhsTwFrCQnVoOEfjf2yFTloXkSNIwrdjN7EVLVe4wnviJ4kEB0LlGRU UbROYzLXSkWU7NTtdOszqJ//TBuzTSozn6ffvFUB03+UVPzyBtHdGTU3T/WaGLtIpo23wj4SaW6P O7qyi+h6WF1NK9Vyac19WXtatrPGWW8hteeItLiK4wne0WDph6Ml9rcY1mI9EL+I8p2w7F742pgS w76WHVDclwdu6Ni2JSceSUXQlT6IUCKxB7ShlZo8sFm5pu5HDt7o9oSg1DJAsbyuLk89SS5HYbZn FL+KjqWjA0dxMFlW5pzgEFAzTp2wD81QiyvR+1iKpnj1kQtEs4y3gV48MlhasHVN3lZyOsHCMeUj xJ9EIs6O5gJ4dfj01ZD0jmryjmAY1BDK+XYFDWGkzZkUGDcFZ/FSRvMjw1xs0nzjMGd6Jv6Rj6y0 tST1xBY2sOYtPMibwWrARSMrxcNXkoojufqRBd415OhpyMoAgPQb9+Kww0q8Gk1zfKeGfsROeGBt +JN5NEUAmxYqdDVuiBym71d1cXt/5rGIjrXQ4kCa4j4pl2NTIKjTa65dXxzLqd4A2+7bdIZidtRd SMNBUMwHNmsNRP6k+38phWTRg8eno2ZEcca7H0E68tT0Um3yF3co2VMwxRE3f+TvX0RruQ1uvvzI fXOQJVtNmILTrb7iTO2u8Hk1QV/gfc9rskEt6VtP+1BYxjYPXhTmGKnXEpLWJgHl1kIi0U9wK8/F LnROUgtlG4fkBT8XonB/ryTcNRrdQzmblWcRAE/a8ajB3cMKLj69wb+2dCjdtiAyKRxHnwFwv5Qv RDEBrYN0G27YxZvMt3WarjvW2SFU+UuW/I55j6UVGsV7hgOHV06t8Dv9lYvv4NkSaYqbhkNqR0/5 hdjzkpN5WZqgnLq1Wuc1pedWoF2aN2hq04HebccuDSwBb1G9Mf54kSLI0m527U4fDqH9EbCErp7v KDYLxBvzoP5SKEViwdBg7l2bk+Rt5rpMX4fMwD8MrCjIew== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block VPP2S7XN1rLIapCTypoFey/NenMux4RkTbybb7gI9i8SwEzEbNbaNzT7RrPLl2E25d4N0d/XU8Py d7yVqhVTeA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block mV7LBTCJ1O+K6vVtKs/+ojUmqOiUOs+3NDck2clKVWd1N+WfSWhcd/TGaYPEJljlvtpXlzPmPtJO U/dmwoddXe94d2orzVAgJHVwzW5crNmK/rOWCf1Xk3ngtMolAbZSkGkb8Es65GBnqOWNwqdhheHJ s5qpE1XnindQq3ebbik= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block mLn8aKVShvFtPdU0lNM3pYkJpP6eBXGWoF3wYuwnVrbXqTcbENbJRHLtsYrGgkRg89EELZPma01L 2zKvUtcb5rjN27XiOZSw5f5Yo1liw13JJtBn8L+C8valQiUeHWLlKzKLIBuSkA0crG+VIolQidW2 52qqpPiyl43S3JvtuNJiSvNSnKi7VzHO4uDhWJemZnG39ZOxTnpRVgTXRPfN8Xlv1EQWg0Le+ulo dROiK5aR82gdnt2H5wlO9+r1BuL2NInkRSbamMFLrWQ+s2Vf6yAi2W2xPMksXBzzbMgDKiBtgMaE Lepd4Fd6x2X/FxYXzsMX7B8SRJUM+BfQHtruKA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block TiJOYo+P754CD+gSjTzX8l9n1DpEXy+iAnlpWgA14s1RHbPie7RaKeObxbrQPe4zfFAgoRtr92B/ fBWJKtwWREAQZAJzwjKT3Wi8NR6Cfujl3csAPwtHlrz0Ah9Ajgio0Eyqg+QeIzbbFjXs1/8ulXv3 Y4Kdp1/m9VPb2NwadoQ= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block a4W1fFPr2iXXUGC+N3P2+acmlaaUvEqD8IQaWmgIDGrTULF4rdxg7z/ZmQUBBALrPbMxpT3B4x8G tAqB4uiZ5SAKw/rVgQr8GOKj+pSmv7qOo3Z7pqxFqksbU8lHWWSfqkFpmfbrc+Y+cgmtKfG3pVvw 1Z8w4VlI+Zwe3RpUQ8qfLkDYacvRgpo6zDdDJqJNmbN/h6i/4744gk3TWw+ksgLKM3TB0JvmWP+O ScOExjNjRQrf6NRt/XWGFP+AQFpv60XShGNllHwU2k/w/8Semz6o2mQ8lIQe/dFSvmSYoigT1Vz7 hwgSiNJD43kYEBW6vH9/gtS0aeZhtBI37Cnt6w== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 71968) `protect data_block tG0uVIBPhdF+TFCFcb65Y2qwHUgThVxEmnRXziU48kXt6UiuQgu03sQvP0bOgV2hN6FUY8f+jh0p 39GYrf5/XX0PgoixHclBU5i7iORpk+QMrWsChVsflmbS7Pau+K9gomdpc3y4op0ZEwCssq1qZn+0 yKcoJ/I7fqbZkwlA+K1sZub/Sa7nygRvxmnghwe7jVKstI2bH/Z87wY68f0LxbH8laoKU/BbdP/s Bu3SxsT9TqAxc0LugjsaE7C9XyllmY8+JI8yG+tYyBNDkpa6UGdoNnFEaGEHkJ9zS3dloPLFC0Dy QKpTpGEUvdEYOUTJwu3P08jymbAli118KMZJalTXBznFebwi63dfiHoXCZBXHJh2gEd72YiGtGeB C7FqcA+0LnGr96mQgbbXWfiHIuxY5Ghhjy7mWdS25tgxpD4ba4vlvaLTfV1FY9m8r+o+xHeq9Y/0 8vrwouX3a9ZYwt+LkfaR8zloFjSLplwK/SIjB/QOwQ7XBVmjBM4eIiZ2upq3o9CwsDOt+3Y6xixF ytE/zu/e0CV4e5LFg8F7yuCVFwJjw/pSKqDADslUbAtKtdddnZ4y6/NR6p25hqq5+FttyceGM/UP mJIjY2A0BwLPt1Ef7R8Y1UMsLBGO4oeZnKB9FWccXi1YJ00UE2Zm+Nu1nChjd9t8ow3ORgSPgRe7 8fEWzHVDDT4Zahx7bQ1hNdNCS6/HRv0jwmoGp4I6v8jA43ksxYbBDD66Tye37BpiqYMoCNcKA8cd U4l6Ic2qNyC6M7f2CgHNro39uy1SNQVOMPcLRB8t6utrSD4oNjbdpUjuv1WtSRDshvdq3WF1XylD Scq9vwXqI9qWWazWX+58AOpqKuK1nBypd0eTda2bIxOK0dkkjJKjJlVx/MuObN4Gfuge3IhX5hPH vMDhFTznv8Ga1TYzvqpWQK94760wZXwUkWAgYVMSBSk/rzqoaq5HG7jbZx/FnDM5nPe+pGw9awIg 9A5ALMSG8iTbzqNf9dY0zeDmi2mfTDPORq4Eq3ZqIqTv3hAm9Hf9vPCIPFSjeaqU0FF9vNPQyZ/D 9xWHv77i6PwQQ59/+x1U5WDjFAoufThqGQKQE8eUkvcvZ44gcbVHIV77CbuRtBjPoShHi4Ipu7ID aIO+4ZN/jBaPyfU5CwQASp7XcBKEDq//fFwjrw7xOMD5OGoHWXYaZMkiRVu7QmjFP2NmL+s7yrr/ Kg4u7FRSh+0FoIIpl5FOCIioQVxfjOozNj0hEanD8er5qWh/CN3zHhx7GKkNnZZT7gkaicCRH3z3 UnFsL3km+m1a5g87Mj3uYQNObrFIxAGD0FK+T0eJrKCBhNKCLIyvXHsralJkm0gipMos+sLor9Bk j0bAx25fjjx+9XeF+0H88OuuRA03EL4g8rJf7t2LLxC3ctCBSxlUg03zo0/KgMUM6b7IVv9ahDVT i7DWpmzHokPd+KPyyKkdVfQNbGonEcwVou0wGszDwBnNT3Vh4M08/6e3fuvfFOE+B6sY4zbHrKD1 K+5ON1D9wr7iJJiGsyLdhhCfFE5dQXSWGy/0V/0n55c1Xb3t5n15YsxV0Fjy1mruzrwOlvZYZF5J /Easu3EALy654wx2EgQT2WJ0ExsJSejKHrh+S8CP4XWcehlkT83FidjBObU+mJIBFym6KdOynB3B jAfRWECs+BtprI+9GLyn/c4zMVzxN1UmNeHohcADraVod8/0+N3dj4q/soaDKtMcPgbhIm1/uHU4 h9awBUKq+WeWiVLWb3gPuYOUoT/8OkESorKRmLttSD6YS2ojkkufxzPGSIyk37RkBt+pjqmDs1/Y lGT6Of/j8zAJ3fI5T7syVcZsM3rTNtc2+aQr+Bqe4IT/QkcKkxGVXNv96D4LjqD9c7A1FE6ClLil ZdAc5qK+rwqpE/KxviYi35isKQqlN2bNovZ4LhDEIGd5l3psMWufqbOLrghRggD0h+VkyeMRG2Ne 84jvgQzc3at4eHl7E0xlMP1AGqLjwg+4p7O8sh5qx/5XI4iZ3+77RVFK1LJzuf+C7aiZ0ItE+oUh fKOOOKmHrtf1HjGMUFx2ue1lW5T8s0nY5IqEJsdDlbo8d43/MvCdoUW2fBFHBXkfyPklQyenoeVJ nzZXkvhyrPH4qqG2v5bxS0kIaE2P+vC2WZPisumnkzENG/ST2gZDUKfQ4UtYYjLaGwdIVuG+n/1K 7Nlp0dPVmsihUnuLAoedavc1qrLfPQr7trPQ0dSYwwfKzGGuahQMrgW2IB3YiAMAzUABBplUO+Y8 kEYQgtpkKieu8QY4DJM4BJ5dDprHm63IImc8t16dfENe+RDQT14fRf0DwDPB6zSd5o6G+K0e62eT MN4FW0U7xQGyYOB62ruNyVVGwDhOPixE2vo9AY81Atudrp1XPWtD7X+EoztJMTvvEAoUt+Vlln3L 61nYeEDS0saqWOoC9C2Gp8DuNdHyl8kiwc0nkuLx1PKSpUnHt5S0drraFUX3a4S3Zl62vOgsXQuY t8QtyEhvzkLgSiz92PSE2H8OEnLS0i0/hKUb8kStVmKE9y13DDucNmCqXqkAS7lfJ+q7LPu0uyjp qJv04ATbrHhYRZZGXWB8eHjHH3AHFxh/NosN7EmD1sj3L1CoXLVkL0FfuXzFBayOqAiaW7GUm/6f gi7S3rtGlIuMx7R4DPabycx5HO1lGRLahF1AJqd3WILuOPPXzwPqtrmDNakjH5kIvrEPTXYigY/D ifsC0mtCE7M+kmHUraBY6CoqaZhu7y+jAzcnemFVLUYqao3FiGbCNCW+eJ+hRsHkzZl3yzlVsNTj vVbQKYYgqqsVI/4GP1M/GeE31y6s1nTvBljw39Rwuo1wsXx1K9vXoc0oLLMSdtWmTbTlbDZ8aFtV pMujTFuuzwq9I9idtqPttae+M8nG30Q6UGR58Q5y1ikuwl545LzI6++neB0cefFC/W6vmYTlmItJ Hb5wfsPddOoy1TtRHnGS9A1iyjQ1T8yoDTnk6LR215L3fTBFB2zHnnuMnzSO5Z9dZ8IicEaAEWwM KIs2ilodaq2/E1ZqsnLgZY1EuEGUCfmv4ABqlcdAKpChkxo7/d+nJRSQxoTKuISUBj1LEdOG7Ai9 7xcy7j3CHnnlKp4VgbfkLw1nykAmo/PcLv4hkV3CqCPEoZ+LesD6Jn+XYvjsbzvItVYyLWa1bCXL ifSAclu7nqVFqYqRY25cCJOp++12xHpQnT1jfKpKCUI1UmlPp1Jongor43akfGrESuoz+WddrmQ2 2v44QeXa1Iq9A9W/KfBB3W6mSrPx1XJTTGVtHdUKuS6WGcjKJVdyr0zmDKP1686xstIY2xBKPiJC G7NUH5KJO93XaSr6XKnWrYeXWpgOmXuH9kz9gj00m1c5YOJE56FHedXy9DRpuMEoIjDH4TlQ4r5V h90Ezs0DnrjUwo5j8883UpUFTpq3mt3guSZVvTTZA31DVEv88n/ni0drliPRYcXC5FOUH7aj0SFL 8S98lr9H0qhwEYqHvgTArSndvMGPKOt61E8+DNo4339t4hqZsOZQD1qs+MDkNIbvnRlDggRf8Inv X0vo9AHjQr1VO0LewCZR6YAGHTNDAjUcbEJ4UworbjYZHAVLr9T9eyXstgh4+rybrzu9/1MIIAfp d1107DPzmO+q6/ee2Ubo9i3X43XhvRHnDboqdOzdY+qu/jVkUu7Cb6Rv9drjo2Pj/qOeBoosjKiM m/+wZHR6H7oDL3kFut7xqxbfdd5/EJY+rU+h7JTs8kwZaXxeyjCDAozAgGtKaL4rnXNFakr9FVu6 ss/QKnr5e+lf4JNIiG2zbgMGZjtHF1/wF3bEKKuj2TJNAZ5bFobkmAnbuacsFf4FjPvKyvP/S1cb rc7CmPn4xD/TYrFuiIGyLQ5PjCqtsgDUp5WKKsbFsc7xMmJMm0pslxSCkIQeU2P/qerPp/XPQhd+ tmTykNtsGcrCOKzhx1gMwTiAZHk1+fpfJBt0kQ1XAZ7unM/PzZL52DFNmbrsadvwX+gPvZ7npzBn AZkauYqrCbU9SBI9AeLLv0CXGcUoPrMtlPelR9qC/yW1DBPGG+bd0X3YcREmcgZVZNeP07qQUmD8 SgKs1L3sJebn1ZQ6WRDUs5VnHmrMFiT0BNl+3VoCTXHtPgvq1Ej8w5MF44XLbkdFfWcIdz02jlge 2OzCkdgihViTXgWLTy3iTTMUuX+lubjVaPgPhoR6knMNmiQLuSnS2UAxdHVWGA/215qoAMMePT3M xfYWQ7YK8SXlMkkxt2wkAGyMrVM9y0NZnGQmDlEZKK2Jg4fPGwJDIIxJEmT3oHmo4/Cy71iB/57/ PNFYQaoTgbohOJniDeBDlEp2g53v9ILkYjZeSM+7XE1P5qGzKxPqWTCsGMVkqRavGb71eR1RV9fp 9oRXjXzezkezZ06OgcJuYQso9ovAnPHRDjmFo1RJHTD22OgTB2Fl/YBsD+FgtdtBKu973tNs7W2Y xKBdDiVirTWHA4f2YmvJI7vdM4vNLskFzerkvSV3IWBqIvP2nte8TDWg7RmpJP90CmkWRZUc/rKI fWDSy8QKQzRUWnRFA7kC7xEtrHnm7El4In6CRHorljMlCqUyin+fq4NE1+BDgTuUdrac0EVscnlN rO6sujfNHQ9jlhkH6Veritg48xaDO0zl4my5B49nz2UtzDqNgwg0ro+UdQTMP2mlLqckse696epM t5bwCs6ujX7u3qTvvSO+doIksNF2uGtluoBZXrXyKPoatMm1fhey7tzCCIDBnjFBiRv4wyZ8VZnd jO4T6w+IoQtXA8yW91vZEuoXP8LlRAxE2fxFAlLGqeG7x+vDDirtq8jQM63qNcnzpLtucl3foV9F o0O+b9wZMke48ujQtATHzGPm+2I1B53lk5upybRc0ZkGPLFRqgsVMPTkfuxPf3ZypD/IUBuKXL/s qRk5LfcZ+rpBY+fzsCdUw/TOSI5X1zYA4w9nSpXfabOeYsd0XREUnQHIzLYiTCi0tSe/Ei4CcotG rmb8wr7BgJVnzpPMmF4S+aDNydULi1v5FafDVqXjh6XGZhPF5o9+eG8mn7SNrpGrzFZLjN4r20ug laC1UsxYXD34/UpP8v5pRTbOC2AjTH1Hb2fsfCHqALYlY7wiQbIaoFGoI8G/3Nz4uG8jZVG1yuVy E435szp7VQTDOg+q8FRo52SjWJSuzp46n0mP9F8KpfmyjbYvywY+w2V0FgSV9dRmcuPwc+PePNyp Uy7Rlcbpihr0VsSm1s/50zdJfJOOePIwN1cRueRaGNEOQWMpzSsevP2/xhsO+1WF1xaRY20LEkPB qO6TP2odzi4w+Gt7rmNR2Ruy3FZFO0ovfhg7vICBgYNyI5JOwaFj6wcE8p+v2+iDkwIPnD22Xhgp Ef+BrsHamFNlgCTfE2+yxaolxc+adGsRApkLEaAE0xo00E4Gc/2Or7jVVB5qU555AP3TWZ3bOb7e hEM+AIgsQjTxaAC9BCXtFa7ptTe4mR700yxfU2dbs9Iftt4l5tzAY5i/2kXnAba8R9AxdzeB4Y3e z2+kDfmr04Ix/iqv6rW4FWRNw4tcnnFT8KLyRU2I/CGDdjH3cwdtfS14qMLreyZ5iRWeMkpu8UKu OJjBGaSCaIiwJA5okR6YkmD3TAxgC9NM/BbO4pixJObpy0BIUG2Y8qujZhcyutKX5a30LwhA23C5 mUH10ayY8LiO+OM9xgVqWuopwd0RRZyOzooy28XyPkh8dakQuJfpHdTjOu3vnfmo3eYvlCdGOXd8 h6DCoridHzOKhkhhY+8R6VczTkLKWk9C66Fzxt/YIdiNG44AqsfAAY15Y8DzbpsBuvPEoFdMG3i9 YhNWbw+MuFRUrB9ln7pxqh5njubUVy4wx2l+4oGLpWQseSCerUWTGRZzhfUYFyQpnm8wiF+/augb 13NU5RBoBNnenYPdE9rZjObmuq4YvW467GAUlUsOzNlZXXRjBp9jZv2HU8tTN75j7+RL/wlBz6ax qlyfwm+T0EHHBB+Pb8omQ7ThIt9mnaaKfSpoO8ddNMhQ3U6yocQ/cMzxMzxuzpg0DwzZYs4EIsI7 8bDJRrynS49k0ibbDq9i/rxWWjSe0yaLky7lYq3Vaf5BURQLlYlvmD9KzXcLe3d5lvGsH4Opkibf H0QRI6YD8uEsMG8cyYVmrybNYT6/IMB1yspP6Mj6OfToFmUV997CJIbwYu8z4UsQMVDgq57JKPp+ SRpl6cVwtNB/qK7ZeLwUX2yfP6+AkSQjLDpiKsGZvHmwHzmaL7UOgsjjwQamFCDa9mzZMbxuwm0A LfHWhAZEloYSxEjPDqNJ7vKCzFk7D9pnQlbHoWLiExSuk9P9hDjY+049sssZrMXy678OPQmeG/oh Pg3z2gxw6co5FcFDP1RLi5QKH8d16ec+tyZqwGv/uPo26czzkFVzd7shyP5v8C/s3HCP/9cxJSks 0E6CgDoduFUIOv9SE/CrKVn0A1x6NxHyMDCjUyGwzfq9HbQay6696UPjddr4u9NhTsnrAq8ueLzk sgOJ5GAHvpeT3B95IYunY+5rCiuwfy2psQD36WIKL0fXSJXQ2AoX+i1dY/S98/pgVXECML2xxs7I qj5OutqGRmBYyZJep6dfM8t8W5dTqaBDjfMemCi0BTgZUNv83DHpGmmrEZ/YvTzIoto9NRRhl/Kf /x+Kh/TegoZWy/43oEaw19piV4MeVSbvxf6CpsqfPVNprcazb629ZxJnT3VUuetoM86xpkdqDijv w2qQPFGpIVGayaZ/WraeX9oHextCGcWRBs/2neZiJ6DJN4khoadrqHwOvTet9AGBNenULiQyEINw qTv+T5jbsx+X+Zkxv6kC27t+5BG0MuX5vM/EG65vdEJrZBIqI2Q67h8rUBfnxx8o762ypFdMT3Ue onyoKXzDpeyPleTD2ZKA5PQFzevikBJ+7mwH6UuuiX+dbH2wXveBke8YjXq+/RwBtWmv+J6bjc8o Lz1Kv3pbdTXpAIBjp66A8BB0F4Mv6Q7fAxU5UKnBvlEa/vN/In/OTY3jr0533Ve4v+0XXgF1OZfA fiHGAarpIrefskRsZa4+cNnImy6UZ7JJG5xCKWQDInECgFS8+WRkJUT9wcAwt1ClUtpQtJ9SmNSn XZKdwtqbB7SZVeLa2ahvkpmqnyfz7z21kDLd6CE1KUBKqVhYMAVBy+g85t2AwL3mJlHGWyhEghiM 6BOPk8Z3Nf0guTC5QxXFlC9843WhIxHhg0YlwoUVo5l9ArkifKxnroC/9UlqA9eIB6+/zuCLzdSS CkvLxOzQw2Sh0YgDKN1eSaPgOMfEZNW+mNo/WThRmuIgfEpZfc+2GwZVuf8yy6QMBcjRIrpAUlaV 488xXbKx88Vpt/6TcZGccxG07uw18m9b8P0bQlCCBdkuP464fBnVrg4vig+Q2WN/t6E2aUhpLXQO X5QIHjx+teCDTFrN1zKCvRQILMfQVc4LBr5HkDxfF2QIhOt566For+UwnS1tm2djasNlBsZjRtrZ hG4aJ3wFNn3gQIcRZCThgSrQLmA4VVlO42z1TmGrdeuZE8lYhIookuFZYSu/IZdlUcEvMf/z7Z+0 0GHUsD/j2M7BV8LJkWwLA4/faA9VEJoeZPQcAcc53UUrWzr7LY3q0N3DXnxNCUaTT2krCYrtuEQw h6pLBmxmdsLXE6494UbJVkYKBZ1U4r7WKAdjghXVLMRS/fUpXCiURd47AJ0wY8n1divBZxrxFb/1 BqMCjTEjcmFSO5IRdHXEQNNHkdm1SvRtQvtnjVwzJn1JgsFCPUdw6GpDJhHkI2CBmkA1eC5+Ryem kt3ABKMpfJ8dMkC48XQWtVHWDu876NybIbGXRhszyjyVZBQFyCbVX9Njxf2NQhfvspOckFnIyONl EKQsol5WGWOyjicAbX34UP+jv0hqmsTrr9Znmqbuc777MnCzgpx+xoz/crcckG04tweqTE2/Cclm JjpTYq4t4ARDwKGPOmL7io1xetASaiWp1MeQcrmqzQftaGlth60vKDOyAzgDfwIa/4HbWaOhuOn2 FjoWkbQHX/vLZ09OhfciHhGNzVfc64FIXAtOcmzXfCnD/bCrQYDV6ruvYGyYkjU3Ys98P/Dq1uzo +esy/BfivUBNKiSgtK0AWY2MDN67HkJx44+qoaHOBTS1wdus3o2Jm7ljo2HcnIx1djhYwkS+MbTa uEWlS3iOhT8BFYndVjXYFiL1owYmi3K0gV8077YPTQO5+02Lf/Ub/1w5yB+wfBDWXGsnsgUHE8Pd 9LLrnSR0xq7/uae/EAFMZ7CmdA2iBYwpr775Iom8Ct0mUitsmBFrpPVYTktqV29yoSFbZejLIf3z 2f7bc52nsSmr8lT1wqvsjrT/zUj3lRmrvRpIKD+7Vgwym6Lqg2+h8syFAVkHrS5LwyBPW825//hP HheWJu1DvCqiqqQAVInJCrhBw81SFNvtMwE9vzz1XpI7HlIIy84RXoHqnrvvvm37UHe2tyf2vv5c M2Bp7vbjhD/FBI3VmtKIx06rhOIaT0hEje3m2v+As2eFNTggQ1Vsdy25ZTOKt3yIHyPGEJibAmsl eO8vXoTcE3Co90UszTVskyOWsOELnI1hwNcwSSHEamSd2bO/k0zj+RauGqG02dB4liMXR7UmQa0U esHYqxBnsCEMdOXVlbMntLh9gznyAbybUKXnaqevRDKTwvjY952ID1YdVLVxWYoRa2m5RBX8EBPa 1aE9pnkkiRWJyewf/1+CVmBZoqwdvK07TNAMdYgMaxsiPSAldCxWUKHziBBCWh2XswuY+Kd2aFzI VQ56TLmF6Pm5euZc1pRCrmhTJuUNlDnZqgAAxnbBG+1y2xmhmOxQ8g+MIMyqa8PuCV1u8smCbMqE js9mLkvuYGhSlvH9LscMqQR5yJoMWx9eSp0RIWaJdgUetlTNP3t7bYKv3NFD33Bf6BDEFnQxudhq SdZiKoWB6Bek5NDjwwEKX1ObsNVngbniZoY9LgwEtjt8Scrk53iDYY4qSzWkU3uZrXxiLnXJ6uml kRO4T8QUS0a8WubwBERPHkuOZh9Cbb0tYlm3n1JVhfk4+RL0a3zSsavG1as07zJDWdcuBLQ5e9K0 H+mg7FaL7ZwGN049BEFLj9I4YTNZmLEZRw7/35fXsozP5VYiOySKkwWrrMqCXTQ5WNCr4ckkj2lO xtZEUd4GNBShOCz3WMivmHeTmZx5Oq2qVLQJnHjoBqhlV7WUVy1IeSyvYsnVdSsEG+Uy0Bk5Ezg7 6POxsQvnsbOJHhKIdjBly+lKyYtn0VRH6pJD/22wfZi45N0tdJwJe9m969clzErvtP0qsJKVv/g1 gvv9zl/YgH5Wncm9zelLjcvdl5pdY8HPH/16ENg5fxXxKkx1F8HShaGJ01XQ40vpbekhrmpxWMbh ezdwG3Tg9UVl88t//JOiPR9+a4t9T9l1ieqKbq6fDdILG+rvRgDTaRJkdavg1Z31T2w8EhqyQUPi vllAlCk/eyTk0GJVtVtkMacQPqdKSesi4DKJvzde+3efrktaRGeLEQaBjHXs7Mx7Rhm4jpYnUzLh 08w7hi8q3OMOmRluCywKtwqtznrfTXUZq0mi94p58XFKRXUcZ68hUdm5xTgD+yInk5gTRreuH7vF Y+GlpUM1jKZcXiOsxskZuovlFppuNfFfAQQIqxtbc7Srih+Z7+kUocYrHNOGszU2ohKEfruN0k9g 8uG3TMyXw68Plz7i1QVHUI74tmpaX1pflxdB+9UM4cLaA+f02K+WACadKDGf9uZJASA6iZ96MrLF cGjgC8mEWQfRdxtwOsVxRzsOWakfGuiQtb02Jh2hj9j2P6gFj8IqPHIy9VxDJIgYm0aHmwjD6Mmc tUtcqG0xbldBcehiZpqplvlQyL2mXaiiswhpSNKmvhxnIzaecQVNu76jUhSyy7/Cl3ZwgCnHvcR1 Q4wE5Kn3v3KiUciv1kEYjswID2avp+16hdLnkG+2ifq6NgfP1vNpmfMdW/NRWvbIJfZsVdDDxpOt vo++kgiTQ4wjxpEliGs3dBsXrxzhoMpkSyufnlhRuizmwpVFCMUfenMlQw6i8YyiXzdsmbNTUXyB 2aajMDxYD4MwDsIDzF0IEIP/TJUNYyfkZ5OFRuM59MExBt+w6BNX2rzINB8OZ+vZFEVLxn1VuBNf rh3SfeMorUAi/9CxhJbrFgeYsCPIPbpC7uIWtcPT25k4JerkSc7seHFvwlWvMJBEhOAt980/cJNK 3S3k1VPOww5aHGiVQQLdy85c2tVRUf30b7yiTe7l+WK8PJfWbhZ4nEkSUi2tFVxDjdapngMzhd+b AqokMkEBjw7Zr1vuFYTY7AngzEYcoxplQW3sMRovsmZ2YVXesrvgaOV4YNRwrmth5GOJc8rjngll eyReOACUTgYqdygaWlWvw8Z+AmA0w9eqhxPq4RLpzp9AHJmeMEG+1LuJk/ecc4Xk5k4yAyUr6ZVC DC2F32kVl8yMn9WgeP5W0nLc6xU4W1JCzEq9Z32Bz32TsmVFTi24zT2hrNwdaYvQ16lnqnNrMCvW CLLZAH66akq0GRU0L3QPMIOJYvMCzajcyfPA+8IMcVvHXiTfTg88UmzSttzF8qy+1kQwuuIM8c6y b3fkHuVOZQwfO5ZvG+fgS29O7OZ3w/IynKdcuA9IrLLzB9xrQFHSENxf9eSnFC4iPiA/rZgIU2sY to0EAMn4rOJ8x+8yFDDG8FgWuMJCDUiKca/f6HljkfoxinVMQNrWEGmzw5jPUnQZf3g5kIML4xMO KlAWuO5B0G4+4FRhXzkCLLf0TCobY2ttI1n7CdiKggBtaQT3IvZwibjL0qRI3fziIMSGkYR06X39 fDgtDM53oFRm29zEXHSHnZ3we7bl6sz8Tr1ORPshK/hinvp5zelVViuOx3xyx9YQzyh2flLxsVm0 iAXg1b4+I1edxq0s2jgfyeAbs2CqHL0qFcBY++ENsTzOI9pPd1R9FA7DW+fLa8NLr7Ttc2xQGALe cciTg8uuNk5Azbgm2G0pQc4O9emqYuYNEmvcdxPjCTk2PcikX1VLWe36E+TsYgs6zHTKfiNn7Z4v DjRC2uUdTr0robnt6xxKsdoTFKFZeFNE/25QexxkoIvPclpxc4OYiaax87aJItTVbRQYl0kGVHBp wpBdyEl0djhK13gkJKo1InSa009V0Ssd/mIW2J5w79ejDajX/x4re3bycyljEioiw2ByBOZRE6Tk eUVP6sZVfE+bbF5XIgcBwVFd8qnPZTxgNSp0bPxuhtwIx/rztmsEQzCOP3ot6bDeb82vrjKHqojR S+SQdy/huBMSRkEjKri3ww3zmTPn6TRKq0TXKB5mE9Kf6vbaWGHvRA4rkMCySfdvQU+z06PVvVPu 8LOuKDL3DTibBUrJ1AViAelaQT2ohFpNE1BMEJfNDUEd0CXIN/mifwATYJSaceF6DQMUN5D1+PFn hx7biwskD6dP9482jEjbGzpVXThGqa3QqzuUwdmV7Fa3ib6NXotEKFWTA6HqUuQi5CFcbK8xsO+i cJvemaTsQ5KaWMA2PVVKAYPFzDJHEVupzIgzVEahMnyCsMMVATtXOBQTqu56oGlYQHwFEjh/ZM6I xYmB0ldAJVIjCeXj55aqJpfTzi7WM6kNtWeXEXcveO29Jk45Vh1UqZSH68m/+nhR6gIh77B9xZAF a2mKOvDcYGFzcaUJHXJWq3zyDBmgGQPZoe33gYjCv/DPMY+++J0BwqtkTmiENmPqCzUfpW7Jncww WvG7qDyMiaNXy14GpKtm4hX3S3WtFK1Mlg3dIB8M5fKhpqO5UIz08mrBvNvXgPju2dkFnX3a7ZG1 zXbCpzh0Yzlngw+7YOQsLr7gbvXveg7WFr27+aLhqc4fA0O+0FJ2jTSSQo1Fn7HZ6hbqnjyCTR6g mCh278KU1lpmi58ST4xIo7fwry/Bi+n31zek9nsuHmmtqK7Iuwyj5Vre+lS4cdrWL0cNoRGlzQlM pGQweF5DlfFOAP3sgIycLOUvpejjq8zw1X2htoPXWVOMhAAFCtJmApAoQ2IT3pqPxJYD2Mu1UaFL u7RkJcQ3KRF4iOevpB/cVA+VEOV1rNW+LKDArdZXY09Q0uvI1uQFKyiJKLobM0KDnO5zlc5x0fia rfBQpzfPh3l371bCSBdP6DPlWKo2PSLI+TzddlOlS9M8zy2cDBnM9pUSyTKRSDgqhSs2In3NrK7t Id2TiGLAqHXUetF2TgaR7ns9XufHKv1nwGMuCuK981TIWVoCfzZnDGg12aLqfKnJ7ciOiIJxL8XG SCSM+xMvdbi1Ct/g/KoZGAwPDaCgh1vi499S3iLmWQXrdf1PQmq4R8yijApbMcz/h4s1n2+L42X2 ExIh8iTE/RmMei0yrUuZo5HEoqzGG99vCP9ndJ95/DvOVM/osRMQB3Nl9tORUp1tDiEpMrrAED+1 k9bg+1sHNtEFScJh4uCqQ0VrQuu57YHiVPTF/WwjfkXlvg+tekq++x5ECFuPk+kC1w2hFu2Clac1 ICpug6MzWuWpqOTKWGiEkmal9oIC1vVrfRfEm/gkzbZ7kTrM0R1MF/GbT8NfJZWXc/fSd9lpqaV7 125VE8o48n7sWcdMgLRdnToWr4vebtGYjAqy0P5ljYgxgm0ndKhy0POPrdzu/n2EmHUNBbQQJJNi 1CL9iqiVOhAh2T15Vu3qX9kWPqPtZ1yWGOTFi+YeL91I9R1Fs4o8BP3DJkwp3RQYSUboRUSkxWPu 30xCG/SMytBhTa4QC80yYeU2pfrKDXPANp/Gy9bydnrD8apMqp5MsJMZJStZpcNHCoHvykQon/HD zeUsZNnXuY9abRiEHvFtE3RUQdah3l6hYW8UmkV2R13J2+r1UCJkCKog8fewapurnlMoZgecteo5 SFoGp0rkNPFLkLyYPrLA0FT6j1bSG6QYENYgCilXzgarzvVToyYAXubYWh5SEtJk00dmjnaMkoUL tdmFxK8WLHfGzDoDD9chB2VT9PsTV4YWgo5sXdtoDSpqIZ7JpDfur4bUZVEYtpKTtwvmk4qkYE5y GMw5VsVF+aK5lqf++Oe2XUm3/FEMDnrZLXs5ufRL0xYjerPnKQcl3L/h2e3vvHJBlxt1E9tHArfs RyAYrwB//UvruuexksMRn6+Ocl31p/MIJTDgTr2scb3tHqRWLwYyTl3kOsZzLOa0gH6QGi3fG7UQ ysWipovp8FKShZxf4h/qLyz/KdmGETRjvFf4kPCrSjyOWzThJY5oNsvbI89j2Ghb09yVzac6FOO1 lSMZIrSlb/Jn65AmNXS30nd3h3/XDGHnhel2nZ8bm4itbtr3jPczFI2xqPFJr0oX4aAsYLHZ4FnT ufi5YcL1voClLURRAeVdP3WFI28LjpwB7UXLrPp4g3wRtsZSE7PEvP/x5faBKqAbQ4Y27DKu6CFB vgh4MBnMuTnFTsFG2e18P5aK/Tzx4ZVfskkXEY8c54da887Fd4j7mvVaBKCD96gxSANdmNzlGeha B5laIaXvCcOlaufY/yZV/YZtpMbrpTdFaMn9J9a8rEZ3IpHhkcj4/OszLyDYM6Tk7VdBfobavoR4 qkxFtZaYEFwm2JI6D6A62eL8YztexORbYSvMVViyyhxrvydrvi+uwxgK3lgQGDIhiAgq+ilkTNq1 bydbgFcqiO3Jd1mGQLH3JGanVHK5LUaGufq5YaijcZOBjUg8baZSZOURsKU5EaxWusUBJV9j813Y GDuK4mz8L6dpXcXIju5k2TFugxx9+OHKRqkP7ZZiQOhbG3NFtB0PvrPwVwjgeYkLk6qSICVuncs6 PQBoCo1WJmQo5ptyli+QBVgFGAkyYt06Y3Yw82ayvhNsk93BHrNCEu6xd0sOmranEg/nUsy5WhJa GoXKsxylNx4++AnCFzPUO89cW7NXNPk9sn+qZ3nuIJw/9ViQYPju/SBVw/gkHVTFe6Yln/Nw+xbn OnaQTQaQgW1yAxbsRF3AZuEdDi+C9KdKP7X+13fGlNwhlsP32neu2Hwx+j40ViH4URXheN89b0pa QlI9AgXGI4NnF08FsBCjH1+Pv0fCLAbwS1fVPKQqKtJyG4lVrJtnjIx5XYrP3tvHf3GZo2akWpBa iYsoH6dckVW7z14kmQ1chHAefAybkDj6PycS7C2qtELahjqzZoJAZ9u9lmZK5XVt37RxonwKwgw1 QoDIJ5llJzvI8wVmygdW5R7YsxIw3RXU9RKO5QVsjTeK0tDmo5b5V2HedTcYI/nPjOmxhljY0/K2 abnAZShvBBDl8rJDOSEuf9duqtmP++tKzuezXWe3Ae817ckZ9gpHbsGyUepoHSrxrixSq7NSSAcU 1RaUe2N+9O0lCUhGjXz4/Trsvk21wqEHF4ZScFnIUPdAmLSQD34FlowZ/eSh/yKkF5fyWdAvL5Xy 7LejEkJh7OowKIWysa++UDFHtp5LLSnE+NNieSEBApsHQhNoUg2ocvMPIOMHo4DJD337iSxF2ZFi 4v+C6DdAofKS0zHsIt3JOgvgvAWicyau7gybude/cIbx9WMgXJP/aPCiIWZVXgWE/IhHqbSW2HCJ nO0W4Z7ZQkGmCvNd4Nvzdi9uJaZ881YnF2xq3t7kzaNPPyJ4KxLDKUBBuMvxnyyYoIKn1D1/DEsb NlvM0pzSUkBKPuonP6Zb1ARcuw3sj/bJqZ2AU8lImjkI2C7+Fu8gVwApCeeDelgcVgA7UiQC6S+e C5UBCkqH8HGYLKirN1Hb11Jx0nKyer3BUlUEp8ZZY5GILLZEmTi5RKXHRt+hJyw69U+Rymo6GqER 8RuY7mq1B3UU5UX3xYZTOaNeT4F0aiaC+9xp0gRhpmWebu1kmHC8ySbp0Hq1vN5s7yPEuvgcGxWi yixUhtSVHTKyTNDTjbMYE5p9LDm6fzAfPdrXmvv8M5zDVDiiINmMTj33KIwrYjlJ8WnEWiifECtd yZxLKqWekc6rBxuC7Uody5t+2HLuVoCCKeQsyND+LmFtKwB3DBkt5OOruUHaHnDWMMUIpyOZSK+2 8LwUdd2nxQTorAecIevp+0YnWYSeBbmtqJuCvJ757542VN0ez8ApeAnyuMiV54RIfNEuFXIsTk1p i81JoqCQ7zWY9ktMLF3GmU8ISyXNDmxBdhl/ZFKSUsTeiIFXyGJF8BQgGD3mb/JPzEHNWWOUFhqq /B5RXJQM/BCy96A7jmq1UYmo+S72sp+DuyWXYyLjco/u9Bo/3IGRqXX+x6FymHFjQBvjp7im+WKD JHgqpK4WAzKOjye4vxdcXxeHcxcYJWnhS3ImitNn6EtqWswRsW1CrfALqAUe78phbJrgW42Y/KOt hEV/78jIAQszrOeh69JDuy88dh4X/Eyo5IpOaS1hXBbkrLgyoyDDMKX1WQZUV3sqHrUnT4Q2xRAT UtNiIp9grFT4CDaZhWRCVKaY1XNVChIzV7V8m1KyZ3JcDyHR0+khyKYpH6JD3X9Dcvrh14j9h32R QR2qW+PDJwPF5cWsyrImjb2xAgHjNSmMvyKmXY2viVPr7KFtzMYY8cVsBPrW7xjifaBEPjuXg4fj Mlq7SSaIFzqqn0iWT42rgPT80s9mUDPK9UZXbpd7SdBYXS/z+GOt7VS1uDf/DJcL0Q7MiuL0zypM sa4UOEZIaGcjwwaK8PvaUoXEZWWX0vFFj/tz/FibF6WKdAJmNUZf+p0W1SDgbMfSNhTngT4x2+bN C4jiOSQtPcHylrn2OKv0JA6rD1eYWjIqyGDHv6vhPs/iBrJDNKzpKKRUZ47auHGTrpMKdrHY7ElR IJoVsQE12bI2B2i/AZjiJn41HkZ370jaiExcxY8DVWX8eWCxyY+B9okRg3xJ7dmFzSNhq4FqDSeD h2uyoVXt6sX3399u2B0Vvcc4hnS7n3tZKWZ05jcm1oYDu9/B8hRJNhsyApe+WK1Smrm3Q+Jri8I7 aUiAo/ozvhbrJI9Ykr/yM58yKKwJ4x6LmX1pLfI1W9eOvDeMxQYMlpfpflG9mYTaXhUAbqN/RpM4 DxbFnB9xrJIJQCAsW9FP6KceAY+JSj1yyrV/JX5jJI033fCDMhj6RZ3/b7IgO6L/k2IosKnhBHbi tA8O/jifkkfNFkQxOKFFslgwxpJIx2FI2Pyecx53b/yUtwAMDDWiLhX+PtBEtYfXYe4pkQG3xJGy 23TM3iEUPzhhAjS12a5PzClTZBH1WoJ0zNUf0KW1vMDTghFrvHS1ddAxtNDIsj0A0FxC8I9XvhqG BDkxEM1aGoWrOncGe3c0TMOgRUjmkBtTeY68/Hk5zT5DSOk+QqNdREr8Zfdumy7ZZmIcXG/rjxB7 5ZLPBzRn6FhQ1W7ApnVONQ8rENIy3IA6PPa8Zf/aOinUEGxG09/9yTCHSB7xyCLH7Y+f3bbxt3mr MhcPbLGZ6J6dkfz5Ni1484TO2DZMJCLeLq3ggGbwmKFxUFU3a14tGjK6S0Eib6qQjz0C+PakkG1c ZdzmhAfjp7De3ftzxYJZbJ8U9sXHYQcKWi8UulFg9JXeTTQ+o9WOooG8wij1aGFdokyOhv0RI9Rm ZXvzIF4p3o+rpL8LRWm2GC5X1Zcf8cyw6WTAghM/nUR1PQGBjEgR5ro2/exKCKJt8UgdJL0r0tDB B40a059QWoGSNcCBCSj7Oww/MMRoo3VoctEuL09SM8KAfHJncP8ScbMEznngqu9L9K6t2UGZDMQR NGoRCmyqT+xzMYtDYDNJyiQL44VqekEylF0CoK8kG7lQBPeTc6CVRRcPj5LHZRZ/LhDLvCkXrpiZ dM5r8fuKqgstveQMPsfgjDNNtEpAq3yn+u1/WC9U553CUD8DCfF6/l/u9+gwZQD1bz0z+7SRsyAq LIjPyLbgJJY9rTm8hiWT1QP5LUvy1HQI3Ro2/r30cuZuoHm89Ppqh+YlXr5wHKYXHXttAD3nKGQX CH2OxJZ8+ccTz2zNLPUgDKavnVZRA/n5lJbqARM+4Rh8XdQXefKj444QREIw4AuAfzSR8tWOX0Wp jCpvAat8i7n762rP990ghvArVONIkFX57oU7E7JHi59EWpee0gjpZXFyv12yYeK8gTpV9dEgD97F tjOywF7fYnaQ5BrNt2SuU0yAjZL6DBwe7t+s0IMQvZEXCUCKQJF0QpsScqp7oLVvBwW362XAlhsp BN8leM2EH8USR9KAekmn+FlOiZUa0jT6Hcc+WJBAnZY/rLvW+WQJD/woklcq1oxtFK3t5PjPYzjh J9iRITw4K3TR+N9/0Lc7fqILATyVDytJV41heLYDapbI+mclmHwikltCPp4XEo48ulPMoLiA0w+P fmzVtEbFXzEXuwioCHHGwKunhyWxaCWZFqsV3vxEW+bAe+e+nQjQNVSj8oKhSmgqWkj5dPuyU+oq f+ikT/3vtFzNv4ifllFIdzjRDZzTvAg0bYk9am51NoCLLrNGgPojejk7gR928h1E+IsvEhzNQ91P Wdkj/Ero789FjcMf0ZUf5CVuup6cZaQlhdA9pwxV4GENp57gnNcNwMSq+wH0qvl5oni+O3ie74f0 38awGoFXoAPAlWuTl4sYG1McMHFxGL/G1k95UleE2R1nMEjqIy1+DQNJEUMbywCy0TSvN+Nrr7HS T73V9L3A77AYmIO6EPaAw+aBRskvgXtcIWDT5q94edqtDftVYkUnWpgP+wQ1z+tSojpLi8TKHifa cUBpTuaf3EvHgT9+SyLsQPgbk3nn8WgSKJzT97+G73j+4HT4JvtfNZl8+K1ypqHyXn0rwrWKCdZd AGG5E7VHfc9HhsmxJ0yWmJ5g8GFFFRnQnpJAcV6jRwe9cmhG43o2AA9wF2G0eO7KUictpfadbOWL tpVR3vk8hu+g+p/wYDa2cxfnKjcqqOIILKCikxnrY7K8x8kGvZkkmNxMfRjLm257Z91wiZ4HO8yz UW77+i3INGyjXvLP/pa2OmNRJwWEUVa/zRmqJsM6k8ulniLspJJIf/WrQSuAAA/lzEOEtI1Xi1fw X/r1CFLXVF7Z4UpJ0JEF8WzTtt6AWWuaY8mYPVJgJP0y3BRwBuw6/K4FG+rxRkbkdqfHSPusSZ8q +f6cZ0u8tBW9rrIM8np+ea9VOeL1CHemlKN30CKHybiDNJMN+VfZ3yapnYG9hZvftuaHCy3aAvPH glrAwXTdabNlEiiF/2nbHn4kSIcOxxHRljbL5rymy1IvWVYk22bnFrew62PijH1+8dOvBxaYjyxo kuKy1t3XM9RQ+dTZqKyLnykz4d4OUrjy8MfVhsh/Yuz/Pa9z7I78UPYST1mKhkMOc3sfBwD7NYFn gmTuWyV6M04sfTXKrBpJeKr0OD34FGXtSa7QhO8VbDjOZUamrT320VQqWMdkZmaVF8lwGc+VmmW1 4Q00dOlqd9/msMa9v9OTUH+oyHEtcsXeSWMOWaagGcnG/yjmdXadyyQ06csZuT9Iqig0WvBSXGxA XJDHYZXNk9m9tD3dfnWsNskYm2CfLE06YenuUBl54z/n048bwe1WQKxbU6dyvaNRwrw1UJj7fWE2 9nSgzf3yjMTaqPgPJCTLDfSFRlVBOV5eTkQP2ljYDvBZrcYuDkKH2ugteEtCsFQbiDtXNTSWOLfD SbutI5xIFGCxMvvXVIoIblDHYMYJyRHgSNEGFULr0JSQJeJ3OOop6ft9Opa6hClq/Wqw2h4KO3Cj sUDMdieSl5Sa/Yxcjby9Ikmh79wLWBMafc2Eo6LIooHwswQ2VRL4gcJLtN/Ywt21R+XZ5FgQ4LJJ tB+qCqUevrbU4HCv4v4nnsWOSO/HoTtctD9FG3ZUeog7ROuX4jRorkL6OzGbl7kJwMlsfDPEq7yR EEQAS/QZjJC1ewe7TN0MuCwJXU0X7XsueL0jdGQymvIGAjA786N8JL/MpDjGWbN+5yJTaKeXu7Yi PIHZTMaWtoF3JWeL1i5LKTtRi8nMAkB8xieRz+n6aNRwuTqcHVJRtFOLmUOp8YAZk/3dAW6FkZ9p Os0/kcfI2m7susefRRor9gv/ixmeevMnA8Ry45w1hSoJQLxynLwCTVflfc+vXDV4B1zK3+ObYvGv KgAYjbCEM2SA4Rkvnzo/sO6VtFoyPNRuR2U0++WF3c5rL57ccnAhQw/AtEreZZg0jackHQ+UDhZ4 fJttgxASTgNLiOw8qzYaDdIQz6BebIIu4SZCvXOo0ASC3gXhTBeKkR/p5tTVyRmCSZhek2oh83Wr SltE9tiuYtVy8QbfWVrw/xHsIJeOXpokIMhUUpqcdCZ5d/1ea+xB/o1uUE4JiI01deWIlS59GNMG JKwlW9DJwj++cMH4kihsT8pUr1mf9tiwenxkRPCTAFX9hEQUhCL/rAUOFQI9vSg3ZJ58pb+sZmEG 2dS0f8lEHqaTve8198Lu+wYjwsniexrpD6E75RuKhbdZ0nY5Vsk5APSPiDecyf2XBFPaSolgUs51 tFoGhNNWAgSjVnSeK9CMOO5WcBdP7CX4Eyuh15GUrQu39uhvTIZCArlost7iKw5iSdvMcjXwmGUI YbMsbJopw7HqcNXp84bBUnbYUeG/vc/ymHNoyXNcFtVx1BxdEqTA0JJLPHZ8Hk6tNZYvvkU/gh1q MFrkzPIEnwDGVoHEEXxXOZ8kLr2Fk131wrMQMEJrp9C6K/3pi+8MYN+VQan7GKsxSvSMB/1dQGSU KAO0yr3imeWFYd/mKovfrpqppQ0sG/BUk5XoO9rjAUZ+KF9gUOQ6utob5GU0QvqflM1c3r18ufQs 6vVUNyWpxk0ohyPqK7Ew2y1OhGIF6YZqzs3+lk9XWeU+3vUEikgz6r6JY7Y+XYMB9vPUUehxq31Z qD//yoOJBywZ03WDV31/uetrNR803//wNnLnP18zFfPrbm5e54gTJwQ/9QKmXCct2VNy9a8HvLvo 3KaJnKWMDWWLZB259zeD+XOxpG5GqMZ4PYzez+k4x7AJYI7sazCIrRjo6Eol6KDGF0W+UTjixXV1 v4cxXxq/sHNvARPE3BdPtRQHDiFG1rzeyl/cKiFWcV3ij/MQO6i6R9nlrE/m50qsozhDgu4++4Pf C6Pzr/bknGSJCfHEWPqlzJrewgS5zShec9zvH8eRu+utJQ6M/st6rsAcaVuHjMGWsaAhSjo9FgMy 48gpcAOLNH8y5fy5h1DJYER+GkaC3+dRMijiPf1t8E9aVDy28z0qeALmbPfYSr/FU+AA4FfUulil s1RKbceL12XYfBVvRCQrjhKDMn6Z66HIzhBqPJm8+Jn/5631+b8oOBmMC5qhPUSIvBblrmqWdrfh /vgh4z89BmdgUvWQ53LHBdE9r2P/NFgRCLcUGJBytSLm++1XvEtoosiDvFESzsDND16Zj8IEKXVD t6HeJ4DoBVyzzwHI5H98uAJkySO1nMDmPQXNUJuV//vnAcgUH3va3IshvmSWLHwdtKBnu8b7TyRf /G0uyfS9zigWSjVWP2w33XTwB4xRtPPXPTtI/4UkIC8dsMe6CEf1492bEWKYkNVC8rVMIddnHej2 k/ajdiBkoBTtvrfXPxZC5xZdno4zA9tNXHaTr8G6i5SHegQnaXO5tIQxrIosL9AjSQUnKizqSiSt yJXBqj1wMowzFLTZBH+5ksNEWhVgBOPJbnKzH3yok2NrAPtPa1YFRNDeU3KJXT7ppM3UE5zVeQLo co/7QunC2RzTlJexZUoTm1j9GCWO0EKu2WtyxUKX7AN51vj/QJ1KDHeO8V7rkkpDAYgqUKBXevwb DAZmgQ3+PvtJRGBufa7LjclZcaHSneSJ269qUpIndlre6Ty2UGw8ItMdiJKdSp46D47FdHUAAar8 0yvv/8uDtQDLXQTkC4pfHpNxgVH4WOyHXPYHwmaLf7Zo+z34b39ke/7YO3zk2LrT8k4r+heNz0U1 ejiuYoY0Vuai4ctExvdvoI4qI5ATCgU8xxCyxKHFfmppF8QtDNTsJGYQ1Z4TEVnVNgMZJUmjcBrJ 6B39Amzm4r44hH+Id2R2PqkJ1Aa4zEEaIO03Io7st3KVDY/bcgSsD7ixw6MOubjhEog1xodTZhB0 hVUN3xZTzygc4bIdKujlGaRUHs7MC+QQTTC4ijh8f/JyeTorQUWWDWWDqf5NLnnpy6wLyslNVs4G 8g35EPTWTba9nDHKojKFTA+0VhhkKXrXfyxC9aF8ujIKbz+fWW5TjrmHiXeeCpkqNSwqII67pLLb LuxxPJoRipzRVdi64BRt5yxeH5OjH2mNnjHMU6AO9vvQ0bHjO2yTdsQBM0WxhuLvuusmVz6fpAg3 YNMCFgCh08Rb8brSRobrN8qz5qH/btFe/Y5d4n5q9fQrLuEWjUyg8vKWwp6ZYic9WJvxGBfLKHKw j02D2mPN/gFIqtjFEFSmk8QsxU8nNcieVhnkJqEyUtqeOcy/dCyFJv5D+njgE+TajhA0AYruq3PF y43EontjhEEAmA7bieTHPlm10QPuqJk/eQO+emPR97ORGBR8kYjXRjz9/n/c5XWPBocdRKuZnSoz Bzz9VNi9w3g6FGJgOUXPF+8hpXK/FM9XLt4AMjY5bqdYsC51eOc3zM1ThNKwvhr9oz6KkGel6y/G rO6wt0ZFxYBorKN5g2eYcso9M4K6AqMHDQ6/b20N/Z/Znd/mDeCKtMuoYn0nlA/Oxw9hR5dt87IY piaKF7HuVp+x1OkxGeOkoKlC4MzTmI+yPUGJkqrWOcC7WTUkntvFqo6a8tsH0/3g7XO24LAqYbcU QZVd5/2beEJWkdAdVtupP8BLAlPyGtDwV6NBd7QerwCKjj9Rx/Oz74dN2IFOMZ2eXOQPU594aP9a 9dk6VCUiLrCcwAPHRvwS75CkCQsrmwHoypKEX+8pawk1fgD7a8GlSiQyxS2KLO2vnDeWV5+OIVJu 1NA1JHCAr0jVt8Af8CMDTEYlp45tzwzCs4qTrFpeKDkT2siXPKC9PebP5TTSVppApN6lzlkMHLoe Eh40c2kJIF02tT60tc5YXCrFEu4ukgJUFdJTg63htc7oXaBO8rf8O2fHQuu5DdS1MqCDiLzV8moz kcvqyv37ucm7CcjOCFjF28qaCXe75ObO+Dc8KYoWoL4AnYEQomQmNCGtu7oZRNDc/qL8fvLRxKJy k7guX+a/tjDZzt8IWKWXMFMgGMJa9NbxQCDA8zc7cB4HR21Tvcy3fyPLKTvrvBdqGcM5BmLW6N2A 37R1YIRaeZDega7Y/DLGV/S6x0EZRw6rq1NDYJYl3C+A/uf47v5PMuw4YYIAg/ySiu++By7HLu1l W63LLslDvaffyBOrST2OJAfEvyQyW3oEPCmRxzvzsQ3PnM1THB2ofAUpM5gEhPH16AEmjwdJNclC sLkBcdyPmCXc+h2TcrHyDzrDUf7+vpnawc3ZwuYZKX36E4prCU/6/DKoKPTg4Pvkd4UTIB7x4519 nJufKncOdjD1pKQBCqZIRJ6gcu5SxBqMOn4ae0rnRg0/k2oLhoMHFTOyiuhouw5yxfxlbjUIPYk3 SGRpHfsi4p2t5f0fARwThpzq0nuPJz4kcU5RKAd87/7Ex3PbYAblHm/q6OORFQzbJFLMO22Eg+eI wr/E/15hXvSbinl80QJSJC7ixbzzQQ6zTWgMTjLJV/xTlfdMRajdMjm+Ljsno2MJ5wL8fi0f1Lni oBJlLFv+lK8k/YIPtY4UEG6T/Fu94vRcHUWQbT6D1miq/10VAHjPtGcHmeh0RqZ6m6KA57fph0/F 1w94vslOvUZFtQWcMqXp6/c1oTA8gKzV7jQF1BcgYeJIJKY1Z8/slkkRnrfw4VOHsEPMKm4ANOnv hX8kWIXyBeOVmP2/5SFC8valcdpT7znDaFmsq7C0Od7uDLqCVWSXHN5M4IN0CMs1ZGk/Oikzy0Wy Jf1cy5d0/nGTl8lF5V1KUZ1N95JitBoY164EdrG6hKbq0U/NzYupZXXs7pOV3dhTczuObxq8bKFr Sn4EzMlb5JZuFIrukbw3xwDhvnw405Lqj3ntdZIBOL3dDv75J4Q/ZfBLECCv8L824zJHdi9ejnDI r0huRL78L/A9rT3mhAqXajKoHoNV5sOKwz3FApzWYrw/OWVHKMBAA5S1Wyn+BDStP+7nfzj0/7hm qqb6cu31WV7E1iDLz7kTqqtFLaYTfgG5AWF+MH6YGEcYpaWOpPJyzlMpKPzcGolvhGitAXlkG1uL dkrIZGzR+djKFPWW9zIUlomca3LfHbUY37TQz7Serm7r3zGIr+B38OeRqAfTZstgd6zQlhAomEtJ C6s4N/hHqJaNQIm+OZ58MqPRNbSGVuVMvqJMiR6kLRkEj2ALPdVnYTPMnWjc9WYBbxxcdE58h4f6 u/eRUne5dbgn/1tc9tydV84vom6L5PSeRG1gg3obgo7Ncf5/J3YPLMTvwB7Mp74a46nsGSWKZDZL qH0aeIJtEj/XjO64kKYyHQkATdDPPEOEQp6wpZyfUthmx11kCXVs25EwuFUBW/DXA7eJ9OC8x5O1 eJdNOZZWknOEtNgyKpIKh669WioD8q2X8shNT0ODmsYxkbe9XNf2TfnG3m57T7FXEnwW3GlbwQdS 7ZKQm4Zam3DX6QoJIPU+xPluHvZLOT44yUmiovTJ1cg60IYsVjlZQPXJa0AW5MmGckQzY5hAmKPL hHhBA7A5u/uhjN2evmB9EEE3v4D8+2cSB9rrV5gJOWWEQSmWc+vn1G+bhxa1O6zZEd2a5p41kyWu XmgGtlVHVTlQ+0JGjo2d9gX59OuQWs+Qrylc8ZeHts36lMb158voRW7zzTS5IW4iOh1UKowzubDl OBU8w/lJt/IQdUmC0qcx5NqHpVzi3cpMxOuaBhXR58YqgNYnAg9eOsH4huYCrSjmXw9oVmS6o2ea xXILVRUOxRdgdnrupndxvHdAU4pp6iheKGLiKw7QBdsEtyAeZg/o7t/kbq5VSoYXAOedLg4eRCe4 TkOPp7ralQhvigm7yPU25MGLLCeye2xctuKvi48TuVnIFLLGk3lIXg4rFKipcYdoydtIC1/tTq4n Cekkpa522nej5BOvLzwZgjgVgE2k7fSvvdXcivaDd+A/7XKH2rO+QK+mdqCeatp/uVsq/y8R7sMH ap48EZ4gjVXweHMd+Ot8LFH9oAx5VkfYTVPw3aQtufJZc+M3NhFy3Y8gkH1JmpgcgEp3klbiU7Cz HeHLtelo3jW63eDLybl9qTmu520tp8HP/FwvDSkuJ2PoT5xciKe1kDc5KJZDjemjtrbYHO+e5vTk gW4xWod0/CdkRuKdyaWU972YQ6JZI49IX+aZSFaXPWcp7AAbyWQllS/mPg50X+eIwwceR3NVjFXN iVxk2e1x4GZRtnH3QqQY05FIFvVxxGoImcvYJyiycYMCDNSJA7Jl6Pcpm7ToQ7U+kZZ9jEShL70n SpDf2hDAFIT1PQYiHOtzjpkxcXqTmp5bPR0kDUUiNjhpn3nN0zL9zDzM2xG2iM43GVbLH4tBDCfF ojsonL9Ye5GpQE0uPV42ZIqDOwL7VNfiqiwvCgxn9CIDXptNDu3dbahmn+mrRFHHMaojLFXxwGB9 MwwRUzr+2pM9sWWve6V+XBl0FzHhUpNpccYRjGkEppMKmS9OBAbDTR22iEzLT0zTUnuFQnPjx6wi 3POmTrduG2d4OOkiRxVtyIhXVL5+vBukSi5roKIQmqvyDHva2FlXFLI2VU5QiQTRyBRkywcY6/Xp 4maoZfi9iSg7RanX2fLXhHMRyssHjk7NsVKJDGecuF+0ha6+X1X8Cn81lX23oFbQwE9itVdBHIaa QWzk0h4fLjFCYnjA4CYWfwca1rbUJeZiaPhDaYT0g172J57tKrxx/gxw9YKVqcyyHx4OqmmuOqCR bua+pMoZRD060RssytbBT8Xp5wun7KfyjPCPH1CjsbmwrS7ImZ4i+9q83K7DAHe02JqHh8vj8CeP nuxNFd2wdrY2ip3qdVUSq02Rh37BcrVCwmqjYyGCjjGXiKkUDeNp5nMdJh1QT+X4nIie21qem3cA RImnkCkPnytnmTVtebC94qzU35m6HW4/UfAPjkJPB8ZY+bGvQB4jWBUuPehB7GDn83KMqUq4eBNF PZuHDj3ujlDwH1yaQCFHPWUdeEqLAsfPvPgtQRyEIj4Wkesw4TcBWiafFooWaArpSie6hJnO+F0w BfU+s2B1mcUWYTLuVPjDs9h7qZGwWyML+LoKhggu3LYswxxvhAdL9BR35r6+RltP1B7VnIvXxFiN cA4G/woI/KXwbBDIHNRsLFcbPyEHK3AdAbDYivUvASNhkMMBiB21i37OvG48VwbX2hA47t3Zf1BG QNsoqiBzbluIRBJPLKHCQjXdImEKhdgiwVQhPj/fjOPa62HUyM1GFqrvAKzGwxOSsL4LRhyXMGbI evX1sUN7Zf3C0ePB7EdwUz4Z/bAXAN5UYBKeYtxsX1Xk1aVcW1v3gUNbYurfvXCPstK+5YM/OgAU yEDYplkhWwi2g2tPvMAYDXZNzRTv/b2niVQ3l23Zwnmkeu2MTIvMt2MbM31b2RvGPP+GqNy4dgB3 rMIoH0Xww7trQiaAxrqoaY4SGpWCWPC+I2KYdbmeUPjtyo7s+ymBLfa8Yqw7ztHIoQyaEpLlTVGl sHKuffZhJ7xiyesabr0iOavGzHql1JbWKrXjdjiRGw1PpFxo5IRclNdAyyUiqcEzKTcPmztFCgW+ Wqw3IHs15Kr0/GGyqOB92EP0ywkdQUpJmuyzqJWD4MdRO1tYqrO3vX2YQ0FlvKnRZ+fiIMVzm6IP rEU3oq0TkwqBf8H5hQ7Uet7zj4bB8KnzZgZuB7dsisvfV9xmpdd2tJ6nNBc1osX4cvogoS5vTb9n jAHqf/0nPMFs/Hgp8lWD4vJ5QfvobR/u146DiY7OwmtDs75+sg8yx+snsyqOnQMgj2mWTub6xo7T CpikQRQctCQhq8GjIlZ/i1PwkUZtGHHmnQOlvtiROAmUFjXLIOaan566cois0sTSmXDOU4Z/cNtx xqDyncaJdRWcnUNqGk1JVi0f/a+O2kiaVN9AnaQOwq0/LTUmWTg0O9C7hAXstG+68xbEEQW/jadz J3qozBezcBdEEWoj8to0N4eQzG/nfvpdinqNh25U262MRQ/OP0lFQNp4F39NqI3MaO6BVEr+tGQe T3DcCSSr/LPQUUtQTehBeSaxnF8+8oGBOAl7gRqjzuT199SZF6GBstWxur8tAP65iUZavoEFFhtF x2iU+qnz0osA0q5yPuUisCwCVFkXt7DKMONeeRmhETb7lSUhGxDX0k5cfJ3M1A/14Zd9ZlD0Mie9 ZiizyhHZGVsRJe2epg1Wq1V15RCgLR3UHtmtAQvrbOtUexO7zsH5fh//vfjGZXM+wKcuEflrUCiC M4vcraExNiVeZVbsy6BIN9+vqxF69YJdYmzo+7npx7kTTj1w5NaezE9wQKxwj3zfO5YOerrOv0Tw Z44iI9MJ1VYd4MdBlodzE42MB1ObiBFFwjYun3tpnfNMy4VZ36MPZlIdP22GEF/lYULgawYvgTj5 LAYK960gvKB9DPZA5yAGixj9UeUGS3M5EhSzqG8Aw8fsfqL4UxaeNQ97BdCTUsUtQWa4Uc93zFu9 c9J/T74d2SkhEuiVXaKpSDm++qRe2IY7fjgaFIGq/RltaZxIcbNCW5JgUbTWRJed1CT4WH0U3S4z LXb/p/cYm3KZoE08186n+eSU9mQzdZldcQqml0ICunSYvGzdlvEUh+PBG+6fimW2bpnOgIx1vzp8 S33B0Ap7OoRKnlewRgfvHf9dnKlEQK0zHiKlX/sF8jazVtRRDJ7qiQFlqFkYTs/OmYbbpUnnA6N3 +L0s+Btxkwu7vDq3sUbawLAJvSvDZZkV4cGH8c+bsOD6YjT6QjgnjV4ugHs0i6kMjO69V4niUKnj PjfJQcuLVrJEpceTD5oBQOkIRrFL4yxa8Iz/kPMBg5h59x4+BBknYUf+9lSeD+sW3xFuiFOpoblC hVFBtUOf/t/F1wGHk1X+bbkzCNEq26bOLgqUqade7wkCXSytEEvVG1ZEfjzZhi1VIdkaznR6sjFX Rqtehw52xcBfB5Tb6d7kxHktQP8Jr9x2EJwgY5OQXsvK5PRzVBJVgiFofVRJsFsw76Nv9dDsufHk gqYycXOtwCnubiDwVLEy7dhEmw6ZDaNq71z16OWrqWyjlB1tuSrCpuRyyGdyaFoc56wji9gKe76I RFFd3xsW+8UOvaO9k581Mj5oZ8Bq9x1xYGOuMZkfpXvhIxJKLqVvGRHhzLECZo6VbxNLfNPrByN5 qx8Jt0SwpwGs3Uo2Wcgx/ynL3ZD9fW/I5ZSXAk2WzkSE3ryK9NUN/jJW6Kjz8uRJ4KubxJA15MUb OQvDIqR2NVCo0Wgu7NsjjmVB1zKILq+aB00DHOXuJGceEm5N/FgUy35Ipqk+c6fY5vhBm6ywFoGT iMZw4Ba5oZXFUlUiEDDb5s9edJtHFBWI44gMJn5CRkrhhgFTPdEIE057T5yip9llbNA0FRkInV5+ wWGkg6TSvNE8RmTl7bZsfTJJ3FyNowhyersOgB6yvyZf+oJfr9FHcrKTTiV3KAjhng+jpO7Ma1WN j4HihcV0u+EegHM89ezYG9YGmWFdFUX2xhx2ejpa6FMIgQGpBhPOjxdN2qyqx+cZt843VR+9raAZ 5sXTG0qk7ArGZRuWQ2SlGJ/kwpaAM8n7Mi9b5vBZAuQsVRl35he0k+p0hAVQj4Zg1G+I85VybNYv w4q+LwAqEPuf2Vwn/LxmAPfjjyRRFBTkdE3u9IXg7sp4npM2jT++KbfHyzXZNjmcS3Q6EOo2bXD/ ZZAwSNB/F6T/tYQrIh6mRhKt1mFyr2IuSc1ZVelybrGR93/8HrvhZCB8IZoURFefRbiIu4d5SZXX ZcTivsbyvxkjPZcVMUGlfERxtwQPnkpNS7+L0AnLoceSgkgyrM33xmpl9HRMyw+H7rR5defN1ITc uKVrRMt6Nv1xpc5OuXuojwu1ligmfEYwpbjYRuinMk8CVBVPqQDZBsI4WoWPN72IVFg1IISwC3pw JAIQwlJ+MIUNVzqICQ4Z3K2dn/FdXQ/w5NSN73b7uOTOTWlih5dCF/LYj5trVVfbs8tzxwuc7kec XVFq6AOwFDZlphNflXwz74/wQnfdd0mqo2D55mG5orYhmVaK0k5bv7gfNBBk+YaJjFr+hMp9Y/ky Phv0GZBdSHlauJubLBGRFCy5okQpwtkEYhqs3aHCZ1Ymq/z59iFPTFmkQLyQuIK/Q/nIWjwmM1Hu sGIlRC00PzqghgrokOSfJO0WblX2a7V9uxS/Ap5CtHck28376xrQcJn+tUUWEw/4qf7l8TxXF0ie i0zjL/i/7DhNtt9yMwIBb729NmXVLPa1/PP2ERpEUkEG4Cnc03f1xy4mEy5nMo56ATZdFicnKN8x PPYVobzuR3KnXdreLwCiVaRHJ8qcICbnBLWaLRNuVZXcpVbI1Jr/P0cntl/3qR2DW9tkea1QyVJh jgcWej4l6nJwf6iO9nQWVOGb5JaB2iD6I5Mmgs2DxxR8f0NoT5gWkZgiWAvg4AtjkCGgB7UeoJju 9DMdT4plwj6XJLGW6qkeR7ym6dmva5C+lCF1aCfeEUXTXCN/om54EzSHNA+IbVlab9dLiPpkKPiD Qa9tmZFvudokhzs1CjvYtIy3GvqnneUBvVUJ5jcVje3XMwdWmvbJuAvbwhiDXJBvsvyroJk9V6YH ezcTaYjSH9ANddw7kgjMJWl0vo4hnQY1Wsvw3kpAWfmqMs49eb+5hFT1rYWQc0nuLDFXFJ2KkrQg BBzoHXblMRpVTsItEQP0kh1PdO9lsGleW3bmYADwGgLTbFFBG5taWZ2bOLy0mzNHM8rzSYc6qBrl 1m4dgrQrNOcu5EP/C0np31dkFuzlCcqcm43lH6MQxtyMS0ifAv0y5vADHYQ2MQUp9l5gOuD+HBEu ERNL3Wpat7exQuGZsuD0GDSQPfXtY2JCdiGc8J6WBH/5ZmiZbH8ELB18dGvOvT7XXjNNMmdxtsjI EAavNiLECePhZpg/w1ZRbAvTjeihjaISFwq1tVqZUuFbhAmKoDbtoZSPVTdrhe5BPWwpFQhP05cA CtYLrKfa4s0IvTHy/z2BL05V+cvFJcPnmTkk7di9Aw3+Dmnjrpy3xMGAGB1NO+WOtWLhotHtE7Aw pytV9rsE70fyqy0dvmEDSl79xivoQBVJrftczPmjO3VBzOfOOhHpN8MlFQK3RVg3bmCgFv4QldM8 m4hXGbl0eMzgz7VbpANFWS7rcyGaDRG8BK2ewu5zLrkIjZIQ9Qo8xNVX8cHUpAyWpfq5FrQx1KwR nTLRIB5x49J0Q1eIlX5H2D6mwSH5blgkSUP3CzFzlA7hSvBxoWu9BoK3DfnvXc270eRywL1R03ox 8bxsOWBpJEfRXHCOC/G/VwlXQvIX+Ye6QqOi9b0oNkqp3OGrEjt1v+eYGZqPX0dqFZPvFSWAKbEw nuTKbvPaju3lWpJxsc/VXgYFqjXDCydlCAlShONN5pS/fi8QF8/QeLmYhv44xu3XyBD0BCo2AwGm mDpbvry5ItC3Y0te8yjWsYnrnhCxmhABQUOTMdpsBLctVSU5X1NHPvHziC6eEif+hB3TgEczLV0r baGo3jJN0fDEtD+RqZ3E5e3BRil8H3WtgLDgveLpOvwoz/e4K7xng2FKtu5OsQQaSROE4gYm18iw c8nGR/Y+M1sv3ss54bkyf8MS7JXs4CyTotKpxVv5vBC5H2iPmcsvUE8RbX3PtDnXuuxxTDB4nWKR HlTkY9b+0iJghXvS0JywdkNSTuZXHE2Tx/3cFKQqVdNy/zoNreJgycWaTM83zOqHeryRBvhjmqZv Qfb+MYVw+fTU/64PjVh8XDDbnjvKQ8bnOVOhWDTvbQytuD7ZhUpCUtF1knEELHNXZo02+5tJ4s0J Y8VWS18CEpts98YFhXF1NEKThNZF3UjzjmjIqthLdibXcReVDfd5+eOeNRyA6rfVmOfZIgmZ+mrn 3RFOd22fV6d+ALzzx36RL4TRTBpgwQr6iA+Bh8rk+uih728ut+E+L1wBYp5R9c2y59PxJoTg0l5R bzSSXwS6Su+ZPyrqVnTOzxjMuK75tuGfggPXLlA2SDNPIXGy3rSV3jtgQn7324eUYRqNDr8ObLKm Rd79Rtp3y83LTtS9iH6urV4X3H7WjEUmMahtVbNQAA3Lgt6cCW/3m7gzu37kl3kCLwmQ8qpXy3Gl /KbFkXsInNrK72bV0gl/SNwww5fdDCi7XRU3xXa1oPfm6JaaWMVdr5tRsK7aREwDCOlbydX5pwqg ZD5ZEb6oe2VDm6izVjFb3JCO6Fl2NzTo4dGAmovZ5YstMyAniBxHBQxfZUGcZjqXLaqtDDsaKl42 N87gc20x9Ue470ilkcddRNPSMynLUQ2PYBC6Kw8b3+IQ/xGenlXbclprcRXIIP1CzKq8KUEkrrMH fY9IvadVWTfF1Gz1j4aS3ljCzC3w7EhJX7OzgxE6hlWCU8fuI0jwXzZY4HTBuEkWl8nG1oaOtBzy MgXdRsywKPmZt7cdpwMGQe4BtC2TErPDnkcQkR0DJbz2zto4TNsyrOH6HVdQ036EcXBR/y4KiYoY vjYbNp2NBivomtbo3iay3FCXk+GmUA8jAscBCEer2hCu6agXgIYM50Rn/FEoWeJmJbmCzwjutjk+ dSRWCL3nvMCjTz9UL6LTI4NUFtfw3JmPKCjlf9PRFsLFhFAhSW8EMX9oluxlD8RKkV1ZSP3mhU2i wI6sLO4frYNvv6J2jBrZh0e1HaBUp8SFl193GXPE5vUKB0FXAd7MulKgXQIN7keXCaoKYOS+dvrX 9epMk2a4MGcZSzvzt7SqG7scehepLHiiG3cuN5A96+JVQuc80Lgn0EL/b2pplUhtV8LczWnyJIIE NeaMmL/Ue3zyWrAJC13IG/evYs+eHLtWzOGHH8bNrU93bfCQhKtdYEpGMQHbMPqQazUOQX0n+Pe9 7gTJTC/SeeC4UACgX9Bx9rdhEZe+iu2l/8S4fJ9McTGCqkSfIMKRYd4kZ8ZhO5S/2OSWQsmqQnfF Es37idfgvJc7I2CDI0ROWu+yKLkGUXKXtQQWZ94LKsKyNpfim23l8FpNeBq788yUuYTIIDFQWSOn UB8kvW0HyULIt2NO4gCLDAD+Z0Iokr7Yx3XQuXN9yMa1RPK7Z14mknGTCHPm/caA7jem6nezt/qP yImALyKsc273EWlCdKQ7Q0oZckSOcireko/0eMyIpj7Y1WUwoVV8hzJCW151spGbjoPDeMyts/Xd 0YkjIBTKPvVAFYxkHULFPGJ5nzdFwvGkGBFOiDXGCdmTQzFpsGTN/smU82uXq8ab9aJ2GZTfyKDf WVKRzF/ZGqnYLpfrKLQYtw2xr/CzMY1c3NMzyxwmhVnQKV8BBcnABWaFSew/WExQsOs3PZqTiaQb tuyW4+82/gl9g0qT3f1gi+ORKkMdZoEwDuEJlX5ioKgzkdBkXwRiDJUCLoUVIwO8O2gPBkK6bAcA m/o6MJ5I9zF7kQhWdDzFKSRsBIodxX3hN1XteREWlXqI8n0UEYn+HKeFkYRCXnaU5DnZw9/JBtm0 H49UROLuXdQTNxKPKgkUK3b1gSswgakLaXJt/muTgBatNu3GBViClPZ5XAyoXQhRLKlTyTUzP1G/ YvrTSxWsiD0M/0g+av0xgRYSbidKJa5x/2osO9zXvNmPKG+EVKiReodciAk86tOI5G064wFImD1d KPpQHjubfEZ7dd/IQBKH3XRfoc8luDQDcJhMj4VVhwU8r72+hGQzyVEBwgLa3vnVgw5SmvfF0F5k mNYhdmSd5gzOV5WmSXAui4/t69Tp5ggB3gOwSNSW4GWvVswxpTYFfVhveDwXqcK4MqrJXOwD81KN +yPF3JuHo9oGO5cSSdVr3qvdpJGNTg9M/1TpFGQY189VG6PxI7jSl/HwzpxyxC21EUDsI7WqzN7c 5HcqmRC/20lUd9lke5oGbvEm4OIyCzW8Zv2jiDyoAEiA7nFGoD3U0aiJ4Yd/XtItpwCnN2LHeIDU A+DJpvKr87QpLVD14OvgItLtU5uUBPxgKqe7/cQhQnaRXczN4JpprY9e9FXSDIPy981lPrdTs1in BjVYegqfQ+lmkEPwo1P1XGDaiLXHCHHdksyKJRfFZzDYUcIs8isYMgcJPH3gmSRdds5tmMIhe0dy mrfeKNZacpuHVhazeaVQQ/YYH+BtxlANtfuiJOqZj7iVj/f4cE8TwDMwnTDf59bgfMGzoXZ1Gfhd gpn7Kw9YM6Tp7bHd3J1D16mnVDgcmLO99+nOfOD7Tl3tiZq5LcJ69HWgp5ISBZQSB+TEYYlsF3Ea 9LKXpfR36uI3Q1zWZ1Mf6SADc9N01oBGwaxVu3wK/puIptdzIgVgkE1JicyXGGEAsqVKhpJ7IonM 3FkBcB4gij+8YHKQsG64C6LXs8BnOSycW869DQ5yuz0bG/wUnOgSx6bVm+V3N5XwnUH8aWCDaI/r y2KYJjDeMZa92aOlRrUU13uBDehRACsS9e2k0QHEEzLaxfVh5FNQhR4dFnrJWACw963f/pP8IM9P sbV/5SwuWt9KA/bYHsv+gRHZh4WmkeL4iupmqbfhCLVgf5Md//1HkLdQ1F7HnvX+oZkt9ZauNwQZ 8s8sAWnglIsmZQL7xTxBgPeDsC53CAS725gJ+s1OFre3NvIfEIdme4NTQSZItlxtl3IKNksw052i EEUrJLGy/ttr2MJKXuNiS/3tHMde/Gk3sDYKFPHwQLMMIWpgMurRkqBGZ3jc+h4tt/8sg3A8/jmw PUqMM7JxDhGny3o8RIkKqgz1Jqk/3JgFt3SEi5Vd/plluONPxxqnE1MYwRnIN9FNctfi6tClbT9s g9JvCy1FN578e/JOnMn6pDPUDNbZi4HMsYu74CeNgs3fwRLjPHw9NVi2XigXAihM/LIAIivPLBry hBT0aycgFeg6gh78SK8Ml+lSBq8ovtVEL4fqgkKS5LZPu+obzWagKnHOYmBCibd31gnCGYTwo+DK IJcT87yrFGKYy+1bmnrC6Yhkx2X9IVv1B/V9rVQPU7drG8VkzyreK9QWRXbQGMuHps7VEfj2/nZZ 4Mw/SP72vss1Z8LM+vDYuDlGq8452qWKIxxHqiunhqjWoea7xJoF75sC+4dLCjLJqoLLmdj+77QZ KEHc1qfSdbiFt16QHb/znKGQq61V/+kMHVw5oAeC+oLKr7RBeOCHL410iBvopmCqZsoeDMxDwENv LqDXouTQ8x6aSrpWqVTrEJMXFuBC3Xy+YxJX0ZlkLmpyioHKUfHVhCmO4BlxEfribygG+2WBtyUl EDCHjBQND1q4wZoxDy6hTpA0v0kuvB1Ee2NT62xkJlfq7B46uWX480S7sFmFPD/m11/NrGA2cmZL lUfWBq+u1DulfLY6BYpJSkn6tdLbCpmJM0Xm30pqkiawFtzJLfCwWKSEHNF/ClB3ygeJgMybvENr qpnL5BGQMf4M19peeRIp9ypJCjUE3wrq7fLWpUI6hKRW5qpCV4Ek+cE/320MgbLXMvHRuh9XxKf9 Ko++kWZHJr1Du8TSJLL+1wnbZvkeZ1sRELELTqcwwsV/H/qBE30MXFtvbgY9YAEROsZyjgzzGLv/ 1HAzJAbDoftozMQC2i7HUxWy0C+jfxF2AW49VSaGxY28hgolm2EnAQ0eLoJ5ZVRECw3qKrP1Kkox 2rk/8G6P8GNkueg3RLg/q2LoiPnn6Gpfqbc3Q/JHrHx3YNNWnMyAz7KtlDlnNQ7QIskCv645LWNi 66an9tit1kboV1anq6+SqeUNxQ6EQfJFbf6J2OnkhotCHsS+g5Y4z42K2sR0bg7xgbSf4QczDwxT HXbhuEp7QSABdWrtbQGt6nUrGmLPcv7vY1vfkW6Zx/DjvQOo+vZnC6FtpuxmO7WCOy92pS9psw4G 5v8aJMhPAclSShxtpLRvClkmGr97uX7D1qDfFw4fmExPWlKGtNK/uOrICdJr08h+erqONBtvjH12 093sPImqnwVpVR4lQ5lflUT9LaDxRZ7xcsmkPfosjplU796PvbJusq54xUiqlK6TQmNOgk0bkQaC 3xbQ/PJl9Z0Y8zhVJe+HQgcVrO0YiknYHhQHdzR3IhW/OdH5BU6y4EueOAXCKIT27lPaO74dLmDn x5zuwZ1K53Vt5ibGKXENLEqWho0N3xAvphtwFjK5DvJrIzmbryHklrhTZx0lecOBR+iR0EG+JKtL IdNvoLeet5DXQapNtLpqu0mH/iBe4V61q5rmhzSvdMewvGSHo0w/5mFYjxCDwf1aFmtEC0MdtF2b w6bH5bOECeVYiT3J0LP6J4gncovf+wjvurYoo6v5CPMNRFnsqaymbWjNckwhho4DPzYDC0A1BSvl 1DT6iGbQBJaSOrwjdzIHPjmrJoMIPsn+1mokyVz9uWUYg8ENwbNF8aTGaNj6SiAMnG8rGvH4CJqU G55YjZjxURYkZLEFqzE0wXEmT2OhU0jC0xV0nVXlyUzYmxNO3lvI4RIcqYm40cUZ12/yAPZhpqS1 0FNfrNveR+LPVyM8dlCjgOjlPAFjQUjubZgZMrmZuoSC88nJDPq7fEdWW9fp1/Dz6Yvv6QDOukgU DT/MZMuRIy/pVrInREeqAD9DzAvXexYNsJ7sruS48nhdZrkVTqm9uS4weySRXlLkOBOD/eHJAeJP xoeFla6COcs0o/9xLbDfZgfP81H9Q8eHgIITTZenI4q80xJ/41x+H8D+P6XTkdF76TZre6v8RxE/ mTUx+UinyohcOKnij+7eHJTu++e14v2b6q29OjIYTabWm4VdffxGMzBKy2O12kpWKipLLb0ZBVqi Jco6JQgEe7QEmiN3BDrJyXxttHOYYa5SA2MyMkT3JZAlsphN3twVT2IbxhhsreoRDAT7x4w5wv9H 6gYvtQSqXDGEzfHh11ofE5nkvrfsmRR5h/7w3pWfCCTkCvUY5WyzUQyQfD1Bqoj7n3n6Hj1iXfX7 RxOL/DXyGLBrL9ECiA3Wk+bNMj9meN4l9Nj0fN2U8nPvhsp2yPCkO+rtb/rlwIhPBZenA7jZteHN +rrSeQeBJtYbLX7Ch4V8/+MUmdEnUHS3YQGIrFBdq9mpimVZIgudoY5fc83CZjx0SfGoxEJGO9fC MuFTefrEnn/Sg/8ndXAY4m/uznvCmqSO5auRgcWIJNKRubtOKbknVRR4LKZyD7b0SNQe/K6Am+aT xq4+1mXKKqspYcyUZMDDtiWeEQOEOk1A7F60R12llFxVK9CQm9trpj2HguIRAK+Ifc6VCYlzYoHT Br7OMfBwTTayZEnv8RrNpul195oh/hxVY8kAWe/hQWQfaLJKjc4AYNHWR4/ofv6j7gXSI+ATh9sL qMQ3SU3fqE7tNkonR5E8zKCd9lMdpFLcsqa1DsTZJmej/8MS7CcCNH6u+KWjUH7AUl8+y6K+tRFn V/DbjKIflWwTugFNGE1uYL76T8UQZSmAaFW3PADEytRYy9uxwFlAzhLIraaAYytaDpPu9phK4Ljr IE/lmFzIx8+Qqpb/kucl9KFuWtIMOGL5J0whADcxaHIXC8xMnYCU5fCggXoXbI9JqaFyxI/pMcoS DeZNfnmxgMLe7xxPwsso0Y2fJA4Nn/g8WJmb/9kAS43eEZ5u1s6BaMRHXNEWm46x495GP/P5aiSk /Fiaar5ssNb43HMDWMn2RGecIpbhjiT4VmgczOmRidbSWMcAHjtlcdp25hN+5MfVpOV2iuXaVkWM FPfjWC6wZvo5l6IjRuzEOy3DKOQ/v1+S7eQlxqB4KYzxxhbtQxVWEU8OmreaFj3ddTrn2yWgqoyf 38bIAr3gD/mcjtWLBRriYbHWcJB5LRV8Y0kgKh9T9QCIvsQ0WOCp7WLD3skQpO/BOEZ5PKSo5KUT /j7EPfmOx3cuPW0ZVpVIgjW+WTykLqn7zhTx6H/K5j237jZ7qy+cQd0oQn5PS9cXKSOoBmvAe16e Mr3z8VfIL1Vw3pKHmN0p4Wgo2WnVgc5h03SPEzm1ahPAf5tBsVcufCav3yKIE4GkUzPXOT2Md3Ve huZ8jbsdf97TTXEtyKRZX2RF68qGKGcPQGQm4/zYufIul87crBThw7pGNDt8ioKqHAzjrJbuEJMH NrHWVgrzLlFnSyv5GMsRu3B3BAEu82N6vuXplNTztRvy/98Vv/DxEx4L+6HXFgIVcv2CiiuRPKSe feTo7/H0fN8zoh7VdJ7evqjnMzhqKWTCjLtvpn/yf8pobg4oUWpq6SMTxuLHxS59WriNaDM0SMWV x+MIz9WkiEG53+4WdTTVsKO4LfNC/nmal89tT34ln91YqAInup3a/CZSRpiQ9PIBE2SY1asXzvXE CMfyzVyoMnNcsoYwS55nYyjGvLJ6O84CR9VJP0362hI3LrmDw3RV5iZzJ7F883hmIr4tNvV0aUCp nXa9KuSbkZyf7ktNyqAFaG8/ieka8pcyHNDSbme9bLuI+aWgmQK/M1yiw8CB7hnfrew2D2iKSFO7 oWfrE4YCfMYzHk2CL2T04xfRxn4ZgqZ6ST/bLNb8LsBmbwFFEgESbRPbEWeaTQuywL5fBg8hHrj2 7ocYmQMUxQD013f8xhftVhUlu4GGDqC0vc5ZmbRfIxpP0DoUtUDjNF4QcGFQi41i3nLEr92xhZZ/ sB3MPWq9L75FIQEQzRTNYGQY0gvxY2duHL7RnuqKtWUP68z6diDiJSQcTCzUklcaR/HaMBe5OfcT KBy0dpSHZErwCY7d5DYx5ETvWamsTRRLtLbPOmIKe/QdJVjoEaDmLxvd5jL5tY19EGGoeKhBPXOz MaFTuO8mNZJFRUboOBAkuGLa3npge/dUhi4GygB61aJWU8NtwkUEsWCZL58D1Kg/sn68g3Dz+nFO 8mfOyQZTzU4LqyCLOFRqFVSYRT4w/y8i5Aj/g8f1Z6RjyVGfEIgKhE0NIF27ht7878flP8lzF6LW Jz9i6RkpC9AWMFbKNWgu/zKghaU+w0MmRaNqG9b0hGX1UsYQA4xT8rDMP9W0XgZW/b+NHXOgIKpT ycGlVnMy4AS5+cOhaEjIeQ9hjlq+tXLe99znwGlw/mLPyX0colLNLwl3Lts+GesaEwREj/r5Ie/y U/JMji8Alb+axsHkHzr/0pAhPU8eW6tRpnUqMp/zyymqJJRiw4mz7Kh3AG4v+50P/ORj0aH4PoFF MH4uDxiqN7cNYANaNSSuoREal5+0qQECllpl7cX5zByKn+TtNFHJNRZkYcQQgRn8jNIbaMjhbpMo ++t39TB3QdWY5S6tTOyroAFFe4LxT4i2jWgebf4Cb4rJOFSwZ4d8J/bfBa6/mI569GWWTP2wVc4X psNfDbUTm+NRJrTbc/yWLqq9P12WZY9fAsKltU8HpSAUSk0+1e6rXz9t8+eQYU+Y7P3Xirhpalu+ drirvqTPOTeWtJMKKeQlaCBjtz22jxXTlxxsu4X19Sxa/njFyUp9iivRFtiLP9zLRuW74dE/pHHp /N8wr6YK/vDuMozdpXnkN0wdO4lUKxUdBIdGhJrIHWQBJGqG2gADf5TlaLYfDPAATZ7gNh1cnq3d cOcvRF1xY5fyRI4rONjYYR/DnLK8C2WJ+0/LWv9nqqTRRujqc30bZknp/Z4aFqhcFluyZhIiRf72 8nRvEoB4O9vVZRsppbbkQ6J/csk1T8v0p/i6Ia148M4yr6TLKLHvnWCK95kecTFWaDVcRtTFNc/O IjTuAslfBmGrNEIucssOY8F/7J9BdX4BLOQEC9vAe9Kygj+bnSFin1tN9BmzutLjGkcCyHJ87/Dv MdOZeMAFsTCT2pwOdM2TMra83OkrhhrN4SvEiOLJWjAfcHfGlAPG2/tUF7Pqn8MnZ1FbYrgJAMt/ BU1aMbLy9yWpxZpHX7nCfbT1wkvJNRfscgR/M3uk3N38KHFcg53TZ+3dvK59EBKL9Apa20upHLuT aIHlhoWZKF3x5+TDxZRSnkevjVvWa3MNp76wP6LDfsmsRFC1351S2xzJyt4JlI/HHbNfJpILgyQl DW6fQjEnULlHTzVf4Z+eVoHxbSB8NDWiPXejAdV2er3YDKvfwv/Jyr4FE3mkg7g+UXwfCq77dj1i IrB42NIyc+YonMkc1OTxud0bJ/bSBIk/BdFGyY3NwdzkJL1tEK88OQp5DXhFxC2ticQr32NIqLa4 GoxFheb0nrOc1RwKh+/F3gAPpgivbCAh9lzB6kgoAZI5WdopBQk4efIISRoDifSABQW161S1tCI8 LLLyUGastda2YMheu8sDj/gUKFx/wv49X9Bp4uBHhh2N84Xw+kd6bddzfzBDsApA8rgY7S9zv6pR usL+kCfv927ibDGebS1/sI2N6HJhUYEyEiVCutV2sc1Wiz/K9BhzL2i+gGTzBvzU84XiJuCSXXUw a3rb93FWAzabls0dBgjvXBJCEHfKji/z0JzEjYURsVHfz7yrHcrCH1fRzlvrUpPoCE2+Yc9t5oss NuKjJXMuxa1NK+AZWDfWYDJFIrg1CC9eU95vqq0ZQ7XlVtom1EMz9ReRJpRe5vqxvrDnUPh6sNp9 mrx8WzP3wVnehoMXedu890Gzmh4qgMDhcyedXxb30TuYwkNAE8EFiOb8dpsWBpXAB99HYka8XTCl AiKojR2Ew64fwPM82oqSMaLuwrBOGyCAZ7s1CCtmo/LKckuf/7Qv2igMT1Q1pZ3oG9UWOBxk616C BuXGbkvhYbNQd1OmnkOhnTZ8h/6Aqr+IRHGApwbGGn6ZtyPIvVcOvRMjgb86XA6mFNV54kktA0gZ RQ8klMdB3j8dewwaAUjo4uVHPrEwjAw6lYKw+1JddgQRVE7cGmad9T/XzEzsKjkpwOnSqFwDl7ER wTkfDXR5bxbKjwpsMUn50wYAHRP2Rqm0bDdogn3Hb7wQ1Afu8CM2T01bie9WT7A/4HFLTT5/kR2B pCFVULW4GKdbUetIwZdZCzZ65aiSCSLa/bzkWd2RkaGwYgrCjMoE8PVoN5wkkdgCGFBtNS7aQ4GD UBvCUWnpgJkLZFCds1BA63yoo1zWLtzLq1LhhhdIzOVN0PSpCcp2yEnRKIOt+Fbz5m2dXLIrikrI hdIG8tJhI6q5hBW+4Cund0l6FmmU0ntDC71gSTvZGid91cqghejtvsdlQcU8oG2PZkn1ZpBpI/Bm fJiHG4Apc8tOtvuj/0AKGuBbv1MvC5QgPFt1gF3IHzocgdE7ogf/Gj4aFy7iyQ2Bkl+EgPzO/kG+ VARALwuQbpg1ZZwfjIdgmgm8iZEounPrI0F73T4/ewX58jz1iFWPPtwlg6uxYgN37EmFO/Kf4SLQ 1Kre6oKuoUim9bjEVAtRpnZO+MU1WpEvAqrt/BF/DplfdDUCBERjJYbkvMsOAYlq+eISanUjZwOF MMTNNyTRCfyZRY6mQCWdssBEwyxFqBVKA4MSFkwQTHHL3BY5hVHzEZ6s/yyou86/qi6gRbncytlw /sx3uQl6wzgZcsGNOX9JGHIXp4j8oy/1gIsObtCry9otKerVBKtc6ACWbOx5P/qQglvH31Ingotd 045d7B5LrxbmSsUKDalvM/aNAiBjSvxqU70Y2wNf9CHjIxYzv4vSJElVkoyrTAVHb1G/cz21mPqI D9RKKYC7CYDZiYKAp6N6MfSmwPdkzBnIuXLcQiCox9gngTozzNxfhGBAV7sRxpNVMCLUknwPoPUZ GPTYD6ncWhUyc2o5yQFszeOwjKJ06IGbU4ERKrRFAa5QLHGT0IO+xZVXUoQfgLDHHuCCeWTenQmz 6sc/HxCXKUjOBjG4PS5nO9haba9zkS6UEesv6K+LVVnwNs8T0pV4Xhfn2ammF7twpawQkEdKX0i3 lHDHk8avu6oiCfcLipMSvC7dQz3TapnxDgg2sqZHq6RLSTNhGXeue8CRbmPO1NgTDMeoUp7FKLtc UZzc+JAI4o/n3Q1xoznJnM3EhtopRfP7lBKokkKdfqw0GNJvy4m8c642cWLwEOMHz428es63Iwov GeJvCbEFHlcIVYYXof3FMTOeF53ronH4QnWYMNqcS+IW2IC6AKRMK+tBKIC08bVS3nJ9Vs4UI7wM EAykO9c2q+4rb3s3cyTN4mdegBoA4y79Sp3IM2ZC8D0SLxROfmdVsEfavufMKPlyqOX4TysD6VK2 x4icNOSsSDYVqZ3k36j9+YnzsJNWNjqjLhWFahsgPVrRE0ovNSn5dgJcvS+fyHqTye4LL+1SUtmV 1kanILdk69ruLmyTtwzx9y3tQJRxaXBUAheaD+lC/9UY7vmT4vo0pkdprYYqTaZl9oeqrPBS2FvI /55BS/UL0OlCyt1CymgoyYWfYZ3gsQZn1pSS4VOul280a6K3Mg9bOt9PM3KXLS6BUKfp/K1JJ8Q+ bA44ad5qnNmjrrbdfBhaAPnkmXe1V0U0FY3m0oyI+2RPVyf2baRtGnH4pZQBVRI9o4mNejz35R2L E5v788IOquGYmdCsWWm1dlWWmrDlpQMikeI1cXEdeiM09YDRZfR4nFpvW2SWbUBtyX53CWip6LYE byyXj5mQ02TrolV/1v9qTAh42Q4kXno5lCeaUHXvEhkwEoJJ3YRtqMRbZw+JTqnqinyZpMeSwjrc ZQll1dgHTWsdBRMxoyPp/D/3YnGpyhmsHNKvqALJXQwtS9fCK+fgxsGqGW1es3tCRbuLFyrnWn+E VulDgQB3goa3pmpjxm4mX6/WxcKJLU/jdoNHd8hLEj5ZgKkOPxTbrvnBamU+hhvMz0jpTuRGa/P2 tOQSdtdWTDTAMPpgbf5iWuZzxhb8kJbkkewJDsM0tCSWrxyWtwFaSqv/EM7zcTv2bfMU4YXUrON7 KZhB0YpNsPS2Z5VBHPkm6g6mR3nEgeGEz/zPu21xqNZZhdA+SFcyN8WLQATMVgjTuJ13jcQk7T/y tu3x9gn8XrAOGapjOKUiNzU9GKfex4NrzJRROplrSjz+AQpOrQBvSUWqnr0smC65owNQbMLEe+5o rScl3RLj1bgDcu00P3XDBiMRiGJ5rRV2HC5P9Ai23fBIH/qT8b0THhBren0FLGqCt9e0qeue/zJk 9XUKyt2oDYUstF/vHhAr2+5+2sGxEtxZyMYfYniAABz9uxWuZ0NJvLipPmvDQJluLgHx0xi6B2HG WHaWcJXCDIoMqDoVQXGSPLcTr5SRffl9sSB4OaHR7UZEh+dQGqnMGQyKRGpiSEumhWFEJkQuCBL0 XJG2mM8tIr76KaNWv7WC1pzdys60J+7HY6hZETu4tvgPnHf/IPpXAtb/bb0yz+Z6VHQUydlnvBLY SNd3/UasrHBc4QmCcAIcy2Llqa8mVQaX+VRRoF8G8xtEdONms/gBys7X2Zz+YXQdSCQyMjOODGow OJnc4ohNSSSZJm1o92PEVRVZCFbqmAh7gKYrG8s84umk1vxxpjNs9IJtMCIPCNwMrx8Uyv8A0aC9 FKoUoecHyV8s0xtCVhDoOqEv9j2nSlhmi67G0631QWc2M7if6iOM9IQ75WtVroufEfwVHdZbQoab oTCwdmO8ESUxAbUuI2+FmEGdLqNR1M3R5pwiiUBEo4hvJTMNFCB1xiiZ1fN064Xh+hDthX/WH7AC lmI2R/yyTfc0TYO6A0B/3Umng52JWQgljbhSzOxYQq4s3ncgLl4xAgHV/6/ZhdNQn5FMaRQPM9CU 9RutJpFW1NFKFqobS6y/zUbzstP98LvHxyLRqE6Unh8Ee6qbjH0w2qff7TN4LXajCwj74sel49h4 4vV8kGVyJwgrR/7zbYpBGNZiSI3LMpMH+TpWRtTyK0yM1ORDBzvqrSkEiUOH1NltBAyoLPC788/A my1bsaF2OjCgpQmtc/chppvUfPIfqz5GhKOTqF8hCKeX0mWXBAmvH3cNTz2RIlVwURFHcKcHqcvV mKroJQ2E1m/DujXUPflcaZH+YplycS0hpkbOEMUts33xh8/wpaGQM2OHJFqXXwIfZtD/Ye6aqSmq jqDwibUxlSQTRLKNBNPDR2QyzQMMJ+p6S43I3/+M26KgzpwTD3VxNSzlEPUf8HnxrhtLK8wCK5om nPLVySYPApFpJ9hN7/HySg6SlRu14Ir50VDMzEQbHN5CGgHG4G+bxWcgkfiuLUiFDMIGGMGRJSI5 Oe4FIxb0DYHdxJ8KzsEl3bssQuuOzjOMPW0kOQyhVqqiukZ536HdQkn1p+cekyYkJpTxJxkWFT0t +OSBBR1DC9pNTXLex/OvhZ9jPZr22PnKAZe7loD98sRETQ8uVQuvedRlX5XWLLFsLm++6xsv/TyL u4Zgi31h6RTfokl7JKr3hOsWluIJi5SrorexmDb/J7vgS0cmt4g8ut1IeIZ+uhY3g6kj8jFC98YP 2tBAJboUSwmy5oF6BFs+lWOc4vEBHk1GOlQmhfaWUR1Q9TgiR6qqIhkNSqjiwbr4JKE+xsBs85k/ HXIlG2S8XM9mCjjihJPqaNtfktofjOQ5bDxxWt6xfxBbE0Z4PUFX/c2nqQhn8JLrlsIAFsRWPfjI QaCd40DqJuphR3b1Hj8iBSmHIbH0Hw6QK+xNt5o5yYQAvgYKSA2V+xzCzoVBR76PZivXUH7srleA is8VA/brH+QaI4FGxJff6HOrN79tbtrX6wtGjyQLCYTqk4z5ycyS+fNaa1wPAqb3SVejnFfPPvcR XPzgQ/P3fe4F6ktwSE89czVynUG1jlVqey4GwxpKHwqvHZC8Mel0sRENgZSAASnnJ+T5YcLUFTES 93qOSmch4u+Fp5nf2gt4uqq4L26/LuhEEP2yRvIU84P2iS1C79lFADy4pE5TN73fR2XXsuXbr9mi 8ewgX0RmGok2osXxpAXpacjWHH8UmKYqJpAUHH3btIHKdyUo7C0eaDAVkerZpEHU+5SF15G2BJ/f kp/GSaXMBJ6eTbxm/22wfxikST8rgWnvPyv4O+QLmuqbdG2c9bTsq8stcDAYWFx8lmoyW8DNRjo8 LnlYV1tH5QC6JGmzwt/c+GgxlUDDXhFgoO5n8Uc85AbgJb2tJrh3PoSlGnSmMLa7Dc7ZSBgiGSL3 OCxc9NJ8NB6U7Hy/sZlaFJTBykjX59Qw8Cg9i8SuW95btI7zQ/ohIBG2PiokxVzGUp59Uimpplfa 2ClJnjAE46QfYMukci1JhTIsD+gpGLy+/5laCVMGPtk5hvQpGmJblIFb5QJDpePSxtZU3Ue7Iqg2 yUi0QDFHexlYCs/IfeL8CknfMlipEL24yZrj5PW+15eWMbjYCfcyczAx6kv81lEHOBMEh6IwzfSb rZRlplylQY6HWyFMkiiTPLqzTeHKwiz16g5z0sA3NnNCDMKbKd9AjTk8P+ou6wNdyMg4EFgavHY4 N253PW9wsj5A/BIIMUXWHInGzvUGgOaS0/u0UjgPXQUDOwJLSCZRwwrBEGaZSLh3cMt9Kqq9O1J3 ObhUF0tr47r7aeOUhJzP78eI/GuffNOMkRHNl6GeG/Mj0Csx0FciqCixtCDjfuOOMnR/t1g4j9+F KTMtyOXgRzUFgkKsDBSwq0GoCqmcvhus76uJAC+fEVFVFUSZv6NrgoXTe3OSgXSmjLDub/0EnGod PVNXhZiaVNkr2wGiupI/Uk18+u5y7jABSZWzr655FE9FdVAGiP8XpNPgqYPzKUZa9SJnkOfJGv8K YaqGCXFaQWve3bq0LAINvUeflsx0NIXdrDY2TuNK+xbPoRq9gfdSUUbrYAXGcUkWgX7gpgffVx3b RSjj5Bpqc/rVJoyHtYRGa9cL7moOm+7DOgqDmGKJ3XKkFHdTLke9Yv5FOw/x0toGIUg6DxZHMFza ZCXO10mmYBwejp/fihcHJV2rQpFdKPFGEEdXmo2Sgsu9PgDUcpRqOgWIDlfgrHJ3OY3O31KIyBx4 SGwi2cEs/GwZh9cQogaja9HtWH3ce5yt2ml4/iVdGrYI6d4zCo2Qagb5WJY0WfJ/6Ws7XGxg/Nds X+UfzeQmCRsglQqReobJJ+Ic6ylyb9WD5Mlty+xGCWZzmmogC4rOKiiF9wy8cT7YGdpj8halRJou vPuAHGEGDpoYexMGGRiYxUbG94paBBKUzOQfC3ZnuqN3TJaBvETg5JSLYMAfzV61vxDZe570V2tt UdfPE4eX9M5zR82rQ6mwRUQdWxLpUpuKopzjzHXltBYKTVSd40/pKnWGo5b1ASdthVXhdid2jD6h mnRqsgqwSK4RgTRBUiu5WQmXCPsRiV+o3wsRgp+iIedHh8L5vcmOfT4zRy4GVkK/TOKEGb6pDN21 33r8rhGXBk8iawGZ/NQ2JkBJkQSGHeMQvwyowVe8t/bu0nNKIzpAV6mtrkhRs709q/ffnzLRLrov q3eCpnPjMEZ0n0eNeRnPkOvTE/3otHdmorSMOjvPIbuYQSW9C1B7jBURYaFJJRiuTfAkvyHPMRDM YjnaLTrSocnEVZVx79Py+IglUsso97mMD4HZU+IIDbNoIY51FqPq619sypohKPIfjAMUN+CIeWEM FMHXLxIFfNf2ZOYyKaOkstsc1++uz5eey/zEHZSn7Lyf8uP0BSN3kG3Z3+eK0mH4rCe9g4CIOQsz rCdFB3QD1dJ96UTQi/Cvias+5da7djcFD9bCj22VTpVnSFDBJL4YeHNF5fMRZ12ixS+ArGxRpq9f T/kq1SvnMZ4LLZjYeY3DhtJsYPG0eEQbzPvg9t9wDo/sO5gyzSgp2wkxgHUFYOJGD+/hQiCjdxmy HJ6ZreR1Ys2oNH5HQbeAjARh8isV4RhJA21RtMC8k5njBGKky6yf2iY5ah0ftzol9fVhDxRH9oI+ D7jeCpsvGIk6Z12ew4DvUpntNdgws8E6sDzmtP0OGHHarVcnXb2FO3T+hX6A/9EPGOInnq43ZB6z 2TvcXnxNlPls8P02IZNif5uDie1qtkGha11HF/SgL+jqSKKtup98r7Sn1AwcQVJBnoi6Bcz9VbnU avn98hK0POAqT6o3k4yt27gm15j6fnW/fF2zTgSlFoC6DBB2SaX2HALAqYgLPC6u5bUo7Lv+C9SZ GY75GMXuwbG4fA5GGaW0EdJ1zlQv4cWZCLRCZ9eQ6DmIIZUjKUshlykLtFSq7xkGA6xin9LW6cRt xSA+gxCKW3QfAmYGbxHdyO0i0QNle5wPqziuog0wwLY9+PMmuiKw5dJGyDX7XBGKJIsCpooyA6vz 2+nf1U7y5NHjn9hi40NztSJwCcL9gl0lPOONJJLLAqhaJppJaSYdqvv1whq0vhvOP9TujumqunrJ 2MgeqXmEeSdTDKCY+ZMOUZbeUYs1TRCZMDSp7X0jNmJ4/MiEUfQHdT3jrZNaTFNFJHY2GlIQ4I+e VWtFeEk6weAurFmLF6WqluGssZSIp1EkPOU8qUyBoZCh33kBGP3gYALulnNEJdFwsqxm222NUu+H fDnKfBPL0L9i1jwVYI3aUNrC7utCorL+MSCBSveNTCz0MMufTi4lbh/VQsDluvuBnucNvtkHRQj8 D/gt7CRi2MMge73fIFCTq8Ub727GSyS80EgaZDsCKWxyMgZBMSB+uRn0PsMcqc/K8JTdS9rmrFZ1 bfUhqFMEOaNVtdX5/DBGg+sDY2GDB2o/mkCaLJS5xG1hCudufPINhc2WNtFjT+xQbk6Bhq0yb41N 2vCaYkrExWi42NdTD4jLup4Moddep2ODNh27IE0XWLkzWI5TI/78PBFVBOuAtRoKwsq5nR3492Iy LfowYaWKu0tIlBtl6qp776MjwZUFcuw8Y8qKHTcfkwM1GvEp+Qy3OFwcDjI37KwFWy5H6dh5aXcC BDN29sghLYc5jr52fbf1Utj/kSW9KsP5tF2MlHUBAIR5jL0qnQdOwfihLT+HbmMMJYSY5mWkGpyb TeDjNLsDQmEkcelFHNEbOLJHwiS1WmV+Rlri6/F5KJPyotB5MS80dMSEcNhGLbKgdORgbnOugaUf tLNa7DrUKnCrGzmovhfpvzFCvqKmRqKRaLlAALcjePfNUrS+bov1NZfkQnOfiMQnMWWv4dSum/vY +N2PCyYw2cE4gw73w0qEoFW7jHCHPy4wxTMoIbcijHXMC4vuXOc9DtZfsazqd2CFoRZPbxfy4P04 GMkqdqGNp38zBYAxat1KF4J3FHteiquNGxzd06Y/TlP741cRhWALKpwpG5ukx+RMy3OYeOMLDHXU aPzlOCbAOu/hUYHtjaUmkzuok64Tq7hJqevUqMT1NC4Xg4I2RqEJh1wfvJVX/8m6mG/Ig8Sb577T 7aPZg6HJppH2LcThJCibuUTR0/DQZKc4TrIrG2kay5N39lnfRfdW38O8aIic68SMmfH+c6buOU3G rANx88lB+r0Op2frvDeEvvI/ieyXKf7qlA0gGXRU9q32tfApCl39GCcHbIkhWu9EBSVNRSbHoYx8 5NOvyyu8OZMaHtAxztoD0Ls4WrYTdVWfAu4t0Y9/Tta23gZFehZpUqvweNppimeVIb3lJtvlVZfA daFyOMN2GD06U2A+sEI60wFZpr3ZZ9PorGuxXRe9Up4X01r7cj/66z240ATAtq7AZlPxMOu5hSX4 F0Pgcb2kvC3Zfiz6vdUk/TlIWIpv89xf//8uYkKb3riDYvCHNJdQUyMxsE1e7LsmeILamI+QBS3a YkCxDcERcMb/kiSLrdftFKQA4G2CHDEkn2jNKKmvB5urKKpMQksBq3/tP0CZaeJzv2YGZg4vMIdX DJ6LWm/ve6exzpzEDcL4/ddAFhKqg2mDvZf4N4VDeXFzJDDTvWLaSjW6f9WLD7KlZEPHQsjVjlnO gU9ZMKHhHhf4hr9vFeHaofgLq9kcWWCUP2Frln2VMDxGszeTl/QxzTH6N+sKL1XsGqjEdJdPQDSR mqphqmOV3t/22To1eSBG6k4CMbbbHDMAgzagdatzByBVxIpyMICzHVdRMMlrbGvIrtp+v9YkvxjT N8gQD4hhmA2v2i46idh6+Qml5FlBf3yBLnR3W8B8GeDWe/ttsHupkxxT3b8XJ6V9ESVtvCiK2lia KKaNFl/pTf8NmN2zQSemYnEOpc83Tu3TqWrkgoEFyls8g/Jwg1bvkdjPNMRw9Oz+1j9ZNv88rh51 588lyq5QsbLvo4VW/oaEvp+eCG36KKjVgYGlUTu+HRVROz3thaxb+yJTqmvMjiaHx0kCvILJNxvI CJHCcmnoV43SNfkfssHpHQps7McTzSqsiMbDFTiG6wMHOVnv69BOmqOFkZkShjdceozSWHdMnB+o fKgWJhKQKaijgyqFwkPB4iedsFnStK97Q9s1s1PvswnjeHJ9FKCC3PYCYcjPUxNb7HykERMcxCtN GKnkJ7OTGjDC2MnWwYRKhoo7VctEYGUzU7IAmana0Lb+2X6bryb6UGJpjMKR2+fYAv2AfGAa7Ohd aLVO3+BVUGAgtXAm3xuvcrCi8jVOMyeXoPBbLSasslEKniPVkIOFLaYmK3+02dOJJHObpIi6//Za PQy/F2YfTEV90ttvICA+JGPCkHentgckWhV4IKo390QZN6jUHIyR2nshjBb2uBf/i7DXIYgvHqyH o+uG36a/GpQQIfBL8XubpLEoR9LmdMteGL86M2gbLmXXKIIm+PEMUB2HwR7aHwCGGgCt0B4PAlu7 w4voBEAyj+8u2ryLyIe10/3bRxTu7pNktcKsuWPhPDoKbXM333gCS4ews5wpPoMcONUsiS0KvP+D Wo0lawzgLuqOpIenCtlWc70gM3Lq25Hvj6u+ua5rQl+SItjHNL8CUWGrQqRPDoRBAIOOrnihSObs q9xUvQXBejOd/QlRQw2Pt7L1lmQYFZw6f9YGLsBGlwxwR/7b7GRVrTs3bCv/ejFv3SrkrLI6Uzot WB1Ca5luis1/GQ02USCf780b+HrBOgMeIt94Vd1+UkEt4GddXSfXN0Z9FhIQ8hHFv75f/moEeJcG BMEH1rIeHbREnuQa2PqrooMuiwtXL5iOyoTMjHZoW2n0rU4qHCA8wyreq3beMhL7LwBPy5HofnkH 6aYM879fqRL3mAB+GpwuxyI9PQbnO+cBisoZMZ4Y+/wUEy3ZWBFspMVgZR1tlFTyBwzc4sWekn9D gGUaOu9n+BHLrEN2rGl7yPzmP08Cf5sWJWfQ3R1m8wDUk2qZGYfLMauwCw/4JSKb59QCtwpfCGN8 KFNUbBTEP5bd8EqkFLZGzmZEXzt1RoSOdI0SZBYLDmRtH4yeXAlbdagNPBlMuzh9BAbw1gSVm2ha ath6Msx5CnrICZWEsMzTBLK58dCsuUSZQhT1mEpV105skFdsWM/Vw1/YzygYCKAExr5sh/REuZDe K/itEynNnAyK1QRL/ZXHDN/fZheNn/3UBSgCk4B5xsKVmA+R4d7SvSbmMJx7XDC73lJN+x6d0s0L U3nVDqv+CJVPJbTD9Qh7bqTM2F1o90bVvQh68lkTSpzvkX73VTAj4cDmayUgAvbjjliNTjEr/jW8 lQJVTZWNeCnSpRZMWBeABScqAzf3gDjbbY6RCW/wss26KrDjpWt2GE6AfFq5foD1FX2/c9Dlnc2C V3w9/tMLgWhqvj76MTNNjKDmE4ux18JwhQWE3LrLGAHknkuNN5eNf97nWo0vtOiLZ1APq+RouuNz CH+J8EjWsi/TWYpsM34AReDHF7ZdBB9fuLQXRr8jCoicn3p8KzVnPyWJ5mUPxIAQDn3AHYEUzd+T V9yogMkFM9KD3qhuqblVFBb2HnFYCZX/uSl91gZaOPhr/nzjw7degj7aOmMJyRIt03QPY8vY0liN EitCgw4tXZpiwpPDO14X1DJ6qAGV1Bmt9lpMaXdzNOlsvcyAza58TIOgokw/2bIb/iQukMG+JCgZ 869L+N0oAnj39FhgkYl5TfLxNqgSlBu0zgXU621gVGP8AdpKArdJp8sWSdIbSwt+0JMrTLg3qc1S sfmZZHenp4ioAF9nwpfo2mJZfFT9mcTbh6tio5Rfi9dY6dIu7uvIL07YnP68LafOgw1P007Z3qRg 6HluUDsbQ1nK3cfgWje6D19JSyoz/bNlJems1k7f68zQ35UHkdw9BdDklR4ia8DYnfjxaXg/p5+0 dB+B8gXCJmqdCF15eP98VEAgGo8ZRw6dcHz3FwjuxQOHFBxkVECeOotOkuWBE7dz7f1DqPdfciG7 Xl9yGDbSsQJckQJOvvmZz4pj80nTA2nWz8ibwplMNTBmV9Bgci6uZaSpbz5OaOyJt88gDQtBgr76 Vith9BDunRta0eml3lcybU0KRcdydtiqsCB8qom4t+eEQufCe7WXUCetJJjgs8BagQ5+Dk3fbWr1 z8Pc0YGYWQF3GlwGyJquOMNi/dvXmYeHtGIGNUsbBD2JHh461j3n18dmYeUoaV5pFSx+p3hfj6AB nJm7KX6FPV7WHV1w21ai6OApgjad1FN6N8Ue7Zj6LPK/BM/93YTcBksF6Tjk/fa2Vk1D1mb6xe+Y du97SWLEW9/mFPErQ3mvHOUau3fTWUKqBGL3BezXkhBS6EWrqQX9MJ6r1MNlu5ZcvtayiHSQTlJO YpVXqQguhHRNEsqnOWQ9ZDfD/cwfWPwSLULZazr5uzo1kI0EGrm1xbWxEpbObL86Uensa8GCEJYz B79sqVKBuNNK41O5d/tIA2AcvssGJi9cc29/MmW/MMw2PnUsRzWTmn3vI2hPCkqsoz553DvL2KHN c9ax+8E6LBM1kF02n25aDjWi4nu6+3iTeayw1tX16fPpChu4UWPwhIqkTHUufVH/QTsHja0OajM6 juuut6iOuhXuddet8RmOB5lrSlNKKMfLPagNiJvwuKZu3DkmrJX0ASyYG4uPFBja1+rtn0rYvsC4 nnRdqUAUwDBWAW5ljoA2BIrLeLp3oKDijTTEN2213/5R5P+usNBq5Ok2vlDmZ/c4mIp2lu92kEet XuHea0vFrsGYq30nXfmEhTuvT+Lpl6JkuFgp/uVGj6ZORFWQLlFPNHIqAPDkufbiN7OIvqwJdm+d Ab/b4fgtxBbm6IGbJaY6yR6rMS5O1p/UKkwvgVOaIqHh5PqrXpvEH2ySXmDliNy0HSvBmvkZOQqS WxbAnAE0oSIWQ/gQ/VDTTk5NF0adFUXCkZTQ3Jl9lOFCWv9gV1teQKcTIXlyZVUAia1a+NnV05op hPugPr3JgLwqPZL2yWN5bwnqjDjExXW5HDfAQYOkXQC0gweYN1CTVZjN2nUFFubtMxtDGTxqcCPJ CG+9F3+S0nPKzT/vs5k0g3sqX2BPA579pOezUMAUXhMO9D1vaXdc7+spdN6lqXDn2mQyAv79ZMLX Xu40lrjoXeA04fYSwl1bv5f6fQhBPsZifgpyc9o28jLuVIwHnIn4LLKr1IByxe1zmE2NJ5TczOTw aq3n4FfD5CWY3QejkYOY5EqwgHuNr3YJCd+4eQdjVSfWyiWMGb/g6jsGA8/59RnQBL6SaFLLWmUp gQJS+ue07JLsoVMQsbKkbSg4xTRfeq1whwLx9pg9molM6M/wKPWMj9CNZN0u8inF/uh2ARAlo4kL XIlXZz9+5Gj8WxAexkoG5p11vfBQ6aEe0SGpjPxF3QJtdWMKQXjYNMo/E0LLCMAWda5Ugx4XaGtA /PjPo/EA2XlrBzAbdB3erC9VoMyd/Iom8JZE5l0m980cXmHd0Rpj0ootsjqQ53oq+U147NR80JfB +chc77JI7itWAFTVnLdn2HOk6WQtRAgAZchjCx5y5gNMFKCgxWelEZMIW/v7aNuPtNd4Khq0xY8B jqiHPvWt0aMkMdxrLsG4NlWgYjlOLOc26FeF2x8iaTY1aketVkpnzXYKi8x3l1LCt2jH7ALsvr0D p8xI81q2CAY4ufuyGdkPBsP81O5UjJon7uU+jwzCROLPzFoXNX4wQqPzwb2hI5H8WawUZD4LFzMx /u3N4diBaxcVTdg3MlDVCMAAK9wrEr6VPvCmSUVkL19RJG7VqCMPgvy5q8eESShvc2dHpo6taX08 qi+P5Gm17yIASbLvNyVGMeS8Z2epC5fxxIqyZ73+fVE4ESOKt1o6rXlct1r+cRVPADEIPBRc2dvo NfSTcPFvVHmkv+VF9VQNmCF96ZyiRCzT2Li+nEVi8ytiNYwVfVxmkylAKvKF79MvSCr3dALDhGMB lbiMN43X/rk3eXYPJIwg3n8eGJwlUQ+bhtHQkLd4jG2SR5bbsy1K7cNRJ8xxNFQpQnd9UJarKWZS YtbhCNGG3pjJ9791//b0vJ79ZnjE+8CBCBvJI7urWJ/4Lr/PDWYZOI3M1irSZ4jj1oKieI8KlaZB 6RRcF+9wLJo89VKnI8qxzY/45y2ySpeu7gb73gI4ZbL5czut5nXi/PUVtTWblnMOv/8E4Xn0cqEn i91rprRPhy2YFGaXqKj689BQUrnFr0vmjwQjPChOR4BBkvGpBBr1KO+RfjLX2DR4EtqcbDc0FTCN PebeUE4UVm64Gd8/4pHVYkKUhQ7Gae5M+TJ9hmtEnoJUZiuUa6+cxEVgsOdixhjyij/LK1H1t/NI KBJPCy79IMY8bJWsUSwAJrgGKqop6zBHedkK5xekvvnUnYZ2DItK7w5DAhCSjJFLKi9QMgX0ox22 NCSAoFa1zvLH/u1fNUDKGNx6LY0upHTAVxW01m4cu7dJdWhFmjptkFs1oCNiblwtGNWtuf+jjIFU rdeiO7bMUrpsuHs1gx7aaf5fdoLM/4wDxPx0o2kxt63+5vEM6N2Eil1CZO00MnNEl+znGCziCPxN 5IrrCXC7QHilC1Eea58iSl5AWvFZRrXMT63OYGyJca1oB0AfFhVlunNXQJLlrG5iXhZqhZAx3Lkh dpqr0jbZQ3P/TdREpLkz/eW/C/UviUKoNm7nsEbIaxDFN9Do/AZSlQt5w0L2IheN0o26ldlhPEIS 9nz/Ys780xaMFu62c9JPgh33EwMyqOPoPm8vySy/CZY7t801iyVm+YCxmTQ0vw3w7eykpkYo/lHB Bc63+oRaDJ1cK6+TdCznU1DtxxvJ56nCTOiiF8Tuzmu05JLH8Sz0+AuFCBRON+Blw2Qr+75ieVZs kqBGaS3wqtyvC0CJdQevM3cCio+YlbZ0o+I4Uemjuu+PHNW7WTU5NgBoiOJZXlNsjq6vHreUE2Es C9Kda5XSTGla+8Ap8QVYVsNtYxqQKAX1OGQqxwSRQwi51OpRpnFSPe7U3smA4lkITi5G3xj7nGFy MlMoSY3hbk901FJe6baGzAie8wIeVBJjKXcfMhoG1TfRLUdL2djeR5p1R0L67r6+SeyNKsTANw3l Cq/yLbJeJQegXT5kB4FCPms0PYzoAoZss3E6zx61TFZiS2PGpzsNLfok83yBgipY0YnWw302O50L P4jvE+W/qmpRUjbmirN42ivAkkA/8WXidAds4hTTwagvID9Bf9Af0FkNdsG4gN93CEMSk7uuvSCV kERjjlLBF+OY377CTmtc0ai8Uqeds2FFaOuONdQ2NOJ3PlDvWmYSGS/5tu8ryOycOMED3zce/x3F PM6Il9aI6p8VjGgIE/Y09iQpIobqfZN1wXgrIdtLVqUemlJZ9PahaKBM6KnZ+jrElttjO6/Gdv79 BJRNHhDtxF/szuesVsOH8g6iAMVb9SjVc0YH975EfKeu6ENPQCEKbzakuRoaEgSORDfAblac+qS3 dspnvWCL+DsuKPy2l3A/Fos0K8qE3vfgVGUeUd7FTzRUt7NzRNz0A8CFOttmqRxYPK9GGoAgDkVs rtyzn+Y6LsLSLswp+uR1jzIJOkinIY3ZYBhhpK9LFRTWmLeorScZ4HVrRKIHdWgOlvXLdVH7C91p E7H6RkAb4SVecqRnLpSvBGC2oBanpu8ZnX8jPZykUKnxMULcIwvelPWB1P1JyNJSHztQtvB/6nmv WAvVAZ4J52+w41LN57ne4+9N/HeBBoGnx+XrZiNNCyeZvVDxPS5/6nSYAjlfKjYZxHKmHY36Fu/2 8HIVjPjoNcME1SU3xeo3CHWb4Ab0eW61ki6wf6/mIY3WPspLaV+87WBUS3/U22XYrVZzjKelcxkl JAB2B511W6n8t6v2sTs9HwG36eDklETqJNmtl3QxQ9wDi+D4SVEROEjtRqLfOUuoz0LY1Oorisqe /BkKDca45e4BWTi/yuGsxstWFcpwxmP80LvuZNJ4b/OjiHsPFBHIsJBuPgYziD/l1N1axS99H/j7 0KklWg42TXT+CwbkksTHyzFlxLgaoIJAxl1JBdpdvwBoEVMB6+Kcl5ni1CDQycyh94BZ7B3M3YUp aIE4/vPShv4WWYDzqfW9pxBbFTCvfYjIokQsRXqr9rGJT5ht09S+mRL0xfi7Z1giH2lTCWHr0bUd JoFEX4CvbpGy+d6h6IrjxuqFsgnN2EIOC3uv++MiEb6ACgrcH3IJ799caOi9F2gbMxsEQEeixstu aJMAqMMSFRT2JXmw0tKF2r6Ftj65GVQU0Zo8QV9MfifyvEmBT8UFc/dbGXCcRqGvcUh6a1ZqHzCl uO7P3059okST6mUeu8zDSwH7nRV592Qp7l76f4RLzfTKoE25TCZQ+SpgpEFXCRMoZ7dA0PxpT6kW Gb4MwXJ1t3owDF62Cpm3yUktAHqTW8iYfChj0+mTBxLV4sHoBur5QBaneOoYgZOcZ/gY2di4MQ2P McXh58EdcIMmtVJyHMTOKu9jvs/joA1Djpq1eZq7Xb47JLn/DIQ3vLBDxjyHl5kA8UFG/7qRF9L0 FRWhBysC+MuGaU6A7tt3vHGuwueUnjmEIzhW1vdE7YAwKczL5E6lgC+PWUCHwgMtgefehZ2vryH6 wa8Acyf1fIbXnBmxwNSMa3cUS3u21uxj6pwEYcEuaFOCazckxANJPslC7hero0P7XnNrtU/l60tF mO+kxh/oFs1LUTgme8Y1LO7T92kwDS3BM1RQNHsORH32zI8MErl5stppVnUDxxeHd9fPudi1e9ck 34bqmMAR6Tm8wgNEBdffRZL03wVlTf7m45UY2xtOXZ8UfJ0AeXqgmgwRUkhKKXRz7Rn49DRPNxNT hfFOqZBXhOoLUUIBsnsklWQBBXXY0RqLo2HK5gFK8ZjSBBVrV5e1C2FnvRTBqrBVHkKdRadP4soH EWIiEQYty9YnO1C6K4mHOAMWMmWxg1FaQVa8dlc8fFcpP4lUanEEsQbxIbI+2DXuw+aiUJMHG8Et zg/RTHxHTzJcgX3XdYXrcoNGCUPN6SEji6UQbWH6s1JO8Zrm1GHQR0xl3fqb8W9Uv6ntHIqbJyCk xi0mw8O+eRmPBO1ocHWLaJCGHuYSqdiAL8H+1NPv+Z1lkc58ENiizau1k7+OwuOZ0m3/3gho39tS pisMntsvKxRVYA913Ve6Unm97LjNJrAibjqrFDad9GnX1p0DfVhd94os9iQITSwrodnFaMwmNVZA EUssI0viRZq5TLMEpHH42riH6ZT2n6NxEub97Ok6VyD8CD0nis/6sDG9G1CsmMFuGzx3cExjznDB H1vhUqgk3YmZZfoqPaCM71hLDE4PLZwMufrrSa8nKRCT359V6pZ5UWJrkiIuK0LRB/qmozugEodR X3/BVpEYYRDuN4p4ZOWeVJVt5PKw2ICY30fhDuQz4yxldpiSwLb5dw4FgaKOG3onE3ibhxlYwXM9 AQ7c4aDLhWTcCihp783rGG0vcZRM9t3qV48YBBvgIGuSpHSDxQ9W6hvhgoYWnLWrBdk9mgnfZ6r8 2MwtWRGrGeZ83lH9Dyh4XGsPbDv8FV9pslIRBH/vPxdSXnf3soaAbqz4QXgJ7JJyTD31qm02ZSNV X0f9x43DZBaawULwcDzMjG3QoJPlde7eNmbHGp+GjGqN4+v4n6U9dypBbLpD4V+q0OkVKLfLlZtN zrL64aI/SJWzFQQ1jK7/kBtepKgrNKG2srytWd0o+y6qjzNq9p76ldresu95DOllmSyAjYL93GrB NdofPMNSto1HlRtS4gLlYC5ChnxaPHscpRfvO8dGSPzcwNhI9HGl1ZlWIPY19dkF33YJyV0fUTbF SfURWXzIN2P5qpPKQv9D6IRS/SKzYdHu9GyEzd1UbMq1AXPgQGwhEYX20/wOWg+GFnskOXQ14BhE L+TQ7TC5/tMApVayNGjmb/pUVC4UNUD9QFLBHnm9l4JBYOoCpq1S7CrDmrjL/MEMstPL/G9h+Btb igr14EqOI3ooPuSNoDAhNjapjwFfM1JOI+KotdACj5bhRpmT0Dc2j9cH4p6yXXZu9YI8fEKnKCZ3 st6dW/sSdQDiApZKUvICSxSU2xXD/MxL9KNQpeXA9F3ZFY7S0NfKQe3ou4SSqNtt6OinHtBf4Bjg snPzMdUzIvZVTPbRROXBkX9J1XavfIS8fBCutSiBMAp1Ts4dHds3UgJgJ72+6WkvW772x3tNdSZ6 Fyws05kdKl0Ya+lucQ8/oYxYpUhxpO1WdgvxtZHvicizDLKOejPo2kjzbuvRC9dLPTdknGhIORv4 XypNf0KuFTb+EZ/RAwubuzNCE/5sxF8/q6KkANGTRmiD1qlb+8xzvAOd9nFgCNiKR9iGJqyy4fQH XZkSMb7gMoDfLSqOYix4a2OQvPI6ui3bPh9Bebq5XY/cpvBUNAVfzQmpmMFWZcLiFWJ9OSRG6RxY FGd3E0py4EoBpiHxQYdrFQV92O0ERTW+FAvQxIglwGO0NrH0jKwErqWT4cwi2PO7dvN0ZhhlppaH uSMS7rZLnX8CzKb99ANPL08xGIJdpvkHT+afgzCWzkFV+ae34VDJPM7LHOE9nQya29VGB1ezvroK 0okECRjG0ys3JaW2v5+AhdvFRcEpyjWs0WIkT48Uo2QjyRaGVRJ5bGhqP9VWBG/lWvFZ2cbCzEt9 QGf7sMQytwp2z5h/859WpZYac1vDSet+/gfDcmEO+eKRWXBIwSWmCxDbBbaq87LpW/3kKJsGJg7R K8mrRSmsDQqK9jtkWpt+fm0HRdxqJR4beCVRulVsZOUTtfH9Lzbd3U0w/b+5iib4v/peOsUcJZOK THmld+zTveyMAedhWJTq/WdCkYwKImlqb+M+AqisNstWebMOVIkMhN1+DyJO6Boi23R+VhxZIRd7 lg2zaPUzRg30RHzQSy8AkeqXF2hSaaZCtA3NIkduDbFb6cVP0U9QqsK0EnB+0rAlzmtTOobEipwA va2EQLDWolG7Ch46tJgMshyFCBOk0s74B3tB8mJjdtidbKu4CXBoIaepprWL7bGbxmK3PM4Oh/+D 1k9opXTKtzbMWhQRteIfR+lfGzhRzlwoXF9RWJePKpZsYGkcAJXNvOnDzph6VBUwAWsAeIfTQXK8 6Wt/AJCNZmpFxL0jVkeIq1dM7EZ8ARPYIIAfqEbXnShRFnq8E3RTMBdH53pDAlGZOs4ojuE47rgv RrZXjRHpvice19eGnjZGZT/yrxrA5BRe91vP4u72RduSDEnVr1V4/WP9s0qtPwH09/p79VOMEol+ gNHeHM3SIHp8nVWCJhiWRK2vufO1dxo7Wa6/o3HV1Tcy+cyff97YtBO5QshTpkSdGgwFzYOF8l6/ 4ud/KDR/MUOf/N+utVZYVsE+Jaskt2VzowVnIue6ed+t4ot14aSzTcNIGbZyINXY2Er0YzdwfxdV HW6MEfWJ161bxOaZbN8tWj2SAec4Xo/AQvg9NifiaRZc0Rj3Hvr6LKqNSI6F6E8tR+sas9lmCu6H dz5PXwcu9QOt8gg1cyF/rd2ohkXywu/wzX6Yj9u/vPnaC5WXMs8h4UHb54Zqo3ZeEIukx90AvTaf 7kZwpOo+Ad1Od2o2QciNnrgA5m8IAjx7x5ZkLeqba1piFidxsEayzzHwMPTyjbgjG35clsNbs16d v+xGA2PaseypGPhzlA+X1+za4NExhpXN32Yl+ybtJu0wrzIQOCQLylExfUk/vU2xfGpPdOXbMVJm nq2ZaqSKFvYhuvr/EEbnFi8blyf7ciBzjJ1gCn53WGTJBkKXwHE13UI+Z6niK54TfROx1pFLPfLc orgkE2wOkWb4f0WQpyP8QSmbQpVRf2uiNw5PbRSmz7nu2yUvmDE/cGD59Xf2kVR6Av0Jgoix6X02 Xy6ejEMtT7TYxwVu5VmmFt3aRVZnhXt/LgD3yVON0HFhEY1SnVN3CfpAHXi/ci97laZbJlTyNPsy BoHKylbdbJLZjgKxZM+dO3dR6qLS8d7QseTceo/H7KffMCssgv6GlN3vW0UQd7grKrua9Y9ncCmu H2PURWnuCpQXgLC71XcotgZycglBOYb0ueYnMrZg6mGtaCGj6j1mtOPNC2fi+4Aah4qMP5IJLaPh 2EaZ4mjffnqLT9aRnU0MKHgZNonFtohIpQ3AQFEWlvE5Q8v7FpqePbqXZVrsAw3xlBJIxvASf533 /JnNTcMBqJpfprITuqvuzhPTaeEg2HfIZDW0WhfuunNDj+k8sa7JXr/twMB6dWZFfMEmuXNSEO25 utxXdwSx9sJqU/ffxw7OazFlbfho47RI9kLa5zNseEL7T9HSeJ9G9pl+1KucQ89nQWih9MQYeTtI aSsXmX/iqpU25VLJ3Av+xq1F75JmPJAkFnd9tpw6Q1ILnpWIxp89g7N10ASnsw1NOFJ08BUXAblA N7DfjBAz0604m7bZxdmR6U/ggI4fPyv96kWyJKNhFkE0W7Lc4HrUAyPCDC0HiAu0yMUEaJz7H6mX +77/T2U7Bbv9YjDup3qExijn4rNC7nOkyjg36Tuq9cz9ID13a0S5yw0pMpPObTN4wQCF3e4aLYwN gQ/Kn1pBxLA3tX/99PwcF0P9Dp6dIj/y7KaKc97JNyucuZbDn5vvTVpkeGlvnzxDqlEuAn4t6IOn kDH4suwlmFWF5DJJu0NVAI11B5HwJXwXmaBdpFuVh+Ar+E1HDjE3jOvoHBFKD54R8d0GxAKSGK1m U4R6Y8utTds9gW2Ufjmyl1ILYN88+gHhv/g1hWL3IIOgclXb78QrTFY62ZbagLg/y5J8Kz9x9NEz t/SxsTUUtQa2EzNbdxfO79VjupbInafcGr+QUmX594wfmfcYRG5lacYoP/s3+yp5AYx1Oi88HC4G RpODv95JPnFeLoeXGyuab83vwo9zqQWPgplVn7T3l9sSxBzqur4icTysDDqcmH+MLMHNjbgGyXfk 88+ODOHh/+UDC3Od4IjJ7cSBWn5YAqIUZVvk9mG0Vo0WbVM5QNAYCISympRzBZgI15QhMHIMc1+w +RdAd65VHTPn4yo5BtgENUPmjHs1Twa5JkZmBSzEf8w3LiexDh4C1Kh1VUTFRIaHlPKGjE97kLcv 8AEVUvJolc58kOeSdhRhotbra9R734XnuTXgwOQL4VmMtfPiecwkxdwFo0mnUjGhw8pkpLF00AKa qPyAYWl4hDUlU77wnhuN7iCR6FhEpvpeVGuPk+EgIQSV+IRUbr/8sNEgfL2W5SYJEEizOwN4pruB bstJF/f37C3Ntowevj9zqMvPjZbcpF/m8Ps+N/9EJRPT+52KZ0M9ji1UPga3fSN3R3NYQQW2ZXLc 9MfI5YcAB535yQQ1sK59MugJwCqbyZnqB8ro1k2YOFhYiRwPE9sFCwJV0jOAkHZuNLw70DzblK+h JkeNBvTVx8po5YnNEvsEA3iP9ep/+Tdvm0m+GfddsPPrZIPVEgKlIXM96qgbGkECNu0lN6an/XfR uxupv7hP+M6mMzz9HQBmpohCX776VOeJ9EkfV/67ui0ex7IN2JVLLmxmTr+ztTW6I1aNQdIbx9iF zpT3JM+0emKGPRVRKRZ1xWIugusdXwMlr3J8o/A1W+CobXbg1soQRzq5Cw+FRHg1HS5plgT+E4QB FLEqHrlVBHUG/Kjk4zkCEdegWjDpxufNMgYbwF/xQRKUUaPZ+yAIRfwY+rkQhR7vcO88ZQnF+9ph 5uOEeuUnPGjB0mIxHfAeJ9r6rTewjxyIXmnyjUEqb+UD5XsiuT5/a78vtIpLOVNn1C6YkwGTiPeR kCbfMikY1sZUqWU0W43u0g3AnG6Ythikg0yPx+pCRiNECrWhimrNZmbqtk+FAgqTceUAk/Mm0MCj UVdyAwuapRnWr+uYBwlW1dAbZ/sm3ghTF/r+UwckhjKt2Gpn1r7Rj/DXrVv3cQUS8oMPj1UkRaOn +rCds5oOvjrQnAD7HsA3bdsiakeQ7UQSCVkSPLUeK/o0W7f/l+IvEK1bb7zHj8VohqYeCLlanFs6 HgODnUEK9Pjcbbgl9oThUv4B00oGAh00R099s2hImkNn0tQPMS777y0cvNEIrC0rb5zCzeCI+e5W Sjfl5kC1hmmXXCWUIF51Tn6xd+CupU0wqQ++KNdme9gT+PviQBUDJ7gyI4rIEQ0fHlP690z/JmXh J4/5HjfSBn33wPY07xeTucgGbTFsbnolQy1oqSFz9hYe4PQSnFECHOnKfce86qxHVlqXAfiEsLU3 IDrmQ5vkDWWbx43diw/v1qiIIANYXaaLvBriNoC00+T+HE3Q6ZLrcglHjX5mGnRVaQVjXKRpR/Ja C3e/9ObsrejWLjiaIO/oMg8+i5IVrj5kh6J7fIJs/dNRsG717hR8nBMOaZmjOATKVn3p1TcXk1ST mfAL/CtMJsJxEVFuRw+xe2Gf3kqk3rgFl7HjqrJzeo0oX23u2iMa57vasEO7yGBclMsquTC31ahR mkz8TiXALd8AhGt7FaMYtEwhlKKrL4aK5jbCz6aD+fled9AMi+TLpi5lIlaAISbpkNrCbtjdig/F 7nFNGqOg2H6QOOsorwBQtN060UcMfMPRuMhA6Dv0Bsu0DtVF1psR30qlFHyfHH4EO1w4Sc7+be0x lbHfLKTPUfY6O65WmHHs0L12F4mC0YanmSlCw97jA4teVC8j67e48ZYzVp6BLq/87pwpLwDEjqv2 gTUFVHh4dMkrxqnTuAbwynXhMPueZwapUf3poOeB9r8+VKHLb313x/3MRqFY6BO5rSWgkcSIENmj BJKcR9CY4q78VvTZw3cjgIGBKOBLvdedI/l/y0YNMOlWXR/tDAEP3yOAJvJknBLD5pRFgesWAePD N6smTFLX0WU7OR8uVJ1hhLTt649Xq+Tjki/vAC9h6a1vL9Ppke4AlCceJxWvnwuI81ZnNlyKM/B9 He5UbcG60DAHGF5Ab0lLj9AwtuMZlu0yIat8lghoC/nIexbMbF3xM9NG0zu4YuswIefaA5qqY1bu zTtJObLvENQlLfFL5YmxWvkYv0A1Gn0GkdTK0iblU5pYYaWK3PBQognLHPxKJ9gQmCnp+fCaaotH Ics93VbuKp6KJAIjz1vR+Pcw6KWNO2iEfaxyuwWp/8j5J6zVxbGhjLUre58Gqdwxw2vjW06+EvVN D6u/nnFVCmcjU45muqRMCspud1Mxmls6JWC2obh4DZNjM0zPVMEQ4w/VGczKaEIEzKVobLYPwWWg ggICZAoDLpdVDz6j54SAwxyJpGmEUiI2t/ZzNqF8kz2G9sSO95GlsjsjfXGit2gXThpYcuLIkcHk PTq3m33t1MZF8xiDD2xim6FOMs75LYE/abcwIs4mWG/JyqJlaxrn56KeH3WdNJJMu4aq3kjFmJW6 vnwj4F3q83XltGyYcgGw4j5wtTZ9fg8d6sLMuvq/09wD6gHw91h6KGooL4ERDz6zeldQk+wwt5Kj sHXtrcXMG5jmz5Bsmfxp4FdCQ0oNkOpbzoimmGiKDIv7mO4Uq+cbzIVFSiyixQwf/aYGlOAynsgL 5QaPq7BpcxyRnVw6gHtVFkAyIUPpiuTKetfhco0z+2s9GDO4LILIUBxyWthpq0T/C3CY3Si+0sdR 1scBnUskd4XZVeiIvtq+bOBW6RUoB/9gc8zqzC4KoTxZmc9E9olVdaJipPSNJGBAF9KXPdYJqZxU JHRLKadzjVrJ/abUK0+VvO0ft4NKVTwXckElED32ExhREKyW1/wUX5b7mU/9dF+UUnw3IsFR3w72 HIvSfrAi1xAqFGkVgXHMjrNFlhZPnLCNkaEaXTskIUdnWgMtHwPBNwnyjW4nYvrpzg7rxSDcUOOO qJzAi0mVQjtyIauMjscf7hRHL345XyDvUi5KRw+9m6/CAsEevigTBRs7wvf2fXvAn/bS/Mz26G99 hwrmBHCf2SE5TcXf0jhs/I5HTxBf1TRfvtn+fNhJKx0J+5/XNLBGdWiZsWZ/7nDlnZ+SuEmwv3Uy W3pnEOqBA1R4ZTuqAVrXQz+uPRwuUTahoZ1agBqjOZ47mt324ja2u4HUOVaaOCrAVOTcaMlKk7m1 4xZlsbbeGfncwDIyjWvdC4kGYWep3dgMh14XeasMbyhNKNLqwgmc3nTznIPBNB6BL3SyGxVLhlMA Xkf03+H8FCgqF+ZYa4UxKe5JYyDSnAPMhRO7FtoQFvN7UaT7p3g3wHz3KoHhl7m3jbpFmB9hJTwR QxQik0qBE+2mb1qUyHJJEoDFFxOWYH/OHkX2Al4vF0adWe0KTCctqRdJpuEzdEVVll+mcimreEFh h86BqHDLMhl1/sorrhoemUfRokotVyZb6BhexcVvR3duOp8bU/hJag3rkB+4bfbLil36jf/F5zET +Pkz8bvgQvYuN1+296avh8VWVx15TZJ//pohiZ2v2mhlKcuJYrQ4KEhGwPCh4eoU5xOG0jaeROWK s8Oqe6r/rgs92v87MxzeTToMiT0R4RSk6TecWvZ75gKxiwvhiAaFjiaD3rodmjYohATABC8F0Bpc zgv695VwVEGkp+diYKX3UD5Yqzs/cuodIZ8HhDIRoQhdwq7VMRjbLCJ4RP7+4kB44ZEpQ0deAB7m p6g0gDfpi8ufob0/LYy1VBKs5/2K1u3NW89yGfsnxELB+2szNay2wfsMD09SAxFJCwthj8PTCVnS 5MT+/4XBld6U6Og2Uyu6u2Vn9z3LBMqvDLuT4+o2aqfkd6poLpiskaelMaIbiOqbqX6e5Y8onPtK zPP5rk6yB+9wmwqasfumzo/MXB9QhANDdU51gPOhzUxW2kECRzoRYTIWr/M5rWJuTTPp4CegU8P/ thZmoI/S4FKqDy3zzNGUVbuf6scDgUbZ+6wjHWiMmAv1qdYSahBtJsGpv4BV9THNNGVME5R7QKKL NqtXaWskY65i+gTs6xaA4Gw5wpEFCucd8aFu6OeO9AfR6rZQVbyIcwLcNGdUlRnphLKITlZ+Xkpp 8mZnOfiDI0n087Kh/Gatye5qr1uduWX7RgnX5xNtV5m8zD+b9s4TrlNWL2/ncZGuXYLi9GypwCKO WYc2dy38/qjgaOp1xssto1i+vGqT6Sc51uiEaQjfY19kopd7LUQkDm2jeLvVZ9WiiGHoKJAS6wrU dcEFOxzgAk4B/ul5EhXwlJlyX7bQdEBdLACK34+/k6/4LyAq5CpXU30QaWeX7NZS2zp+Ttms+JU5 TCR+HhK1ruZEkzfKn9OqWkYX333tXSmOdd87XQ0oV7L0xTEejNSrlUTH5ZmEpxTov4Uy9ZnttX/A 2/hkMGZV9SRMNRKb44jAFV1A/pgBVSN96L/MmQIM3Hbb2yHYBxq6wTkpDEMKt9Zse9D0m7lcEx7j ROW2VH372mTfV6ygbngmIllG+e9T9UI3AArjLgWo0+Md+bFU8oDalEWt9MUO+bl+ukURu92+lIv8 K/eVQ2Hk2T/IIYItxyB8Fc4XcJ/3PzzSpYqdoOrWEAt9qvBPl//68o3FQoV5y86uQrgv9goBe1Lf UzAV9G/cJK2pgTPKM0UFIIUmb+lG6U558Y+vAFCkvHkY7QqQcPn2IGJXMEGyc6bgmN4lJwNTtgu9 BZxMx6TOFa2nFsbot+f0k7Bd5UYTz11AACEYB2bTOG5VoE5ytDESi6CBdkQMSfVke7dT6ZT4IPKk rD5o8RYfeOwggjCc1fqaIoqzlkjdxXOfuILWiD8lnj+jdKTo1B+DgFtMX3pXn9J3fczuGHqA8R/k opjvE9fNEY87t6WMXFbQ+ZpBVq4s+Uxoj4dHr9DVm64iI95TH3oDe/CsA6c+LBfjeEpX8QscFJuQ 0O8V2duKy7e+6SWq5l7uwqRVxzdv8tK9CV0SLLlm65D3hukh7OWkdVRKoBb0H2IdgODauNo8G/Rp Sgf0f680Fq6yMqSvDEH+WwIQ/rP7LVnktANKcjAP8mCiHXykAErxVmqaeZaBSVYB1wb5rhND+eCj fUkmlWbXBMfhoV0SY6/bcM+UL6ZuiKDYaF6d8kGThT2+gUjfb1PUdjZeVOYj4g/Aju3+nQpvJkp0 oUb2J+z5Vv1U1Kgkh99vI1281bC79gqJAWJoN8pxMlr63GBN9xJjpiayp8TRVt6r+g5ua6BlN/FS OKaOwx9PpkMs/5uetmZblay1vX1VGrl+IZrk2xj/lBW8x0v8OSTlumMCFeSVBR+LEpVeYpAdLo5F EbfxnhA1PbgFtyh86LvS+72SbDqSsoV8LNIYqIJ7DWsvOOPJiWA8ZjL73vr18TP+baPzQOfNpnF3 Z5OALYvtwTaLwChNhnDEv3v/Y2el93LMxaugOGFtlhRIsUSrexHMNfvnmiftcxxEg3JkQ+GOiQBd 2p4NvVUBuTYBot5gyu+3FjYLPkReTzHdAKVQx2fZUi6ZM1JNiw6+3PyprRyssXAIj5yhBpwqLc8e RW0TVc94oeecygzSdnbLQGNzyOECxXwE2XsiJdJW50NacwaK3+qvDqCFzH6xkUtYRge/HmvZ/CHe jWmjtXDF9mPAih/keBL+viNjM0Hu3EpzNAsh/xiXpSeBZ5JdM+bn/QaEBwYUiHjI7LXbaKOuy8Xk zhMJGv0hD9JoTPj/ePcGgmGNLUxRmOzVMqiuc6Mq45qLmsSUS7uTyNQJHR9WtlTOOZhHduQ+HcNU FZpwgzDePrhC3WlRWu/hHLat5mskOVQ3F3NsvAoAfaFgvBvL2JR6fztcJQ/k0JKgCkgean2KfZzG WFLz2+sSZhNKRYOvHcHTpjMXqfrJkIEf+7bgtbjFObeT6xIrZMf3pW0859gecVIn+z8c96U9s6XT fWE+/ehzN2IUcc6DFBTAWkMdq9+vAi6NVfPy7N8meT7diNvZ0ho03Y6PdlNpymqFRCje6kGGSYuc 3mTvHRDFXiYs0PnhtTpuUKSmNZC0GBhbjIJgk1QaiwsTpKm6F/XuU0nTX/Ka92LgYsHDSolsx1rZ Lhx1nH2J4wrt+vEqVsTm3ngsXAZs/3jJDC1xh2JABtHY2dHBD2Mi1Pd4v7inkUsCO7z1a556inEt jVUNFl/94vTg4hCdJqiVzMj5lVN1+6GSwji5qaL/hpVpOtxd+NwIMrcIjsDpCgZKXDSMokQRvNiC 7wLTU9gS1+kS9q8DZVPL3lMak8Y3MFGK5KdfCYpnWRmB3gt/p44K0piNWEPzN/aVkRgdet8YSpME HNmvicOlbp05Rf+6A+Rzl5JNw8chFo96ablna90mSEfO3Cg/KGRG9lLwfEtzm6CJ7cQvis+00fkQ EJrMTZavcBUHckj768qZ1i8LcFdCbSBPOzaJm0p4sISntzTgIUy3tNYRiFTMooU9V2qxSWPXQG98 b4kbspBdsJz2X7pHUEcYWVgjVe6s4CumC/GgxSXu1r8dICUW9KsOjyOelltDK074HAZe0p+YfOQF 2GjmYTiHyPXRfUvUShWqP+VWD/LyVW4hCyMiBGVZpnKaXf9Mau3g7C+I+wFzGT9+w5YHXDs3wGPY 9xxpOzo1Q+CGJZVaB9mHyaq0Eh5Uot/vxPhUEFblYhldt5Vs7lSR0yD4REF8sDcNnVx47rPAabjG 7yuKROD34ftJQF8c1MEtYEPwJ7pfGkPxvLoJcB6ebu33jAG+/Jcaw8GrP+HRkWMYcCQU6VeQveSk yOjW3n3X8eUWjL/jZSOyV+O515p1+YPp/8PhljpyVwB7kFfbJCw+fHnjVcHqUU/hPzzBpNfzrmmk g10bDPW8S8+2suytP07+z3Ja1NozDU/Uc9jlpau50wiNo61RwPDtns0swWOJk0Jdogc9fP+SUbJZ yt/evyxImwpZZDFNNynklpTQmHjn1zBB4zTrPilFNxw9xGQTqRo8sgKDDNgaj3RrYI8+sN1D0Oux ubvoM00s2fokR6c4sHx5YTsRHeVkvBY1YGY/iYBQq3LMJHDtZ5sbSMDuT4uA50nR4mv4V3umzEwC DosB8bvGqleB7VPfSWJ8bxOHMyxDaLcyOwkDuYt20LP/AxN4exZ7w9ao89o8b12Pn4fWbfsj5VBM hWzm9vtUwlL+BF46YACIuQJprJaDJC3FU0HUuEflvXZ1OOvyljdOFAu1KakHpFWilGB3Ezc+W6wt PoVm30mQirCuLH27dN8tZ96IvZ0F5mVQq4knvvXqabZP1tprcr7XAbsP8k/5q3nvi0EAOTNUC9Nv cu6JGHteJp2PiBagPL13g8BSEncpXtDDBoV3BYbNf/RyeyUHxQlZGoPlH9kBzl5MOqjrJdbwc1Zb Sh4UcCTKrjKngLS2BSTVfWkqE0wea/cUiE3YBeAcvjnl9J6ieNkqfvJnrFUkWFhQl6+yvfbM29EY U4FbgEb04KignvZJyDHBbWHJGrUWOQdUisa2UAWIdYPTLtVOer6ddcKTkaDx7VHP2+hZUoXtlKKH f46VVadwwpQa1rAWuoyAjwMMlY3wMHv41NFmzcEPI3JoBriBX83dLDdkXmtvLMLXJU6tToUeNbHM zsHxVM8GHqEOukT/TTOn4/NpvyboOIV/0ysFTGfYEHI76Q5kNtc3Dvw6KT/SpjI6fcHX7Quje4vq oWVXoStaxFotvQTmfaB2K0hcMPtH2xFvNdnsILdaYN/zm/6k7ha6gtnhUSkDQbCGwTGkyzkmGmnM iYuxBWZMphWlGespt8gxTe9Uu8w6ApuxcJQI/XkAjs3I/NM0mFmmtbn/3N98ipwcQsbmLSFsbmCj PaWlR0x7wNOpbfqcRX9rhANdOsotng2qhdVle6787cJMOJiiW5jlqaywWDVEYhUCmORcU8q+0lwe h+lxIBsPdlnllVtaMatbfUJAS5yIviGO2UU+VCngxWSlMRdKx8gcPFqFFFJ+hy6dPGlyE2rmpZs7 Rqzm+1QlpBZlyOyf8KMEm3p2fzVJZvdX+4Qyl9A3UIv0aApFYtmQcPTddiKJo0FdJbhpOcwnjbhG oEXF7CSnLFEDzaZwh6dFdnMi4sm7lMnmRH7+iFdK6OKSuaDl8wX8RKo/onIpLfQy/TI/6D2wrfpL k4UU63ZMyNnGKVUz1Rr1b05puN8PsGobe2KjGImk/RLzztjoFCdMACP4GfLUbx+He7TxX3KM+8EA YyBfMWRQ/6CAEoFYt+ZLIBQgw+iySSO98gxF3dj2OB2zkzG+Oz3kblcZeRAkrXYrf1wNmdg5YuoI IwnqlzsO75f/KjvUBl8o0WPu25vXWh68CXDMi6Z3fp4Pi1PefkqeMs10XzyFaENkH5BMwSM/gtp1 ng479Jizg6MANgt6OZ4CnbSfb4EU2ZQFpfQFKrblnsJ8LKKA5yQWF5nd2ajHZTxzuT0i6f9nRolR 2Wmxim5unCv0LKbHedk1fbYk6gZcFLVu5l+wYuG+1dULHvaYsDoL1n3jacHAIe6++gSXSRVGeOiV nWM+mBmijN2YyQCd4Zg0dUcRjVRyWlGqZxu6SfPJizoKhAwPGtT7bVwnRMlfRotaPUxNDFx/e3Ww cuwNqY3MIkVf8Vs4DtSZP7SITjqbwErrUimFIDrKIytD251KaN02j2iq3hhnqKRR8kHgo/MHe/pS xul8FJfxW/nBgIxU19HhUc67rNZO+4gqIg5armVENDqxbstK9WhOp5eXkEfhTKPXfBXoKsAvEvWo JF4DxhoPqgLoDKpdLbrTvXstYEG3Nx2qdrMLWBd1IVnUBcUCvjl4utna6uTDbrjJOwmBmN5W4FEg HsfXzrALxOzRzM0q/m9f9HKU1ihg+A3Gs2r0YdkMY/NIQOXlCh39cjEiUJuzV50Oo/RRvAXZqEdh JULlubAX3vSBADSbTNKyvn5qOx8pbF7U0w2xqU5di2oX/6qWEnBDAgAGrILW7zmxVIHc5oEbPbRL n042bRfjcyYfXJqs7v/J9iRxijsh3kERIc8ANlD9PaatWMNakWIcuGOO+wah3oUnCuBRvrm/FfxK 2r4MDX/QAWIvyYG5wbyrmJSoEntJDCccCYw7hLK29zkcTDryKoSA8wsyRJUNS1B1CCp8heapIhuF ztZGHa02vDGDoRU0bN1/W5qV+o6jom38afChhuo40348/fmJklNgfBW/5ayX34llMmRvXXAm54AZ xxajJtSnCyIZRri5Etnfkib/LJKuGTJvJ06cD00NhmzPt6wtJfNvp73gSEO3I0TaG51jCxvy9b9g T8vAgDSfKVRG74OaeZ8Yue2bsTzjY4U/fhyMfuf0jwbJ8ZfuCfkkl3nMFgy78pfj9JuEk4wPrAKj bl0vlhqiNetEFwr2M7FKrmkUiIYndSBJlSc4suZeVXlNf0Ca1YxzbV10XJJTthPQvdyRV6huo3Uu 11sKkr7Yrmt5uo27JpJlbXbqpBJ6BVxC9pwojE5FJVOVfndO/kBjgf2N3k2rXUrO1s7UWAnlS1SK Q2XZlvzFXViYrdlSi8CPoJDdi0WTJ8gpbeCvQ8tqW5gtlrzCfnfw9YsNZlW638/9kgHX+7vbbgRc PcH4cAjlacBbtanBb1KihPcuXYwJRjRPKiD/HyriyqdP/xvoWQPUgu/EwMGrXMY09hPIaIjOUs31 5jkPg7SmKNB60GKv7m4ty54jL8Vij/IP6jKZbyoP/jv4M9lpC7PMd5lrHL/nLNMlRsRTTP4ffmgV wGlQ9NCVHKRUC5HOD9A82Y7vrPQ0po4cQeOTuJKzzGGRwrh0x/BbE0Xkn/m2io9lVx2n2s1BMfQr 2156dOEf70gWFiNFUJupcfDbzeINNA4OjkeZEgRqyz7b0BVC6+moYFTrwumePVVZyp942O9duq7L DpBvrFPiATkslwNpRkLFmwKn4kP5w4y2zvBtjCLkSg14+L2kGO/tZPgPyTmASQIwmuXwgCPaJBU8 /76XgMVQgvAyVm7sep5X4KL7l9wiL+3IU9FMYScYDf5TboBGPOROaArXTXZFuU9TdHbsDTvUK5r/ Vb+ElUe0x95dExJaKjF90P+gA7big/PLY8dRwohZQ6j0lEPKjuUgeEzbhuyNogt4ysfx7IhGAn36 VMfTFS/oK02bPWRY9LctDWAudOA0zdF+3qfmH/S1+WeVypvL39JqrNjjZNhoeysAZO0U8ak0/oQa yyCIqhPJUi5ESRGfOfIJSUvMfa5e8gUL0jtS4zvFQBtKOK4QFp3jQLMqa8RpaXzkJFDfB6SOvpj+ PjFI/4rchh8UMM4HTh7CoEWk2yotSNlmYlhbeNIb20YpfgSLzn1CIBle0RN4+wat1KvQM0qo+BMs JdmtgsmKCOR2QkmAKZ8hBDyXvG2rfu3DLZ7KEnamyujjwUYL0XeEk5+6ytV5F3inaY/KeTXf2rjz tLYXYB/5ni06dI0BJAmiJafupr5RB/EhGxXfnoRX30Lswzj7hF1siEdc7WJ6s373p07rlOlIBe+Y EVf7n1DtkgojWU5kHTPkCgH+Ia9hk2BivV6XCFsz7ks+y0d+IULLEk++tWGTmF1c2Z6xqyyK/alt Hh8qQ9uMFgVVj3myywdR6efPqhSuKBouwKszL86j3XVwLKRsQTO8Tx5MU2pDH2K8/z80WsRj0SEM u3fh8Krg/b9I7fON10YYgsoZvPbr+v2jhCf4KWYXzd6kq4cckWj/AhqEaiXSZrxPyYFY2HtI6xyi ZViIevmkHQOrFic4m6bj9wO1RqVDSu8GZgPeWTY/tr0Lh9Byyk7KBDXutG6hIpXhdiUiSg+tYD6X LSjuSI8DpY4kd/wksZGI3FIUxOO4/RR3j8+tU0c5JY1UFDMf1TdzSYheXVeZD3HWeCu4nmSDvsOD Z7uqVOyW6kCoEcEKGqcE4IuKc2oRPocOaa964KfF0iT5JL9nM1GH//p+Q1daMhkeCqt9NEmrgb1W x821V+npr/xxKJWpo6fX4dmiGMp/m2rsrSu5HNLuhQXy1MbMKT82WqndgQhC81BiWcl+iVWD7Wae to0ajKXu4hKE+R6vPLdnjyLOZhYyqEGApCzzLw0K97BbuTFA1vtjGlGWttqW59TKEosjx5TMqM4W CE/5tVXf9KfW/FrPPuKIxqkF+3K5YBaHIzyz8hbCSxFR8TN2hoq+QDD1CKNsbKtqofqV5Y/9WR9G DGQ3yZMvW449bKhsb45EUkX0ZwoEfwWh9Ll1r8HisMg1WOpl9YBJSpYnO1GY8xd0Bq7FsnRt2F11 9UCFicOLsrqBrRppUoFENkGaYVNhzudYeE3NmbFomSNdxaE/FtXFvw9kMr6QqHADkiGu3fzT5bjy vjKjKirkJCS88jhgKhfx6Ue/rN+OBsy5uF0NC87cxWaWm3K6J7r7zblzKEQHMB5F0mRqfWVVP5IB 22RXqGo7wy7RGFtzLdpwgXm0XecUHXyAcqae7tKcuji9CspAbIeGWgp7quC5lGKn2Th/EWrbjsHC dcTS/C6YuexswCO5SmeeB4sCrIUgYDlTdlSa2FvB+o4bLShNDncoxn5JcWDbg2qaEt0lHE6oiI8u xp1qJd9GlsM4iGMaQ+wXUaxviVGflDsZQ96QLRuRtiOFwNtppWcVa/GWGgrpM2QWkHHrJnGz8Lh5 6CYDfSAC/KKhgap7ZcdhqF3ywLVClcqJBLJnEZtIHoQNdPDvFQsnXGJTsHhhjXG0b3GaJO1FwYX6 9OYbNinEAbxidc0I8m0O9gDl9DxRuXwLVRnTMPMyNf0z11awfgi09H3w7hiqagoxTYeTJVkGqQo4 Rq1bZ8YbhSsIbaTLlBat6JFwGWQ7picIGDBelRlO/ITCTs9rHDRqyLTMMJOPQ3NbCfe4n4D4waLj s5rSBve+QcIqErM9nlSDVLIeDJ7XUNTQhCNUFWJDnJEGMHTYkTloDv+9lrtOVSnJX24saWDq7Jdd E3RNh9WzdshXhLAd1CKUDmhtKdAf7Dt2xo84YpXOTGBfGpX29TLUXmHWSjWDDZ1nMY+E2KPZrNR0 8MCgNT5oI6JFuT2/MQEdEtdTDRdUoBL+uGNeuXBvMYonBdApAMEW11NbvCsJzVJt4ikmNF6f1pqX wZqAJO/xjBxfDMPpmr0H0FYO75+JQLGrqiMhpH5Dj3P68j55Y8vlLjNjKlV2+nWHJh1qkNHlayHW 7rbqXEVZvGP2wyfRvIsSpTu7OdQnuad2VLo6QjtHrfUiz8tjDgD6v8DPdhiVbf08A9b7Qto8W6mZ jbTu/u/GfPHwktQgiibgZ8adJSJmGwAju42gFFSzp/Cjiy6RP7V3p/GsHfA5MD8pIn1f+Rcv9CcA DeTz34GHueh6wOGzZF70/iWdvUlhHiCowvHYNcA2WZScZa3dmgP91bLSbqD3hjUnR4CjjiaT41Wy oLkU0JQHrEDsUzuHgs11nJhPdvOjhHIWwqgzSjwO5TJrjYAHiYvqr/ZagbVh77a+fydlyI3UkXlM A5yVAYgaVdJxSq0GXzPmAfbb/hG1bih30uDPd2Xp6631PMdY76k+fc8EXjmiWEfKVkh35vKOgfog ELlJuFZQqSU4c0k+jYwKx6Ac9DHMk2uN4/auSwaN3oINjP8z1WPkHMM4pO/5kFMzO9pj7epIVyyC SHwsM8+JhFk7toFOCCP9MLPPZ6gO/Wc7KXKhJM2BFnFbBhTChlKcQToGFL+0plvf1pklqV56Pu1c X+gw4E4aZDzR/lfk1JI3tGmNKGI+pCOlybM19mpNRSGazXfbmIbOHfySQmLj30eio64M5EMoA10u wWQNPB6htCW/rk/4/yV/TzhVoYsRIzqmiQiEpKwYqqk/b+VasZIHzG42kT6vdzKB/EPyE2lLl+GG DsGoh3HTwwnpXqBLPRmBNwxk0MdmTu1XnNQPDeel9uEczgm5yfz0AKA/kp5gFkSiHXH9NQorsR6O VU1UT33q3LYb6zpGM2MxrZgFlFNqL9m70ok63SmcLQRE7p12OVqB95X83/jRx6+dWPR4QnK5yk+9 +Lb2OSlsc+DxXXzakYrTXgktJ2akjdXg7oAd4K6m85uPqUH0zb4B/DZ16Pjsw8ljHQWP2PgqvS3U NJWSukWhgWL+I6l2iNF8bgC6wxczSb9jO8oq9Xx9nJ6Y67mhjbOVMjuxk//4u9B7s2ihAvXN5B8c H+KGzUaLuW3vkCQn9rIHm52Rx1GIFv7J/ot5Duv6FaK/sPvGzM7xALoezgg2Mh5wZ7iArwfSCevg p2bfhMRIJL/rJEtv648OzX4ViacmN79kZ2+ebWte5XFOxyKsMuIVpUsfUPxfvgz9+Srz4jx9CNkH 9Vs0NFXqq8Q9NVpz6iYQwvYEhkhIpVlHsgU5CamkdLhKxpBqG8m+zUaYOwPW1nam2iNSGWXRnvK/ A3DQpJGTim2SLf5h3mxxYbF8vAlQDxL+zfmMkjlVlW6kw9r7EDZnMBVjIP5XqjKPyW+h3o3V7qAQ /pzOGBqYxgLVSFm9tDdvQ+EqHPNZZejORkeD5met82g9log9E2ydX2eOK6Hm3Feiy+CCBeeb3X/Y q7Vo5MP0MfvohPXLdA8oOKS0wyJplDHkHlMHT7JhZJG7CBiWFD0YJLgeNQw7grq7TL3nTF43qqHU XpJBURHSLAN+lZb4396wMI5vm81yfqHgIS6cZQnZnm9xRjFrxZerf56G9iGsVNdGAskFhsaMR+kX rcjP/kc6K6PD7hNRW0DgiZRQHk27oBWGdZtURcLtugtZ51hAK64TmN/UZRbXWlrT8UbGy/cAHHRv 8KUESTPv0j2pCau5jH0jNSVrgCxkZpCbqEA0qEcdwe4KFkr3bNZZjcj5/gKAfZIeSNcbiTxwhz/7 zxPx6UL3nN6YsucX1SRYgJrcidlz2X5PKP9G92WtPYDZy/TMPFawiZivhlgp7o4G/Ne0rs+urcR7 141AcjnpBk+RtPBD4CoZvzk+h9/yxiIEE1J+LIoqr4EkAkLuE76qrh+DqxqTHElwzrHnRJ67EbcI ZMTtq5xYYVGGiUIFYx78o4/nnXBgjSggRbgV0qGowksX1La983/UGJa5y/HdMwIli/I8O5KuvLno j4Q+eQ31Q3E23Uc728vwGvbwe3pDuR+HqQWiwDbD4FfDw400nfCVXndjdVXf2sD2Sg4OGcKfG8n9 cFyXlWDtB0lzNNJ4q6lzWBErMG6bOin6WxHsQiV+rMbbhokAsV6o3GY7XAaaRjidtxFTtBksKSkh ToDGqyFzuHJnFxY1VlAeRUx94lfJwM41fUP0yigw3XaNB6tS+pG0xVLMAn2PFxIOM6yre5wwTeFY 2DgMrkzjLQwD1dgYVx4JLxhM5KJsU9o2IQWbg/Ix2Cq+jV/zA4pEvXCjQmRq0SScx5ER75o7CFPn +uXIy5Qz8aHnmWR+GHBnAOJJOnshNZYMGfvH1xcbLJrECHAlbH2cJJqLs372b63Drw4dgs13Br/z OQgnbe/modZyvXDfBly5YxW4lNsU+DmYyFmNTRacmLHCSrAl0NGKdXS5U0ia3xS2WSctJ2my3CZ6 BIL4sjecoevWf4ZQ8bVaQHZbfCH4WTas2AtsG8sLkzpPU9zfLfbxGSO6HXFOzlXu5zlDkdYNrbsC YJ/Ut6iYcuD4/gXl9AT/PJkh0SKp8MmjY3DjjEskEWUeX5Bp8O1gvFBcNih+1K6oYWz4VfsPgWDW e4v4l/IADkpIkxflPSHTd3nmAzOj5Rt6ZUBWW9LTyy6IyO0CJhSaO8VxjWWLcpxlP1Eygy28bQBN noX1gNCVBCIPnnL2I2oAkT7zZ1jaLV+Nw1vV3c1UVbhC4nJTnRXl1oWX9Wm4Lu+4IC8M7UuZdVJ2 DYiiAG8xoRFaeu4O/MfFXn+wQIag+B579Uv+Ja4RJzPQVS/MAIgRofRp8gLXU1ScQEaHkRwlQw2W tNWXP8FNwG8nXV2GOfdlwrp5CbOqVC2yoFHRIpyE5Wgn7kMgmuhK2m/dBlIqmiC8MWyip++QxQiw KL9JhlUSCMwTWhGJwdkoTBMCsJg7UFUkY18bRJpEihge7rmciGtfUqamgSZpvEPRFDVafpZdvJOV /GvQYjojJfIPQrddYRbJk6iEa8e8GEMUTTIlUE2emWgstXam0addmPMOS6KU1YlkXs1hJRUlVx++ 7UDHuetZhK1WruBWyQR6Y3BKp0Job77M4c92qVlodV5gBOmPLikezFqpNqjS+otocZPC6EOekge0 ADy/i0OpZew8kXNvSW9CUoq1A0S2ePZW4Z+jlBdeXW9xd9TT9JwScQqp79ZsJLnxhVzO//8pQcRT UUia1tPrxgUBJdRNEStz42DetmeLsx6hc6M2tej29kqosmoohhIGewo/U3ujsql7DeXcfKryIOzs Yu8BJlqhhmTVoNfyTyH8Pri68zXDTZs6xLkyclqLREBq2r3jVhbQ7tELjtbmU74pRTGeOHR52IJC bf6SyXoo8INtaDHRJicQvL992TR8rcSuG4S0prliPo9R7mKD/nizAYkrz4nW49xI74/3ln3FizYt IiCIBSeymqP4RoSrIVFkc6M6wO1JwVUGmfIfKhmmrt0hjZQjjhOP0KqBerIcdIZiBtHC1UM6Dixj ZYxh3QSqGk3huN2v5r0py55HU1ocpHnae/ZX0pF8LJeuN/PyMB1OH5twDsUdQ5npAFXvI/F60kDp sEbUJaGiUKY1PdY7m0BeObzzsv9LjQRxeyNLigCQms51QD9fA6ItIep/GsxKbAu/L4BDtRVc5SuQ mNpVfYl1nj9otMktJdn8aElcHNz5p9kQ+HiiyuWpHQSnueRX1hl7LIWGhE/O+w/IPnHd4PBHNmuV 3mlROQQByhbDjku8ER3Urws2igDHVKLd0SZJGPzSI4OTYjWEI/XSZEHBgxvGhZNycMJWsQwI3VOX w9h7TgoHS93u/S6UXEpC1h/ds8g58yxwkH+1LHtuA2YldsF9BrXS0xN9rL0yobUQUFkc1Y3PuUCq l7s/5p4JE1sEcS4ShJdk2m5Cva9gu6cKAN/k8eTAylh/qoQW1Yk9W6VLVoH4B0G/UZUvF4cUmacp AO5VVaXNfqkgAHZEnPhO1s7K55YgcdmSfv4ROI9kbEM9nkZvz+DJ/HsKNHoAxsbM86+vgdQwiAKQ HoIlM0usO5/IR7yRvEHb7IFBOwdV/vI6Gn6JCx6gZQVMSrPwj0/ZSvu4DuCdSi8+R1xX7JTM3X0k NcveQ0d7xmGI2wMRMMNbfZr5klcRA0S9mdgCcmobi8Lg1mHCpQlkIfhY9WP4odv0nyKW7SvBKgQv knvVh/DYhBUm78ROIEQo6diWn5sMix5x8UDrGpD5LoeVE/QBF+qJPZGzCH91rZQQJCzx10LiFk5w 1c51lpL43Vo4xEX+KLTM2qmj/1n88jKAMqSBtMuZnOC18eCLTXqkgvYIGQpo0jaBFiGTY2uU+hl2 0t3BkJT7QjZ6Dbk1yBX1XrVHE6FFlxA/v5cVwHOtGZnxNGjEjshrF+fv2DnlT7pEylg00pPd1b0c psVUhUbLkUH21eqjPkbQPPNwzEfMbIQwcUl4qUrIPGsr+JvX6TUh+QzaDGV9sc1Oj9GuNbhQRT84 m7E4xv++CPuE4Hd8pudqJg8HjWr/H4KJ+Nbx+c/+9M82P9C40RRH5zcoxx8iFTH+ZWIPafBeYgGv +z0Y0n/nhyTrHhUjPNuOL68Omawe2Mkijp4x6T1yYuVr8jbc+p5dZiyqKbOL/cV5hkPgzz5a1EAu 1xQF8h+qgwgABiQW9/0kCtpolId+qoxvJ00PZfYKEne3goZBPio4NmSyJcBvIjf+9r6AjtdOC/Uc /p9dEs3xJKHhZ0pII8+6NpE2WLOf5SUHeRf2LgrDXA16KYjwsp+mBbBktIu9EzJFbbtoy+ZhsApe g6lA4BrQ5N5X1vs0AmtiEDYm01M6XCFeTgms0uJHLmobM2NWxNnw2JI6NxWI9FM+PisQenG+iadL jCsSWHviWXiui6wjrcLV8X5XaPV54/+8pjcgl6Mc7WNj2zwm5VPH7KO4Ecnd1g26vmiFAWhn19n6 t7MtNoOjp4U1M8C7i5zWipgLu0NFqsy5MSPKxcpvUa8TsgtUpFUfByfoaqcllwXD1cxaBMUsZPF4 6HjPHYGEHoJv1fErWpBR4hVrieBNTgl3JU0wqZzSEl2p5S6qbWjlYRuQ1D8iPBYnkWdeai4XLpcb BUpNvQmsuB4loDL+HkgEJaSzhtqL2IQfrdyKon4ed0gN84edxLyRlq9jebePENBUiTLkMIx6pxcb kTGZveVc9sbGEeFOTv+v7bLmST/DonbMlRnApWOYApL5dHsg0gUVKOnoLIQfa3j4IjrrlvSnaG9m I//U9P0rXM9IYTrqRzGqXl9ePEJYEBgpaSigymCdzqdVmL9LMo6tGdtROfamhMi1nHmXte56Ro57 IJ97a6yhjzmZAw+e+kjBzHA8gvxV/T6faKLjFAx0J5d1NB3Re3bXFQQiKrko+84pM8FGF1abPi23 OrO9yo9u/6avVAYyzSLOKAEy7S1pMT9zgFMLLUoJHgvTD3fV5aSHilRarFKA1HcgZn4eVgExtDRo hj3Nqoo2LEAWKrvIh4AVjP7Jv7wkrE4JPTw2liydSIR+tYuGmZUFJ5mKR/UQi1gxbHmOjBSUL38D LRi64Aobs4oczHZ/DBRNWp0KBpVUVjWbRb32OTnGqg8CXFwgufNCRpn297+wtY2WORcAaYO0Trhh Ju7ibjEV5WoUxP5SzDwjYWYTNW+cnKqemLawDhX+niuHyL1geWvpXHn0WZsor/tZ5x5bzkq7KhhH +fuZ4oQsCG+LPIF9rQNo7jeOzAvW1JGIWxlbcyM9nKFl2+4j5MtjIsx2VuOGbIqN1CIYLypxbeS8 IAiVmbFc4H0gZawC25OuO1i2hapz0BtzKOqNNHfaijzB4yp1vu01/bEIHpAhjrtdIe93ZgPVJcjP dbZTszxl/iMZLvIzTjkJTnqBcQTXRLgSzidulK0Mqf+7Lh4ozBqF3KEXU4+8xMnM5n+vH6ZGto9Z Mzdy38RwanHXUP+i+KCMvE8wXUanRoL+NC86Iv+zRRiSUuML9h397I5VxjvKAsC93nNL7wvAtlsD yQTwwrMkL/VLWMghWJXHDRxJ2B2g0K4+TGimu7mTu7nT6JSLzJ9EXJHrs4RZ7d63lrgeChwSnX1u yxF01iAF0jzggfoGJfX5iWA/+vCyWDFTiFfsPb4Il9xYy7wlHdnQjhuZ6uWGacTEftHeESpUeWyt 1Yz1XI/YjxNkStIEz+6vH7pmm5vNjoFVK3f6bmIDVvrP6ctjlOUL3WrC6u+kR/QRYBL8EhOY1XC5 M0xcRpQADVhAvVSLFsOsk5ja7KZAzuTJJp/o/wKvt84E7+TzKMK1Mtpg6Z+qCYBPGv5k6T23/9xj zKYT/KQTLE+V+57oGDuKLUa3T0VXN1MDk+KDYE4QAILkA59kYS0yYI5DLfZrQn8Wl5uA8dwS83sR kTagoDB8MTSB6tS4EjsZH+qThy5z6EwuzCBC+D+E3pwQV2olbIk/HIOtIZAx0MwhEX7H83tZ9n4g QSDlrZxxz7HVKTddpXQxOncdR8Cxu7pUNKWmcRvK/WkHPLeO+fiKZQx22re6oh9BBKqv+1fAMOa5 ESWL50OSerm3E5mKn4gL7XsG9w1dqX67tJep0Zel8eGhJCaNsMrKFRSUYzpbC8FJHNrGki2MStiO Bi2VSAyvVTVa2AwzL56xtCodeRpWfCyfG+pMJStl755M3Y+F27EugMtHfbWDdNd+l4uthmxu3XEv e5tI6Fm7u2BSSa4YLxq6nWcU4+w0SojHs8YtHeLYlozB9yoASU5GdGMeE1Vr0GqJ+yJmgXxSNBvb v5LJ+UhGIgEvGPq4N7ChKudvQ0FWijYGQS+vzwVyEf2BFWnX9ML2f103mXJoyHsup7KT83YC+Xsd vDRzrocLFkjFaIusN8CAv1G3JBqKlieoW/OTUdwLFPYkfPe6+/gFUU8m17KVD1+MAWSp4kXVgHS3 GqwhCuxsD6az/W+AoEqL5XM+jHNMoVPe/5gdL39C/Nsve9OatG49lrU6paJc6UjnSJ5Q2hdY1/XZ tYvTHLmQffZ3dlcVDmSZu7COCUQKnoiWzNcDlf2at3slkPKIbGmE4N9DNMsQCGv9jdeKd0qF2MOH R/wQmfNc3ub7guiOuwdrb8tpE5Gn/9JEYOEsTODFmQs0IfroEBq5GvO4IddiXmLsX4jCTzppBcuN CNTeWxvyaNSc3QrQOJO9ylI3cWWz6XqQcajRYVdQg67X2dcptKkm0Xj0SK5yjBwgHPmdmuwKwAGw JIAZGPzryyeVztU9+3MUJpC/mbGwxwubF0ZyukWERqN/nBeY3CIfWECD/cCju2jWhfzx6cuTzQfc GG3+ojmtqB53iHBXhQOWdOqLSlO0lqjR+qbcGwcFtWbbPFujj3fhh/74QAwZpXibwRWYXcvSIbQf /WJdD+zIFgAKRKtsTLwT8GLO//0L8UfffiuweLTiU1lyEiRzo0kRta/mNmrXi/hzjyczOkC6AHYf JFh03Iuub71U6vNhOcdTu/icxpxlOBY7PVRu3Nc0BTElVXvkdmsIo5YLxsBDYXCI8HhJzHO+gvgB QAnoowcIs9PPHqC3EWYiTBLX10BK8dK892e506gZmiH8tI92MT4xMGYMFwW6zo6yvrVWfyPJg9HE 8B7xnJMlwkWItWneJIJ7DhuD8knoT8ZFvo0FfE+rWHaFJ5QLOBsoDLFssB0P9Nk6oOUKKyuAVVLh Ojhl9uYp0fAxcpymcsFTPp5kXGAwmZ0XrsMw9Z85zAOuUcdaO6lLlzusVaqI5Z7IqLpsG0Fo0Lns xwfbH+JrUleFPpCi4YJfXRIH2bZqUWI7KBqfoInreQ1y3bmwMRRNzyRAVzprMXc3nQM1RL/GMm/S /bPtUlJS/PXnYs3WRPLSE6Pxhiy2TtpqepLP52zm1h2L/h1s9QQeHmO2e6+LTyyWLO8+m+14v5uI MYmdOHX3iwuwDWl43JZhCslj+sbITDt5ayVx20TbT1eb/KC7YW0SQcovkSs8ODx9LgWvFdL1LtZy kjKacJ8f0LmMh1/ySa+xJjpUDL40uftS59LaaOyzdyZLpKRInmT1cNYKQ9mryzF1iWVkwT7chDPm LCbB99i7E85uAPhYwoiWHGd8c4ioenxYYFJ3n8x0pweyJa8IDZZmxldlw2V3jeiYweXct5RAS4AM oUGcLiudniKSsrNA1NAbHMajpBBdSugJIIFbhBFCofQnjxjOOHajkbF4Hzj5CUwCIIu9PfWFFL9x Cf1ji89neuTn5QriB94WAITXYIrzrzY9hZYH2cJBfNZpMl1eeDFFn8UWyIP+jeM0mZCvTh7P/34R Skir2phfyyEK2hZR3TENl/tuct8a/q9UEUf9ZzFWEXB98OouXkHRXrhpMXgrdRXeAggoQC2qdUrh KmK1fAC6yjsYP+1Zx6h5CDMDrmQAK2nMBXMiT9p22tk+wEARaXtmPC4Bxzno4qDhCBe2AFmjJEsp mRVvW6ld/A5fB44VvlaXw4UCgigyoJZTLMnKvHJdLemz+nr5zJiXqKy7ABaEnznwdhbbYUbW4HoB NJI96ejbVLzWfiL7h0RswrfwKaw7H+KIXJT8W02O+kk65oZhK6ZzFqg7S/qaP88eT7jKizS90xmN p2+OeUILi56Qk2lPuah6EA46iZ2x1UyGsQi+Qnlau4Z6M/ZXh2/NaF42QBEaUlIkfDelkqLpgqxz h2vYL0acz3fEAQ7ZaLcbI8WoQ6FLRkV9EtSvbYHE083m52sbCkQsTfBpizOwLU8N0CsWCAnqUxKc tO6kfHBaRNwMQzWUNJcaXYVHZ3n6m9ickmOtVSnkHbc2KYc6JuI15kRlas+szfKjMXfGVOgulNT9 09Ql7FnHS7SujLtfF0dCoLN1B+bO+Q1PD6mH2ZQ4IT7zAZ5uhW0Wi64QiZsCgMXTYd3BaobTKF2X BwhJyCoZf2UqzvO4gSN5UzIyStX041LihPHySB9kAdFwHyD2UuHoiHS4/1d2/p55xKoJLSGZ/oga nuB024ifBs/ki8L1LEWQku08k2KVaBQfwxOB1rEPWwAmUX150ioVE/P4TooAXqH5ybO94GgepSFO R9rpYy4EgTjR5yFlQ4CPW3P5CUhEwiFPpot7sjlgg+PsJ4Nn2k3VrwAobMlBCJ1uF3XQ0RJ6Wqvn Uxrhimn78ORugOdcipF6ac/UkWoUI51sqfpCeDBEiut/fZgfRp0xDVnFEFDTLLxFuv+WXBc0p/Os 7cDHSforSgYEKX87mnAfwgNTMiAFStHgBytO3GyFHsTeFOrMzha2+aNl9L4GqH9imvzCIHHd26O+ RPl/7+8eP4Z42NpYYgNZoC5EGISlN47QvFXFxlk8LVHKiA+xkmI9Q+MwZKtxHNCWBVY8EiPurWoJ 4hBOiFOg9/FvFv17JPx9kM0TmCdusUfPZbwX4utRB+JY8dfTGLvIPFh/HI3+3PoVvBlaqLzmpqtT ScoP4eu8HnwutIYVv6uvLap7i4RNRNxUmELCOHtwQbveluaCKxmGdcF9FKPWx3d1YR6TuLZJQThW YWScQ7StjhUXgxHJ+ZaiLAY2nuGIQiIH1mmudYUpgQD4PxqotQ8YuZtu8IuN1BTOcYaH5EAdrCHe WVUEE8MwlyOi/PbamImjeFXkPd4fvgE0twsUl82a8bkl1Z64V4eDp75ZjENcU5PXKZsA4Irc2l62 uJm9ZTbNQdVHrGvxpJwh4PIkWJGxgSkZmVNKtIOUMan/7QoG3IG079GelNcIhKlrLKmBm/5yRVn1 OWXutmWy+/vSkovXoKESEHVPk/3nYYMzJcXbEaqJy4v5BEKdpxAsgNvAMe+UHeemXfDjKT/iqX4V qpK1MBG0SdxiceIFEvgxAOKbK/OBkvu1+JdjGTOiXXXZF5fOVZ0sFGiWquQxx9lGgGQ1GcTNSksu jBop/r/+Q0fBZwpLbDmfFKlKz8IKVx+eVD7TSiARiCPWMI7qRfAk5B15qNKG0tstEcJAHyOYnyJD UUF8yGkEqQGVkAcZ/owiFicwLxBPd7ZaxwGgIBGG7ntf3HyZHK92ywzPhExKe1HAa6izhzgRcd3I 7QH8l/QtPbQYB6Lcu9JKLcJyRAvIrayf7cbRnLuZm0vUBD5gNQjAYA+dfrNGFNi1EBNvITm+sGzq beFpnCK5lzOtqQGruwjn664Tgajcn/zAeZKtXqwj+ZsZVncausLOc7RUNHtSTrBEIkynk1KEqIh+ mZwkd9gRcFDH6NG9/xpZF+6WB35D+VjsmV5Hy4I0mFhU2e/wIiHnMP3Ql3OppxglRedOW+Vlz7TJ ycvf0LwgZ6NFIVqJfPH1z/Ljo42n6ouxkHQ4iNxs9XiMCtMu9NE5s4aXkfO+kW0nrR4lQyd9JTM6 jJBVUljWV5mh1FPaTr9RkDPZdxsblO0l//fa0u4Xssj9zeQ8t1nUm4lJrqgmH5j5USU4dmwbAWHl B2ynmRJnaF1lSzD6B/848OGT8rHz0YbQ9j+yfl6UgH7aGJtt+o+LkmsPPJZA50VKHZzfavWgM7fX WWP2RYKMgJB5LbjmKld0+0XiBdJL39shIf+2lRZjwf3giUr9rmanUr1wW7723gLMghodNdat62iJ QXwegBsBchUWa54tgLHivFe7fgcjJKQeK2QBrCE8qQwHLHULJgop7UH+J7dNhVnKtgi1dNc83c3Q V8lRXJzgpWpwJnOpYiKTxguUdEay3vNJ+DyiLREIwfew0X0KkWnX0SHlBMbf4Pir46UlfEVVt73Y dYrF+sfnneWcRn8RJTNSjy+g8E67gt1uBvRMJ0YhLtXGOB4t4v12N4irDIxssk/NPWyukZRbiiWA iJt/ZbaFZmXLD28/ARs7zQEcwVgVanjbpAr8iCna5XOV3pKAdUI6mWyqNCH+F+ppda3lCOdYK0Zj xtuDKKXZBdLsSm+v2zHwMsVRQ8GP9YPbOPvfaomVtybPcEU8LsvYPJCPnIqlvcUyKZT55Skl9r9B 9n3DRph65597dVIxHHKNMEMzRqjwTDOOxTXmdL2PSm94WGx4TY2D3DdT78hJIyzLvYNJPxIZvlyg 26ys6Q/VQZwTM2ccfYQTF06RRflq7SDqMRkYEvSVOh8x+qlTlqy6em8TO1J5koKKgXkvAJJ+q0hd rp5ZF/DIral0RO4QjpSAmP6aCJ9gKFu4R06IlUkkdiynFOYn1pqafQyLP6haFYxlEMSvNrcsR0n9 ihhrF49kdQ3bujBDSHSZ12PSVvlQtRhBJt3bOsOpN3bI7lp8hG1ekPYm/K8Hq0A98ALsITRlXbRP rNfPozBD3EMdB+GpNtnjAYBV8wJvDSJatSqSlN8UG6prqlEEgr2pjFB0BBV3hxxUrwm7pMAOw9Sw Q+NWIF4dId3AwJ7WaWQh/S7U1YR5510NMpOFSumcKpCORJqFrXbDyEc6r/yDFqTbcDRbbO0t23+e furxh/DLGdgVMgMpKomeZWVKIAeoRXmfdleo+YdaCX0blLsZyDal/opV+BTP4Gf48RQ6kZ4CRfaK 0ziJxXMxY4G0zWQFTChx14tZF3rrdRmfrzUWsLvBTDrlzf8xeHMTw9A9F0FszE9gD1LHKhm92ase SxzTsSK6OdOhrHUFBdq691KT1EEr8L8m0h6uMVLCF5PNVIrQKxSV16rFNjs+8HwwhKoUlHUqtrFi MCxhokiBKYyXmVRyjl7SfLv3xdtDaX5uyIqCy4K1BaRP4ReNwnVjxORHenW0v+0IyMaITchWCEtV zP0R/fxle+LSagRyldcQm0q1SIxuRERaKdtCGyJ3ljRsettAuQU8FHGtVs175p1/VIPJZcUApz4m ilaEIFBwFuruQnIu25JWAPKV2OcsnY1BDbNTcE1/5pIe8UiD4gROGmJRzCmjiVtlYSxhPAdFlcXf WYSaixSbYx6gPNHeO9TSKkpA7RogMsRP9X3jAdvqUSD1FSfwlk3kcZe7wQU+gk689G7C6P3dvL5k 2CFW8jPUSTD5dglgYLt8eRAh5JTU79gqHavRox2EOfdHtW8BYr6+Fb2jc+dWsQn4nt5pZN05H7td cEV6vFjrwS+flrc6fkpDQ5XAbknCpsSNN9SVSPT7kYbxtljl4aBZ0JDpwA6KpDCyB6D0rW6iQ91Y Jb0bNbHxKlN45RgTD/1r+aNe8sSizTn4z5l4YDoFB1RD5lpvmG94Q51k9FZTK+t0SZlDwWBewVnr 2MVjRzkggdN4b05PCCy4Fu7eNPr6VSPfMBm3mJptPifvR+yQGdHDNe9T5sVFJhWPzPeGtmX/hMgp 5FRiSXOd9N4wwFlkRMjV0zwMsr0R4fMx6w4uTgoTuTWeKo6+Kxz9Yv2ll+/BsRhi6xKkVcrrI3li TjO92dgfwD3FOHeo2SQAGOhb9vGG9wdIyXzZTXkVeT+/ZxwrKXLH9juiweL7FnWRsemR3fID7Tg8 XyKsdjzpVEJ4n3AvZTyQV/ZnnTuOmkDypMNusY304u5LonW8j5MtuzWI9HQKtQ0aZUUnZQbDrwf+ chBTB5sEr9O6CkfWytx7aVITfNIZ1f1ioyQ8g5posMVtQDVXJOL8CNSuJcw/2qJLiL5fntC+xiqG TN6NoL4JHwd/Fht2wc4TijP+8+/ns9Yw2gQLomKwvi0swNllyJDdtwYK+9i6GGFP5R/b8ncsCe1p lK1xSRiuu2MeuMkhPzhN+RbSmoPRfklz+TI7Y8d2/rap55IIWVaCw+gLENaRt+g6rINGPF/BHOO4 E7mrDDkuoL3mAzFBL6axgyt+j9PP6/yCalGUCj6uf7oYAMhRBSudJVw6/LdQ+kv+ZTrtoDEhL+mW tX73IvG2Fqx1TbU91QosnyAYma37oBXsBXDKElKs36Vp50BvmDhmIYMQk0DxY0Ol/g8j/AoznLbL GemZZAGpktzYLpnHRHHBpBR24jJTtqPgFbBl3yyU1b5sneM0qxQePwwgt+Nt7R/oNPYPdi2lJ+42 i2vSBzGIFlGlYrPvNfyqh0d1QSYDu2s+vEycVWs+UL7J/km9+KYDO72ssZ4+kjoX+/OoLBgnv4Ip IlAI7SuOLJFW8QfXX8ikeLnUM9lCSLOZKJsI37L457aUv+vMK3NUicoYSdb+MsECsNnulXpqII04 aFMqNCjmqZGuGW4Iq+JpF3rJr2QC42BsA6sooNhr7kdvc/KUwugouWhOGGg4vAeP0+JjMci6zaSg R4+neTB+go1C8NtJ9Lcxdus55xNFyZbpELrvdiLzvTFESuBc9pIC1+cjuy1PpJzG8YMhyAunUOJj MFCzljGzWKaywwrkMRc3WVzByhTNONnMkQLOu2AvfBjzrpHopdaZ5M7qqXwYKlxe0YmyzlKF3HYK BwexpWNDEf1ARAD1yYkR5ts3w+aIBvf9Yl/TDXSoVdFSdd6yBpd6yeykqH2obmAMBq8gz7k0TSfz sbtdX0yDYOuBbqgiYYAGmikIaQV30ssN4zFJz5leH1UylQoNOWsEZW2OoNF063XVUGRXuQskWWS4 sZcYET7toK+TBP7BsQMXyn4kXCrSmEi4ZtzXcLn5ptl7OsMwtEVTO2caOSBTBh+gEwxqQDr4qEbb HkHmwaPeARvp+re1hZKr5qHpuSTN54POX7cqQ1bGyK+DikIPHYPCGvdNXNM2a1609BXUp6Ml0/TP xhXXTO3LMkqwX/M5XZFaH71r4KwGjldqKtBhQhhf193ouXPce2rhAifR1JnoDIwduQlo67VFzNhc Pvs0D20tx5jfklHHKB50cFsZ7pGlOo1DE1CQQsaVAF4BqekX7MjyVYAVZcPDvFqes3rz6O4U8act umjwclESt6KUE2rEB0SKwh81k4ilKG3NhhiCjh84Ne4g4N5W9m2w2PaqsktFdZuvYddlbeYYZQ6U pQTnMPLOGA/9O4foza5QY5kCFes3ZXK5n/NZl4HeHcGk6SpvK9q9rKfUwowzb+wKZqZUZij9olHX 5rlA2NbCURjhp5AIwKgvqy2LaNYNkhrofKgWXGZojTLBYlltvfFHFGHGNxsdYPPK4H5i0bC23r4R 11JQCFF89Odd7PIg7Yp0ez/kL7Q4Q4qq/9WiUcmkNLaxb2of3w6yS0aPt9pWLd4rj6Mrpg63I+5m 5AyGNFsE2D3cgvjTMXh8NfyEgoMVRB18HfGcUD+/OaMUpWpX46Tr7vJIHETRQ1EcrbMcxAwmIi9c a96GtAybL066kMlWx5vrQ9vvhzlKcKl4h4DYbJ21Q4rQ2OxkFZDlGuTVrybllpgXENggy0AVbIki 7EH3R+2UQ81mHAxLbqMDBfED++NRFCDTVRQlCa+4nDNiFpji2bqNEAFKu5CTLczwfPIauzNTCki8 Yo6aoMf8QzcUYbH7J3OwI6gez5lY5Wl+0Bhz3hinarErNUITO6PBE0Wk6eUpfBCUoCCX3QBYgOth 8zJjBZThAwRHjl7V1H7nmGj5Run/mla3s1G7wsw/q36pN+37hybmwWaNctp4+q3uGOL2DsYADl3e 8s/2ho3/0VlJQzuckssuOLj827AvyAkgHMwE27NmSFq/Sx5gJj0NgVzliGtMWUXQe/JlMiHisrDa B3HkVkB2aDLv7ikQbgjmeP4ZydalgH2EQASWndwXAk/Efue4d5sVHa31YaVzwxQ7sls+2/fVtxxC kA9OrdQj63LObvEfGxzaTnbfv0XtbSejYSMUuV/M0TBnF4BXrV8l0iRmD+BE88FrJeRPBg66O874 2EXIwcSsfBAQqE3hWJEk4LjEn0vL8k1gMEmvHRQF5QY9vEAxPEiuxde7stkiy31vAnKa8XewFeCc ScK9Mt+U8mChQ55k1tvT05wZuRJFAbxd44mQkYoS6MbFOagmdaAUzHU5iV6oBuGw+0nW0eoURDuR va/KUFjlFs0Op2rHx28gOPfLpa3R7+eKNprDW5XCG7ab7zltxC1+WiG+8jf+jV8k6+OhaKb2Hxup rOwvfrhPHKr5gfNp4KAjCIJKdgYwemhHk0lr2Y8N8k/2pS3nGq8khG1SM+S0Ey2OK2RdGA5wDfD+ P5mPajrNmkrHwLyOTVSJ6p0aJZkUbdE4nXsbsr/zJdVXqsyWQ3Cy45gEP3CTGYA2nkiVjY05W+wq bLq59YwBhl+gwrZvmtTijwHYUVRR4I2KSP8lFp2OBcR1Ya7mOmlA7j2ME6mtZQLIbMWZ+9gttu3b 8YB9tZj4LQGTcDYOia5FIHWZbQo802dR7DAOWhBPTYcjD4NpKQwJE7mIGPgUygHRoO6GWZ/KHaqz C6JgOQJV5dnK4AcF9/M/s9czVfn9CBtBTMp4/gxaCTdjczaUhRWO50DE7Jcq1apJAZfYnNUVmUJm 3pj7+sWOoA0BgpReOkvWni9ghtgz3zbjRYjhefMhnEP0ujwRLNPXgXk8Xji4exna3pBomHg1BwxB qfoHa+2GaDZ3C55bC0GIPQfCXxP2gseUhYzbkvjo+tfBt+A7DSrid+/W20NohBXAKU9wPpxaOqpu MNkxI6oZiCiKbDYLAmPPlrJy4JAgPiBA+QBnHet/AFlZxwl1uIThpQ5wH3nnGSY3bfv+j+JXsQgA 5W89Iv6cKwtS5X92rsAQRJy1IUobPvSLtUsoLWSewBliyL0uAE4J6t/Q/iEjq2s9BtMKTrH3WfqZ 2qIFqgr6iV5vdBL3SehIV0jvQ3ohyLbYGrk2j0Pmi9GH+v6OJofETMSNZTnpF4fJuDbURWvXUjQL 1GPel0BlXEpDPxEbh7hFaKsLRz6Z9FlEekvBCiZVYJ1YOZf3gwT9WFxdpN+BS1RGU8OtX9Pg6fB6 W3Xjh4EVPSFwOYXAQt77zCA3oiNyAdrtCVwrbmLVR76y9bZnBfU51Ry3TjPMiAtCksufpqS+Eb/+ EA+T7Gv2v9VrnT/gH1ZZmojCo7ehhK/Bd9QMhsmbq+o3wt/H2JfYIaNdgDsnvBJdSgSEpi0Qm5Sc T926dLMcJGODjLszeZf+4PAaMRKliHFtR1UyE99nnZlSPKb8AkVwDo5rLGtx30tbXL8K3iTZ5Uru JL1qnQmAxGYS+gPUZbBW30MG56jyifthx6UMdfQTPSDqhoX+rxqonxU97OY21yk7OpiwCjBKRZo3 cuRsYlwpGA7OiHswN0dCAelImk/ScfrLCScSzanID1jPkqTadOUTl3MYtbH1ROSZUyyqV5ez0eqp wXT+JOi+LztZxigC22seQmOiUOce6ha+y9Cb/H/fc5amXGscoqte1YTMXsPQS4JG2+wPSWHURhuC 2aujWAdLMlDTJc9x/qMzuktAjfi6H314c0/yNeruRDi98XT7xsN5CBDp5tZiOnveTzvWczZsu8hR 1Tjyu9qzmfRQz1Nh8OVaAznpUiLcf2TniuP8fZdYGRkT6TbFFVkEQ3HfnQ7QpNktbvI4lsT3OdjR 4CeyNd7KK5M10FlCWtGJ7/5N/Bt+YRPfSPLxbb2/2niOISGBHiFVqGvIZvAulCoJpwIagHIHaHj+ fONwdpdHNYdesqJPCIicFlX8cvBAGH2obDuDTSd6QvaTATSBTqvg3mkcZRgl57nQFzlmNUGwkHQ3 F+IPP2N/w48GycEN8TjaIFxjvi9YawgSfkL/OBtk3Aa0hNKMVhKqlXwSQGwTM3/9kjqmbk4J/9y7 JSO2X4MM9GPZvxhcr1huhfy2ZLXjDeSWwF29KmVNNV/ULwXEbUF47yUyJJaNVaopWKVGjDklQOeZ mhSaUahpiXhretr29/hn2f1eN2wQED7t7NjhbNg/zEMgYWJV9nWVavE1bylGwFZxnkHuYglA3bRZ rh+H/Ro1kz1l54z5ZKKFa6BG8MsSLo+3payGeJMkN8napvvWDUCdkFo8JxJWaTlUP4A3hhR2g7Uu sLf3/hjphbYICPqnlHynLeJN80aQ14sVREKu0npV+XHaHLOgANIAug4b8sXT80G+Ej2Uaz3cvUKm SfNTyaumgQfbPaNQ+Cho9anPfF3GF/i0iU+lsY9r+RcwlVPPibf3oMcPOi11SEbJiWFZQVlp2oa7 8A/nw3B9MGOcYdn0kAZvH/F0couU1LDrMJoFo21uWdHVxgu2QFX0t5AVtyxsgrVul70TzfMfwPax g+PtFAZywQGfZ0tWt63QpqV5k+9pUc4R1+rV8PS25juJtb1kLP7CRHVVxx2wkeuumPbz+wUHmU3V f6WhL093CzWPQ2Ugxi6SVXHcMyPwP5b55Bkz1udzCYEiUazXn5H7/+6FmqxckEfKdwMhksZdyxbl HG7hi1Nyi1uBRV1R/S5VywTPDbtS3APEEWMbcs4vRNVJ+5lymX7hscBIL4Ex77dwk8fE30aVouR/ L0qw90n+Q/LaXNIchrJWFhFuWI17Rxnp67MnCLx2SuSVzLRylUyDPxUoKZL1gQRyHd6y+i9cJBSD daR+rkNRZ4qWdeqptju6QyI4URXav5245t6Hb9Qp7t4iZ1naPxR9i4XzSNy6T0PtiVtld9biZ3Bl 2766I0YzZw1d1YUrMOWWAgqeSrrfyjaPdj60Qawq0Lb6GHUxu14yEnpSKehiqYs3rIluCo+haYDu 8FEx9qcEDWCXCqpq6zEUQrmNT4rFLDjaQNtqQhEQEHBypSbZWzf0U3uPg0PVitzg26cw9ONBMTej KGDDBwoVTOwt3j3C8cZ1A4Z1lvpU1w1zynatHJVJxGiPuQAmaRhlBj8qk07b9haqA8xXGq7oeu5G 2KbDUDjrk+XwbtOpZTISJZkjMtE3UejICEvUyQiORcVsSaGIRy7UwTC+t2ize1iT2nQYIXzWpAwa F9lke2Q8SLz0loMvDD+YZtjujBD4Oi3p0SqOEGqUDT0MANO7WEj0ZKXgXpuIZI3n3hiV+uZsqPf4 49Pq922INAkNs168o8NPcQ767M0ovH9bjgDDPSjIuKrm+nDcTHddMQBUib2fakqDHaJFYNyMPaY/ n8Zjnt+QyBwghS3NyvpIZRuR+QXDGQO5JZjum+Zqg+iS6wNDhnByf268E3Oc6W/F0JPdskuKw8LC K1CAjClGb4jl7kkkF2P5Ca/HAD988sGkAeEcCh9nQ0dwFF4z/ZrkAiigqxWo0PoWfRqrjyM7aLDM tvbw7K84aPqh/y3u61+SHHdvZmhdDArATmxeJoi4VkuuMHAGIhOu66aeCwUWYr+T+FY1lQiK7qGh KY93uUXlLYk2zlYfImqblydF8OkAdVcigCuaAbj0mZ9uOlMmejVZaIJnoVQMGdizPahGGKXYinZD QeQOpIa/BudUJHMgVVxRgaTZXGP3hsdr+mGltLZ0yUs+gJTq9HiXi8t1xNg5tsLdN9OmErHwMRXQ Wn8ThEH7BDucjSi3jtXhaWScmceR4dpi/NXM3octuUP9w8Aly6Z0acm0xvIVjMBpB4dfx/XHZZct NrrInZMrCGg/KYlaB1DdVIRJFGgpjkeQId8P4sDxX2OAoQ4GXQWyVEjUM2HZUN66SHvXMbwws8QO 83JEQ03/Wwymtn0v8VxCG+fPxszKyjT9z4MeaDGk8qlY9JP2hHuQvtB17XOmaXq9XbXtRMCKg6Yb ysxN+RLvbN5O1rk9F1QLERfPGfJ8hA72NyqlEi6wcK+N+r8gXlvlURMMHUWXxmDtqyPVT6W8vKJc DGOOLcrKYm0kqPaw26F90zQPdNwHCMSuyUlg5Txh/NoTqEV6zbjRA1OC8AfH/7HfFJWlE+QJuQQE cwk8FUhBPXhK7r6qMKSCrOCgZaMnQ3xelcT1AstU7GVVqhdIzmVoYhE0ieIDI5gxlQz4AlWipvaC MJLdXcg/XTWppQApISMb11s3KKcyxBAl3zakCUeutoDuKP9nq+ep/ZRq/r/EBkKuXUEoJtYAUDtU V1l1kfylmN5rd4EdlBD33XH7glx8nodIUc8gz0mEV/qNd1U2xDJajtXHKieIYfMCUciaqgmCYr+k +mren9Y9k14McObbTaLhHfuDq35lV+0AX4g1Ag4pJzQa5PnMbK4cY7MtixfoTfz293ssVfGXWW+V O3+3MfQCnVqVWgjdAFArhuNejklnisTF/x9LiV41U+3ymBTeDNkR5PdZIj8RChnnErnUDMUMuT1B 1KFP5CvKI4wbGTmM+hhXwY6VF1jcc8IVf63czGdgK8I/CXiYh3zrcz1Hlp0nU26ovj+XnoPg0Yn9 hGOSKTB/7Cd6GNbul14hBt0SX2axocjEi7B1SMn8ikUkiUYWRfhbOr2/ZLdCIuCZjcE2GAF1cz9b 2p6t1Kx+Pyfoce+TA/zt9NnvrVPs9zfTEmEg4WtKPznJP8j3PkVtyAFOVExyWQn6Y/nhqMvvV7T9 wR9AWq07LUaqk3SI8N6qAhtprBdg43p7J+NCwnw6wiq5K2OetfxycamPdcuC8lxWT/yuflTcMsJf xuW8VlPGKuiq5qgAIK2GPWHWAyGuQbXbKaktwLPnFPC7R7FQPpLPlf0gfeFeiTA2EAyoOar27q2R EEuCfXvczhdjSOXReWh7gpo2G/ddTGWnkxSm8MlznGNgFJvmkXacX9itk0lugXquv9aDc1QrVsNm KYi3O3NcitZvCfHw9O0gZCdDDguqk/A5Dl1CO39BeTw6Wf6lYoTgsCNbXNW4RXoeqRPFSdZLq+hK OSJy9O2oCASvaFAi8WH9VRM5IMc/XBjgaNiNdgMdMtIcWWFA1MEqb+IC7ZwDynzHtscsQthcET77 W428R69W0G1d4Tsp5JrJhQGy81wc8FeA0skx6+eHO/UakiFPInk0Y4hApILgRhJrT+uUIThcs0CI 6gDmlRmu0/nUKUIWcahHCI3kPpGTGEueZXwGJy5J+y8Du6Bkf3TpDJ5ri6UH1m8qOKUgCDli6AZs f0MZ6EZXjdsS7rpcUlBJ6eQ94wpCWQT4vEwBVbTGUveQK2kT5HuZHfghWN3OPF12uVXtxAmLTzRb OvXMx+fHSP6hHIfNx/85zgmZGbosZ5PyaWggf0o6QQUVq9IuKPVE2Uorn/RrfKCekVW5dH4+KXVF b+75kEt/1eDQdO/javmQy59aQVA+XsXUEldjpEoX/QjnWZZHYaG91x87QGPsh8aQSJjU1vMYrgdj ady/Lo2iW6ZZAP+ui1QoCYgd5ad3uArgkVu/L3B2ZkYR7PsAG2LYTMliByplo+SkG7R6CFkt+oPB Ob7S1lb2WONrcKYmAv2fCbvdo0hq20ggbrTZZZcVi4pjneEThfm2BIX2io5rXxKqI5gTFgMS0oGW MRXY0wyl1rX5KZDN4nSoadOu6DBbIXKJQcIAu1Xg5yF4XLMKcFEdIfx9M9dhyQ50nuMY0F16t1xa k5nG45NdIglQPmPrdhXpReuVj6zgyDA12VY8pmPWw4bsVtkgmeiPeBiNUb3ZcX2uG5ucOcpxe/+F zzK8ghvnyzUvyYPp7LjSlNf3P4OAX4ZyJXeaVsuEELk/7pnB63Xn4Pw0D1rJaSwaZ9hEM57tI/YC OqYIM03TB7bwyYLJyZ56OaPcMgolJg5JH/xiYTKbtBQ/A5MK1o1I/apmZBKRzoi8yPSv2uJ3xiQG QqQpReibPvszgOM5n56PFEN7jEDeLAuR4abHMnqVWFC8AkkhHPa1/28oS8oCa2K0xBRhFyN1GgDv 3WT0/7LoO4cIa65fiGMsnKKrX+dxv4EFIX+l1N2/BATFqIqurN02Im12C4z58vg5S+Af+RGgzOjH yDGFh0hYXBjgD99nK2kvDcnV0Wj2DbVdTVpUO/sX9tmm9eFl2j6J2DC8wcvIMo4VfLzmxFyVH26v vT5N9r5LabsK1gqf1BQ0S4SVWNKdJlDrkYmi1tlrELLPwPLCCo8CxHhfKAuTtKhIb/tDR05ThCCp XDzC+r3mmMLRkV0Z6toceIZb/8qrbBQS5JD0Tp8kAhvI/OBoVYCoYDcjqy/nqQhGRr8QsNEfkePa g914KNSR5wLKmK1+Nl7FKx1jNKYk/aP/DV3gE4el5iI8aI/zS4E0p6PBEDm6E0dJsVfa7z2o9rJG +Yjtv1geknVJ/BsBiVzWPZqqh6HqIXYq0U8H2xF+WlV7j5n+r2qGIm3Hn3gjnf5uzpOqjjFdQSco M+jQ3TcE3eruUU/t1PY2l+ba6UUyQUFyqtUhk3s6OzdkGXwnoaeQo2y1qXWeBD9/2CvmTsoSwBzJ gohBdJGbQbFRo6nXn2BK4ShP1VOb4T/1lVwkJrYThlRGDQMRvgd1wnkL8LWM4ChBc/Rdiikofg1N Rvq5zrKkh6tE4CO7HZyE8vwbZw6A5AFfsMm0SoRol/XXHshtuzdKG3JW7Kb6mz7h5pynHD1/wqJl jQawD8+3uCXnBEQGMS+Rb+XklbdiEH0dHdTPB9A5Gs5L5L8cyVkIyYc7dUDpnxU0aGN+rDCHCFbd FaqX9D0cRYP6ecdSAtWVCdxexseIfkudHlL1p13mVTbqzkBBlMOgGdbHWwdTl8JtCT1vR4HfgptO FNqM2tPtcyqCFFl93BhG0MdSlljRUtVs+bvcygkAChOooUBVhCyl4HImb2JehfLBX2Y6e0UNYuKS t1v3+u9ptvmsIuLa5XWk0GEC9WHiyfeOkMd5ck2urZzH5zTG+d/QcH33LbIIP+V72sa0WwywJckY UdWaQj2j/rQGrEcn79vj0xMnXWSt9XDaV6C/xDcoTVwtygfdxcOQh+IR7sICrRQnQ+70ad7N4odN vCFDSQJE9qSi2Mz7Ctz0w/xP6AY4zpMnkk1GCWLCXD4EjlWo8eLp7DziwB9iY1eIKqfImmKnAzAQ kooNh2OkeA2lwhCLlQX71Ic4L3Ur1TDGg6wb5cYBQaHXooc6H7BuFN5dwiK44k8gVMl/Tva5uZ5Z 3IdooWdSLYuXulqjw0E+KpzTx4mhmfDjO5ycTScoOHalowXbFi44HvlbLtOuA2xvgILhFcEDNkeG /3ZMf+aZCSS3xBYKRko10VdG+yr8rklnAn0DfrcwpywIEUiK3UZFPEk4rflhhXQFgG1Y6koPGn0R p7IO3mL/HGt+Mgm756osnA+MIp4E3rKIVYqKRcjMjZUxnGsNkN6pg5A73lqSa9AldaIabMVh6PoH MxeX2dHPbl/W2IMbI2NvJwXHZcSK6Vv1L6qt6zC6iqrXBwfxUMGoukmHBN7+WqvsR5ntQQngAa6A kOxFJuDDNEr818cW0MiRlARefVFKmZ4VPUGU5ef0qrqtw0AOHmUP90CGR3NJ0jTUO7lbtAFEDpmM XscP4nNPslQgEM34MbRMAJlBDFKKDMLYPdtJ1qSxVY76FWXK7Ah4J2yvJfCmkD/4+t0RtJKl+1p0 iv4x1y13FKOKn+NdE6FO7U5AkmQnBwKh+wqV759qMTL+zKxU6KfxXNhBMMr0F8+nD1/gw885JAOe Py8L6c2c/8UB670I3Inpk1b85BLwmb1LGxg/toFALpG1I6PcoqYn3jg+dgk9lPgkTgL1i4iL9jh1 m5zBHm89EGYAo7Uc/tUKJJQfp3BH+hrvbsjBB3tVzop9vBM+JYaYctIbHXs7gvkdesz2nVkODTLH WJvojUbqkS0FAdG5y+3CNqPlhsDnBEJ1zRfdNGbP/0n1l54cIxYHjdDQcY+kpToxBRSt4YYtG62q R3G/8C2BNwOP84lhJEuDCQ+b8uK93Al0ajdVO/PHY/vq7itfI6g5huBETaUV6HFNhQjlNXXuhzIF R6e4BHvBcd3Rp5fak6ALXgHGNnDF4Kk+8HkIeHPA8Wb7146a6wnRX0vwU3bB5vkEAAhUgWYe+H3t r+QCCYUqRGdWguXJ9CIpKnAfXkGA0/HHn0dAUxsEIGdDyK3UGHK/5So9h58NQNBMcidPozauDAOf t6iesjg+Ktjehhtt6dtVPiT2V8FgYWe3mQZof+qzixhWPGk4JhS6Zuj0RSR9BEr/S0gMg6PaqvAI eQztOn3jKmT6ZUPxbqpGJccNwlGZzMnjrTPfX9HQMGNLibuaVLeOYvNDHvjN/20e/X9sAN7g4YV4 0sFRSMdm1UjzDzRCz/AK67qgq7BjBGNJBAN9vWMjFIXA6HsPd5UV1je/NA+j+U9JuPkv8jImPP+i N3vP531Y+9B/d+LycCI7D6JB0Q9UBMDBJH6aEq4rmEUNyuzpoy8D31tFxRCk5fSaxWplkwCpBAVx 7vvB04LBLzQKPd7nMRzNZvVkP6gSNjfs+Ztru6h/WlT0BdHli1Qg0hQd76crlizO5+AA6wDGUC50 8MuMbz/s4m8DnhRHg0QJssc9o0uQOEa9Pdv6RmTzuWKlcN5sR1wS9yuSluTfG/LUtZUe9T+c6llw wbndHpEa3JC/V3cnZJof2c6CjtJFnF58Co1yGAxh0imOKw1XGzTzOjFnBJYR4L8MTYPUNE13ibm+ j8DGvrBQTQ635uMSVABjU2eegR61z/8Qk9nTw1UFDEQ/VFGA7so6yT0PBu/A9fxJrsTBf65YgA7W MtsGaF9IYgteiCQ5OEqHiBFIhluNUu+b2UtYTfyKabs2oVXv1/eVQfpoC/RG+pG6Su0ua/70Rb+U ugWn9Y2+N9EizbdRIsMMFMjfwukT71fY0qZtU5fueD+wSzRBjJP02QIiMtA86sF6UGm6liLUWLuU LJuRJH/Tdpr8BAL02JZX/sItN2Cy1Bnh19A/+rA4ppYwl2HygvQSivwXsbjQVI4ERTg4AlA3IX1j 6um6lUzeAVUJuA8EN88RZpfjEZU/Z6hvIv19Jy8pyXB68ACcmOPrMn/vcEDewHoCJJpm5e0R/kj7 CVSCk61rJq58vMgDOnrNIrzb6YJEjt94kJ3I2RFFociJnDAwR6KoopWGTF9yN1xv8svnrLlhQOeg IogSiEDleE0Wc6wVK/PqsuA+h4SU/c7azfKrBfFsoxhNj3ZO48hRxOke8M+/hsSQQSnYyPQS09bk 9ew7q8kYO40pnhg2w8OTIrInJqIgX3NcC6PF/jzLU9eO+4RIJPvmmQE2sEbFbGHpguXMvmuhzv+8 I9/xCLGD3JJWf6z9urHVhRr84f4k9ixN2Gmr7GfAeF950fePPAsCJfHiIFku/GmfQXXJjSWgCbm1 JC0yzgITR5pUhi35YPRgXBWqIkTeGsiJXtEu8mpZfFmGm60/kzRJ/JwW0aFHwyfCHK7wWn3fgyWv 9QaTAzus0eqXTkY6iYqA6plr+mNUIMvxrdaGPhCCxRWCVKTiDPryHAy1GBzwH8jUKF2Hs0SVV9FW 2nfayKbQNLk0pRdXsSq8DM/QfgLZ+gDo/uAxbqGUrOHLQf8qa3kjK2vtqfqEUzx3GfF4rCiOP+0a hhSJyJur4HrEjAl4yWMcLfJVGg1ecx/25j6Lf6qcTbqdsdTE/g99fICc9/LD+UjdtYSi0Uxo93ii D+/F6kByXTGZwlhT12UjyCNJu9B6YFKyaakLzQITMgUX12aFwl9T9yk/D901Ts37l546q2I2IhJG jkYCe/72TFVoXwTXFP0VRuL6n4WPkG6cnRUxjA4MuOBS68SUkhaJEYf5RPyNdLpwH06+duZsqYws KDzxp1I+mpkoR/We5bSV77aleQOLyVTSUX6XzPzqMJ6Z1FxBT+AONs37YWt3PGO742PelslztRq9 FNf8r2nKcjQw7/bkBAMDsXAfApFVgHHra/pz+cFEDwtq5sBZ9tctsenEV2dUwhRNt+pJ0Hdvm9sz p4+7Jcu7W1jd1iWPAILSCYjNct1dVZjYPEcz7234Co8XmX5YwqP0m2ESy1UEL7v4x4FD5Ju93vFn mp8ImrvBK4nlPYneQgSFxLModw7HGEj/wjq0TKMyWACyev2GUUCg21js7U3+A4OSXNm9TZ3HybPV XuZOygA9Qs+E0UWuonmXurtrYfR43d9+vpL5B35Ok5qqCaLVn4DLt/GElY5t9kunAMNaNrWz+7zu 5+DM+YXIHRafuIaFN/YShDhsTwFrCQnVoOEfjf2yFTloXkSNIwrdjN7EVLVe4wnviJ4kEB0LlGRU UbROYzLXSkWU7NTtdOszqJ//TBuzTSozn6ffvFUB03+UVPzyBtHdGTU3T/WaGLtIpo23wj4SaW6P O7qyi+h6WF1NK9Vyac19WXtatrPGWW8hteeItLiK4wne0WDph6Ml9rcY1mI9EL+I8p2w7F742pgS w76WHVDclwdu6Ni2JSceSUXQlT6IUCKxB7ShlZo8sFm5pu5HDt7o9oSg1DJAsbyuLk89SS5HYbZn FL+KjqWjA0dxMFlW5pzgEFAzTp2wD81QiyvR+1iKpnj1kQtEs4y3gV48MlhasHVN3lZyOsHCMeUj xJ9EIs6O5gJ4dfj01ZD0jmryjmAY1BDK+XYFDWGkzZkUGDcFZ/FSRvMjw1xs0nzjMGd6Jv6Rj6y0 tST1xBY2sOYtPMibwWrARSMrxcNXkoojufqRBd415OhpyMoAgPQb9+Kww0q8Gk1zfKeGfsROeGBt +JN5NEUAmxYqdDVuiBym71d1cXt/5rGIjrXQ4kCa4j4pl2NTIKjTa65dXxzLqd4A2+7bdIZidtRd SMNBUMwHNmsNRP6k+38phWTRg8eno2ZEcca7H0E68tT0Um3yF3co2VMwxRE3f+TvX0RruQ1uvvzI fXOQJVtNmILTrb7iTO2u8Hk1QV/gfc9rskEt6VtP+1BYxjYPXhTmGKnXEpLWJgHl1kIi0U9wK8/F LnROUgtlG4fkBT8XonB/ryTcNRrdQzmblWcRAE/a8ajB3cMKLj69wb+2dCjdtiAyKRxHnwFwv5Qv RDEBrYN0G27YxZvMt3WarjvW2SFU+UuW/I55j6UVGsV7hgOHV06t8Dv9lYvv4NkSaYqbhkNqR0/5 hdjzkpN5WZqgnLq1Wuc1pedWoF2aN2hq04HebccuDSwBb1G9Mf54kSLI0m527U4fDqH9EbCErp7v KDYLxBvzoP5SKEViwdBg7l2bk+Rt5rpMX4fMwD8MrCjIew== `protect end_protected
------------------------------------------------------------------------------------- -- FILE NAME : spi_checker.vhd -- AUTHOR : Luis -- COMPANY : -- UNITS : Entity - -- Architecture - Behavioral -- LANGUAGE : VHDL -- DATE : AUG 21, 2014 ------------------------------------------------------------------------------------- -- ------------------------------------------------------------------------------------- -- DESCRIPTION -- =========== -- -- -- ------------------------------------------------------------------------------------- ------------------------------------------------------------------------------------- -- LIBRARIES ------------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; -- IEEE --use ieee.numeric_std.all; -- non-IEEE use ieee.std_logic_unsigned.all; use ieee.std_logic_misc.all; use ieee.std_logic_arith.all; Library UNISIM; use UNISIM.vcomponents.all; ------------------------------------------------------------------------------------- -- ENTITY ------------------------------------------------------------------------------------- entity spi_checker is generic ( SIM_ONLY : natural := 0; CLK_FREQ : natural := 250 ); port ( clk : in std_logic; sclk : in std_logic; sdo : out std_logic; sdi : in std_logic; cs_n : in std_logic; reg0 : out std_logic_vector(31 downto 0) ); end spi_checker; ------------------------------------------------------------------------------------- -- ARCHITECTURE ------------------------------------------------------------------------------------- architecture Behavioral of spi_checker is ------------------------------------------------------------------------------------- -- CONSTANTS ------------------------------------------------------------------------------------- constant DEBUG_ENABLE : boolean := FALSE; attribute keep : string; attribute S : string; type cmd_state_machine is (HOLD_CMD, BYTE0_CMD, BYTE1_CMD, BYTE2_CMD, BYTE3_CMD, BYTE4_CMD, BYTE5_CMD, BYTE6_CMD, BYTE7_CMD, BYTE8_CMD, BYTE9_CMD, BYTE10_CMD, BYTE11_CMD, BYTE12_CMD, BYTE13_CMD, BYTE14_CMD, BYTE15_CMD); type bus04 is array(natural range <>) of std_logic_vector( 3 downto 0); constant WR_BIT : std_logic := '0'; -- 0 means write constant RD_BIT : std_logic := '1'; -- 1 means read constant FULL_WIDTH : natural := 24; constant ADDR_WIDTH : natural := 8; ------------------------------------------------------------------------------------- -- SIGNALS ------------------------------------------------------------------------------------- signal clock_count : std_logic_vector(7 downto 0); signal write_cmd : std_logic; signal sdi_reg : std_logic_vector(31 downto 0); signal sdo_reg : std_logic_vector(31 downto 0); signal captured : std_logic_vector(31 downto 0) := (others=>'0'); --*********************************************************************************** begin --*********************************************************************************** process (clk, cs_n) begin if rising_edge(clk) then if cs_n = '1' then clock_count <= (others => '0'); write_cmd <= '0'; sdi_reg <= (others=>'0'); -- sdo_reg <= x"AAAAAAAA"; -- data to shift out -- sdo <= '0'; else -- count clock cycles received clock_count <= clock_count + 1; -- shift in data sdi_reg <= sdi_reg(30 downto 0) & sdi; if clock_count = 0 and sdi = WR_BIT then write_cmd <= '1'; end if; -- shift out data -- if write_cmd = '0' and clock_count > 15 then -- sdo_reg <= '0' & sdo_reg(31 downto 1); -- sdo <= sdo_reg(0); -- else -- sdo <= '0'; -- end if; end if; end if; end process; process (clk) begin if rising_edge(clk) then if clock_count = FULL_WIDTH and write_cmd = '1' then captured <= sdi_reg; end if; end if; end process; reg0 <= captured; process (clk) begin if rising_edge(clk) then if cs_n = '1' then sdo_reg <= x"AAAAAAAA"; sdo <= '0'; else if write_cmd = '0' and clock_count > 12 then sdo_reg <= '0' & sdo_reg(31 downto 1); sdo <= sdo_reg(0); else sdo <= '0'; end if; end if; end if; end process; --process(clk, rst) --begin -- if rising_edge(clk) then -- -- if rst = '1' then -- recv_sm_reg <= HOLD_CMD; -- commad <= (others=>'0'); -- cmd_valid <= '0'; -- -- else -- -- --default -- cmd_valid <= '0'; -- -- case recv_sm_reg is -- when HOLD_CMD => -- if in_accept = '1' then -- recv_sm_reg <= BYTE0_CMD; -- end if; -- -- when BYTE0_CMD => -- data -- if in_val = '1' then -- commad(7 downto 0) <= in_dat(7 downto 0); -- recv_sm_reg <= BYTE1_CMD; -- end if; -- when BYTE1_CMD => -- if in_val = '1' then -- commad(15 downto 8) <= in_dat(7 downto 0); -- recv_sm_reg <= BYTE2_CMD; -- end if; -- when BYTE2_CMD => -- if in_val = '1' then -- commad(23 downto 16) <= in_dat(7 downto 0); -- recv_sm_reg <= BYTE3_CMD; -- end if; -- when BYTE3_CMD => -- if in_val = '1' then -- commad(31 downto 24) <= in_dat(7 downto 0); -- recv_sm_reg <= BYTE4_CMD; -- end if; -- -- when BYTE4_CMD => -- address -- if in_val = '1' then -- commad(39 downto 32) <= in_dat(7 downto 0); -- recv_sm_reg <= BYTE5_CMD; -- end if; -- when BYTE5_CMD => -- if in_val = '1' then -- commad(47 downto 40) <= in_dat(7 downto 0); -- recv_sm_reg <= BYTE6_CMD; -- end if; -- when BYTE6_CMD => -- if in_val = '1' then -- commad(55 downto 48) <= in_dat(7 downto 0); -- recv_sm_reg <= BYTE7_CMD; -- end if; -- when BYTE7_CMD => -- if in_val = '1' then -- commad(63 downto 56) <= in_dat(7 downto 0); -- recv_sm_reg <= BYTE8_CMD; -- end if; -- -- when BYTE8_CMD => -- cmd -- if in_val = '1' then -- commad(71 downto 64) <= in_dat(7 downto 0); -- recv_sm_reg <= BYTE9_CMD; -- end if; -- when BYTE9_CMD => -- if in_val = '1' then -- commad(79 downto 72) <= in_dat(7 downto 0); -- recv_sm_reg <= BYTE10_CMD; -- end if; -- when BYTE10_CMD => -- if in_val = '1' then -- commad(87 downto 80) <= in_dat(7 downto 0); -- recv_sm_reg <= BYTE11_CMD; -- end if; -- when BYTE11_CMD => -- if in_val = '1' then -- commad(95 downto 88) <= in_dat(7 downto 0); -- recv_sm_reg <= BYTE12_CMD; -- end if; -- -- when BYTE12_CMD => -- size -- if in_val = '1' then -- commad(103 downto 96) <= in_dat(7 downto 0); -- recv_sm_reg <= BYTE13_CMD; -- end if; -- when BYTE13_CMD => -- if in_val = '1' then -- commad(111 downto 104) <= in_dat(7 downto 0); -- recv_sm_reg <= BYTE14_CMD; -- end if; -- when BYTE14_CMD => -- if in_val = '1' then -- commad(119 downto 112) <= in_dat(7 downto 0); -- recv_sm_reg <= BYTE15_CMD; -- end if; -- when BYTE15_CMD => -- if in_val = '1' then -- commad(127 downto 120) <= in_dat(7 downto 0); -- recv_sm_reg <= HOLD_CMD; -- cmd_valid <= '1'; -- end if; -- -- when others => -- recv_sm_reg <= HOLD_CMD; -- -- end case; -- -- end if; -- -- end if; --end process; -- ------------------------------------------------------------------------------------- -- Counter process ------------------------------------------------------------------------------------- --process(clk, rst) --begin -- if rising_edge(clk) then -- if rst = '1' then -- -- else -- -- -- end if; -- end if; --end process; ------------------------------------------------------------------------------------- -- Component Instance ------------------------------------------------------------------------------------- --inst0_vp680_nnn_lx130t: --entity work.vp680_nnn_lx130t --generic map ( -- DEBUG => FALSE, -- ADDRESS => "00010111111" --) --port map ( -- gpio_led_8 => , -- sys_clk_p_8 => , -- sys_clk_n_8 => , -- sys_reset_n_8 => , -- pci_exp_rxn_8 => , -- pci_exp_rxp_8 => , -- pci_exp_txn_8 => , -- pci_exp_txp_8 => , -- fp_cp_8 => , -- host_if_i2c_scl_8 => --); ------------------------------------------------------------------------------------- -- Debug ------------------------------------------------------------------------------------- --generate_debug: --if (DEBUG_ENABLE = TRUE) generate --begin -- --end generate; --generate_add_loop: --for I in 0 to 7 generate -- SUM(I) <= A(I) xor B(I) xor C(I); -- C(I+1) <= (A(I) and B(I)) or (A(I) and C(I)) or (B(I) and C(I)); --end generate; --*********************************************************************************** end architecture Behavioral; --***********************************************************************************
-- Pulse emitter: Emits pulses at regular intervals when enabled -- -- Copyright (c) 2012 Brian Nezvadovitz <http://nezzen.net> -- This software is distributed under the terms of the MIT License shown below. -- -- Permission is hereby granted, free of charge, to any person obtaining a copy -- of this software and associated documentation files (the "Software"), to -- deal in the Software without restriction, including without limitation the -- rights to use, copy, modify, merge, publish, distribute, sublicense, and/or -- sell copies of the Software, and to permit persons to whom the Software is -- furnished to do so, subject to the following conditions: -- -- The above copyright notice and this permission notice shall be included in -- all copies or substantial portions of the Software. -- -- THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR -- IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, -- FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE -- AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER -- LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING -- FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS -- IN THE SOFTWARE. library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.math_real.log2; use ieee.math_real.ceil; entity pulse_emitter is generic ( MAX : integer ); port ( clk : in std_logic; rst : in std_logic; en : in std_logic; output : out std_logic ); end pulse_emitter; architecture BHV of pulse_emitter is constant WIDTH : integer := integer(ceil(log2(real(MAX)))); signal count, next_count : unsigned(WIDTH-1 downto 0); signal reached_max : std_logic; begin -- Break out the output signal output <= reached_max; -- Comparator for maximum value process(count) begin if(count = MAX) then reached_max <= '1'; else reached_max <= '0'; end if; end process; -- Adder for the next count value next_count <= count + 1; -- Clocked process to increment the count register process(rst, clk, count, next_count) begin if(rst = '1') then count <= (others => '0'); elsif(rising_edge(clk)) then if(en = '1') then if(reached_max = '1') then count <= (others => '0'); else count <= next_count; end if; else count <= count; end if; end if; end process; end BHV;
------------------------------------------------------------------------------ -- LEON3 Demonstration design -- Copyright (C) 2004 Jiri Gaisler, Gaisler Research ------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- Copyright (C) 2015 - 2016, Cobham Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.amba.all; use grlib.stdlib.all; library techmap; use techmap.gencomp.all; library gaisler; use gaisler.memctrl.all; use gaisler.ddrpkg.all; use gaisler.leon3.all; use gaisler.uart.all; use gaisler.misc.all; use gaisler.jtag.all; library esa; use esa.memoryctrl.all; use work.config.all; entity leon3mp is generic ( fabtech : integer := CFG_FABTECH; memtech : integer := CFG_MEMTECH; padtech : integer := CFG_PADTECH; clktech : integer := CFG_CLKTECH; ncpu : integer := CFG_NCPU; disas : integer := CFG_DISAS; -- Enable disassembly to console dbguart : integer := CFG_DUART; -- Print UART on console pclow : integer := CFG_PCLOW; freq : integer := 50000 -- frequency of main clock (used for PLLs) ); port ( resetn : in std_ulogic; clk : in std_ulogic; errorn : out std_ulogic; -- flash/ssram bus address : out std_logic_vector(25 downto 1); data : inout std_logic_vector(31 downto 0); romsn : out std_ulogic; oen : out std_logic; writen : out std_logic; rstoutn : out std_ulogic; ssram_cen : out std_logic; ssram_wen : out std_logic; ssram_bw : out std_logic_vector (0 to 3); ssram_oen : out std_ulogic; ssram_clk : out std_ulogic; ssram_adscn : out std_ulogic; -- ssram_adsp_n : out std_ulogic; -- ssram_adv_n : out std_ulogic; -- pragma translate_off iosn : out std_ulogic; -- pragma translate_on ddr_clk : out std_logic; ddr_clkn : out std_logic; ddr_cke : out std_logic; ddr_csb : out std_logic; ddr_web : out std_ulogic; -- ddr write enable ddr_rasb : out std_ulogic; -- ddr ras ddr_casb : out std_ulogic; -- ddr cas ddr_dm : out std_logic_vector (1 downto 0); -- ddr dm ddr_dqs : inout std_logic_vector (1 downto 0); -- ddr dqs ddr_ad : out std_logic_vector (12 downto 0); -- ddr address ddr_ba : out std_logic_vector (1 downto 0); -- ddr bank address ddr_dq : inout std_logic_vector (15 downto 0); -- ddr data -- debug support unit dsubren : in std_ulogic; dsuact : out std_ulogic; -- console/debug UART rxd1 : in std_logic; txd1 : out std_logic; gpio : in std_logic_vector(CFG_GRGPIO_WIDTH-1 downto 0) -- I/O port ); end; architecture rtl of leon3mp is constant blength : integer := 12; constant fifodepth : integer := 8; constant maxahbm : integer := NCPU+CFG_AHB_UART+CFG_AHB_JTAG; signal vcc, gnd : std_logic_vector(7 downto 0); signal memi, smemi : memory_in_type; signal memo, smemo : memory_out_type; signal wpo : wprot_out_type; signal ddrclkfb, ssrclkfb, ddr_clkl, ddr_clk90l, ddr_clknl, ddr_clk270l : std_ulogic; signal ddr_clkv : std_logic_vector(2 downto 0); signal ddr_clkbv : std_logic_vector(2 downto 0); signal ddr_ckev : std_logic_vector(1 downto 0); signal ddr_csbv : std_logic_vector(1 downto 0); signal ddr_adl : std_logic_vector (13 downto 0); signal clklock, lock, clkml, rst, ndsuact : std_ulogic; signal tck, tckn, tms, tdi, tdo : std_ulogic; signal ddrclk, ddrrst : std_ulogic; -- attribute syn_keep : boolean; -- attribute syn_preserve : boolean; -- attribute syn_keep of clkml : signal is true; -- attribute syn_preserve of clkml : signal is true; signal apbi : apb_slv_in_type; signal apbo : apb_slv_out_vector := (others => apb_none); signal ahbsi : ahb_slv_in_type; signal ahbso : ahb_slv_out_vector := (others => ahbs_none); signal ahbmi : ahb_mst_in_type; signal ahbmo : ahb_mst_out_vector := (others => ahbm_none); signal clkm, rstn, ssram_clkl : std_ulogic; signal cgi : clkgen_in_type; signal cgo : clkgen_out_type; signal u1i, dui : uart_in_type; signal u1o, duo : uart_out_type; signal irqi : irq_in_vector(0 to NCPU-1); signal irqo : irq_out_vector(0 to NCPU-1); signal dbgi : l3_debug_in_vector(0 to NCPU-1); signal dbgo : l3_debug_out_vector(0 to NCPU-1); signal dsui : dsu_in_type; signal dsuo : dsu_out_type; signal gpti : gptimer_in_type; signal gpioi : gpio_in_type; signal gpioo : gpio_out_type; constant IOAEN : integer := 1; constant BOARD_FREQ : integer := 50000; -- input frequency in KHz constant CPU_FREQ : integer := BOARD_FREQ * CFG_CLKMUL / CFG_CLKDIV; -- cpu frequency in KHz signal lclk, lclkout : std_ulogic; signal dsubre : std_ulogic; begin ---------------------------------------------------------------------- --- Reset and Clock generation ------------------------------------- ---------------------------------------------------------------------- vcc <= (others => '1'); gnd <= (others => '0'); cgi.pllctrl <= "00"; cgi.pllrst <= not resetn; cgi.pllref <= '0'; clklock <= cgo.clklock and lock; clk_pad : clkpad generic map (tech => padtech) port map (clk, lclk); clkgen0 : clkgen -- clock generator using toplevel generic 'freq' generic map (tech => CFG_CLKTECH, clk_mul => CFG_CLKMUL, clk_div => CFG_CLKDIV, sdramen => 1, freq => freq) port map (clkin => lclk, pciclkin => gnd(0), clk => clkm, clkn => open, clk2x => open, sdclk => ssram_clkl, pciclk => open, cgi => cgi, cgo => cgo); ssrclk_pad : outpad generic map (tech => padtech, slew => 1, strength => 24) port map (ssram_clk, ssram_clkl); rst0 : rstgen -- reset generator port map (resetn, clkm, clklock, rstn); rstoutn <= resetn; ---------------------------------------------------------------------- --- AHB CONTROLLER -------------------------------------------------- ---------------------------------------------------------------------- ahb0 : ahbctrl -- AHB arbiter/multiplexer generic map (defmast => CFG_DEFMST, split => CFG_SPLIT, rrobin => CFG_RROBIN, ioaddr => CFG_AHBIO, ioen => IOAEN, nahbm => maxahbm, nahbs => 8) port map (rstn, clkm, ahbmi, ahbmo, ahbsi, ahbso); ---------------------------------------------------------------------- --- LEON3 processor and DSU ----------------------------------------- ---------------------------------------------------------------------- l3 : if CFG_LEON3 = 1 generate cpu : for i in 0 to NCPU-1 generate u0 : leon3s -- LEON3 processor generic map (i, fabtech, memtech, CFG_NWIN, CFG_DSU, CFG_FPU, CFG_V8, 0, CFG_MAC, pclow, CFG_NOTAG, CFG_NWP, CFG_ICEN, CFG_IREPL, CFG_ISETS, CFG_ILINE, CFG_ISETSZ, CFG_ILOCK, CFG_DCEN, CFG_DREPL, CFG_DSETS, CFG_DLINE, CFG_DSETSZ, CFG_DLOCK, CFG_DSNOOP, CFG_ILRAMEN, CFG_ILRAMSZ, CFG_ILRAMADDR, CFG_DLRAMEN, CFG_DLRAMSZ, CFG_DLRAMADDR, CFG_MMUEN, CFG_ITLBNUM, CFG_DTLBNUM, CFG_TLB_TYPE, CFG_TLB_REP, CFG_LDDEL, disas, CFG_ITBSZ, CFG_PWD, CFG_SVT, CFG_RSTADDR, NCPU-1, CFG_DFIXED, CFG_SCAN, CFG_MMU_PAGE, CFG_BP, CFG_NP_ASI, CFG_WRPSR) port map (clkm, rstn, ahbmi, ahbmo(i), ahbsi, ahbso, irqi(i), irqo(i), dbgi(i), dbgo(i)); end generate; errorn_pad : outpad generic map (tech => padtech) port map (errorn, dbgo(0).error); dsugen : if CFG_DSU = 1 generate dsu0 : dsu3 -- LEON3 Debug Support Unit generic map (hindex => 2, haddr => 16#900#, hmask => 16#F00#, ncpu => NCPU, tbits => 30, tech => memtech, irq => 0, kbytes => CFG_ATBSZ) port map (rstn, clkm, ahbmi, ahbsi, ahbso(2), dbgo, dbgi, dsui, dsuo); dsui.enable <= '1'; dsubre_pad : inpad generic map (tech => padtech) port map (dsubre, dsui.break); dsuact_pad : outpad generic map (tech => padtech) port map (dsuact, dsuo.active); end generate; end generate; nodsu : if CFG_DSU = 0 generate ahbso(2) <= ahbs_none; dsuo.tstop <= '0'; dsuo.active <= '0'; end generate; dcomgen : if CFG_AHB_UART = 1 generate dcom0 : ahbuart -- Debug UART generic map (hindex => NCPU, pindex => 4, paddr => 7) port map (rstn, clkm, dui, duo, apbi, apbo(4), ahbmi, ahbmo(NCPU)); dsurx_pad : inpad generic map (tech => padtech) port map (rxd1, dui.rxd); dsutx_pad : outpad generic map (tech => padtech) port map (txd1, duo.txd); end generate; nouah : if CFG_AHB_UART = 0 generate apbo(4) <= apb_none; end generate; ahbjtaggen0 :if CFG_AHB_JTAG = 1 generate ahbjtag0 : ahbjtag generic map(tech => fabtech, hindex => NCPU+CFG_AHB_UART) port map(rstn, clkm, tck, tms, tdi, tdo, ahbmi, ahbmo(NCPU+CFG_AHB_UART), open, open, open, open, open, open, open, gnd(0)); end generate; ---------------------------------------------------------------------- --- Memory controllers ---------------------------------------------- ---------------------------------------------------------------------- mg2 : if CFG_MCTRL_LEON2 = 1 generate -- LEON2 memory controller sr1 :mctrl generic map (hindex => 0, pindex => 0, paddr => 0, ramaddr => 16#400#+16#600#*CFG_DDRSP, rammask =>16#F00#, srbanks => 1, sden => 0, ram16 => 1) port map (rstn, clkm, memi, memo, ahbsi, ahbso(0), apbi, apbo(0), wpo); end generate; memi.brdyn <= '1'; memi.bexcn <= '1'; memi.writen <= '1'; memi.wrn <= "1111"; memi.bwidth <= "01"; ssr0 : if CFG_SSCTRL = 1 generate ssrctrl0 : ssrctrl generic map (hindex => 0, pindex => 0, iomask => 0, ramaddr => 16#400#+16#600#*CFG_DDRSP, bus16 => CFG_SSCTRLP16) port map (rstn, clkm, ahbsi, ahbso(0), apbi, apbo(0), memi, memo); end generate; mg0 : if (CFG_MCTRL_LEON2 + CFG_SSCTRL) = 0 generate -- no prom/sram pads apbo(0) <= apb_none; ahbso(0) <= ahbs_none; roms_pad : outpad generic map (tech => padtech) port map (romsn, vcc(0)); end generate; mgpads : if (CFG_MCTRL_LEON2 + CFG_SSCTRL) /= 0 generate -- prom/sram pads addr_pad : outpadv generic map (width => 25, tech => padtech) port map (address, memo.address(25 downto 1)); roms_pad : outpad generic map (tech => padtech) port map (romsn, memo.romsn(0)); oen_pad : outpad generic map (tech => padtech) port map (oen, memo.oen); wri_pad : outpad generic map (tech => padtech) port map (writen, memo.writen); -- pragma translate_off iosn_pad : outpad generic map (tech => padtech) port map (iosn, memo.iosn); -- pragma translate_on -- ssram_adv_n_pad : outpad generic map (tech => padtech) -- port map (ssram_adv_n, vcc(0)); -- ssram_adsp_n_pad : outpad generic map (tech => padtech) -- port map (ssram_adsp_n, gnd(0)); ssram_adscn_pad : outpad generic map (tech => padtech) port map (ssram_adscn, gnd(0)); ssrams_pad : outpad generic map ( tech => padtech) port map (ssram_cen, memo.ramsn(0)); ssram_oen_pad : outpad generic map (tech => padtech) port map (ssram_oen, memo.oen); ssram_rwen_pad : outpadv generic map (width => 4, tech => padtech) port map (ssram_bw, memo.wrn); ssram_wri_pad : outpad generic map (tech => padtech) port map (ssram_wen, memo.writen); data_pad : iopadvv generic map (tech => padtech, width => 32) port map (data(31 downto 0), memo.data(31 downto 0), memo.vbdrive, memi.data(31 downto 0)); end generate; ddrsp0 : if (CFG_DDRSP /= 0) generate ddrc0 : ddrspa generic map ( fabtech => fabtech, memtech => memtech, hindex => 3, haddr => 16#400#, hmask => 16#F00#, ioaddr => 1, pwron => CFG_DDRSP_INIT, MHz => BOARD_FREQ/1000, rskew => CFG_DDRSP_RSKEW, clkmul => CFG_DDRSP_FREQ/5, clkdiv => 10, ahbfreq => CPU_FREQ/1000, col => CFG_DDRSP_COL, Mbyte => CFG_DDRSP_SIZE, ddrbits => 16, regoutput => 1) port map ( resetn, rstn, lclk, clkm, lock, clkml, clkml, ahbsi, ahbso(3), ddr_clkv, ddr_clkbv, open, gnd(0), ddr_ckev, ddr_csbv, ddr_web, ddr_rasb, ddr_casb, ddr_dm, ddr_dqs, ddr_adl, ddr_ba, ddr_dq); ddr_ad <= ddr_adl(12 downto 0); ddr_clk <= ddr_clkv(0); ddr_clkn <= ddr_clkbv(0); ddr_cke <= ddr_ckev(0); ddr_csb <= ddr_csbv(0); end generate; ddrsp1 : if (CFG_DDRSP = 0) generate ddr_cke <= '0'; ddr_csb <= '1'; lock <= '1'; end generate; ---------------------------------------------------------------------- --- APB Bridge and various periherals ------------------------------- ---------------------------------------------------------------------- apb0 : apbctrl -- AHB/APB bridge generic map (hindex => 1, haddr => CFG_APBADDR) port map (rstn, clkm, ahbsi, ahbso(1), apbi, apbo); ua1 : if CFG_UART1_ENABLE /= 0 generate uart1 : apbuart -- UART 1 generic map (pindex => 1, paddr => 1, pirq => 2, console => dbguart, fifosize => CFG_UART1_FIFO) port map (rstn, clkm, apbi, apbo(1), u1i, u1o); u1i.ctsn <= '0'; u1i.extclk <= '0'; upads : if CFG_AHB_UART = 0 generate u1i.rxd <= rxd1; txd1 <= u1o.txd; end generate; end generate; noua0 : if CFG_UART1_ENABLE = 0 generate apbo(1) <= apb_none; end generate; irqctrl : if CFG_IRQ3_ENABLE /= 0 generate irqctrl0 : irqmp -- interrupt controller generic map (pindex => 2, paddr => 2, ncpu => NCPU) port map (rstn, clkm, apbi, apbo(2), irqo, irqi); end generate; irq3 : if CFG_IRQ3_ENABLE = 0 generate x : for i in 0 to NCPU-1 generate irqi(i).irl <= "0000"; end generate; apbo(2) <= apb_none; end generate; gpt : if CFG_GPT_ENABLE /= 0 generate timer0 : gptimer -- timer unit generic map (pindex => 3, paddr => 3, pirq => CFG_GPT_IRQ, sepirq => CFG_GPT_SEPIRQ, sbits => CFG_GPT_SW, ntimers => CFG_GPT_NTIM, nbits => CFG_GPT_TW) port map (rstn, clkm, apbi, apbo(3), gpti, open); gpti <= gpti_dhalt_drive(dsuo.tstop); end generate; notim : if CFG_GPT_ENABLE = 0 generate apbo(3) <= apb_none; end generate; gpio0 : if CFG_GRGPIO_ENABLE /= 0 generate -- GPIO unit grgpio0: grgpio generic map(pindex => 5, paddr => 5, imask => CFG_GRGPIO_IMASK, nbits => CFG_GRGPIO_WIDTH) port map(rst => rstn, clk => clkm, apbi => apbi, apbo => apbo(5), gpioi => gpioi, gpioo => gpioo); pio_pads : for i in 0 to CFG_GRGPIO_WIDTH-1 generate gpioi.din(i) <= gpio(i); end generate; end generate; ----------------------------------------------------------------------- --- AHB ROM ---------------------------------------------------------- ----------------------------------------------------------------------- bpromgen : if CFG_AHBROMEN /= 0 generate brom : entity work.ahbrom generic map (hindex => 6, haddr => CFG_AHBRODDR, pipe => CFG_AHBROPIP) port map ( rstn, clkm, ahbsi, ahbso(6)); end generate; nobpromgen : if CFG_AHBROMEN = 0 generate ahbso(6) <= ahbs_none; end generate; ----------------------------------------------------------------------- --- AHB RAM ---------------------------------------------------------- ----------------------------------------------------------------------- ahbramgen : if CFG_AHBRAMEN = 1 generate ahbram0 : ahbram generic map (hindex => 7, haddr => CFG_AHBRADDR, tech => CFG_MEMTECH, kbytes => CFG_AHBRSZ, pipe => CFG_AHBRPIPE) port map (rstn, clkm, ahbsi, ahbso(7)); end generate; nram : if CFG_AHBRAMEN = 0 generate ahbso(7) <= ahbs_none; end generate; ----------------------------------------------------------------------- --- Drive unused bus elements --------------------------------------- ----------------------------------------------------------------------- nam1 : for i in (NCPU+CFG_AHB_UART+CFG_AHB_JTAG) to NAHBMST-1 generate ahbmo(i) <= ahbm_none; end generate; -- nap0 : for i in 6 to NAPBSLV-1 generate apbo(i) <= apb_none; end generate; -- nah0 : for i in 7 to NAHBSLV-1 generate ahbso(i) <= ahbs_none; end generate; -- invert signal for input via a key dsubre <= not dsubren; ----------------------------------------------------------------------- --- Boot message ---------------------------------------------------- ----------------------------------------------------------------------- -- pragma translate_off x : report_design generic map ( msg1 => "LEON3 Altera EP3C25 SSRAM/DDR Demonstration design", fabtech => tech_table(fabtech), memtech => tech_table(memtech), mdel => 1 ); -- pragma translate_on end;
use std.textio.all; library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.vital_primitives.all; use ieee.vital_timing.all; entity numeric_tb is generic ( tperiod_CLK_posedge : VitalDelayType := 0.000 ns); port ( CLK : in std_ulogic); attribute VITAL_LEVEL0 of numeric_tb : entity is true; end numeric_tb; architecture test of numeric_tb is begin process variable l : line; begin write(l, string'("tperiod_CLK_posedge = ")); write(l, tperiod_CLK_posedge); writeline(output, l); wait; end process; end;
use std.textio.all; library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.vital_primitives.all; use ieee.vital_timing.all; entity numeric_tb is generic ( tperiod_CLK_posedge : VitalDelayType := 0.000 ns); port ( CLK : in std_ulogic); attribute VITAL_LEVEL0 of numeric_tb : entity is true; end numeric_tb; architecture test of numeric_tb is begin process variable l : line; begin write(l, string'("tperiod_CLK_posedge = ")); write(l, tperiod_CLK_posedge); writeline(output, l); wait; end process; end;
use std.textio.all; library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.vital_primitives.all; use ieee.vital_timing.all; entity numeric_tb is generic ( tperiod_CLK_posedge : VitalDelayType := 0.000 ns); port ( CLK : in std_ulogic); attribute VITAL_LEVEL0 of numeric_tb : entity is true; end numeric_tb; architecture test of numeric_tb is begin process variable l : line; begin write(l, string'("tperiod_CLK_posedge = ")); write(l, tperiod_CLK_posedge); writeline(output, l); wait; end process; end;
-- ------------------------------------------------------------- -- -- Entity Declaration for inst_ac_e -- -- Generated -- by: wig -- on: Wed Aug 18 12:41:45 2004 -- cmd: H:/work/mix_new/MIX/mix_0.pl -strip -nodelta ../constant.xls -- -- !!! Do not edit this file! Autogenerated by MIX !!! -- $Author: wig $ -- $Id: inst_ac_e-e.vhd,v 1.3 2004/08/18 10:47:02 wig Exp $ -- $Date: 2004/08/18 10:47:02 $ -- $Log: inst_ac_e-e.vhd,v $ -- Revision 1.3 2004/08/18 10:47:02 wig -- reworked some testcases -- -- -- Based on Mix Entity Template built into RCSfile: MixWriter.pm,v -- Id: MixWriter.pm,v 1.45 2004/08/09 15:48:14 wig Exp -- -- Generator: mix_0.pl Version: Revision: 1.32 , [email protected] -- (C) 2003 Micronas GmbH -- -- -------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; -- No project specific VHDL libraries/enty -- -- -- Start of Generated Entity inst_ac_e -- entity inst_ac_e is -- Generics: -- No Generated Generics for Entity inst_ac_e -- Generated Port Declaration: port( -- Generated Port for Entity inst_ac_e bus20040728_oc : out std_ulogic -- __I_AUTO_REDUCED_BUS2SIGNAL -- End of Generated Port for Entity inst_ac_e ); end inst_ac_e; -- -- End of Generated Entity inst_ac_e -- -- --!End of Entity/ies -- --------------------------------------------------------------
entity test is subtype t is foo(bar)(0 to 2); end;
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA library ieee; use ieee.std_logic_1164.all; library ieee_proposed; use ieee_proposed.electrical_systems.all; entity inverting_integrator is port ( terminal input, output : electrical; signal rst : in std_ulogic ); end entity inverting_integrator; ---------------------------------------------------------------- architecture structural of inverting_integrator is terminal internal : electrical; begin r1 : entity work.resistor(ideal) port map ( node1 => input, node2 => internal); c1 : entity work.capacitor(leakage) port map ( node1 => internal, node2 => output ); amp : entity work.opamp(slew_limited) port map ( plus_in => electrical_ref, minus_in => internal, output => output); switch : entity work.analog_switch(ideal) port map ( n1 => internal, n2 => output, control => rst ); end architecture structural;
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA library ieee; use ieee.std_logic_1164.all; library ieee_proposed; use ieee_proposed.electrical_systems.all; entity inverting_integrator is port ( terminal input, output : electrical; signal rst : in std_ulogic ); end entity inverting_integrator; ---------------------------------------------------------------- architecture structural of inverting_integrator is terminal internal : electrical; begin r1 : entity work.resistor(ideal) port map ( node1 => input, node2 => internal); c1 : entity work.capacitor(leakage) port map ( node1 => internal, node2 => output ); amp : entity work.opamp(slew_limited) port map ( plus_in => electrical_ref, minus_in => internal, output => output); switch : entity work.analog_switch(ideal) port map ( n1 => internal, n2 => output, control => rst ); end architecture structural;
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA library ieee; use ieee.std_logic_1164.all; library ieee_proposed; use ieee_proposed.electrical_systems.all; entity inverting_integrator is port ( terminal input, output : electrical; signal rst : in std_ulogic ); end entity inverting_integrator; ---------------------------------------------------------------- architecture structural of inverting_integrator is terminal internal : electrical; begin r1 : entity work.resistor(ideal) port map ( node1 => input, node2 => internal); c1 : entity work.capacitor(leakage) port map ( node1 => internal, node2 => output ); amp : entity work.opamp(slew_limited) port map ( plus_in => electrical_ref, minus_in => internal, output => output); switch : entity work.analog_switch(ideal) port map ( n1 => internal, n2 => output, control => rst ); end architecture structural;
library ieee; library std; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use WORK.alu_types.all; use std.textio.all; -- Entity entity TREE is generic( N: integer := NSUMG; LOGN: integer := LOG(NSUMG) -- The LOG function is in the P4ADDER_constants file ); port( A: in std_logic_vector(N-1 downto 0); -- N bit input B: in std_logic_vector(N-1 downto 0); -- N bit input Cin: in std_logic; C: out std_logic_vector(N/4-1 downto 0) -- Generate a carry every fourth bit ); end TREE; -- Architectures architecture STRUCTURAL of TREE is -- Every internal signal is stored into a SignalVector. To try to increase the density of the array, -- the first part of the matrix is contiguous in the rows: The first N elements are the members of the -- first row, the following N/2 elements are of the second row, the following N/4 are of the third row, -- and so on. In order to increase the understandability and lower the complexity of the code, however, -- the signals related to the G/PG part of the tree are stored in a fixed-length fashion, where any -- hole ( short-circuit ) in the matrix is saved as a direct connection. -- -- The GETINDEX function handles the array, returning, given the element location ( row, column ), the -- corresponding index in the array. function GETINDEX(row : integer; col : integer) return integer is variable result : integer; begin if row <= 2 then -- report string'("case <= 3"); result := 2*N - 2 ** (LOGN + 1 - row ) + col; -- This returns the number of the column else -- in which takes the input for the next row(PG or G group). -- report string'("case > 3"); result := 7*N/4 + (row-3) * N/4 + col; end if; -- report integer'image(row) & string'(" - ") & integer'image(col) & string'(" => ") & integer'image(result); return result; end GETINDEX; component INIT_PG port( A: in std_logic; B: in std_logic; PG: out std_logic_vector(1 downto 0) -- PG(0) = propagate; PG(1) = generate; ); end component; component TREE_G -- Gi:j = Gi:k + Pi:k * Gk-1:j; port( PG: in std_logic_vector(1 downto 0);-- PG(1) = Gi:k ; PG(0) = Pi:k; GI: in std_logic; --GI = Gk-1:j; GO: out std_logic ); end component; component TREE_PG -- Gi:j = Gi:k + Pi:k * Gk-1:j; Pi:j=Pi:k *Pk-1:j port( PG0: in std_logic_vector(1 downto 0); -- PG0(0) = Pi:k // PG0(1) = Gi:k PG1: in std_logic_vector(1 downto 0); -- PG1(0) = Pk-1:j // PG1(1) = Gk-1:j PGO: out std_logic_vector(1 downto 0) -- PGO(0) = Gi:j // PGO(1) = Pi:j ); end component; -- IC is an array of PG signals, which in turn are a couple of signals ( one for the -- propagate bit ( index 0 ), and one for the generate one ( index 1 ). type SignalVector is array (GETINDEX(LOGN, N/4) downto 0) of std_logic_vector(1 downto 0); signal IC: SignalVector; signal propagate_cin: std_logic_vector(1 downto 0); begin -- INIT_PG -- The first row generates the p_i and g_i bits for all the a_i and -- b_i bits. Their outputs are connected to the first N signals in IC. GEN_INIT_PG: for col in 1 to N-1 generate INIT_PGX: INIT_PG port map(A => A(col), B => B(col), PG => IC(col)); end generate; INIT_Cin: INIT_PG port map(A(0),B(0),propagate_cin); CinPropagate: TREE_G port map(propagate_cin, Cin , IC(0)(1)); -- Main Tree -- Being this a radix-2 sparse tree, this stage aggregates every four PG into a single signal. -- It thus reduces the number of columns from N to N/4. ROW_GEN: for row in 1 to 2 generate COL_GEN: for col in 0 to N-1 generate -- Current element -> G(row, col) -- The first element is a TREE_G component. -- i.e row = 1 and col = 0 takes as input propagate, generate of a(0) b(0) -- generate of a(1),b(1) - output G1:0 COLUMN_0 : if col = 0 generate TREE_GX: TREE_G port map(IC(GETINDEX(row-1, 1)), IC(GETINDEX(row-1, 0))(1), IC(GETINDEX(row, col))(1)); end generate COLUMN_0; -- Elsewise it's a TREE_PG component. -- i.e row = 1 and col = 1 takes as input propagate,generate of a(3),b(3) generate -- of a(2),b(2) - output P3:2 G3:2. -- i.e row = 2 and col = 1 takes as input G1:0,P3:2 and G3:2 - output G3:0=carry4 -- as shown in the text figure(instead of starting from 1 for a and b we start from 0). COLUMN_N : if col > 0 and col < (N/(2**row)) generate TREE_PGX: TREE_PG port map(IC(GETINDEX(row-1, (2*col+1))), IC(GETINDEX(row-1, 2*col)), IC(GETINDEX(row, col))); end generate COLUMN_N; end generate; end generate; -- G/PG Network -- This represents the final stage of the tree, where the number of consecutive blocks increases, -- specifically in an exponential way ( 2^row ). This algorithm takes care of the proper generation -- of the blocks and the relative connections between its parts. -- -- The term ((col - ( col mod (2**row) ))/(2**row)) evaluates the group number for a column in a row, -- being a group the consecutive instantiation of components of the same type. This is useful to know -- because it allows us to know the type of the component to generate by only knowing its position ( -- row and column ) in the matrix/tree. Specifically: even groups are made of wires ( connection between -- vertically ( same column ) adjacent cells ), while odd groups are a TREE_G ( if the group number is -- 1 ) or a TREE_PG. RED_ROW: for row in 0 to (LOGN-3) generate RED_COL: for col in 0 to N/4-1 generate -- Group number is even ( X mod 2 = 0 ) -> Connection RED_BUF: if ((col - ( col mod (2**row) ))/(2**row)) mod 2 = 0 generate IC(GETINDEX(row+3, col)) <= IC(GETINDEX(row+3-1, col)); end generate RED_BUF; -- Group number is 1 ( X = 1 ) -> Generate RED_G: if((col - ( col mod (2**row) ))/(2**row)) = 1 generate RED_G_GX: TREE_G port map( IC(GETINDEX(row+3-1, col)), IC(GETINDEX(row+3-1, (2**row)-1))(1), IC(GETINDEX(row+3, col))(1) ); end generate RED_G; -- Group number is odd and different from 1 ( X mod 2 != 0 and X != 1) -> Propagate / Generate RED_PG: if ((col - ( col mod (2**row) ))/(2**row)) mod 2 /= 0 and ((col - ( col mod (2**row) ))/(2**row)) /= 1 generate RED_PG_GX: TREE_PG port map( IC(GETINDEX(row+3-1, col)), IC(GETINDEX(row+3-1, (col - col mod (2**row))-1)), IC(GETINDEX(row+3, col)) ); end generate RED_PG; end generate RED_COL; end generate RED_ROW; -- COUT -- The last row of the matrix/tree is made of TREE_G blocks, or connections leading to the corresponding -- TREE_G block. We can just attach its G bit ( index 1 ) to the output vector. COUT_GEN: for col in 0 to N/4-1 generate C(col) <= IC(GETINDEX(LOGN, col))(1); end generate COUT_GEN; end STRUCTURAL;
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; -- Rtype for register to register operations -- Itype for immediate value to register operations and loading -- Stype for storing -- Utype for unconditional branch (jump) -- SBtype for branches package config is -- System word size subtype doubleword is std_logic_vector(63 downto 0); subtype word is std_logic_vector(31 downto 0); constant zero_word: std_logic_vector(31 downto 0) := "00000000000000000000000000000000"; constant ones_word: std_logic_vector(31 downto 0) := "11111111111111111111111111111111"; constant byte_mask_1: std_logic_vector(63 downto 0) := "0000000000000000000000000000000000000000000000000000000011111111"; constant byte_mask_2: std_logic_vector(63 downto 0) := "0000000000000000000000000000000000000000000000001111111111111111"; constant byte_mask_4: std_logic_vector(63 downto 0) := "0000000000000000000000000000000011111111111111111111111111111111"; -- Masks for CSR access -- NOTES: Unacceptable with our Vivado version: -- constant MASK_WIRI_MIP: std_logic_vector(63 downto 0) := x"bbb"; -- Can't elaborate, but looks fine in IDE -- constant MASK_WIRI_MIP: std_logic_vector(63 downto 0) := std_logic_vector(to_unsigned(x"bbb")); -- Thinks this is a string literal -- constant MASK_WIRI_MIP: std_logic_vector(63 downto 0) := std_logic_vector(to_unsigned(16#bbb#)); -- Needs bit size for result constant MASK_WIRI_MIP: std_logic_vector(63 downto 0) := std_logic_vector(to_unsigned(16#bbb#, 64)); constant MASK_WIRI_MIE: std_logic_vector(63 downto 0) := std_logic_vector(to_unsigned(16#bbb#, 64)); constant MASK_WIRI_SIP: std_logic_vector(63 downto 0) := std_logic_vector(to_unsigned(16#db#, 64)); constant MASK_WIRI_SIE: std_logic_vector(63 downto 0) := std_logic_vector(to_unsigned(16#0#, 64)); constant MASK_A: std_logic_vector(63 downto 0) := std_logic_vector(to_unsigned(16#0#, 64)); constant MASK_AB: std_logic_vector(63 downto 0) := std_logic_vector(to_unsigned(16#0#, 64)); constant MASK_AC: std_logic_vector(63 downto 0) := std_logic_vector(to_unsigned(16#0#, 64)); constant MASK_AD: std_logic_vector(63 downto 0) := std_logic_vector(to_unsigned(16#0#, 64)); constant MASK_AE: std_logic_vector(63 downto 0) := std_logic_vector(to_unsigned(16#0#, 64)); constant MASK_AF: std_logic_vector(63 downto 0) := std_logic_vector(to_unsigned(16#0#, 64)); constant MASK_AG: std_logic_vector(63 downto 0) := std_logic_vector(to_unsigned(16#0#, 64)); -- Special CSR return values for r/w filter functions constant CSR_TRAP_VALUE : doubleword := (others => '0'); constant CSR_IGNORE_VALUE : doubleword := (others => '1'); -- Familiar names for CSR registers constant CSR_ERROR :integer := -1; -- Not implemented, trap constant CSR_ZERO :integer := 0; -- Not implemented, read 0, ignore write constant CSR_FFLAGS :integer := 1; constant CSR_FRM :integer := 2; constant CSR_FCSR :integer := 3; constant CSR_CYCLE :integer := 4; constant CSR_TIME :integer := 5; constant CSR_INSTRET :integer := 6; constant CSR_SIE :integer := 7; constant CSR_STVEC :integer := 8; constant CSR_SCOUNTEREN :integer := 9; constant CSR_SSCRATCH :integer := 10; constant CSR_SEPC :integer := 11; constant CSR_SCAUSE :integer := 12; constant CSR_STVAL :integer := 13; constant CSR_SIP :integer := 14; constant CSR_SSTATUS :integer := 15; constant CSR_SATP :integer := 16; constant CSR_MSTATUS :integer := 17; constant CSR_MISA :integer := 18; constant CSR_MEDELEG :integer := 19; constant CSR_MIDELEG :integer := 20; constant CSR_MIE :integer := 21; constant CSR_MTVEC :integer := 22; constant CSR_MCOUNTEREN :integer := 23; constant CSR_MSCRATCH :integer := 24; constant CSR_MEPC :integer := 25; constant CSR_MCAUSE :integer := 26; constant CSR_MTVAL :integer := 27; constant CSR_MIP :integer := 28; constant CSR_MCYCLE :integer := 29; constant CSR_MINSTRET :integer := 30; -- CSR 12-bit addresses per specification constant CSR_ADDR_USTATUS : std_logic_vector(11 downto 0) := x"000"; constant CSR_ADDR_UIE : std_logic_vector(11 downto 0) := x"004"; constant CSR_ADDR_UTVEC : std_logic_vector(11 downto 0) := x"005"; constant CSR_ADDR_USCRATCH : std_logic_vector(11 downto 0) := x"040"; constant CSR_ADDR_UEPC : std_logic_vector(11 downto 0) := x"041"; constant CSR_ADDR_UCAUSE : std_logic_vector(11 downto 0) := x"042"; constant CSR_ADDR_UTVAL : std_logic_vector(11 downto 0) := x"043"; constant CSR_ADDR_UIP : std_logic_vector(11 downto 0) := x"044"; constant CSR_ADDR_FFLAGS : std_logic_vector(11 downto 0) := x"001"; constant CSR_ADDR_FRM : std_logic_vector(11 downto 0) := x"002"; constant CSR_ADDR_FCSR : std_logic_vector(11 downto 0) := x"003"; constant CSR_ADDR_CYCLE : std_logic_vector(11 downto 0) := x"c00"; constant CSR_ADDR_TIME : std_logic_vector(11 downto 0) := x"c01"; constant CSR_ADDR_INSTRET : std_logic_vector(11 downto 0) := x"c02"; constant CSR_ADDR_HPMCOUNTER3: std_logic_vector(11 downto 0) := x"c03"; constant CSR_ADDR_HPMCOUNTER4: std_logic_vector(11 downto 0) := x"c04"; constant CSR_ADDR_HPMCOUNTER5: std_logic_vector(11 downto 0) := x"c05"; constant CSR_ADDR_HPMCOUNTER6: std_logic_vector(11 downto 0) := x"c06"; constant CSR_ADDR_HPMCOUNTER7: std_logic_vector(11 downto 0) := x"c07"; constant CSR_ADDR_HPMCOUNTER8: std_logic_vector(11 downto 0) := x"c08"; constant CSR_ADDR_HPMCOUNTER9: std_logic_vector(11 downto 0) := x"c09"; constant CSR_ADDR_HPMCOUNTER10: std_logic_vector(11 downto 0) := x"c0a"; constant CSR_ADDR_HPMCOUNTER11: std_logic_vector(11 downto 0) := x"c0b"; constant CSR_ADDR_HPMCOUNTER12: std_logic_vector(11 downto 0) := x"c0c"; constant CSR_ADDR_HPMCOUNTER13: std_logic_vector(11 downto 0) := x"c0d"; constant CSR_ADDR_HPMCOUNTER14: std_logic_vector(11 downto 0) := x"c0e"; constant CSR_ADDR_HPMCOUNTER15: std_logic_vector(11 downto 0) := x"c0f"; constant CSR_ADDR_HPMCOUNTER16: std_logic_vector(11 downto 0) := x"c10"; constant CSR_ADDR_HPMCOUNTER17: std_logic_vector(11 downto 0) := x"c11"; constant CSR_ADDR_HPMCOUNTER18: std_logic_vector(11 downto 0) := x"c12"; constant CSR_ADDR_HPMCOUNTER19: std_logic_vector(11 downto 0) := x"c13"; constant CSR_ADDR_HPMCOUNTER20: std_logic_vector(11 downto 0) := x"c14"; constant CSR_ADDR_HPMCOUNTER21: std_logic_vector(11 downto 0) := x"c15"; constant CSR_ADDR_HPMCOUNTER22: std_logic_vector(11 downto 0) := x"c16"; constant CSR_ADDR_HPMCOUNTER23: std_logic_vector(11 downto 0) := x"c17"; constant CSR_ADDR_HPMCOUNTER24: std_logic_vector(11 downto 0) := x"c18"; constant CSR_ADDR_HPMCOUNTER25: std_logic_vector(11 downto 0) := x"c19"; constant CSR_ADDR_HPMCOUNTER26: std_logic_vector(11 downto 0) := x"c1a"; constant CSR_ADDR_HPMCOUNTER27: std_logic_vector(11 downto 0) := x"c1b"; constant CSR_ADDR_HPMCOUNTER28: std_logic_vector(11 downto 0) := x"c1c"; constant CSR_ADDR_HPMCOUNTER29: std_logic_vector(11 downto 0) := x"c1d"; constant CSR_ADDR_HPMCOUNTER30: std_logic_vector(11 downto 0) := x"c1e"; constant CSR_ADDR_HPMCOUNTER31 : std_logic_vector(11 downto 0) := x"c1f"; constant CSR_ADDR_SSTATUS : std_logic_vector(11 downto 0) := x"100"; constant CSR_ADDR_SEDELEG : std_logic_vector(11 downto 0) := x"102"; constant CSR_ADDR_SIDELEG : std_logic_vector(11 downto 0) := x"103"; constant CSR_ADDR_SIE : std_logic_vector(11 downto 0) := x"104"; constant CSR_ADDR_STVEC : std_logic_vector(11 downto 0) := x"105"; constant CSR_ADDR_SCOUNTEREN : std_logic_vector(11 downto 0) := x"106"; constant CSR_ADDR_SSCRATCH : std_logic_vector(11 downto 0) := x"140"; constant CSR_ADDR_SEPC : std_logic_vector(11 downto 0) := x"141"; constant CSR_ADDR_SCAUSE : std_logic_vector(11 downto 0) := x"142"; constant CSR_ADDR_STVAL : std_logic_vector(11 downto 0) := x"143"; constant CSR_ADDR_SIP : std_logic_vector(11 downto 0) := x"144"; constant CSR_ADDR_SATP : std_logic_vector(11 downto 0) := x"180"; constant CSR_ADDR_MVENDORID : std_logic_vector(11 downto 0) := x"f11"; constant CSR_ADDR_MARCHID : std_logic_vector(11 downto 0) := x"f12"; constant CSR_ADDR_MIMPID : std_logic_vector(11 downto 0) := x"f13"; constant CSR_ADDR_MHARTID : std_logic_vector(11 downto 0) := x"f14"; constant CSR_ADDR_MSTATUS : std_logic_vector(11 downto 0) := x"300"; constant CSR_ADDR_MISA : std_logic_vector(11 downto 0) := x"301"; constant CSR_ADDR_MEDELEG : std_logic_vector(11 downto 0) := x"302"; constant CSR_ADDR_MIDELEG : std_logic_vector(11 downto 0) := x"303"; constant CSR_ADDR_MIE : std_logic_vector(11 downto 0) := x"304"; constant CSR_ADDR_MTVEC : std_logic_vector(11 downto 0) := x"305"; constant CSR_ADDR_MCOUNTEREN : std_logic_vector(11 downto 0) := x"306"; constant CSR_ADDR_MSCRATCH : std_logic_vector(11 downto 0) := x"340"; constant CSR_ADDR_MEPC : std_logic_vector(11 downto 0) := x"341"; constant CSR_ADDR_MCAUSE : std_logic_vector(11 downto 0) := x"342"; constant CSR_ADDR_MTVAL : std_logic_vector(11 downto 0) := x"343"; constant CSR_ADDR_MIP : std_logic_vector(11 downto 0) := x"344"; constant CSR_ADDR_MCYCLE : std_logic_vector(11 downto 0) := x"b00"; constant CSR_ADDR_MINSTRET : std_logic_vector(11 downto 0) := x"b02"; constant CSR_ADDR_MHPMCOUNTER3 : std_logic_vector(11 downto 0) := x"b03"; constant CSR_ADDR_MHPMCOUNTER4 : std_logic_vector(11 downto 0) := x"b04"; constant CSR_ADDR_MHPMCOUNTER5 : std_logic_vector(11 downto 0) := x"b05"; constant CSR_ADDR_MHPMCOUNTER6 : std_logic_vector(11 downto 0) := x"b06"; constant CSR_ADDR_MHPMCOUNTER7 : std_logic_vector(11 downto 0) := x"b07"; constant CSR_ADDR_MHPMCOUNTER8 : std_logic_vector(11 downto 0) := x"b08"; constant CSR_ADDR_MHPMCOUNTER9 : std_logic_vector(11 downto 0) := x"b09"; constant CSR_ADDR_MHPMCOUNTER10 : std_logic_vector(11 downto 0) := x"b0a"; constant CSR_ADDR_MHPMCOUNTER11 : std_logic_vector(11 downto 0) := x"b0b"; constant CSR_ADDR_MHPMCOUNTER12 : std_logic_vector(11 downto 0) := x"b0c"; constant CSR_ADDR_MHPMCOUNTER13 : std_logic_vector(11 downto 0) := x"b0d"; constant CSR_ADDR_MHPMCOUNTER14 : std_logic_vector(11 downto 0) := x"b0e"; constant CSR_ADDR_MHPMCOUNTER15 : std_logic_vector(11 downto 0) := x"b0f"; constant CSR_ADDR_MHPMCOUNTER16 : std_logic_vector(11 downto 0) := x"b10"; constant CSR_ADDR_MHPMCOUNTER17 : std_logic_vector(11 downto 0) := x"b11"; constant CSR_ADDR_MHPMCOUNTER18 : std_logic_vector(11 downto 0) := x"b12"; constant CSR_ADDR_MHPMCOUNTER19 : std_logic_vector(11 downto 0) := x"b13"; constant CSR_ADDR_MHPMCOUNTER20 : std_logic_vector(11 downto 0) := x"b14"; constant CSR_ADDR_MHPMCOUNTER21 : std_logic_vector(11 downto 0) := x"b15"; constant CSR_ADDR_MHPMCOUNTER22 : std_logic_vector(11 downto 0) := x"b16"; constant CSR_ADDR_MHPMCOUNTER23 : std_logic_vector(11 downto 0) := x"b17"; constant CSR_ADDR_MHPMCOUNTER24 : std_logic_vector(11 downto 0) := x"b18"; constant CSR_ADDR_MHPMCOUNTER25 : std_logic_vector(11 downto 0) := x"b19"; constant CSR_ADDR_MHPMCOUNTER26 : std_logic_vector(11 downto 0) := x"b1a"; constant CSR_ADDR_MHPMCOUNTER27 : std_logic_vector(11 downto 0) := x"b1b"; constant CSR_ADDR_MHPMCOUNTER28 : std_logic_vector(11 downto 0) := x"b1c"; constant CSR_ADDR_MHPMCOUNTER29 : std_logic_vector(11 downto 0) := x"b1d"; constant CSR_ADDR_MHPMCOUNTER30 : std_logic_vector(11 downto 0) := x"b1e"; constant CSR_ADDR_MHPMCOUNTER31 : std_logic_vector(11 downto 0) := x"b1f"; constant CSR_ADDR_MHPMEVENT3 : std_logic_vector(11 downto 0) := x"323"; constant CSR_ADDR_MHPMEVENT4 : std_logic_vector(11 downto 0) := x"324"; constant CSR_ADDR_MHPMEVENT5 : std_logic_vector(11 downto 0) := x"325"; constant CSR_ADDR_MHPMEVENT6 : std_logic_vector(11 downto 0) := x"326"; constant CSR_ADDR_MHPMEVENT7 : std_logic_vector(11 downto 0) := x"327"; constant CSR_ADDR_MHPMEVENT8 : std_logic_vector(11 downto 0) := x"328"; constant CSR_ADDR_MHPMEVENT9 : std_logic_vector(11 downto 0) := x"329"; constant CSR_ADDR_MHPMEVENT10 : std_logic_vector(11 downto 0) := x"32a"; constant CSR_ADDR_MHPMEVENT11 : std_logic_vector(11 downto 0) := x"32b"; constant CSR_ADDR_MHPMEVENT12 : std_logic_vector(11 downto 0) := x"32c"; constant CSR_ADDR_MHPMEVENT13 : std_logic_vector(11 downto 0) := x"32d"; constant CSR_ADDR_MHPMEVENT14 : std_logic_vector(11 downto 0) := x"32e"; constant CSR_ADDR_MHPMEVENT15 : std_logic_vector(11 downto 0) := x"32f"; constant CSR_ADDR_MHPMEVENT16 : std_logic_vector(11 downto 0) := x"330"; constant CSR_ADDR_MHPMEVENT17 : std_logic_vector(11 downto 0) := x"331"; constant CSR_ADDR_MHPMEVENT18 : std_logic_vector(11 downto 0) := x"332"; constant CSR_ADDR_MHPMEVENT19 : std_logic_vector(11 downto 0) := x"333"; constant CSR_ADDR_MHPMEVENT20 : std_logic_vector(11 downto 0) := x"334"; constant CSR_ADDR_MHPMEVENT21 : std_logic_vector(11 downto 0) := x"335"; constant CSR_ADDR_MHPMEVENT22 : std_logic_vector(11 downto 0) := x"336"; constant CSR_ADDR_MHPMEVENT23 : std_logic_vector(11 downto 0) := x"337"; constant CSR_ADDR_MHPMEVENT24 : std_logic_vector(11 downto 0) := x"338"; constant CSR_ADDR_MHPMEVENT25 : std_logic_vector(11 downto 0) := x"339"; constant CSR_ADDR_MHPMEVENT26 : std_logic_vector(11 downto 0) := x"33a"; constant CSR_ADDR_MHPMEVENT27 : std_logic_vector(11 downto 0) := x"33b"; constant CSR_ADDR_MHPMEVENT28 : std_logic_vector(11 downto 0) := x"33c"; constant CSR_ADDR_MHPMEVENT29 : std_logic_vector(11 downto 0) := x"33d"; constant CSR_ADDR_MHPMEVENT30 : std_logic_vector(11 downto 0) := x"33e"; constant CSR_ADDR_MHPMEVENT31 : std_logic_vector(11 downto 0) := x"33f"; -- Privilege modes constant USER_MODE : std_logic_vector(1 downto 0) := "00"; constant SUPERVISOR_MODE : std_logic_vector(1 downto 0) := "01"; constant MACHINE_MODE : std_logic_vector(1 downto 0) := "11"; -- Debug output bus type regfile_arr is array (0 to 31) of doubleword; -- Familiar names for instruction fields subtype funct7_t is std_logic_vector(6 downto 0); subtype opcode_t is std_logic_vector(6 downto 0); subtype funct3_t is std_logic_vector(2 downto 0); subtype funct6_t is std_logic_vector(5 downto 0); subtype reg_t is std_logic_vector(4 downto 0); -- Instruction type populated by decoder subtype instr_t is std_logic_vector(7 downto 0); -- Control types for ALU subtype ctrl_t is std_logic_vector(5 downto 0); -- Opcodes determine overall instruction families, thus -- they are a logical way to group them. -- Load upper immediate constant LUI_T : opcode_t := "0110111"; -- Add upper immedaite to PC constant AUIPC_T : opcode_t := "0010111"; -- Jump and link constant JAL_T : opcode_t := "1101111"; -- Jump and link register constant JALR_T : opcode_t := "1100111"; -- Branch types, general constant BRANCH_T : opcode_t := "1100011"; -- Load types, includes all but atomic load and LUI constant LOAD_T : opcode_t := "0000011"; -- Store types, includes all but atomic constant STORE_T : opcode_t := "0100011"; -- ALU immediate types constant ALUI_T : opcode_t := "0010011"; -- ALU types, includes integer mul/div constant ALU_T : opcode_t := "0110011"; -- Special fence instructions constant FENCE_T : opcode_t := "0001111"; -- CSR manipulation and ecalls constant CSR_T : opcode_t := "1110011"; -- ALU types, low word constant ALUW_T : opcode_t := "0111011"; -- ALU immediate types, low word constant ALUIW_T : opcode_t := "0011011"; -- Atomic types constant ATOM_T : opcode_t := "0101111"; -- Floating point load types constant FLOAD_T : opcode_t := "0000111"; -- Floating point store types constant FSTORE_T : opcode_t := "0100111"; -- Floating point multiply-then-add constant FMADD_T : opcode_t := "1000011"; -- Floating point multiply-then-sub constant FMSUB_T : opcode_t := "1000111"; -- Floating point negate-multiply-then-add constant FNADD_T : opcode_t := "1001011"; -- Floating point negate-multiply-then-sub constant FNSUB_T : opcode_t := "1001111"; -- Floating point arithmetic types constant FPALU_T : opcode_t := "1010011"; -- Operation names for ALU constant op_SLL : ctrl_t := "000000"; constant op_SLLI : ctrl_t := "000001"; constant op_SRL : ctrl_t := "000010"; constant op_SRLI : ctrl_t := "000011"; constant op_SRA : ctrl_t := "000100"; constant op_SRAI : ctrl_t := "000101"; constant op_ADD : ctrl_t := "000110"; constant op_ADDI : ctrl_t := "000111"; constant op_SUB : ctrl_t := "001000"; constant op_LUI : ctrl_t := "001001"; constant op_AUIPC : ctrl_t := "001010"; constant op_XOR : ctrl_t := "001011"; constant op_XORI : ctrl_t := "001100"; constant op_OR : ctrl_t := "001101"; constant op_ORI : ctrl_t := "001110"; constant op_AND : ctrl_t := "001111"; constant op_ANDI : ctrl_t := "010000"; constant op_SLT : ctrl_t := "010001"; constant op_SLTI : ctrl_t := "010010"; constant op_SLTU : ctrl_t := "010011"; constant op_SLTIU : ctrl_t := "010100"; constant op_SLLW : ctrl_t := "010101"; constant op_SLLIW : ctrl_t := "010110"; constant op_SRLW : ctrl_t := "010111"; constant op_SRLIW : ctrl_t := "011000"; constant op_SRAW : ctrl_t := "011001"; constant op_SRAIW : ctrl_t := "011010"; constant op_ADDW : ctrl_t := "011011"; constant op_ADDIW : ctrl_t := "011100"; constant op_SUBW : ctrl_t := "011101"; constant op_MUL : ctrl_t := "011110"; constant op_MULH : ctrl_t := "011111"; constant op_MULHU : ctrl_t := "100000"; constant op_MULHSU : ctrl_t := "100001"; constant op_DIV : ctrl_t := "100010"; constant op_DIVU : ctrl_t := "100011"; constant op_REM : ctrl_t := "100100"; constant op_REMU : ctrl_t := "100101"; constant op_MULW : ctrl_t := "100110"; constant op_DIVW : ctrl_t := "100111"; constant op_DIVUW : ctrl_t := "101000"; constant op_REMW : ctrl_t := "101001"; constant op_REMUW : ctrl_t := "101010"; -- Instruction names for core (see intr.py to generate) constant instr_LUI : instr_t := "00000000"; constant instr_AUIPC : instr_t := "00000001"; constant instr_JAL : instr_t := "00000010"; constant instr_JALR : instr_t := "00000011"; constant instr_BEQ : instr_t := "00000100"; constant instr_BNE : instr_t := "00000101"; constant instr_BLT : instr_t := "00000110"; constant instr_BGE : instr_t := "00000111"; constant instr_BLTU : instr_t := "00001000"; constant instr_BGEU : instr_t := "00001001"; constant instr_LB : instr_t := "00001010"; constant instr_LH : instr_t := "00001011"; constant instr_LW : instr_t := "00001100"; constant instr_LBU : instr_t := "00001101"; constant instr_LHU : instr_t := "00001110"; constant instr_SB : instr_t := "00001111"; constant instr_SH : instr_t := "00010000"; constant instr_SW : instr_t := "00010001"; constant instr_ADDI : instr_t := "00010010"; constant instr_SLTI : instr_t := "00010011"; constant instr_SLTIU : instr_t := "00010100"; constant instr_XORI : instr_t := "00010101"; constant instr_ORI : instr_t := "00010110"; constant instr_ANDI : instr_t := "00010111"; constant instr_SLLI : instr_t := "00011000"; constant instr_SRLI : instr_t := "00011001"; constant instr_SRAI : instr_t := "00011010"; constant instr_ADD : instr_t := "00011011"; constant instr_SUB : instr_t := "00011100"; constant instr_SLL : instr_t := "00011101"; constant instr_SLT : instr_t := "00011110"; constant instr_SLTU : instr_t := "00011111"; constant instr_XOR : instr_t := "00100000"; constant instr_SRL : instr_t := "00100001"; constant instr_SRA : instr_t := "00100010"; constant instr_OR : instr_t := "00100011"; constant instr_AND : instr_t := "00100100"; constant instr_FENCE : instr_t := "00100101"; constant instr_FENCEI : instr_t := "00100110"; constant instr_ECALL : instr_t := "00100111"; constant instr_EBREAK : instr_t := "00101000"; constant instr_CSRRW : instr_t := "00101001"; constant instr_CSRRS : instr_t := "00101010"; constant instr_CSRRC : instr_t := "00101011"; constant instr_CSRRWI : instr_t := "00101100"; constant instr_CSRRSI : instr_t := "00101101"; constant instr_CSRRCI : instr_t := "00101110"; constant instr_LWU : instr_t := "00101111"; constant instr_LD : instr_t := "00110000"; constant instr_SD : instr_t := "00110001"; constant instr_SLLI6 : instr_t := "00110010"; constant instr_SRLI6 : instr_t := "00110011"; constant instr_SRAI6 : instr_t := "00110100"; constant instr_ADDIW : instr_t := "00110101"; constant instr_SLLIW : instr_t := "00110110"; constant instr_SRLIW : instr_t := "00110111"; constant instr_SRAIW : instr_t := "00111000"; constant instr_ADDW : instr_t := "00111001"; constant instr_SUBW : instr_t := "00111010"; constant instr_SLLW : instr_t := "00111011"; constant instr_SRLW : instr_t := "00111100"; constant instr_SRAW : instr_t := "00111101"; constant instr_MUL : instr_t := "00111110"; constant instr_MULH : instr_t := "00111111"; constant instr_MULHSU : instr_t := "01000000"; constant instr_MULHU : instr_t := "01000001"; constant instr_DIV : instr_t := "01000010"; constant instr_DIVU : instr_t := "01000011"; constant instr_REM : instr_t := "01000100"; constant instr_REMU : instr_t := "01000101"; constant instr_MULW : instr_t := "01000110"; constant instr_DIVW : instr_t := "01000111"; constant instr_DIVUW : instr_t := "01001000"; constant instr_REMW : instr_t := "01001001"; constant instr_REMUW : instr_t := "01001010"; constant instr_LRW : instr_t := "01001011"; constant instr_SCW : instr_t := "01001100"; constant instr_AMOSWAPW : instr_t := "01001101"; constant instr_AMOADDW : instr_t := "01001110"; constant instr_AMOXORW : instr_t := "01001111"; constant instr_AMOANDW : instr_t := "01010000"; constant instr_AMOORW : instr_t := "01010001"; constant instr_AMOMINW : instr_t := "01010010"; constant instr_AMOMAXW : instr_t := "01010011"; constant instr_AMOMINUW : instr_t := "01010100"; constant instr_AMOMAXUW : instr_t := "01010101"; constant instr_LRD : instr_t := "01010110"; constant instr_SCD : instr_t := "01010111"; constant instr_AMOSWAPD : instr_t := "01011000"; constant instr_AMOADDD : instr_t := "01011001"; constant instr_AMOXORD : instr_t := "01011010"; constant instr_AMOANDD : instr_t := "01011011"; constant instr_AMOORD : instr_t := "01011100"; constant instr_AMOMIND : instr_t := "01011101"; constant instr_AMOMAXD : instr_t := "01011110"; constant instr_AMOMINUD : instr_t := "01011111"; constant instr_AMOMAXUD : instr_t := "01100000"; constant instr_FLW : instr_t := "01100001"; constant instr_FSW : instr_t := "01100010"; constant instr_FMADDS : instr_t := "01100011"; constant instr_FMSUBS : instr_t := "01100100"; constant instr_FNMSUBS : instr_t := "01100101"; constant instr_FNMADDS : instr_t := "01100110"; constant instr_FADDS : instr_t := "01100111"; constant instr_FSUBS : instr_t := "01101000"; constant instr_FMULS : instr_t := "01101001"; constant instr_FDIVS : instr_t := "01101010"; constant instr_FSQRTS : instr_t := "01101011"; constant instr_FSGNJS : instr_t := "01101100"; constant instr_FSGNJNS : instr_t := "01101101"; constant instr_FSGNJXS : instr_t := "01101110"; constant instr_FMINS : instr_t := "01101111"; constant instr_FMAXS : instr_t := "01110000"; constant instr_FCVTWS : instr_t := "01110001"; constant instr_FCVTWUS : instr_t := "01110010"; constant instr_FMVXW : instr_t := "01110011"; constant instr_FEQS : instr_t := "01110100"; constant instr_FLTS : instr_t := "01110101"; constant instr_FLES : instr_t := "01110110"; constant instr_FCLASSS : instr_t := "01110111"; constant instr_FCVTSW : instr_t := "01111000"; constant instr_FCVTSWU : instr_t := "01111001"; constant instr_FMVWX : instr_t := "01111010"; constant instr_FCVTLS : instr_t := "01111011"; constant instr_FCVTLUS : instr_t := "01111100"; constant instr_FCVTSL : instr_t := "01111101"; constant instr_FCVTSLU : instr_t := "01111110"; constant instr_FLD : instr_t := "01111111"; constant instr_FSD : instr_t := "10000000"; constant instr_FMADDD : instr_t := "10000001"; constant instr_FMSUBD : instr_t := "10000010"; constant instr_FNMSUBD : instr_t := "10000011"; constant instr_FNMADDD : instr_t := "10000100"; constant instr_FADDD : instr_t := "10000101"; constant instr_FSUBD : instr_t := "10000110"; constant instr_FMULD : instr_t := "10000111"; constant instr_FDIVD : instr_t := "10001000"; constant instr_FSQRTD : instr_t := "10001001"; constant instr_FSGNJD : instr_t := "10001010"; constant instr_FSGNJND : instr_t := "10001011"; constant instr_FSGNJXD : instr_t := "10001100"; constant instr_FMIND : instr_t := "10001101"; constant instr_FMAXD : instr_t := "10001110"; constant instr_FCVTSD : instr_t := "10001111"; constant instr_FCVTDS : instr_t := "10010000"; constant instr_FEQD : instr_t := "10010001"; constant instr_FLTD : instr_t := "10010010"; constant instr_FLED : instr_t := "10010011"; constant instr_FCLASSD : instr_t := "10010100"; constant instr_FCVTWD : instr_t := "10010101"; constant instr_FCVTWUD : instr_t := "10010110"; constant instr_FCVTDW : instr_t := "10010111"; constant instr_FCVTDWU : instr_t := "10011000"; constant instr_FCVTLD : instr_t := "10011001"; constant instr_FCVTLUD : instr_t := "10011010"; constant instr_FMVXD : instr_t := "10011011"; constant instr_FCVTDL : instr_t := "10011100"; constant instr_FCVTDLU : instr_t := "10011101"; constant instr_FMVDX : instr_t := "10011110"; constant instr_URET : instr_t := "10011111"; constant instr_SRET : instr_t := "10100000"; constant instr_MRET : instr_t := "10100001"; constant instr_WFI : instr_t := "10100010"; constant instr_SFENCEVM : instr_t := "10100011"; -- Forward declare static functions function CSR_write(CSR: natural; value: doubleword) return doubleword; function CSR_read(CSR: natural; value: doubleword) return doubleword; function HEX_TO_ASCII(word: std_logic_vector(3 downto 0)) return std_logic_vector; end package config; -- Package body defined derived constants and subroutines (i.e. functions) package body config is -- TODO - Might need additional parameters to specify the privilege mode, double check -- CSR function for writing as a function of CSR register --@param CSR The familiar name of the CSR register, encoded above in the package declaration --@param value The raw value to be written --@return the modified value to be written back the the given CSR function CSR_write(CSR: natural; value: doubleword) return doubleword is begin return zero_word & zero_word; end; -- CSR function for reading as a function of CSR register --@param CSR The familiar name of the CSR register, encoded above in the package declaration --@param value The raw contents of the given CSR --@return the adjusted value of the CSR to be reported back function CSR_read(CSR: natural; value: doubleword) return doubleword is begin return value; end; function HEX_TO_ASCII(word: std_logic_vector(3 downto 0)) return std_logic_vector is begin if(unsigned(word) < 10) then return "0011" & word; elsif(unsigned(word) = 11) then return "01100001"; elsif(unsigned(word) = 12) then return "01100010"; elsif(unsigned(word) = 13) then return "01100011"; elsif(unsigned(word) = 14) then return "01100100"; elsif(unsigned(word) = 15) then return "01100100"; else return "00110000"; end if; end; end config;
-------------------------------------------------------------------------------- -- -- FIFO Generator Core Demo Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: bytefifo_rng.vhd -- -- Description: -- Used for generation of pseudo random numbers -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_unsigned.all; USE IEEE.std_logic_arith.all; USE IEEE.std_logic_misc.all; ENTITY bytefifo_rng IS GENERIC ( WIDTH : integer := 8; SEED : integer := 3); PORT ( CLK : IN STD_LOGIC; RESET : IN STD_LOGIC; ENABLE : IN STD_LOGIC; RANDOM_NUM : OUT STD_LOGIC_VECTOR (WIDTH-1 DOWNTO 0)); END ENTITY; ARCHITECTURE rg_arch OF bytefifo_rng IS BEGIN PROCESS (CLK,RESET) VARIABLE rand_temp : STD_LOGIC_VECTOR(width-1 DOWNTO 0):=conv_std_logic_vector(SEED,width); VARIABLE temp : STD_LOGIC := '0'; BEGIN IF(RESET = '1') THEN rand_temp := conv_std_logic_vector(SEED,width); temp := '0'; ELSIF (CLK'event AND CLK = '1') THEN IF (ENABLE = '1') THEN temp := rand_temp(width-1) xnor rand_temp(width-3) xnor rand_temp(width-4) xnor rand_temp(width-5); rand_temp(width-1 DOWNTO 1) := rand_temp(width-2 DOWNTO 0); rand_temp(0) := temp; END IF; END IF; RANDOM_NUM <= rand_temp; END PROCESS; END ARCHITECTURE;
-------------------------------------------------------------------------------- -- -- FIFO Generator Core Demo Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: bytefifo_rng.vhd -- -- Description: -- Used for generation of pseudo random numbers -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_unsigned.all; USE IEEE.std_logic_arith.all; USE IEEE.std_logic_misc.all; ENTITY bytefifo_rng IS GENERIC ( WIDTH : integer := 8; SEED : integer := 3); PORT ( CLK : IN STD_LOGIC; RESET : IN STD_LOGIC; ENABLE : IN STD_LOGIC; RANDOM_NUM : OUT STD_LOGIC_VECTOR (WIDTH-1 DOWNTO 0)); END ENTITY; ARCHITECTURE rg_arch OF bytefifo_rng IS BEGIN PROCESS (CLK,RESET) VARIABLE rand_temp : STD_LOGIC_VECTOR(width-1 DOWNTO 0):=conv_std_logic_vector(SEED,width); VARIABLE temp : STD_LOGIC := '0'; BEGIN IF(RESET = '1') THEN rand_temp := conv_std_logic_vector(SEED,width); temp := '0'; ELSIF (CLK'event AND CLK = '1') THEN IF (ENABLE = '1') THEN temp := rand_temp(width-1) xnor rand_temp(width-3) xnor rand_temp(width-4) xnor rand_temp(width-5); rand_temp(width-1 DOWNTO 1) := rand_temp(width-2 DOWNTO 0); rand_temp(0) := temp; END IF; END IF; RANDOM_NUM <= rand_temp; END PROCESS; END ARCHITECTURE;
-------------------------------------------------------------------------------- -- -- FIFO Generator Core Demo Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: bytefifo_rng.vhd -- -- Description: -- Used for generation of pseudo random numbers -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_unsigned.all; USE IEEE.std_logic_arith.all; USE IEEE.std_logic_misc.all; ENTITY bytefifo_rng IS GENERIC ( WIDTH : integer := 8; SEED : integer := 3); PORT ( CLK : IN STD_LOGIC; RESET : IN STD_LOGIC; ENABLE : IN STD_LOGIC; RANDOM_NUM : OUT STD_LOGIC_VECTOR (WIDTH-1 DOWNTO 0)); END ENTITY; ARCHITECTURE rg_arch OF bytefifo_rng IS BEGIN PROCESS (CLK,RESET) VARIABLE rand_temp : STD_LOGIC_VECTOR(width-1 DOWNTO 0):=conv_std_logic_vector(SEED,width); VARIABLE temp : STD_LOGIC := '0'; BEGIN IF(RESET = '1') THEN rand_temp := conv_std_logic_vector(SEED,width); temp := '0'; ELSIF (CLK'event AND CLK = '1') THEN IF (ENABLE = '1') THEN temp := rand_temp(width-1) xnor rand_temp(width-3) xnor rand_temp(width-4) xnor rand_temp(width-5); rand_temp(width-1 DOWNTO 1) := rand_temp(width-2 DOWNTO 0); rand_temp(0) := temp; END IF; END IF; RANDOM_NUM <= rand_temp; END PROCESS; END ARCHITECTURE;
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; library work; use work.genram_pkg.all; entity generic_spram is generic ( -- standard parameters g_data_width : natural := 32; g_size : natural := 1024; -- if true, the user can write individual bytes by using bwe_i g_with_byte_enable : boolean := false; -- RAM read-on-write conflict resolution. Can be "read_first" (read-then-write) -- or "write_first" (write-then-read) g_addr_conflict_resolution : string := "write_first"; g_init_file : string := "" ); port ( rst_n_i : in std_logic; -- synchronous reset, active LO clk_i : in std_logic; -- clock input -- byte write enable, actiwe when g_ bwe_i : in std_logic_vector((g_data_width+7)/8-1 downto 0); -- global write enable (masked by bwe_i if g_with_byte_enable = true) we_i : in std_logic; -- address input a_i : in std_logic_vector(f_log2_size(g_size)-1 downto 0); -- data input d_i : in std_logic_vector(g_data_width-1 downto 0); -- data output q_o : out std_logic_vector(g_data_width-1 downto 0) ); end generic_spram; architecture syn of generic_spram is constant c_num_bytes : integer := (g_data_width+7)/8; type t_ram_type is array(0 to g_size-1) of std_logic_vector(g_data_width-1 downto 0); type t_string_file_type is file of string; impure function f_bitstring_2_slv(s : string; num_bits : integer) return std_logic_vector is begin end function f_bitstring_2_slv; impure function f_load_from_file(file_name : string) return t_ram_type is file f : t_string_file_type; variable fstatus : file_open_status; begin file_open(fstatus, f, file_name, read_mode); if(fstatus /= open_ok) then report "generic_spram: Cannot open memory initialization file: " & file_name severity failure; end if; end function f_load_from_file; signal ram : t_ram_type; signal s_we : std_logic_vector(c_num_bytes-1 downto 0); signal s_ram_in : std_logic_vector(g_data_width-1 downto 0); signal s_ram_out : std_logic_vector(g_data_width-1 downto 0); begin assert (g_init_file = "" or g_init_file = "none") report "generic_spram: Memory initialization files not supported yet. Sorry :(" severity failure; gen_with_byte_enable_writefirst : if(g_with_byte_enable = true and g_addr_conflict_resolution = "write_first") generate s_we <= bwe_i when we_i = '1' else (others => '0'); process(s_we, d_i) begin for i in 0 to c_num_bytes-1 loop if s_we(i) = '1' then s_ram_in(8*i+7 downto 8*i) <= d_i(8*i+7 downto 8*i); s_ram_out(8*i+7 downto 8*i) <= d_i(8*i+7 downto 8*i); else s_ram_in(8*i+7 downto 8*i) <= ram(conv_integer(unsigned(a_i)))(8*i+7 downto 8*i); s_ram_out(8*i+7 downto 8*i) <= ram(conv_integer(unsigned(a_i)))(8*i+7 downto 8*i); end if; end loop; -- i end process; process(clk_i) begin if rising_edge(clk_i) then ram(conv_integer(unsigned(a_i))) <= s_ram_in; q_o <= s_ram_out; end if; end process; end generate gen_with_byte_enable_writefirst; gen_with_byte_enable_readfirst : if(g_with_byte_enable = true and (g_addr_conflict_resolution = "read_first" or g_addr_conflict_resolution = "dont_care")) generate s_we <= bwe_i when we_i = '1' else (others => '0'); process(s_we, d_i) begin for i in 0 to c_num_bytes-1 loop if (s_we(i) = '1') then s_ram_in(8*i+7 downto 8*i) <= d_i(8*i+7 downto 8*i); else s_ram_in(8*i+7 downto 8*i) <= ram(conv_integer(unsigned(a_i)))(8*i+7 downto 8*i); end if; end loop; end process; process(clk_i) begin if rising_edge(clk_i) then ram(conv_integer(unsigned(a_i))) <= s_ram_in; q_o <= ram(conv_integer(unsigned(a_i))); end if; end process; end generate gen_with_byte_enable_readfirst; gen_without_byte_enable_writefirst : if(g_with_byte_enable = false and g_addr_conflict_resolution = "write_first") generate process(clk_i) begin if rising_edge(clk_i) then if(we_i = '1') then ram(conv_integer(unsigned(a_i))) <= d_i; q_o <= d_i; else q_o <= ram(conv_integer(unsigned(a_i))); end if; end if; end process; end generate gen_without_byte_enable_writefirst; gen_without_byte_enable_readfirst : if(g_with_byte_enable = false and (g_addr_conflict_resolution = "read_first" or g_addr_conflict_resolution = "dont_care")) generate process(clk_i) begin if rising_edge(clk_i) then if(we_i = '1') then ram(conv_integer(unsigned(a_i))) <= d_i; end if; q_o <= ram(conv_integer(unsigned(a_i))); end if; end process; end generate gen_without_byte_enable_readfirst; end syn;
---------------------------------------------------------------------------- -- UART_TX_CTRL.vhd -- UART Data Transfer Component ---------------------------------------------------------------------------- -- Author: Sam Bobrowicz -- Copyright 2011 Digilent, Inc. ---------------------------------------------------------------------------- -- ---------------------------------------------------------------------------- -- This component may be used to transfer data over a UART device. It will -- serialize a byte of data and transmit it over a TXD line. The serialized -- data has the following characteristics: -- *9600 Baud Rate -- *8 data bits, LSB first -- *1 stop bit -- *no parity -- -- Port Descriptions: -- -- SEND - Used to trigger a send operation. The upper layer logic should -- set this signal high for a single clock cycle to trigger a -- send. When this signal is set high DATA must be valid . Should -- not be asserted unless READY is high. -- DATA - The parallel data to be sent. Must be valid the clock cycle -- that SEND has gone high. -- CLK - A 100 MHz clock is expected -- READY - This signal goes low once a send operation has begun and -- remains low until it has completed and the module is ready to -- send another byte. -- UART_TX - This signal should be routed to the appropriate TX pin of the -- external UART device. -- ---------------------------------------------------------------------------- -- ---------------------------------------------------------------------------- -- Revision History: -- 08/08/2011(SamB): Created using Xilinx Tools 13.2 ---------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.std_logic_unsigned.all; entity UART_TX_CTRL is Port ( SEND : in STD_LOGIC; DATA : in STD_LOGIC_VECTOR (7 downto 0); CLK : in STD_LOGIC; READY : out STD_LOGIC; UART_TX : out STD_LOGIC); end UART_TX_CTRL; architecture Behavioral of UART_TX_CTRL is type TX_STATE_TYPE is (RDY, LOAD_BIT, SEND_BIT); constant BIT_TMR_MAX : std_logic_vector(13 downto 0) := "10100010110000"; --10416 = (round(100MHz / 9600)) - 1 constant BIT_INDEX_MAX : natural := 10; --Counter that keeps track of the number of clock cycles the current bit has been held stable over the --UART TX line. It is used to signal when the ne signal bitTmr : std_logic_vector(13 downto 0) := (others => '0'); --combinatorial logic that goes high when bitTmr has counted to the proper value to ensure --a 9600 baud rate signal bitDone : std_logic; --Contains the index of the next bit in txData that needs to be transferred signal bitIndex : natural; --a register that holds the current data being sent over the UART TX line signal txBit : std_logic := '1'; --A register that contains the whole data packet to be sent, including start and stop bits. signal txData : std_logic_vector(9 downto 0); signal txState : TX_STATE_TYPE := RDY; begin --Next state logic next_txState_process : process (CLK) begin if (rising_edge(CLK)) then case txState is when RDY => if (SEND = '1') then txState <= LOAD_BIT; end if; when LOAD_BIT => txState <= SEND_BIT; when SEND_BIT => if (bitDone = '1') then if (bitIndex = BIT_INDEX_MAX) then txState <= RDY; else txState <= LOAD_BIT; end if; end if; when others=> --should never be reached txState <= RDY; end case; end if; end process; bit_timing_process : process (CLK) begin if (rising_edge(CLK)) then if (txState = RDY) then bitTmr <= (others => '0'); else if (bitDone = '1') then bitTmr <= (others => '0'); else bitTmr <= bitTmr + 1; end if; end if; end if; end process; bitDone <= '1' when (bitTmr = BIT_TMR_MAX) else '0'; bit_counting_process : process (CLK) begin if (rising_edge(CLK)) then if (txState = RDY) then bitIndex <= 0; elsif (txState = LOAD_BIT) then bitIndex <= bitIndex + 1; end if; end if; end process; tx_data_latch_process : process (CLK) begin if (rising_edge(CLK)) then if (SEND = '1') then txData <= '1' & DATA & '0'; end if; end if; end process; tx_bit_process : process (CLK) begin if (rising_edge(CLK)) then if (txState = RDY) then txBit <= '1'; elsif (txState = LOAD_BIT) then txBit <= txData(bitIndex); end if; end if; end process; UART_TX <= txBit; READY <= '1' when (txState = RDY) else '0'; end Behavioral;
---------------------------------------------------------------------------- -- UART_TX_CTRL.vhd -- UART Data Transfer Component ---------------------------------------------------------------------------- -- Author: Sam Bobrowicz -- Copyright 2011 Digilent, Inc. ---------------------------------------------------------------------------- -- ---------------------------------------------------------------------------- -- This component may be used to transfer data over a UART device. It will -- serialize a byte of data and transmit it over a TXD line. The serialized -- data has the following characteristics: -- *9600 Baud Rate -- *8 data bits, LSB first -- *1 stop bit -- *no parity -- -- Port Descriptions: -- -- SEND - Used to trigger a send operation. The upper layer logic should -- set this signal high for a single clock cycle to trigger a -- send. When this signal is set high DATA must be valid . Should -- not be asserted unless READY is high. -- DATA - The parallel data to be sent. Must be valid the clock cycle -- that SEND has gone high. -- CLK - A 100 MHz clock is expected -- READY - This signal goes low once a send operation has begun and -- remains low until it has completed and the module is ready to -- send another byte. -- UART_TX - This signal should be routed to the appropriate TX pin of the -- external UART device. -- ---------------------------------------------------------------------------- -- ---------------------------------------------------------------------------- -- Revision History: -- 08/08/2011(SamB): Created using Xilinx Tools 13.2 ---------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.std_logic_unsigned.all; entity UART_TX_CTRL is Port ( SEND : in STD_LOGIC; DATA : in STD_LOGIC_VECTOR (7 downto 0); CLK : in STD_LOGIC; READY : out STD_LOGIC; UART_TX : out STD_LOGIC); end UART_TX_CTRL; architecture Behavioral of UART_TX_CTRL is type TX_STATE_TYPE is (RDY, LOAD_BIT, SEND_BIT); constant BIT_TMR_MAX : std_logic_vector(13 downto 0) := "10100010110000"; --10416 = (round(100MHz / 9600)) - 1 constant BIT_INDEX_MAX : natural := 10; --Counter that keeps track of the number of clock cycles the current bit has been held stable over the --UART TX line. It is used to signal when the ne signal bitTmr : std_logic_vector(13 downto 0) := (others => '0'); --combinatorial logic that goes high when bitTmr has counted to the proper value to ensure --a 9600 baud rate signal bitDone : std_logic; --Contains the index of the next bit in txData that needs to be transferred signal bitIndex : natural; --a register that holds the current data being sent over the UART TX line signal txBit : std_logic := '1'; --A register that contains the whole data packet to be sent, including start and stop bits. signal txData : std_logic_vector(9 downto 0); signal txState : TX_STATE_TYPE := RDY; begin --Next state logic next_txState_process : process (CLK) begin if (rising_edge(CLK)) then case txState is when RDY => if (SEND = '1') then txState <= LOAD_BIT; end if; when LOAD_BIT => txState <= SEND_BIT; when SEND_BIT => if (bitDone = '1') then if (bitIndex = BIT_INDEX_MAX) then txState <= RDY; else txState <= LOAD_BIT; end if; end if; when others=> --should never be reached txState <= RDY; end case; end if; end process; bit_timing_process : process (CLK) begin if (rising_edge(CLK)) then if (txState = RDY) then bitTmr <= (others => '0'); else if (bitDone = '1') then bitTmr <= (others => '0'); else bitTmr <= bitTmr + 1; end if; end if; end if; end process; bitDone <= '1' when (bitTmr = BIT_TMR_MAX) else '0'; bit_counting_process : process (CLK) begin if (rising_edge(CLK)) then if (txState = RDY) then bitIndex <= 0; elsif (txState = LOAD_BIT) then bitIndex <= bitIndex + 1; end if; end if; end process; tx_data_latch_process : process (CLK) begin if (rising_edge(CLK)) then if (SEND = '1') then txData <= '1' & DATA & '0'; end if; end if; end process; tx_bit_process : process (CLK) begin if (rising_edge(CLK)) then if (txState = RDY) then txBit <= '1'; elsif (txState = LOAD_BIT) then txBit <= txData(bitIndex); end if; end if; end process; UART_TX <= txBit; READY <= '1' when (txState = RDY) else '0'; end Behavioral;
---------------------------------------------------------------------------- -- UART_TX_CTRL.vhd -- UART Data Transfer Component ---------------------------------------------------------------------------- -- Author: Sam Bobrowicz -- Copyright 2011 Digilent, Inc. ---------------------------------------------------------------------------- -- ---------------------------------------------------------------------------- -- This component may be used to transfer data over a UART device. It will -- serialize a byte of data and transmit it over a TXD line. The serialized -- data has the following characteristics: -- *9600 Baud Rate -- *8 data bits, LSB first -- *1 stop bit -- *no parity -- -- Port Descriptions: -- -- SEND - Used to trigger a send operation. The upper layer logic should -- set this signal high for a single clock cycle to trigger a -- send. When this signal is set high DATA must be valid . Should -- not be asserted unless READY is high. -- DATA - The parallel data to be sent. Must be valid the clock cycle -- that SEND has gone high. -- CLK - A 100 MHz clock is expected -- READY - This signal goes low once a send operation has begun and -- remains low until it has completed and the module is ready to -- send another byte. -- UART_TX - This signal should be routed to the appropriate TX pin of the -- external UART device. -- ---------------------------------------------------------------------------- -- ---------------------------------------------------------------------------- -- Revision History: -- 08/08/2011(SamB): Created using Xilinx Tools 13.2 ---------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.std_logic_unsigned.all; entity UART_TX_CTRL is Port ( SEND : in STD_LOGIC; DATA : in STD_LOGIC_VECTOR (7 downto 0); CLK : in STD_LOGIC; READY : out STD_LOGIC; UART_TX : out STD_LOGIC); end UART_TX_CTRL; architecture Behavioral of UART_TX_CTRL is type TX_STATE_TYPE is (RDY, LOAD_BIT, SEND_BIT); constant BIT_TMR_MAX : std_logic_vector(13 downto 0) := "10100010110000"; --10416 = (round(100MHz / 9600)) - 1 constant BIT_INDEX_MAX : natural := 10; --Counter that keeps track of the number of clock cycles the current bit has been held stable over the --UART TX line. It is used to signal when the ne signal bitTmr : std_logic_vector(13 downto 0) := (others => '0'); --combinatorial logic that goes high when bitTmr has counted to the proper value to ensure --a 9600 baud rate signal bitDone : std_logic; --Contains the index of the next bit in txData that needs to be transferred signal bitIndex : natural; --a register that holds the current data being sent over the UART TX line signal txBit : std_logic := '1'; --A register that contains the whole data packet to be sent, including start and stop bits. signal txData : std_logic_vector(9 downto 0); signal txState : TX_STATE_TYPE := RDY; begin --Next state logic next_txState_process : process (CLK) begin if (rising_edge(CLK)) then case txState is when RDY => if (SEND = '1') then txState <= LOAD_BIT; end if; when LOAD_BIT => txState <= SEND_BIT; when SEND_BIT => if (bitDone = '1') then if (bitIndex = BIT_INDEX_MAX) then txState <= RDY; else txState <= LOAD_BIT; end if; end if; when others=> --should never be reached txState <= RDY; end case; end if; end process; bit_timing_process : process (CLK) begin if (rising_edge(CLK)) then if (txState = RDY) then bitTmr <= (others => '0'); else if (bitDone = '1') then bitTmr <= (others => '0'); else bitTmr <= bitTmr + 1; end if; end if; end if; end process; bitDone <= '1' when (bitTmr = BIT_TMR_MAX) else '0'; bit_counting_process : process (CLK) begin if (rising_edge(CLK)) then if (txState = RDY) then bitIndex <= 0; elsif (txState = LOAD_BIT) then bitIndex <= bitIndex + 1; end if; end if; end process; tx_data_latch_process : process (CLK) begin if (rising_edge(CLK)) then if (SEND = '1') then txData <= '1' & DATA & '0'; end if; end if; end process; tx_bit_process : process (CLK) begin if (rising_edge(CLK)) then if (txState = RDY) then txBit <= '1'; elsif (txState = LOAD_BIT) then txBit <= txData(bitIndex); end if; end if; end process; UART_TX <= txBit; READY <= '1' when (txState = RDY) else '0'; end Behavioral;
---------------------------------------------------------------------------- -- UART_TX_CTRL.vhd -- UART Data Transfer Component ---------------------------------------------------------------------------- -- Author: Sam Bobrowicz -- Copyright 2011 Digilent, Inc. ---------------------------------------------------------------------------- -- ---------------------------------------------------------------------------- -- This component may be used to transfer data over a UART device. It will -- serialize a byte of data and transmit it over a TXD line. The serialized -- data has the following characteristics: -- *9600 Baud Rate -- *8 data bits, LSB first -- *1 stop bit -- *no parity -- -- Port Descriptions: -- -- SEND - Used to trigger a send operation. The upper layer logic should -- set this signal high for a single clock cycle to trigger a -- send. When this signal is set high DATA must be valid . Should -- not be asserted unless READY is high. -- DATA - The parallel data to be sent. Must be valid the clock cycle -- that SEND has gone high. -- CLK - A 100 MHz clock is expected -- READY - This signal goes low once a send operation has begun and -- remains low until it has completed and the module is ready to -- send another byte. -- UART_TX - This signal should be routed to the appropriate TX pin of the -- external UART device. -- ---------------------------------------------------------------------------- -- ---------------------------------------------------------------------------- -- Revision History: -- 08/08/2011(SamB): Created using Xilinx Tools 13.2 ---------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.std_logic_unsigned.all; entity UART_TX_CTRL is Port ( SEND : in STD_LOGIC; DATA : in STD_LOGIC_VECTOR (7 downto 0); CLK : in STD_LOGIC; READY : out STD_LOGIC; UART_TX : out STD_LOGIC); end UART_TX_CTRL; architecture Behavioral of UART_TX_CTRL is type TX_STATE_TYPE is (RDY, LOAD_BIT, SEND_BIT); constant BIT_TMR_MAX : std_logic_vector(13 downto 0) := "10100010110000"; --10416 = (round(100MHz / 9600)) - 1 constant BIT_INDEX_MAX : natural := 10; --Counter that keeps track of the number of clock cycles the current bit has been held stable over the --UART TX line. It is used to signal when the ne signal bitTmr : std_logic_vector(13 downto 0) := (others => '0'); --combinatorial logic that goes high when bitTmr has counted to the proper value to ensure --a 9600 baud rate signal bitDone : std_logic; --Contains the index of the next bit in txData that needs to be transferred signal bitIndex : natural; --a register that holds the current data being sent over the UART TX line signal txBit : std_logic := '1'; --A register that contains the whole data packet to be sent, including start and stop bits. signal txData : std_logic_vector(9 downto 0); signal txState : TX_STATE_TYPE := RDY; begin --Next state logic next_txState_process : process (CLK) begin if (rising_edge(CLK)) then case txState is when RDY => if (SEND = '1') then txState <= LOAD_BIT; end if; when LOAD_BIT => txState <= SEND_BIT; when SEND_BIT => if (bitDone = '1') then if (bitIndex = BIT_INDEX_MAX) then txState <= RDY; else txState <= LOAD_BIT; end if; end if; when others=> --should never be reached txState <= RDY; end case; end if; end process; bit_timing_process : process (CLK) begin if (rising_edge(CLK)) then if (txState = RDY) then bitTmr <= (others => '0'); else if (bitDone = '1') then bitTmr <= (others => '0'); else bitTmr <= bitTmr + 1; end if; end if; end if; end process; bitDone <= '1' when (bitTmr = BIT_TMR_MAX) else '0'; bit_counting_process : process (CLK) begin if (rising_edge(CLK)) then if (txState = RDY) then bitIndex <= 0; elsif (txState = LOAD_BIT) then bitIndex <= bitIndex + 1; end if; end if; end process; tx_data_latch_process : process (CLK) begin if (rising_edge(CLK)) then if (SEND = '1') then txData <= '1' & DATA & '0'; end if; end if; end process; tx_bit_process : process (CLK) begin if (rising_edge(CLK)) then if (txState = RDY) then txBit <= '1'; elsif (txState = LOAD_BIT) then txBit <= txData(bitIndex); end if; end if; end process; UART_TX <= txBit; READY <= '1' when (txState = RDY) else '0'; end Behavioral;
------------------------------------------------------------------------------- -- Title : TRFSM Package -- Project : ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; package TRFSMPkg is component TRFSM generic ( InputWidth : integer range 1 to 256; OutputWidth : integer range 1 to 256; StateWidth : integer range 1 to 8; UseResetRow : integer range 0 to 1; NumRows0 : integer; NumRows1 : integer; NumRows2 : integer; NumRows3 : integer; NumRows4 : integer; NumRows5 : integer; NumRows6 : integer; NumRows7 : integer; NumRows8 : integer; NumRows9 : integer ); port ( Reset_n_i : in std_logic; Clk_i : in std_logic; Input_i : in std_logic_vector(InputWidth-1 downto 0); Output_o : out std_logic_vector(OutputWidth-1 downto 0); -- Configuration CfgMode_i : in std_logic; CfgClk_i : in std_logic; CfgShift_i : in std_logic; CfgDataIn_i : in std_logic; CfgDataOut_o : out std_logic; -- Scan Chain ScanEnable_i : in std_logic; ScanClk_i : in std_logic; ScanDataIn_i : in std_logic; ScanDataOut_o : out std_logic ); end component; end TRFSMPkg; package body TRFSMPkg is end TRFSMPkg;
------------------------------------------------------------------------------- -- Title : TRFSM Package -- Project : ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; package TRFSMPkg is component TRFSM generic ( InputWidth : integer range 1 to 256; OutputWidth : integer range 1 to 256; StateWidth : integer range 1 to 8; UseResetRow : integer range 0 to 1; NumRows0 : integer; NumRows1 : integer; NumRows2 : integer; NumRows3 : integer; NumRows4 : integer; NumRows5 : integer; NumRows6 : integer; NumRows7 : integer; NumRows8 : integer; NumRows9 : integer ); port ( Reset_n_i : in std_logic; Clk_i : in std_logic; Input_i : in std_logic_vector(InputWidth-1 downto 0); Output_o : out std_logic_vector(OutputWidth-1 downto 0); -- Configuration CfgMode_i : in std_logic; CfgClk_i : in std_logic; CfgShift_i : in std_logic; CfgDataIn_i : in std_logic; CfgDataOut_o : out std_logic; -- Scan Chain ScanEnable_i : in std_logic; ScanClk_i : in std_logic; ScanDataIn_i : in std_logic; ScanDataOut_o : out std_logic ); end component; end TRFSMPkg; package body TRFSMPkg is end TRFSMPkg;
-- ------------------------------------------------------------- -- -- Generated Architecture Declaration for rtl of ent_t -- -- Generated -- by: wig -- on: Tue Nov 29 13:29:43 2005 -- cmd: /cygdrive/h/work/eclipse/MIX/mix_0.pl -strip -nodelta ../sigport.xls -- -- !!! Do not edit this file! Autogenerated by MIX !!! -- $Author: wig $ -- $Id: ent_t-rtl-a.vhd,v 1.3 2005/11/30 14:04:00 wig Exp $ -- $Date: 2005/11/30 14:04:00 $ -- $Log: ent_t-rtl-a.vhd,v $ -- Revision 1.3 2005/11/30 14:04:00 wig -- Updated testcase references -- -- -- Based on Mix Architecture Template built into RCSfile: MixWriter.pm,v -- Id: MixWriter.pm,v 1.71 2005/11/22 11:00:47 wig Exp -- -- Generator: mix_0.pl Revision: 1.42 , [email protected] -- (C) 2003,2005 Micronas GmbH -- -- -------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; -- No project specific VHDL libraries/arch -- -- -- Start of Generated Architecture rtl of ent_t -- architecture rtl of ent_t is -- Generated Constant Declarations -- -- Components -- -- Generated Components component ent_a -- No Generated Generics port ( -- Generated Port for Entity ent_a p_mix_sig_01_go : out std_ulogic; p_mix_sig_03_go : out std_ulogic; p_mix_sig_04_gi : in std_ulogic; p_mix_sig_05_2_1_go : out std_ulogic_vector(1 downto 0); p_mix_sig_06_gi : in std_ulogic_vector(3 downto 0); p_mix_sig_i_ae_gi : in std_ulogic_vector(6 downto 0); p_mix_sig_o_ae_go : out std_ulogic_vector(7 downto 0); port_i_a : in std_ulogic; -- Input Port port_o_a : out std_ulogic; -- Output Port sig_07 : in std_ulogic_vector(5 downto 0); -- Conflicting definition, IN false! sig_08 : out std_ulogic_vector(8 downto 2); -- VHDL intermediate needed (port name) sig_13 : out std_ulogic_vector(4 downto 0); -- Create internal signal name sig_i_a2 : in std_ulogic; -- Input Port sig_o_a2 : out std_ulogic -- Output Port -- End of Generated Port for Entity ent_a ); end component; -- --------- component ent_b -- No Generated Generics port ( -- Generated Port for Entity ent_b port_b_1 : in std_ulogic; -- Will create p_mix_sig_1_go port port_b_3 : in std_ulogic; -- Interhierachy link, will create p_mix_sig_3_go port_b_4 : out std_ulogic; -- Interhierachy link, will create p_mix_sig_4_gi port_b_5_1 : in std_ulogic; -- Bus, single bits go to outside, will create p_mix_sig_5_2_2_go __I_AUTO_REDUCED_BUS2SIGNAL port_b_5_2 : in std_ulogic; -- Bus, single bits go to outside, will create P_MIX_sound_alarm_test5_1_1_GO __I_AUTO_REDUCED_BUS2SIGNAL port_b_6i : in std_ulogic_vector(3 downto 0); -- Conflicting definition port_b_6o : out std_ulogic_vector(3 downto 0); -- Conflicting definition sig_07 : in std_ulogic_vector(5 downto 0); -- Conflicting definition, IN false! sig_08 : in std_ulogic_vector(8 downto 2) -- VHDL intermediate needed (port name) -- End of Generated Port for Entity ent_b ); end component; -- --------- -- -- Nets -- -- -- Generated Signal List -- signal sig_01 : std_ulogic; signal sig_03 : std_ulogic; signal sig_04 : std_ulogic; signal sig_05 : std_ulogic_vector(3 downto 0); signal sig_06 : std_ulogic_vector(3 downto 0); signal sig_07 : std_ulogic_vector(5 downto 0); signal sig_08 : std_ulogic_vector(8 downto 2); -- __I_OUT_OPEN signal sig_13 : std_ulogic_vector(4 downto 0); -- -- End of Generated Signal List -- begin -- -- Generated Concurrent Statements -- -- Generated Signal Assignments -- -- Generated Instances -- -- Generated Instances and Port Mappings -- Generated Instance Port Map for inst_a inst_a: ent_a port map ( p_mix_sig_01_go => sig_01, -- Use internally test1Will create p_mix_sig_1_go port p_mix_sig_03_go => sig_03, -- Interhierachy link, will create p_mix_sig_3_go p_mix_sig_04_gi => sig_04, -- Interhierachy link, will create p_mix_sig_4_gi p_mix_sig_05_2_1_go => sig_05(2 downto 1), -- Bus, single bits go to outsideBus, single bits go to outside, will create p_mix_sig_5_2_2_goBu... p_mix_sig_06_gi => sig_06, -- Conflicting definition (X2) p_mix_sig_i_ae_gi => sig_i_ae, -- Input Bus p_mix_sig_o_ae_go => sig_o_ae, -- Output Bus port_i_a => sig_i_a, -- Input Port port_o_a => sig_o_a, -- Output Port sig_07 => sig_07, -- Conflicting definition, IN false! sig_08 => sig_08, -- VHDL intermediate needed (port name) sig_13 => open, -- Create internal signal name -- __I_OUT_OPEN sig_i_a2 => sig_i_a2, -- Input Port sig_o_a2 => sig_o_a2 -- Output Port ); -- End of Generated Instance Port Map for inst_a -- Generated Instance Port Map for inst_b inst_b: ent_b port map ( port_b_1 => sig_01, -- Use internally test1Will create p_mix_sig_1_go port port_b_3 => sig_03, -- Interhierachy link, will create p_mix_sig_3_go port_b_4 => sig_04, -- Interhierachy link, will create p_mix_sig_4_gi port_b_5_1 => sig_05(2), -- Bus, single bits go to outsideBus, single bits go to outside, will create p_mix_sig_5_2_2_goBu... port_b_5_2 => sig_05(1), -- Bus, single bits go to outsideBus, single bits go to outside, will create p_mix_sig_5_2_2_goBu... port_b_6i => sig_06, -- Conflicting definition (X2) port_b_6o => sig_06, -- Conflicting definition (X2) sig_07 => sig_07, -- Conflicting definition, IN false! sig_08 => sig_08 -- VHDL intermediate needed (port name) ); -- End of Generated Instance Port Map for inst_b end rtl; -- --!End of Architecture/s -- --------------------------------------------------------------
------------------------------------------------------------------------------- -- Title : Exercise -- Project : Counter ------------------------------------------------------------------------------- -- File : cntr_top_.vhd -- Author : Martin Angermair -- Company : Technikum Wien, Embedded Systems -- Last update: 24.10.2017 -- Platform : ModelSim ------------------------------------------------------------------------------- -- Description: This is the entity declaration of the fulladder submodule -- of the VHDL class example. ------------------------------------------------------------------------------- -- Revisions : -- Date Version Author Description -- 24.10.2017 0.1 Martin Angermair init ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; entity cntr_top is port (clk_i : in std_logic; -- clock input reset_i : in std_logic; -- central reset sw_i : in std_logic_vector(15 downto 0); -- 16 input switches pb_i : in std_logic_vector(3 downto 0); -- 4 control buttons ss_o : out std_logic_vector(7 downto 0); -- data for all 7-segment digits ss_sel_o : out std_logic_vector(3 downto 0)); -- selection vector for the 7-segment digit end cntr_top;
-- nios_tester.vhd -- Generated using ACDS version 18.1 625 library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity nios_tester is port ( audio_in_data : in std_logic_vector(31 downto 0) := (others => '0'); -- audio_in.data audio_in_valid : in std_logic := '0'; -- .valid audio_in_ready : out std_logic; -- .ready audio_out_data : out std_logic_vector(31 downto 0); -- audio_out.data audio_out_valid : out std_logic; -- .valid audio_out_ready : in std_logic := '0'; -- .ready dummy_export : in std_logic := '0'; -- dummy.export io_ack : in std_logic := '0'; -- io.ack io_rdata : in std_logic_vector(7 downto 0) := (others => '0'); -- .rdata io_read : out std_logic; -- .read io_wdata : out std_logic_vector(7 downto 0); -- .wdata io_write : out std_logic; -- .write io_address : out std_logic_vector(19 downto 0); -- .address io_irq : in std_logic := '0'; -- .irq io_u2p_ack : in std_logic := '0'; -- io_u2p.ack io_u2p_rdata : in std_logic_vector(7 downto 0) := (others => '0'); -- .rdata io_u2p_read : out std_logic; -- .read io_u2p_wdata : out std_logic_vector(7 downto 0); -- .wdata io_u2p_write : out std_logic; -- .write io_u2p_address : out std_logic_vector(19 downto 0); -- .address io_u2p_irq : in std_logic := '0'; -- .irq jtag0_jtag_tck : out std_logic; -- jtag0.jtag_tck jtag0_jtag_tms : out std_logic; -- .jtag_tms jtag0_jtag_tdi : out std_logic; -- .jtag_tdi jtag0_jtag_tdo : in std_logic := '0'; -- .jtag_tdo jtag1_jtag_tck : out std_logic; -- jtag1.jtag_tck jtag1_jtag_tms : out std_logic; -- .jtag_tms jtag1_jtag_tdi : out std_logic; -- .jtag_tdi jtag1_jtag_tdo : in std_logic := '0'; -- .jtag_tdo jtag_in_data : in std_logic_vector(7 downto 0) := (others => '0'); -- jtag_in.data jtag_in_valid : in std_logic := '0'; -- .valid jtag_in_ready : out std_logic; -- .ready mem_mem_req_address : out std_logic_vector(25 downto 0); -- mem.mem_req_address mem_mem_req_byte_en : out std_logic_vector(3 downto 0); -- .mem_req_byte_en mem_mem_req_read_writen : out std_logic; -- .mem_req_read_writen mem_mem_req_request : out std_logic; -- .mem_req_request mem_mem_req_tag : out std_logic_vector(7 downto 0); -- .mem_req_tag mem_mem_req_wdata : out std_logic_vector(31 downto 0); -- .mem_req_wdata mem_mem_resp_dack_tag : in std_logic_vector(7 downto 0) := (others => '0'); -- .mem_resp_dack_tag mem_mem_resp_data : in std_logic_vector(31 downto 0) := (others => '0'); -- .mem_resp_data mem_mem_resp_rack_tag : in std_logic_vector(7 downto 0) := (others => '0'); -- .mem_resp_rack_tag pio_in_port : in std_logic_vector(31 downto 0) := (others => '0'); -- pio.in_port pio_out_port : out std_logic_vector(31 downto 0); -- .out_port spi_MISO : in std_logic := '0'; -- spi.MISO spi_MOSI : out std_logic; -- .MOSI spi_SCLK : out std_logic; -- .SCLK spi_SS_n : out std_logic; -- .SS_n sys_clock_clk : in std_logic := '0'; -- sys_clock.clk sys_reset_reset_n : in std_logic := '0' -- sys_reset.reset_n ); end entity nios_tester; architecture rtl of nios_tester is component nios_tester_audio_in_dma is port ( mm_write_address : out std_logic_vector(25 downto 0); -- address mm_write_write : out std_logic; -- write mm_write_byteenable : out std_logic_vector(3 downto 0); -- byteenable mm_write_writedata : out std_logic_vector(31 downto 0); -- writedata mm_write_waitrequest : in std_logic := 'X'; -- waitrequest clock_clk : in std_logic := 'X'; -- clk reset_n_reset_n : in std_logic := 'X'; -- reset_n csr_writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata csr_write : in std_logic := 'X'; -- write csr_byteenable : in std_logic_vector(3 downto 0) := (others => 'X'); -- byteenable csr_readdata : out std_logic_vector(31 downto 0); -- readdata csr_read : in std_logic := 'X'; -- read csr_address : in std_logic_vector(2 downto 0) := (others => 'X'); -- address descriptor_slave_write : in std_logic := 'X'; -- write descriptor_slave_waitrequest : out std_logic; -- waitrequest descriptor_slave_writedata : in std_logic_vector(127 downto 0) := (others => 'X'); -- writedata descriptor_slave_byteenable : in std_logic_vector(15 downto 0) := (others => 'X'); -- byteenable csr_irq_irq : out std_logic; -- irq st_sink_data : in std_logic_vector(31 downto 0) := (others => 'X'); -- data st_sink_valid : in std_logic := 'X'; -- valid st_sink_ready : out std_logic -- ready ); end component nios_tester_audio_in_dma; component nios_tester_audio_out_dma is port ( mm_read_address : out std_logic_vector(25 downto 0); -- address mm_read_read : out std_logic; -- read mm_read_byteenable : out std_logic_vector(3 downto 0); -- byteenable mm_read_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata mm_read_waitrequest : in std_logic := 'X'; -- waitrequest mm_read_readdatavalid : in std_logic := 'X'; -- readdatavalid clock_clk : in std_logic := 'X'; -- clk reset_n_reset_n : in std_logic := 'X'; -- reset_n csr_writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata csr_write : in std_logic := 'X'; -- write csr_byteenable : in std_logic_vector(3 downto 0) := (others => 'X'); -- byteenable csr_readdata : out std_logic_vector(31 downto 0); -- readdata csr_read : in std_logic := 'X'; -- read csr_address : in std_logic_vector(2 downto 0) := (others => 'X'); -- address descriptor_slave_write : in std_logic := 'X'; -- write descriptor_slave_waitrequest : out std_logic; -- waitrequest descriptor_slave_writedata : in std_logic_vector(127 downto 0) := (others => 'X'); -- writedata descriptor_slave_byteenable : in std_logic_vector(15 downto 0) := (others => 'X'); -- byteenable csr_irq_irq : out std_logic; -- irq st_source_data : out std_logic_vector(31 downto 0); -- data st_source_valid : out std_logic; -- valid st_source_ready : in std_logic := 'X' -- ready ); end component nios_tester_audio_out_dma; component avalon_to_mem32_bridge is generic ( g_tag : std_logic_vector(7 downto 0) := "01011011" ); port ( reset : in std_logic := 'X'; -- reset avs_read : in std_logic := 'X'; -- read avs_write : in std_logic := 'X'; -- write avs_address : in std_logic_vector(25 downto 0) := (others => 'X'); -- address avs_writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata avs_byteenable : in std_logic_vector(3 downto 0) := (others => 'X'); -- byteenable avs_waitrequest : out std_logic; -- waitrequest avs_readdata : out std_logic_vector(31 downto 0); -- readdata avs_readdatavalid : out std_logic; -- readdatavalid clock : in std_logic := 'X'; -- clk mem_req_address : out std_logic_vector(25 downto 0); -- mem_req_address mem_req_byte_en : out std_logic_vector(3 downto 0); -- mem_req_byte_en mem_req_read_writen : out std_logic; -- mem_req_read_writen mem_req_request : out std_logic; -- mem_req_request mem_req_tag : out std_logic_vector(7 downto 0); -- mem_req_tag mem_req_wdata : out std_logic_vector(31 downto 0); -- mem_req_wdata mem_resp_dack_tag : in std_logic_vector(7 downto 0) := (others => 'X'); -- mem_resp_dack_tag mem_resp_data : in std_logic_vector(31 downto 0) := (others => 'X'); -- mem_resp_data mem_resp_rack_tag : in std_logic_vector(7 downto 0) := (others => 'X') -- mem_resp_rack_tag ); end component avalon_to_mem32_bridge; component avalon_to_io_bridge is port ( reset : in std_logic := 'X'; -- reset avs_read : in std_logic := 'X'; -- read avs_write : in std_logic := 'X'; -- write avs_address : in std_logic_vector(19 downto 0) := (others => 'X'); -- address avs_writedata : in std_logic_vector(7 downto 0) := (others => 'X'); -- writedata avs_ready : out std_logic; -- waitrequest_n avs_readdata : out std_logic_vector(7 downto 0); -- readdata avs_readdatavalid : out std_logic; -- readdatavalid clock : in std_logic := 'X'; -- clk io_ack : in std_logic := 'X'; -- ack io_rdata : in std_logic_vector(7 downto 0) := (others => 'X'); -- rdata io_read : out std_logic; -- read io_wdata : out std_logic_vector(7 downto 0); -- wdata io_write : out std_logic; -- write io_address : out std_logic_vector(19 downto 0); -- address io_irq : in std_logic := 'X'; -- irq avs_irq : out std_logic -- irq ); end component avalon_to_io_bridge; component jtag_host is port ( clock : in std_logic := 'X'; -- clk reset : in std_logic := 'X'; -- reset avs_read : in std_logic := 'X'; -- read avs_write : in std_logic := 'X'; -- write avs_address : in std_logic_vector(7 downto 0) := (others => 'X'); -- address avs_writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata avs_ready : out std_logic; -- waitrequest_n avs_readdata : out std_logic_vector(31 downto 0); -- readdata avs_readdatavalid : out std_logic; -- readdatavalid jtag_tck : out std_logic; -- jtag_tck jtag_tms : out std_logic; -- jtag_tms jtag_tdi : out std_logic; -- jtag_tdi jtag_tdo : in std_logic := 'X' -- jtag_tdo ); end component jtag_host; component nios_tester_jtagdebug is port ( mm_write_address : out std_logic_vector(25 downto 0); -- address mm_write_write : out std_logic; -- write mm_write_writedata : out std_logic_vector(7 downto 0); -- writedata mm_write_waitrequest : in std_logic := 'X'; -- waitrequest clock_clk : in std_logic := 'X'; -- clk reset_n_reset_n : in std_logic := 'X'; -- reset_n csr_writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata csr_write : in std_logic := 'X'; -- write csr_byteenable : in std_logic_vector(3 downto 0) := (others => 'X'); -- byteenable csr_readdata : out std_logic_vector(31 downto 0); -- readdata csr_read : in std_logic := 'X'; -- read csr_address : in std_logic_vector(2 downto 0) := (others => 'X'); -- address descriptor_slave_write : in std_logic := 'X'; -- write descriptor_slave_waitrequest : out std_logic; -- waitrequest descriptor_slave_writedata : in std_logic_vector(127 downto 0) := (others => 'X'); -- writedata descriptor_slave_byteenable : in std_logic_vector(15 downto 0) := (others => 'X'); -- byteenable csr_irq_irq : out std_logic; -- irq st_sink_data : in std_logic_vector(7 downto 0) := (others => 'X'); -- data st_sink_valid : in std_logic := 'X'; -- valid st_sink_ready : out std_logic -- ready ); end component nios_tester_jtagdebug; component nios_tester_nios2_gen2_0 is port ( clk : in std_logic := 'X'; -- clk reset_n : in std_logic := 'X'; -- reset_n reset_req : in std_logic := 'X'; -- reset_req d_address : out std_logic_vector(31 downto 0); -- address d_byteenable : out std_logic_vector(3 downto 0); -- byteenable d_read : out std_logic; -- read d_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata d_waitrequest : in std_logic := 'X'; -- waitrequest d_write : out std_logic; -- write d_writedata : out std_logic_vector(31 downto 0); -- writedata debug_mem_slave_debugaccess_to_roms : out std_logic; -- debugaccess i_address : out std_logic_vector(29 downto 0); -- address i_read : out std_logic; -- read i_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata i_waitrequest : in std_logic := 'X'; -- waitrequest irq : in std_logic_vector(31 downto 0) := (others => 'X'); -- irq debug_reset_request : out std_logic; -- reset debug_mem_slave_address : in std_logic_vector(8 downto 0) := (others => 'X'); -- address debug_mem_slave_byteenable : in std_logic_vector(3 downto 0) := (others => 'X'); -- byteenable debug_mem_slave_debugaccess : in std_logic := 'X'; -- debugaccess debug_mem_slave_read : in std_logic := 'X'; -- read debug_mem_slave_readdata : out std_logic_vector(31 downto 0); -- readdata debug_mem_slave_waitrequest : out std_logic; -- waitrequest debug_mem_slave_write : in std_logic := 'X'; -- write debug_mem_slave_writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata dummy_ci_port : out std_logic -- readra ); end component nios_tester_nios2_gen2_0; component nios_tester_onchip_memory2_0 is port ( clk : in std_logic := 'X'; -- clk address : in std_logic_vector(8 downto 0) := (others => 'X'); -- address clken : in std_logic := 'X'; -- clken chipselect : in std_logic := 'X'; -- chipselect write : in std_logic := 'X'; -- write readdata : out std_logic_vector(31 downto 0); -- readdata writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata byteenable : in std_logic_vector(3 downto 0) := (others => 'X'); -- byteenable reset : in std_logic := 'X'; -- reset reset_req : in std_logic := 'X'; -- reset_req freeze : in std_logic := 'X' -- freeze ); end component nios_tester_onchip_memory2_0; component nios_tester_pio_0 is port ( clk : in std_logic := 'X'; -- clk reset_n : in std_logic := 'X'; -- reset_n address : in std_logic_vector(1 downto 0) := (others => 'X'); -- address write_n : in std_logic := 'X'; -- write_n writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata chipselect : in std_logic := 'X'; -- chipselect readdata : out std_logic_vector(31 downto 0); -- readdata in_port : in std_logic := 'X'; -- export irq : out std_logic -- irq ); end component nios_tester_pio_0; component nios_tester_pio_1 is port ( clk : in std_logic := 'X'; -- clk reset_n : in std_logic := 'X'; -- reset_n address : in std_logic_vector(2 downto 0) := (others => 'X'); -- address write_n : in std_logic := 'X'; -- write_n writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata chipselect : in std_logic := 'X'; -- chipselect readdata : out std_logic_vector(31 downto 0); -- readdata in_port : in std_logic_vector(31 downto 0) := (others => 'X'); -- export out_port : out std_logic_vector(31 downto 0) -- export ); end component nios_tester_pio_1; component nios_tester_spi_0 is port ( clk : in std_logic := 'X'; -- clk reset_n : in std_logic := 'X'; -- reset_n data_from_cpu : in std_logic_vector(15 downto 0) := (others => 'X'); -- writedata data_to_cpu : out std_logic_vector(15 downto 0); -- readdata mem_addr : in std_logic_vector(2 downto 0) := (others => 'X'); -- address read_n : in std_logic := 'X'; -- read_n spi_select : in std_logic := 'X'; -- chipselect write_n : in std_logic := 'X'; -- write_n irq : out std_logic; -- irq MISO : in std_logic := 'X'; -- export MOSI : out std_logic; -- export SCLK : out std_logic; -- export SS_n : out std_logic -- export ); end component nios_tester_spi_0; component nios_tester_mm_interconnect_0 is port ( clk_0_clk_clk : in std_logic := 'X'; -- clk nios2_gen2_0_reset_reset_bridge_in_reset_reset : in std_logic := 'X'; -- reset audio_in_dma_mm_write_address : in std_logic_vector(25 downto 0) := (others => 'X'); -- address audio_in_dma_mm_write_waitrequest : out std_logic; -- waitrequest audio_in_dma_mm_write_byteenable : in std_logic_vector(3 downto 0) := (others => 'X'); -- byteenable audio_in_dma_mm_write_write : in std_logic := 'X'; -- write audio_in_dma_mm_write_writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata audio_out_dma_mm_read_address : in std_logic_vector(25 downto 0) := (others => 'X'); -- address audio_out_dma_mm_read_waitrequest : out std_logic; -- waitrequest audio_out_dma_mm_read_byteenable : in std_logic_vector(3 downto 0) := (others => 'X'); -- byteenable audio_out_dma_mm_read_read : in std_logic := 'X'; -- read audio_out_dma_mm_read_readdata : out std_logic_vector(31 downto 0); -- readdata audio_out_dma_mm_read_readdatavalid : out std_logic; -- readdatavalid jtagdebug_mm_write_address : in std_logic_vector(25 downto 0) := (others => 'X'); -- address jtagdebug_mm_write_waitrequest : out std_logic; -- waitrequest jtagdebug_mm_write_write : in std_logic := 'X'; -- write jtagdebug_mm_write_writedata : in std_logic_vector(7 downto 0) := (others => 'X'); -- writedata nios2_gen2_0_data_master_address : in std_logic_vector(31 downto 0) := (others => 'X'); -- address nios2_gen2_0_data_master_waitrequest : out std_logic; -- waitrequest nios2_gen2_0_data_master_byteenable : in std_logic_vector(3 downto 0) := (others => 'X'); -- byteenable nios2_gen2_0_data_master_read : in std_logic := 'X'; -- read nios2_gen2_0_data_master_readdata : out std_logic_vector(31 downto 0); -- readdata nios2_gen2_0_data_master_write : in std_logic := 'X'; -- write nios2_gen2_0_data_master_writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata nios2_gen2_0_data_master_debugaccess : in std_logic := 'X'; -- debugaccess nios2_gen2_0_instruction_master_address : in std_logic_vector(29 downto 0) := (others => 'X'); -- address nios2_gen2_0_instruction_master_waitrequest : out std_logic; -- waitrequest nios2_gen2_0_instruction_master_read : in std_logic := 'X'; -- read nios2_gen2_0_instruction_master_readdata : out std_logic_vector(31 downto 0); -- readdata audio_in_dma_csr_address : out std_logic_vector(2 downto 0); -- address audio_in_dma_csr_write : out std_logic; -- write audio_in_dma_csr_read : out std_logic; -- read audio_in_dma_csr_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata audio_in_dma_csr_writedata : out std_logic_vector(31 downto 0); -- writedata audio_in_dma_csr_byteenable : out std_logic_vector(3 downto 0); -- byteenable audio_in_dma_descriptor_slave_write : out std_logic; -- write audio_in_dma_descriptor_slave_writedata : out std_logic_vector(127 downto 0); -- writedata audio_in_dma_descriptor_slave_byteenable : out std_logic_vector(15 downto 0); -- byteenable audio_in_dma_descriptor_slave_waitrequest : in std_logic := 'X'; -- waitrequest audio_out_dma_csr_address : out std_logic_vector(2 downto 0); -- address audio_out_dma_csr_write : out std_logic; -- write audio_out_dma_csr_read : out std_logic; -- read audio_out_dma_csr_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata audio_out_dma_csr_writedata : out std_logic_vector(31 downto 0); -- writedata audio_out_dma_csr_byteenable : out std_logic_vector(3 downto 0); -- byteenable audio_out_dma_descriptor_slave_write : out std_logic; -- write audio_out_dma_descriptor_slave_writedata : out std_logic_vector(127 downto 0); -- writedata audio_out_dma_descriptor_slave_byteenable : out std_logic_vector(15 downto 0); -- byteenable audio_out_dma_descriptor_slave_waitrequest : in std_logic := 'X'; -- waitrequest avalon2mem_0_avalon_slave_0_address : out std_logic_vector(25 downto 0); -- address avalon2mem_0_avalon_slave_0_write : out std_logic; -- write avalon2mem_0_avalon_slave_0_read : out std_logic; -- read avalon2mem_0_avalon_slave_0_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata avalon2mem_0_avalon_slave_0_writedata : out std_logic_vector(31 downto 0); -- writedata avalon2mem_0_avalon_slave_0_byteenable : out std_logic_vector(3 downto 0); -- byteenable avalon2mem_0_avalon_slave_0_readdatavalid : in std_logic := 'X'; -- readdatavalid avalon2mem_0_avalon_slave_0_waitrequest : in std_logic := 'X'; -- waitrequest io_bridge_0_avalon_slave_0_address : out std_logic_vector(19 downto 0); -- address io_bridge_0_avalon_slave_0_write : out std_logic; -- write io_bridge_0_avalon_slave_0_read : out std_logic; -- read io_bridge_0_avalon_slave_0_readdata : in std_logic_vector(7 downto 0) := (others => 'X'); -- readdata io_bridge_0_avalon_slave_0_writedata : out std_logic_vector(7 downto 0); -- writedata io_bridge_0_avalon_slave_0_readdatavalid : in std_logic := 'X'; -- readdatavalid io_bridge_0_avalon_slave_0_waitrequest : in std_logic := 'X'; -- waitrequest io_bridge_1_avalon_slave_0_address : out std_logic_vector(19 downto 0); -- address io_bridge_1_avalon_slave_0_write : out std_logic; -- write io_bridge_1_avalon_slave_0_read : out std_logic; -- read io_bridge_1_avalon_slave_0_readdata : in std_logic_vector(7 downto 0) := (others => 'X'); -- readdata io_bridge_1_avalon_slave_0_writedata : out std_logic_vector(7 downto 0); -- writedata io_bridge_1_avalon_slave_0_readdatavalid : in std_logic := 'X'; -- readdatavalid io_bridge_1_avalon_slave_0_waitrequest : in std_logic := 'X'; -- waitrequest jtag_0_avalon_slave_0_address : out std_logic_vector(7 downto 0); -- address jtag_0_avalon_slave_0_write : out std_logic; -- write jtag_0_avalon_slave_0_read : out std_logic; -- read jtag_0_avalon_slave_0_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata jtag_0_avalon_slave_0_writedata : out std_logic_vector(31 downto 0); -- writedata jtag_0_avalon_slave_0_readdatavalid : in std_logic := 'X'; -- readdatavalid jtag_0_avalon_slave_0_waitrequest : in std_logic := 'X'; -- waitrequest jtag_1_avalon_slave_0_address : out std_logic_vector(7 downto 0); -- address jtag_1_avalon_slave_0_write : out std_logic; -- write jtag_1_avalon_slave_0_read : out std_logic; -- read jtag_1_avalon_slave_0_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata jtag_1_avalon_slave_0_writedata : out std_logic_vector(31 downto 0); -- writedata jtag_1_avalon_slave_0_readdatavalid : in std_logic := 'X'; -- readdatavalid jtag_1_avalon_slave_0_waitrequest : in std_logic := 'X'; -- waitrequest jtagdebug_csr_address : out std_logic_vector(2 downto 0); -- address jtagdebug_csr_write : out std_logic; -- write jtagdebug_csr_read : out std_logic; -- read jtagdebug_csr_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata jtagdebug_csr_writedata : out std_logic_vector(31 downto 0); -- writedata jtagdebug_csr_byteenable : out std_logic_vector(3 downto 0); -- byteenable jtagdebug_descriptor_slave_write : out std_logic; -- write jtagdebug_descriptor_slave_writedata : out std_logic_vector(127 downto 0); -- writedata jtagdebug_descriptor_slave_byteenable : out std_logic_vector(15 downto 0); -- byteenable jtagdebug_descriptor_slave_waitrequest : in std_logic := 'X'; -- waitrequest nios2_gen2_0_debug_mem_slave_address : out std_logic_vector(8 downto 0); -- address nios2_gen2_0_debug_mem_slave_write : out std_logic; -- write nios2_gen2_0_debug_mem_slave_read : out std_logic; -- read nios2_gen2_0_debug_mem_slave_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata nios2_gen2_0_debug_mem_slave_writedata : out std_logic_vector(31 downto 0); -- writedata nios2_gen2_0_debug_mem_slave_byteenable : out std_logic_vector(3 downto 0); -- byteenable nios2_gen2_0_debug_mem_slave_waitrequest : in std_logic := 'X'; -- waitrequest nios2_gen2_0_debug_mem_slave_debugaccess : out std_logic; -- debugaccess onchip_memory2_0_s1_address : out std_logic_vector(8 downto 0); -- address onchip_memory2_0_s1_write : out std_logic; -- write onchip_memory2_0_s1_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata onchip_memory2_0_s1_writedata : out std_logic_vector(31 downto 0); -- writedata onchip_memory2_0_s1_byteenable : out std_logic_vector(3 downto 0); -- byteenable onchip_memory2_0_s1_chipselect : out std_logic; -- chipselect onchip_memory2_0_s1_clken : out std_logic; -- clken pio_0_s1_address : out std_logic_vector(1 downto 0); -- address pio_0_s1_write : out std_logic; -- write pio_0_s1_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata pio_0_s1_writedata : out std_logic_vector(31 downto 0); -- writedata pio_0_s1_chipselect : out std_logic; -- chipselect pio_1_s1_address : out std_logic_vector(2 downto 0); -- address pio_1_s1_write : out std_logic; -- write pio_1_s1_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata pio_1_s1_writedata : out std_logic_vector(31 downto 0); -- writedata pio_1_s1_chipselect : out std_logic; -- chipselect spi_0_spi_control_port_address : out std_logic_vector(2 downto 0); -- address spi_0_spi_control_port_write : out std_logic; -- write spi_0_spi_control_port_read : out std_logic; -- read spi_0_spi_control_port_readdata : in std_logic_vector(15 downto 0) := (others => 'X'); -- readdata spi_0_spi_control_port_writedata : out std_logic_vector(15 downto 0); -- writedata spi_0_spi_control_port_chipselect : out std_logic -- chipselect ); end component nios_tester_mm_interconnect_0; component nios_tester_irq_mapper is port ( clk : in std_logic := 'X'; -- clk reset : in std_logic := 'X'; -- reset receiver0_irq : in std_logic := 'X'; -- irq receiver1_irq : in std_logic := 'X'; -- irq receiver2_irq : in std_logic := 'X'; -- irq receiver3_irq : in std_logic := 'X'; -- irq receiver4_irq : in std_logic := 'X'; -- irq receiver5_irq : in std_logic := 'X'; -- irq receiver6_irq : in std_logic := 'X'; -- irq sender_irq : out std_logic_vector(31 downto 0) -- irq ); end component nios_tester_irq_mapper; component altera_reset_controller is generic ( NUM_RESET_INPUTS : integer := 6; OUTPUT_RESET_SYNC_EDGES : string := "deassert"; SYNC_DEPTH : integer := 2; RESET_REQUEST_PRESENT : integer := 0; RESET_REQ_WAIT_TIME : integer := 1; MIN_RST_ASSERTION_TIME : integer := 3; RESET_REQ_EARLY_DSRT_TIME : integer := 1; USE_RESET_REQUEST_IN0 : integer := 0; USE_RESET_REQUEST_IN1 : integer := 0; USE_RESET_REQUEST_IN2 : integer := 0; USE_RESET_REQUEST_IN3 : integer := 0; USE_RESET_REQUEST_IN4 : integer := 0; USE_RESET_REQUEST_IN5 : integer := 0; USE_RESET_REQUEST_IN6 : integer := 0; USE_RESET_REQUEST_IN7 : integer := 0; USE_RESET_REQUEST_IN8 : integer := 0; USE_RESET_REQUEST_IN9 : integer := 0; USE_RESET_REQUEST_IN10 : integer := 0; USE_RESET_REQUEST_IN11 : integer := 0; USE_RESET_REQUEST_IN12 : integer := 0; USE_RESET_REQUEST_IN13 : integer := 0; USE_RESET_REQUEST_IN14 : integer := 0; USE_RESET_REQUEST_IN15 : integer := 0; ADAPT_RESET_REQUEST : integer := 0 ); port ( reset_in0 : in std_logic := 'X'; -- reset clk : in std_logic := 'X'; -- clk reset_out : out std_logic; -- reset reset_req : out std_logic; -- reset_req reset_req_in0 : in std_logic := 'X'; -- reset_req reset_in1 : in std_logic := 'X'; -- reset reset_req_in1 : in std_logic := 'X'; -- reset_req reset_in2 : in std_logic := 'X'; -- reset reset_req_in2 : in std_logic := 'X'; -- reset_req reset_in3 : in std_logic := 'X'; -- reset reset_req_in3 : in std_logic := 'X'; -- reset_req reset_in4 : in std_logic := 'X'; -- reset reset_req_in4 : in std_logic := 'X'; -- reset_req reset_in5 : in std_logic := 'X'; -- reset reset_req_in5 : in std_logic := 'X'; -- reset_req reset_in6 : in std_logic := 'X'; -- reset reset_req_in6 : in std_logic := 'X'; -- reset_req reset_in7 : in std_logic := 'X'; -- reset reset_req_in7 : in std_logic := 'X'; -- reset_req reset_in8 : in std_logic := 'X'; -- reset reset_req_in8 : in std_logic := 'X'; -- reset_req reset_in9 : in std_logic := 'X'; -- reset reset_req_in9 : in std_logic := 'X'; -- reset_req reset_in10 : in std_logic := 'X'; -- reset reset_req_in10 : in std_logic := 'X'; -- reset_req reset_in11 : in std_logic := 'X'; -- reset reset_req_in11 : in std_logic := 'X'; -- reset_req reset_in12 : in std_logic := 'X'; -- reset reset_req_in12 : in std_logic := 'X'; -- reset_req reset_in13 : in std_logic := 'X'; -- reset reset_req_in13 : in std_logic := 'X'; -- reset_req reset_in14 : in std_logic := 'X'; -- reset reset_req_in14 : in std_logic := 'X'; -- reset_req reset_in15 : in std_logic := 'X'; -- reset reset_req_in15 : in std_logic := 'X' -- reset_req ); end component altera_reset_controller; signal nios2_gen2_0_data_master_readdata : std_logic_vector(31 downto 0); -- mm_interconnect_0:nios2_gen2_0_data_master_readdata -> nios2_gen2_0:d_readdata signal nios2_gen2_0_data_master_waitrequest : std_logic; -- mm_interconnect_0:nios2_gen2_0_data_master_waitrequest -> nios2_gen2_0:d_waitrequest signal nios2_gen2_0_data_master_debugaccess : std_logic; -- nios2_gen2_0:debug_mem_slave_debugaccess_to_roms -> mm_interconnect_0:nios2_gen2_0_data_master_debugaccess signal nios2_gen2_0_data_master_address : std_logic_vector(31 downto 0); -- nios2_gen2_0:d_address -> mm_interconnect_0:nios2_gen2_0_data_master_address signal nios2_gen2_0_data_master_byteenable : std_logic_vector(3 downto 0); -- nios2_gen2_0:d_byteenable -> mm_interconnect_0:nios2_gen2_0_data_master_byteenable signal nios2_gen2_0_data_master_read : std_logic; -- nios2_gen2_0:d_read -> mm_interconnect_0:nios2_gen2_0_data_master_read signal nios2_gen2_0_data_master_write : std_logic; -- nios2_gen2_0:d_write -> mm_interconnect_0:nios2_gen2_0_data_master_write signal nios2_gen2_0_data_master_writedata : std_logic_vector(31 downto 0); -- nios2_gen2_0:d_writedata -> mm_interconnect_0:nios2_gen2_0_data_master_writedata signal nios2_gen2_0_instruction_master_readdata : std_logic_vector(31 downto 0); -- mm_interconnect_0:nios2_gen2_0_instruction_master_readdata -> nios2_gen2_0:i_readdata signal nios2_gen2_0_instruction_master_waitrequest : std_logic; -- mm_interconnect_0:nios2_gen2_0_instruction_master_waitrequest -> nios2_gen2_0:i_waitrequest signal nios2_gen2_0_instruction_master_address : std_logic_vector(29 downto 0); -- nios2_gen2_0:i_address -> mm_interconnect_0:nios2_gen2_0_instruction_master_address signal nios2_gen2_0_instruction_master_read : std_logic; -- nios2_gen2_0:i_read -> mm_interconnect_0:nios2_gen2_0_instruction_master_read signal audio_out_dma_mm_read_readdata : std_logic_vector(31 downto 0); -- mm_interconnect_0:audio_out_dma_mm_read_readdata -> audio_out_dma:mm_read_readdata signal audio_out_dma_mm_read_waitrequest : std_logic; -- mm_interconnect_0:audio_out_dma_mm_read_waitrequest -> audio_out_dma:mm_read_waitrequest signal audio_out_dma_mm_read_address : std_logic_vector(25 downto 0); -- audio_out_dma:mm_read_address -> mm_interconnect_0:audio_out_dma_mm_read_address signal audio_out_dma_mm_read_read : std_logic; -- audio_out_dma:mm_read_read -> mm_interconnect_0:audio_out_dma_mm_read_read signal audio_out_dma_mm_read_byteenable : std_logic_vector(3 downto 0); -- audio_out_dma:mm_read_byteenable -> mm_interconnect_0:audio_out_dma_mm_read_byteenable signal audio_out_dma_mm_read_readdatavalid : std_logic; -- mm_interconnect_0:audio_out_dma_mm_read_readdatavalid -> audio_out_dma:mm_read_readdatavalid signal audio_in_dma_mm_write_waitrequest : std_logic; -- mm_interconnect_0:audio_in_dma_mm_write_waitrequest -> audio_in_dma:mm_write_waitrequest signal audio_in_dma_mm_write_address : std_logic_vector(25 downto 0); -- audio_in_dma:mm_write_address -> mm_interconnect_0:audio_in_dma_mm_write_address signal audio_in_dma_mm_write_byteenable : std_logic_vector(3 downto 0); -- audio_in_dma:mm_write_byteenable -> mm_interconnect_0:audio_in_dma_mm_write_byteenable signal audio_in_dma_mm_write_write : std_logic; -- audio_in_dma:mm_write_write -> mm_interconnect_0:audio_in_dma_mm_write_write signal audio_in_dma_mm_write_writedata : std_logic_vector(31 downto 0); -- audio_in_dma:mm_write_writedata -> mm_interconnect_0:audio_in_dma_mm_write_writedata signal jtagdebug_mm_write_waitrequest : std_logic; -- mm_interconnect_0:jtagdebug_mm_write_waitrequest -> jtagdebug:mm_write_waitrequest signal jtagdebug_mm_write_address : std_logic_vector(25 downto 0); -- jtagdebug:mm_write_address -> mm_interconnect_0:jtagdebug_mm_write_address signal jtagdebug_mm_write_write : std_logic; -- jtagdebug:mm_write_write -> mm_interconnect_0:jtagdebug_mm_write_write signal jtagdebug_mm_write_writedata : std_logic_vector(7 downto 0); -- jtagdebug:mm_write_writedata -> mm_interconnect_0:jtagdebug_mm_write_writedata signal mm_interconnect_0_io_bridge_1_avalon_slave_0_readdata : std_logic_vector(7 downto 0); -- io_bridge_1:avs_readdata -> mm_interconnect_0:io_bridge_1_avalon_slave_0_readdata signal io_bridge_1_avalon_slave_0_waitrequest : std_logic; -- io_bridge_1:avs_ready -> io_bridge_1_avalon_slave_0_waitrequest:in signal mm_interconnect_0_io_bridge_1_avalon_slave_0_address : std_logic_vector(19 downto 0); -- mm_interconnect_0:io_bridge_1_avalon_slave_0_address -> io_bridge_1:avs_address signal mm_interconnect_0_io_bridge_1_avalon_slave_0_read : std_logic; -- mm_interconnect_0:io_bridge_1_avalon_slave_0_read -> io_bridge_1:avs_read signal mm_interconnect_0_io_bridge_1_avalon_slave_0_readdatavalid : std_logic; -- io_bridge_1:avs_readdatavalid -> mm_interconnect_0:io_bridge_1_avalon_slave_0_readdatavalid signal mm_interconnect_0_io_bridge_1_avalon_slave_0_write : std_logic; -- mm_interconnect_0:io_bridge_1_avalon_slave_0_write -> io_bridge_1:avs_write signal mm_interconnect_0_io_bridge_1_avalon_slave_0_writedata : std_logic_vector(7 downto 0); -- mm_interconnect_0:io_bridge_1_avalon_slave_0_writedata -> io_bridge_1:avs_writedata signal mm_interconnect_0_avalon2mem_0_avalon_slave_0_readdata : std_logic_vector(31 downto 0); -- avalon2mem_0:avs_readdata -> mm_interconnect_0:avalon2mem_0_avalon_slave_0_readdata signal mm_interconnect_0_avalon2mem_0_avalon_slave_0_waitrequest : std_logic; -- avalon2mem_0:avs_waitrequest -> mm_interconnect_0:avalon2mem_0_avalon_slave_0_waitrequest signal mm_interconnect_0_avalon2mem_0_avalon_slave_0_address : std_logic_vector(25 downto 0); -- mm_interconnect_0:avalon2mem_0_avalon_slave_0_address -> avalon2mem_0:avs_address signal mm_interconnect_0_avalon2mem_0_avalon_slave_0_read : std_logic; -- mm_interconnect_0:avalon2mem_0_avalon_slave_0_read -> avalon2mem_0:avs_read signal mm_interconnect_0_avalon2mem_0_avalon_slave_0_byteenable : std_logic_vector(3 downto 0); -- mm_interconnect_0:avalon2mem_0_avalon_slave_0_byteenable -> avalon2mem_0:avs_byteenable signal mm_interconnect_0_avalon2mem_0_avalon_slave_0_readdatavalid : std_logic; -- avalon2mem_0:avs_readdatavalid -> mm_interconnect_0:avalon2mem_0_avalon_slave_0_readdatavalid signal mm_interconnect_0_avalon2mem_0_avalon_slave_0_write : std_logic; -- mm_interconnect_0:avalon2mem_0_avalon_slave_0_write -> avalon2mem_0:avs_write signal mm_interconnect_0_avalon2mem_0_avalon_slave_0_writedata : std_logic_vector(31 downto 0); -- mm_interconnect_0:avalon2mem_0_avalon_slave_0_writedata -> avalon2mem_0:avs_writedata signal mm_interconnect_0_jtag_0_avalon_slave_0_readdata : std_logic_vector(31 downto 0); -- jtag_0:avs_readdata -> mm_interconnect_0:jtag_0_avalon_slave_0_readdata signal jtag_0_avalon_slave_0_waitrequest : std_logic; -- jtag_0:avs_ready -> jtag_0_avalon_slave_0_waitrequest:in signal mm_interconnect_0_jtag_0_avalon_slave_0_address : std_logic_vector(7 downto 0); -- mm_interconnect_0:jtag_0_avalon_slave_0_address -> jtag_0:avs_address signal mm_interconnect_0_jtag_0_avalon_slave_0_read : std_logic; -- mm_interconnect_0:jtag_0_avalon_slave_0_read -> jtag_0:avs_read signal mm_interconnect_0_jtag_0_avalon_slave_0_readdatavalid : std_logic; -- jtag_0:avs_readdatavalid -> mm_interconnect_0:jtag_0_avalon_slave_0_readdatavalid signal mm_interconnect_0_jtag_0_avalon_slave_0_write : std_logic; -- mm_interconnect_0:jtag_0_avalon_slave_0_write -> jtag_0:avs_write signal mm_interconnect_0_jtag_0_avalon_slave_0_writedata : std_logic_vector(31 downto 0); -- mm_interconnect_0:jtag_0_avalon_slave_0_writedata -> jtag_0:avs_writedata signal mm_interconnect_0_jtag_1_avalon_slave_0_readdata : std_logic_vector(31 downto 0); -- jtag_1:avs_readdata -> mm_interconnect_0:jtag_1_avalon_slave_0_readdata signal jtag_1_avalon_slave_0_waitrequest : std_logic; -- jtag_1:avs_ready -> jtag_1_avalon_slave_0_waitrequest:in signal mm_interconnect_0_jtag_1_avalon_slave_0_address : std_logic_vector(7 downto 0); -- mm_interconnect_0:jtag_1_avalon_slave_0_address -> jtag_1:avs_address signal mm_interconnect_0_jtag_1_avalon_slave_0_read : std_logic; -- mm_interconnect_0:jtag_1_avalon_slave_0_read -> jtag_1:avs_read signal mm_interconnect_0_jtag_1_avalon_slave_0_readdatavalid : std_logic; -- jtag_1:avs_readdatavalid -> mm_interconnect_0:jtag_1_avalon_slave_0_readdatavalid signal mm_interconnect_0_jtag_1_avalon_slave_0_write : std_logic; -- mm_interconnect_0:jtag_1_avalon_slave_0_write -> jtag_1:avs_write signal mm_interconnect_0_jtag_1_avalon_slave_0_writedata : std_logic_vector(31 downto 0); -- mm_interconnect_0:jtag_1_avalon_slave_0_writedata -> jtag_1:avs_writedata signal mm_interconnect_0_io_bridge_0_avalon_slave_0_readdata : std_logic_vector(7 downto 0); -- io_bridge_0:avs_readdata -> mm_interconnect_0:io_bridge_0_avalon_slave_0_readdata signal io_bridge_0_avalon_slave_0_waitrequest : std_logic; -- io_bridge_0:avs_ready -> io_bridge_0_avalon_slave_0_waitrequest:in signal mm_interconnect_0_io_bridge_0_avalon_slave_0_address : std_logic_vector(19 downto 0); -- mm_interconnect_0:io_bridge_0_avalon_slave_0_address -> io_bridge_0:avs_address signal mm_interconnect_0_io_bridge_0_avalon_slave_0_read : std_logic; -- mm_interconnect_0:io_bridge_0_avalon_slave_0_read -> io_bridge_0:avs_read signal mm_interconnect_0_io_bridge_0_avalon_slave_0_readdatavalid : std_logic; -- io_bridge_0:avs_readdatavalid -> mm_interconnect_0:io_bridge_0_avalon_slave_0_readdatavalid signal mm_interconnect_0_io_bridge_0_avalon_slave_0_write : std_logic; -- mm_interconnect_0:io_bridge_0_avalon_slave_0_write -> io_bridge_0:avs_write signal mm_interconnect_0_io_bridge_0_avalon_slave_0_writedata : std_logic_vector(7 downto 0); -- mm_interconnect_0:io_bridge_0_avalon_slave_0_writedata -> io_bridge_0:avs_writedata signal mm_interconnect_0_audio_out_dma_csr_readdata : std_logic_vector(31 downto 0); -- audio_out_dma:csr_readdata -> mm_interconnect_0:audio_out_dma_csr_readdata signal mm_interconnect_0_audio_out_dma_csr_address : std_logic_vector(2 downto 0); -- mm_interconnect_0:audio_out_dma_csr_address -> audio_out_dma:csr_address signal mm_interconnect_0_audio_out_dma_csr_read : std_logic; -- mm_interconnect_0:audio_out_dma_csr_read -> audio_out_dma:csr_read signal mm_interconnect_0_audio_out_dma_csr_byteenable : std_logic_vector(3 downto 0); -- mm_interconnect_0:audio_out_dma_csr_byteenable -> audio_out_dma:csr_byteenable signal mm_interconnect_0_audio_out_dma_csr_write : std_logic; -- mm_interconnect_0:audio_out_dma_csr_write -> audio_out_dma:csr_write signal mm_interconnect_0_audio_out_dma_csr_writedata : std_logic_vector(31 downto 0); -- mm_interconnect_0:audio_out_dma_csr_writedata -> audio_out_dma:csr_writedata signal mm_interconnect_0_audio_in_dma_csr_readdata : std_logic_vector(31 downto 0); -- audio_in_dma:csr_readdata -> mm_interconnect_0:audio_in_dma_csr_readdata signal mm_interconnect_0_audio_in_dma_csr_address : std_logic_vector(2 downto 0); -- mm_interconnect_0:audio_in_dma_csr_address -> audio_in_dma:csr_address signal mm_interconnect_0_audio_in_dma_csr_read : std_logic; -- mm_interconnect_0:audio_in_dma_csr_read -> audio_in_dma:csr_read signal mm_interconnect_0_audio_in_dma_csr_byteenable : std_logic_vector(3 downto 0); -- mm_interconnect_0:audio_in_dma_csr_byteenable -> audio_in_dma:csr_byteenable signal mm_interconnect_0_audio_in_dma_csr_write : std_logic; -- mm_interconnect_0:audio_in_dma_csr_write -> audio_in_dma:csr_write signal mm_interconnect_0_audio_in_dma_csr_writedata : std_logic_vector(31 downto 0); -- mm_interconnect_0:audio_in_dma_csr_writedata -> audio_in_dma:csr_writedata signal mm_interconnect_0_jtagdebug_csr_readdata : std_logic_vector(31 downto 0); -- jtagdebug:csr_readdata -> mm_interconnect_0:jtagdebug_csr_readdata signal mm_interconnect_0_jtagdebug_csr_address : std_logic_vector(2 downto 0); -- mm_interconnect_0:jtagdebug_csr_address -> jtagdebug:csr_address signal mm_interconnect_0_jtagdebug_csr_read : std_logic; -- mm_interconnect_0:jtagdebug_csr_read -> jtagdebug:csr_read signal mm_interconnect_0_jtagdebug_csr_byteenable : std_logic_vector(3 downto 0); -- mm_interconnect_0:jtagdebug_csr_byteenable -> jtagdebug:csr_byteenable signal mm_interconnect_0_jtagdebug_csr_write : std_logic; -- mm_interconnect_0:jtagdebug_csr_write -> jtagdebug:csr_write signal mm_interconnect_0_jtagdebug_csr_writedata : std_logic_vector(31 downto 0); -- mm_interconnect_0:jtagdebug_csr_writedata -> jtagdebug:csr_writedata signal mm_interconnect_0_nios2_gen2_0_debug_mem_slave_readdata : std_logic_vector(31 downto 0); -- nios2_gen2_0:debug_mem_slave_readdata -> mm_interconnect_0:nios2_gen2_0_debug_mem_slave_readdata signal mm_interconnect_0_nios2_gen2_0_debug_mem_slave_waitrequest : std_logic; -- nios2_gen2_0:debug_mem_slave_waitrequest -> mm_interconnect_0:nios2_gen2_0_debug_mem_slave_waitrequest signal mm_interconnect_0_nios2_gen2_0_debug_mem_slave_debugaccess : std_logic; -- mm_interconnect_0:nios2_gen2_0_debug_mem_slave_debugaccess -> nios2_gen2_0:debug_mem_slave_debugaccess signal mm_interconnect_0_nios2_gen2_0_debug_mem_slave_address : std_logic_vector(8 downto 0); -- mm_interconnect_0:nios2_gen2_0_debug_mem_slave_address -> nios2_gen2_0:debug_mem_slave_address signal mm_interconnect_0_nios2_gen2_0_debug_mem_slave_read : std_logic; -- mm_interconnect_0:nios2_gen2_0_debug_mem_slave_read -> nios2_gen2_0:debug_mem_slave_read signal mm_interconnect_0_nios2_gen2_0_debug_mem_slave_byteenable : std_logic_vector(3 downto 0); -- mm_interconnect_0:nios2_gen2_0_debug_mem_slave_byteenable -> nios2_gen2_0:debug_mem_slave_byteenable signal mm_interconnect_0_nios2_gen2_0_debug_mem_slave_write : std_logic; -- mm_interconnect_0:nios2_gen2_0_debug_mem_slave_write -> nios2_gen2_0:debug_mem_slave_write signal mm_interconnect_0_nios2_gen2_0_debug_mem_slave_writedata : std_logic_vector(31 downto 0); -- mm_interconnect_0:nios2_gen2_0_debug_mem_slave_writedata -> nios2_gen2_0:debug_mem_slave_writedata signal mm_interconnect_0_audio_out_dma_descriptor_slave_waitrequest : std_logic; -- audio_out_dma:descriptor_slave_waitrequest -> mm_interconnect_0:audio_out_dma_descriptor_slave_waitrequest signal mm_interconnect_0_audio_out_dma_descriptor_slave_byteenable : std_logic_vector(15 downto 0); -- mm_interconnect_0:audio_out_dma_descriptor_slave_byteenable -> audio_out_dma:descriptor_slave_byteenable signal mm_interconnect_0_audio_out_dma_descriptor_slave_write : std_logic; -- mm_interconnect_0:audio_out_dma_descriptor_slave_write -> audio_out_dma:descriptor_slave_write signal mm_interconnect_0_audio_out_dma_descriptor_slave_writedata : std_logic_vector(127 downto 0); -- mm_interconnect_0:audio_out_dma_descriptor_slave_writedata -> audio_out_dma:descriptor_slave_writedata signal mm_interconnect_0_audio_in_dma_descriptor_slave_waitrequest : std_logic; -- audio_in_dma:descriptor_slave_waitrequest -> mm_interconnect_0:audio_in_dma_descriptor_slave_waitrequest signal mm_interconnect_0_audio_in_dma_descriptor_slave_byteenable : std_logic_vector(15 downto 0); -- mm_interconnect_0:audio_in_dma_descriptor_slave_byteenable -> audio_in_dma:descriptor_slave_byteenable signal mm_interconnect_0_audio_in_dma_descriptor_slave_write : std_logic; -- mm_interconnect_0:audio_in_dma_descriptor_slave_write -> audio_in_dma:descriptor_slave_write signal mm_interconnect_0_audio_in_dma_descriptor_slave_writedata : std_logic_vector(127 downto 0); -- mm_interconnect_0:audio_in_dma_descriptor_slave_writedata -> audio_in_dma:descriptor_slave_writedata signal mm_interconnect_0_jtagdebug_descriptor_slave_waitrequest : std_logic; -- jtagdebug:descriptor_slave_waitrequest -> mm_interconnect_0:jtagdebug_descriptor_slave_waitrequest signal mm_interconnect_0_jtagdebug_descriptor_slave_byteenable : std_logic_vector(15 downto 0); -- mm_interconnect_0:jtagdebug_descriptor_slave_byteenable -> jtagdebug:descriptor_slave_byteenable signal mm_interconnect_0_jtagdebug_descriptor_slave_write : std_logic; -- mm_interconnect_0:jtagdebug_descriptor_slave_write -> jtagdebug:descriptor_slave_write signal mm_interconnect_0_jtagdebug_descriptor_slave_writedata : std_logic_vector(127 downto 0); -- mm_interconnect_0:jtagdebug_descriptor_slave_writedata -> jtagdebug:descriptor_slave_writedata signal mm_interconnect_0_onchip_memory2_0_s1_chipselect : std_logic; -- mm_interconnect_0:onchip_memory2_0_s1_chipselect -> onchip_memory2_0:chipselect signal mm_interconnect_0_onchip_memory2_0_s1_readdata : std_logic_vector(31 downto 0); -- onchip_memory2_0:readdata -> mm_interconnect_0:onchip_memory2_0_s1_readdata signal mm_interconnect_0_onchip_memory2_0_s1_address : std_logic_vector(8 downto 0); -- mm_interconnect_0:onchip_memory2_0_s1_address -> onchip_memory2_0:address signal mm_interconnect_0_onchip_memory2_0_s1_byteenable : std_logic_vector(3 downto 0); -- mm_interconnect_0:onchip_memory2_0_s1_byteenable -> onchip_memory2_0:byteenable signal mm_interconnect_0_onchip_memory2_0_s1_write : std_logic; -- mm_interconnect_0:onchip_memory2_0_s1_write -> onchip_memory2_0:write signal mm_interconnect_0_onchip_memory2_0_s1_writedata : std_logic_vector(31 downto 0); -- mm_interconnect_0:onchip_memory2_0_s1_writedata -> onchip_memory2_0:writedata signal mm_interconnect_0_onchip_memory2_0_s1_clken : std_logic; -- mm_interconnect_0:onchip_memory2_0_s1_clken -> onchip_memory2_0:clken signal mm_interconnect_0_pio_0_s1_chipselect : std_logic; -- mm_interconnect_0:pio_0_s1_chipselect -> pio_0:chipselect signal mm_interconnect_0_pio_0_s1_readdata : std_logic_vector(31 downto 0); -- pio_0:readdata -> mm_interconnect_0:pio_0_s1_readdata signal mm_interconnect_0_pio_0_s1_address : std_logic_vector(1 downto 0); -- mm_interconnect_0:pio_0_s1_address -> pio_0:address signal mm_interconnect_0_pio_0_s1_write : std_logic; -- mm_interconnect_0:pio_0_s1_write -> mm_interconnect_0_pio_0_s1_write:in signal mm_interconnect_0_pio_0_s1_writedata : std_logic_vector(31 downto 0); -- mm_interconnect_0:pio_0_s1_writedata -> pio_0:writedata signal mm_interconnect_0_pio_1_s1_chipselect : std_logic; -- mm_interconnect_0:pio_1_s1_chipselect -> pio_1:chipselect signal mm_interconnect_0_pio_1_s1_readdata : std_logic_vector(31 downto 0); -- pio_1:readdata -> mm_interconnect_0:pio_1_s1_readdata signal mm_interconnect_0_pio_1_s1_address : std_logic_vector(2 downto 0); -- mm_interconnect_0:pio_1_s1_address -> pio_1:address signal mm_interconnect_0_pio_1_s1_write : std_logic; -- mm_interconnect_0:pio_1_s1_write -> mm_interconnect_0_pio_1_s1_write:in signal mm_interconnect_0_pio_1_s1_writedata : std_logic_vector(31 downto 0); -- mm_interconnect_0:pio_1_s1_writedata -> pio_1:writedata signal mm_interconnect_0_spi_0_spi_control_port_chipselect : std_logic; -- mm_interconnect_0:spi_0_spi_control_port_chipselect -> spi_0:spi_select signal mm_interconnect_0_spi_0_spi_control_port_readdata : std_logic_vector(15 downto 0); -- spi_0:data_to_cpu -> mm_interconnect_0:spi_0_spi_control_port_readdata signal mm_interconnect_0_spi_0_spi_control_port_address : std_logic_vector(2 downto 0); -- mm_interconnect_0:spi_0_spi_control_port_address -> spi_0:mem_addr signal mm_interconnect_0_spi_0_spi_control_port_read : std_logic; -- mm_interconnect_0:spi_0_spi_control_port_read -> mm_interconnect_0_spi_0_spi_control_port_read:in signal mm_interconnect_0_spi_0_spi_control_port_write : std_logic; -- mm_interconnect_0:spi_0_spi_control_port_write -> mm_interconnect_0_spi_0_spi_control_port_write:in signal mm_interconnect_0_spi_0_spi_control_port_writedata : std_logic_vector(15 downto 0); -- mm_interconnect_0:spi_0_spi_control_port_writedata -> spi_0:data_from_cpu signal irq_mapper_receiver0_irq : std_logic; -- audio_out_dma:csr_irq_irq -> irq_mapper:receiver0_irq signal irq_mapper_receiver1_irq : std_logic; -- audio_in_dma:csr_irq_irq -> irq_mapper:receiver1_irq signal irq_mapper_receiver2_irq : std_logic; -- jtagdebug:csr_irq_irq -> irq_mapper:receiver2_irq signal irq_mapper_receiver3_irq : std_logic; -- io_bridge_1:avs_irq -> irq_mapper:receiver3_irq signal irq_mapper_receiver4_irq : std_logic; -- pio_0:irq -> irq_mapper:receiver4_irq signal irq_mapper_receiver5_irq : std_logic; -- spi_0:irq -> irq_mapper:receiver5_irq signal irq_mapper_receiver6_irq : std_logic; -- io_bridge_0:avs_irq -> irq_mapper:receiver6_irq signal nios2_gen2_0_irq_irq : std_logic_vector(31 downto 0); -- irq_mapper:sender_irq -> nios2_gen2_0:irq signal rst_controller_reset_out_reset : std_logic; -- rst_controller:reset_out -> [avalon2mem_0:reset, io_bridge_0:reset, io_bridge_1:reset, irq_mapper:reset, jtag_0:reset, jtag_1:reset, mm_interconnect_0:nios2_gen2_0_reset_reset_bridge_in_reset_reset, onchip_memory2_0:reset, rst_controller_reset_out_reset:in, rst_translator:in_reset] signal rst_controller_reset_out_reset_req : std_logic; -- rst_controller:reset_req -> [nios2_gen2_0:reset_req, onchip_memory2_0:reset_req, rst_translator:reset_req_in] signal sys_reset_reset_n_ports_inv : std_logic; -- sys_reset_reset_n:inv -> rst_controller:reset_in0 signal mm_interconnect_0_io_bridge_1_avalon_slave_0_inv : std_logic; -- io_bridge_1_avalon_slave_0_waitrequest:inv -> mm_interconnect_0:io_bridge_1_avalon_slave_0_waitrequest signal mm_interconnect_0_jtag_0_avalon_slave_0_inv : std_logic; -- jtag_0_avalon_slave_0_waitrequest:inv -> mm_interconnect_0:jtag_0_avalon_slave_0_waitrequest signal mm_interconnect_0_jtag_1_avalon_slave_0_inv : std_logic; -- jtag_1_avalon_slave_0_waitrequest:inv -> mm_interconnect_0:jtag_1_avalon_slave_0_waitrequest signal mm_interconnect_0_io_bridge_0_avalon_slave_0_inv : std_logic; -- io_bridge_0_avalon_slave_0_waitrequest:inv -> mm_interconnect_0:io_bridge_0_avalon_slave_0_waitrequest signal mm_interconnect_0_pio_0_s1_write_ports_inv : std_logic; -- mm_interconnect_0_pio_0_s1_write:inv -> pio_0:write_n signal mm_interconnect_0_pio_1_s1_write_ports_inv : std_logic; -- mm_interconnect_0_pio_1_s1_write:inv -> pio_1:write_n signal mm_interconnect_0_spi_0_spi_control_port_read_ports_inv : std_logic; -- mm_interconnect_0_spi_0_spi_control_port_read:inv -> spi_0:read_n signal mm_interconnect_0_spi_0_spi_control_port_write_ports_inv : std_logic; -- mm_interconnect_0_spi_0_spi_control_port_write:inv -> spi_0:write_n signal rst_controller_reset_out_reset_ports_inv : std_logic; -- rst_controller_reset_out_reset:inv -> [audio_in_dma:reset_n_reset_n, audio_out_dma:reset_n_reset_n, jtagdebug:reset_n_reset_n, nios2_gen2_0:reset_n, pio_0:reset_n, pio_1:reset_n, spi_0:reset_n] begin audio_in_dma : component nios_tester_audio_in_dma port map ( mm_write_address => audio_in_dma_mm_write_address, -- mm_write.address mm_write_write => audio_in_dma_mm_write_write, -- .write mm_write_byteenable => audio_in_dma_mm_write_byteenable, -- .byteenable mm_write_writedata => audio_in_dma_mm_write_writedata, -- .writedata mm_write_waitrequest => audio_in_dma_mm_write_waitrequest, -- .waitrequest clock_clk => sys_clock_clk, -- clock.clk reset_n_reset_n => rst_controller_reset_out_reset_ports_inv, -- reset_n.reset_n csr_writedata => mm_interconnect_0_audio_in_dma_csr_writedata, -- csr.writedata csr_write => mm_interconnect_0_audio_in_dma_csr_write, -- .write csr_byteenable => mm_interconnect_0_audio_in_dma_csr_byteenable, -- .byteenable csr_readdata => mm_interconnect_0_audio_in_dma_csr_readdata, -- .readdata csr_read => mm_interconnect_0_audio_in_dma_csr_read, -- .read csr_address => mm_interconnect_0_audio_in_dma_csr_address, -- .address descriptor_slave_write => mm_interconnect_0_audio_in_dma_descriptor_slave_write, -- descriptor_slave.write descriptor_slave_waitrequest => mm_interconnect_0_audio_in_dma_descriptor_slave_waitrequest, -- .waitrequest descriptor_slave_writedata => mm_interconnect_0_audio_in_dma_descriptor_slave_writedata, -- .writedata descriptor_slave_byteenable => mm_interconnect_0_audio_in_dma_descriptor_slave_byteenable, -- .byteenable csr_irq_irq => irq_mapper_receiver1_irq, -- csr_irq.irq st_sink_data => audio_in_data, -- st_sink.data st_sink_valid => audio_in_valid, -- .valid st_sink_ready => audio_in_ready -- .ready ); audio_out_dma : component nios_tester_audio_out_dma port map ( mm_read_address => audio_out_dma_mm_read_address, -- mm_read.address mm_read_read => audio_out_dma_mm_read_read, -- .read mm_read_byteenable => audio_out_dma_mm_read_byteenable, -- .byteenable mm_read_readdata => audio_out_dma_mm_read_readdata, -- .readdata mm_read_waitrequest => audio_out_dma_mm_read_waitrequest, -- .waitrequest mm_read_readdatavalid => audio_out_dma_mm_read_readdatavalid, -- .readdatavalid clock_clk => sys_clock_clk, -- clock.clk reset_n_reset_n => rst_controller_reset_out_reset_ports_inv, -- reset_n.reset_n csr_writedata => mm_interconnect_0_audio_out_dma_csr_writedata, -- csr.writedata csr_write => mm_interconnect_0_audio_out_dma_csr_write, -- .write csr_byteenable => mm_interconnect_0_audio_out_dma_csr_byteenable, -- .byteenable csr_readdata => mm_interconnect_0_audio_out_dma_csr_readdata, -- .readdata csr_read => mm_interconnect_0_audio_out_dma_csr_read, -- .read csr_address => mm_interconnect_0_audio_out_dma_csr_address, -- .address descriptor_slave_write => mm_interconnect_0_audio_out_dma_descriptor_slave_write, -- descriptor_slave.write descriptor_slave_waitrequest => mm_interconnect_0_audio_out_dma_descriptor_slave_waitrequest, -- .waitrequest descriptor_slave_writedata => mm_interconnect_0_audio_out_dma_descriptor_slave_writedata, -- .writedata descriptor_slave_byteenable => mm_interconnect_0_audio_out_dma_descriptor_slave_byteenable, -- .byteenable csr_irq_irq => irq_mapper_receiver0_irq, -- csr_irq.irq st_source_data => audio_out_data, -- st_source.data st_source_valid => audio_out_valid, -- .valid st_source_ready => audio_out_ready -- .ready ); avalon2mem_0 : component avalon_to_mem32_bridge generic map ( g_tag => "01011011" ) port map ( reset => rst_controller_reset_out_reset, -- reset.reset avs_read => mm_interconnect_0_avalon2mem_0_avalon_slave_0_read, -- avalon_slave_0.read avs_write => mm_interconnect_0_avalon2mem_0_avalon_slave_0_write, -- .write avs_address => mm_interconnect_0_avalon2mem_0_avalon_slave_0_address, -- .address avs_writedata => mm_interconnect_0_avalon2mem_0_avalon_slave_0_writedata, -- .writedata avs_byteenable => mm_interconnect_0_avalon2mem_0_avalon_slave_0_byteenable, -- .byteenable avs_waitrequest => mm_interconnect_0_avalon2mem_0_avalon_slave_0_waitrequest, -- .waitrequest avs_readdata => mm_interconnect_0_avalon2mem_0_avalon_slave_0_readdata, -- .readdata avs_readdatavalid => mm_interconnect_0_avalon2mem_0_avalon_slave_0_readdatavalid, -- .readdatavalid clock => sys_clock_clk, -- clock.clk mem_req_address => mem_mem_req_address, -- mem.mem_req_address mem_req_byte_en => mem_mem_req_byte_en, -- .mem_req_byte_en mem_req_read_writen => mem_mem_req_read_writen, -- .mem_req_read_writen mem_req_request => mem_mem_req_request, -- .mem_req_request mem_req_tag => mem_mem_req_tag, -- .mem_req_tag mem_req_wdata => mem_mem_req_wdata, -- .mem_req_wdata mem_resp_dack_tag => mem_mem_resp_dack_tag, -- .mem_resp_dack_tag mem_resp_data => mem_mem_resp_data, -- .mem_resp_data mem_resp_rack_tag => mem_mem_resp_rack_tag -- .mem_resp_rack_tag ); io_bridge_0 : component avalon_to_io_bridge port map ( reset => rst_controller_reset_out_reset, -- reset.reset avs_read => mm_interconnect_0_io_bridge_0_avalon_slave_0_read, -- avalon_slave_0.read avs_write => mm_interconnect_0_io_bridge_0_avalon_slave_0_write, -- .write avs_address => mm_interconnect_0_io_bridge_0_avalon_slave_0_address, -- .address avs_writedata => mm_interconnect_0_io_bridge_0_avalon_slave_0_writedata, -- .writedata avs_ready => io_bridge_0_avalon_slave_0_waitrequest, -- .waitrequest_n avs_readdata => mm_interconnect_0_io_bridge_0_avalon_slave_0_readdata, -- .readdata avs_readdatavalid => mm_interconnect_0_io_bridge_0_avalon_slave_0_readdatavalid, -- .readdatavalid clock => sys_clock_clk, -- clock.clk io_ack => io_ack, -- io.ack io_rdata => io_rdata, -- .rdata io_read => io_read, -- .read io_wdata => io_wdata, -- .wdata io_write => io_write, -- .write io_address => io_address, -- .address io_irq => io_irq, -- .irq avs_irq => irq_mapper_receiver6_irq -- irq.irq ); io_bridge_1 : component avalon_to_io_bridge port map ( reset => rst_controller_reset_out_reset, -- reset.reset avs_read => mm_interconnect_0_io_bridge_1_avalon_slave_0_read, -- avalon_slave_0.read avs_write => mm_interconnect_0_io_bridge_1_avalon_slave_0_write, -- .write avs_address => mm_interconnect_0_io_bridge_1_avalon_slave_0_address, -- .address avs_writedata => mm_interconnect_0_io_bridge_1_avalon_slave_0_writedata, -- .writedata avs_ready => io_bridge_1_avalon_slave_0_waitrequest, -- .waitrequest_n avs_readdata => mm_interconnect_0_io_bridge_1_avalon_slave_0_readdata, -- .readdata avs_readdatavalid => mm_interconnect_0_io_bridge_1_avalon_slave_0_readdatavalid, -- .readdatavalid clock => sys_clock_clk, -- clock.clk io_ack => io_u2p_ack, -- io.ack io_rdata => io_u2p_rdata, -- .rdata io_read => io_u2p_read, -- .read io_wdata => io_u2p_wdata, -- .wdata io_write => io_u2p_write, -- .write io_address => io_u2p_address, -- .address io_irq => io_u2p_irq, -- .irq avs_irq => irq_mapper_receiver3_irq -- irq.irq ); jtag_0 : component jtag_host port map ( clock => sys_clock_clk, -- clock.clk reset => rst_controller_reset_out_reset, -- reset.reset avs_read => mm_interconnect_0_jtag_0_avalon_slave_0_read, -- avalon_slave_0.read avs_write => mm_interconnect_0_jtag_0_avalon_slave_0_write, -- .write avs_address => mm_interconnect_0_jtag_0_avalon_slave_0_address, -- .address avs_writedata => mm_interconnect_0_jtag_0_avalon_slave_0_writedata, -- .writedata avs_ready => jtag_0_avalon_slave_0_waitrequest, -- .waitrequest_n avs_readdata => mm_interconnect_0_jtag_0_avalon_slave_0_readdata, -- .readdata avs_readdatavalid => mm_interconnect_0_jtag_0_avalon_slave_0_readdatavalid, -- .readdatavalid jtag_tck => jtag0_jtag_tck, -- jtag.jtag_tck jtag_tms => jtag0_jtag_tms, -- .jtag_tms jtag_tdi => jtag0_jtag_tdi, -- .jtag_tdi jtag_tdo => jtag0_jtag_tdo -- .jtag_tdo ); jtag_1 : component jtag_host port map ( clock => sys_clock_clk, -- clock.clk reset => rst_controller_reset_out_reset, -- reset.reset avs_read => mm_interconnect_0_jtag_1_avalon_slave_0_read, -- avalon_slave_0.read avs_write => mm_interconnect_0_jtag_1_avalon_slave_0_write, -- .write avs_address => mm_interconnect_0_jtag_1_avalon_slave_0_address, -- .address avs_writedata => mm_interconnect_0_jtag_1_avalon_slave_0_writedata, -- .writedata avs_ready => jtag_1_avalon_slave_0_waitrequest, -- .waitrequest_n avs_readdata => mm_interconnect_0_jtag_1_avalon_slave_0_readdata, -- .readdata avs_readdatavalid => mm_interconnect_0_jtag_1_avalon_slave_0_readdatavalid, -- .readdatavalid jtag_tck => jtag1_jtag_tck, -- jtag.jtag_tck jtag_tms => jtag1_jtag_tms, -- .jtag_tms jtag_tdi => jtag1_jtag_tdi, -- .jtag_tdi jtag_tdo => jtag1_jtag_tdo -- .jtag_tdo ); jtagdebug : component nios_tester_jtagdebug port map ( mm_write_address => jtagdebug_mm_write_address, -- mm_write.address mm_write_write => jtagdebug_mm_write_write, -- .write mm_write_writedata => jtagdebug_mm_write_writedata, -- .writedata mm_write_waitrequest => jtagdebug_mm_write_waitrequest, -- .waitrequest clock_clk => sys_clock_clk, -- clock.clk reset_n_reset_n => rst_controller_reset_out_reset_ports_inv, -- reset_n.reset_n csr_writedata => mm_interconnect_0_jtagdebug_csr_writedata, -- csr.writedata csr_write => mm_interconnect_0_jtagdebug_csr_write, -- .write csr_byteenable => mm_interconnect_0_jtagdebug_csr_byteenable, -- .byteenable csr_readdata => mm_interconnect_0_jtagdebug_csr_readdata, -- .readdata csr_read => mm_interconnect_0_jtagdebug_csr_read, -- .read csr_address => mm_interconnect_0_jtagdebug_csr_address, -- .address descriptor_slave_write => mm_interconnect_0_jtagdebug_descriptor_slave_write, -- descriptor_slave.write descriptor_slave_waitrequest => mm_interconnect_0_jtagdebug_descriptor_slave_waitrequest, -- .waitrequest descriptor_slave_writedata => mm_interconnect_0_jtagdebug_descriptor_slave_writedata, -- .writedata descriptor_slave_byteenable => mm_interconnect_0_jtagdebug_descriptor_slave_byteenable, -- .byteenable csr_irq_irq => irq_mapper_receiver2_irq, -- csr_irq.irq st_sink_data => jtag_in_data, -- st_sink.data st_sink_valid => jtag_in_valid, -- .valid st_sink_ready => jtag_in_ready -- .ready ); nios2_gen2_0 : component nios_tester_nios2_gen2_0 port map ( clk => sys_clock_clk, -- clk.clk reset_n => rst_controller_reset_out_reset_ports_inv, -- reset.reset_n reset_req => rst_controller_reset_out_reset_req, -- .reset_req d_address => nios2_gen2_0_data_master_address, -- data_master.address d_byteenable => nios2_gen2_0_data_master_byteenable, -- .byteenable d_read => nios2_gen2_0_data_master_read, -- .read d_readdata => nios2_gen2_0_data_master_readdata, -- .readdata d_waitrequest => nios2_gen2_0_data_master_waitrequest, -- .waitrequest d_write => nios2_gen2_0_data_master_write, -- .write d_writedata => nios2_gen2_0_data_master_writedata, -- .writedata debug_mem_slave_debugaccess_to_roms => nios2_gen2_0_data_master_debugaccess, -- .debugaccess i_address => nios2_gen2_0_instruction_master_address, -- instruction_master.address i_read => nios2_gen2_0_instruction_master_read, -- .read i_readdata => nios2_gen2_0_instruction_master_readdata, -- .readdata i_waitrequest => nios2_gen2_0_instruction_master_waitrequest, -- .waitrequest irq => nios2_gen2_0_irq_irq, -- irq.irq debug_reset_request => open, -- debug_reset_request.reset debug_mem_slave_address => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_address, -- debug_mem_slave.address debug_mem_slave_byteenable => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_byteenable, -- .byteenable debug_mem_slave_debugaccess => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_debugaccess, -- .debugaccess debug_mem_slave_read => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_read, -- .read debug_mem_slave_readdata => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_readdata, -- .readdata debug_mem_slave_waitrequest => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_waitrequest, -- .waitrequest debug_mem_slave_write => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_write, -- .write debug_mem_slave_writedata => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_writedata, -- .writedata dummy_ci_port => open -- custom_instruction_master.readra ); onchip_memory2_0 : component nios_tester_onchip_memory2_0 port map ( clk => sys_clock_clk, -- clk1.clk address => mm_interconnect_0_onchip_memory2_0_s1_address, -- s1.address clken => mm_interconnect_0_onchip_memory2_0_s1_clken, -- .clken chipselect => mm_interconnect_0_onchip_memory2_0_s1_chipselect, -- .chipselect write => mm_interconnect_0_onchip_memory2_0_s1_write, -- .write readdata => mm_interconnect_0_onchip_memory2_0_s1_readdata, -- .readdata writedata => mm_interconnect_0_onchip_memory2_0_s1_writedata, -- .writedata byteenable => mm_interconnect_0_onchip_memory2_0_s1_byteenable, -- .byteenable reset => rst_controller_reset_out_reset, -- reset1.reset reset_req => rst_controller_reset_out_reset_req, -- .reset_req freeze => '0' -- (terminated) ); pio_0 : component nios_tester_pio_0 port map ( clk => sys_clock_clk, -- clk.clk reset_n => rst_controller_reset_out_reset_ports_inv, -- reset.reset_n address => mm_interconnect_0_pio_0_s1_address, -- s1.address write_n => mm_interconnect_0_pio_0_s1_write_ports_inv, -- .write_n writedata => mm_interconnect_0_pio_0_s1_writedata, -- .writedata chipselect => mm_interconnect_0_pio_0_s1_chipselect, -- .chipselect readdata => mm_interconnect_0_pio_0_s1_readdata, -- .readdata in_port => dummy_export, -- external_connection.export irq => irq_mapper_receiver4_irq -- irq.irq ); pio_1 : component nios_tester_pio_1 port map ( clk => sys_clock_clk, -- clk.clk reset_n => rst_controller_reset_out_reset_ports_inv, -- reset.reset_n address => mm_interconnect_0_pio_1_s1_address, -- s1.address write_n => mm_interconnect_0_pio_1_s1_write_ports_inv, -- .write_n writedata => mm_interconnect_0_pio_1_s1_writedata, -- .writedata chipselect => mm_interconnect_0_pio_1_s1_chipselect, -- .chipselect readdata => mm_interconnect_0_pio_1_s1_readdata, -- .readdata in_port => pio_in_port, -- external_connection.export out_port => pio_out_port -- .export ); spi_0 : component nios_tester_spi_0 port map ( clk => sys_clock_clk, -- clk.clk reset_n => rst_controller_reset_out_reset_ports_inv, -- reset.reset_n data_from_cpu => mm_interconnect_0_spi_0_spi_control_port_writedata, -- spi_control_port.writedata data_to_cpu => mm_interconnect_0_spi_0_spi_control_port_readdata, -- .readdata mem_addr => mm_interconnect_0_spi_0_spi_control_port_address, -- .address read_n => mm_interconnect_0_spi_0_spi_control_port_read_ports_inv, -- .read_n spi_select => mm_interconnect_0_spi_0_spi_control_port_chipselect, -- .chipselect write_n => mm_interconnect_0_spi_0_spi_control_port_write_ports_inv, -- .write_n irq => irq_mapper_receiver5_irq, -- irq.irq MISO => spi_MISO, -- external.export MOSI => spi_MOSI, -- .export SCLK => spi_SCLK, -- .export SS_n => spi_SS_n -- .export ); mm_interconnect_0 : component nios_tester_mm_interconnect_0 port map ( clk_0_clk_clk => sys_clock_clk, -- clk_0_clk.clk nios2_gen2_0_reset_reset_bridge_in_reset_reset => rst_controller_reset_out_reset, -- nios2_gen2_0_reset_reset_bridge_in_reset.reset audio_in_dma_mm_write_address => audio_in_dma_mm_write_address, -- audio_in_dma_mm_write.address audio_in_dma_mm_write_waitrequest => audio_in_dma_mm_write_waitrequest, -- .waitrequest audio_in_dma_mm_write_byteenable => audio_in_dma_mm_write_byteenable, -- .byteenable audio_in_dma_mm_write_write => audio_in_dma_mm_write_write, -- .write audio_in_dma_mm_write_writedata => audio_in_dma_mm_write_writedata, -- .writedata audio_out_dma_mm_read_address => audio_out_dma_mm_read_address, -- audio_out_dma_mm_read.address audio_out_dma_mm_read_waitrequest => audio_out_dma_mm_read_waitrequest, -- .waitrequest audio_out_dma_mm_read_byteenable => audio_out_dma_mm_read_byteenable, -- .byteenable audio_out_dma_mm_read_read => audio_out_dma_mm_read_read, -- .read audio_out_dma_mm_read_readdata => audio_out_dma_mm_read_readdata, -- .readdata audio_out_dma_mm_read_readdatavalid => audio_out_dma_mm_read_readdatavalid, -- .readdatavalid jtagdebug_mm_write_address => jtagdebug_mm_write_address, -- jtagdebug_mm_write.address jtagdebug_mm_write_waitrequest => jtagdebug_mm_write_waitrequest, -- .waitrequest jtagdebug_mm_write_write => jtagdebug_mm_write_write, -- .write jtagdebug_mm_write_writedata => jtagdebug_mm_write_writedata, -- .writedata nios2_gen2_0_data_master_address => nios2_gen2_0_data_master_address, -- nios2_gen2_0_data_master.address nios2_gen2_0_data_master_waitrequest => nios2_gen2_0_data_master_waitrequest, -- .waitrequest nios2_gen2_0_data_master_byteenable => nios2_gen2_0_data_master_byteenable, -- .byteenable nios2_gen2_0_data_master_read => nios2_gen2_0_data_master_read, -- .read nios2_gen2_0_data_master_readdata => nios2_gen2_0_data_master_readdata, -- .readdata nios2_gen2_0_data_master_write => nios2_gen2_0_data_master_write, -- .write nios2_gen2_0_data_master_writedata => nios2_gen2_0_data_master_writedata, -- .writedata nios2_gen2_0_data_master_debugaccess => nios2_gen2_0_data_master_debugaccess, -- .debugaccess nios2_gen2_0_instruction_master_address => nios2_gen2_0_instruction_master_address, -- nios2_gen2_0_instruction_master.address nios2_gen2_0_instruction_master_waitrequest => nios2_gen2_0_instruction_master_waitrequest, -- .waitrequest nios2_gen2_0_instruction_master_read => nios2_gen2_0_instruction_master_read, -- .read nios2_gen2_0_instruction_master_readdata => nios2_gen2_0_instruction_master_readdata, -- .readdata audio_in_dma_csr_address => mm_interconnect_0_audio_in_dma_csr_address, -- audio_in_dma_csr.address audio_in_dma_csr_write => mm_interconnect_0_audio_in_dma_csr_write, -- .write audio_in_dma_csr_read => mm_interconnect_0_audio_in_dma_csr_read, -- .read audio_in_dma_csr_readdata => mm_interconnect_0_audio_in_dma_csr_readdata, -- .readdata audio_in_dma_csr_writedata => mm_interconnect_0_audio_in_dma_csr_writedata, -- .writedata audio_in_dma_csr_byteenable => mm_interconnect_0_audio_in_dma_csr_byteenable, -- .byteenable audio_in_dma_descriptor_slave_write => mm_interconnect_0_audio_in_dma_descriptor_slave_write, -- audio_in_dma_descriptor_slave.write audio_in_dma_descriptor_slave_writedata => mm_interconnect_0_audio_in_dma_descriptor_slave_writedata, -- .writedata audio_in_dma_descriptor_slave_byteenable => mm_interconnect_0_audio_in_dma_descriptor_slave_byteenable, -- .byteenable audio_in_dma_descriptor_slave_waitrequest => mm_interconnect_0_audio_in_dma_descriptor_slave_waitrequest, -- .waitrequest audio_out_dma_csr_address => mm_interconnect_0_audio_out_dma_csr_address, -- audio_out_dma_csr.address audio_out_dma_csr_write => mm_interconnect_0_audio_out_dma_csr_write, -- .write audio_out_dma_csr_read => mm_interconnect_0_audio_out_dma_csr_read, -- .read audio_out_dma_csr_readdata => mm_interconnect_0_audio_out_dma_csr_readdata, -- .readdata audio_out_dma_csr_writedata => mm_interconnect_0_audio_out_dma_csr_writedata, -- .writedata audio_out_dma_csr_byteenable => mm_interconnect_0_audio_out_dma_csr_byteenable, -- .byteenable audio_out_dma_descriptor_slave_write => mm_interconnect_0_audio_out_dma_descriptor_slave_write, -- audio_out_dma_descriptor_slave.write audio_out_dma_descriptor_slave_writedata => mm_interconnect_0_audio_out_dma_descriptor_slave_writedata, -- .writedata audio_out_dma_descriptor_slave_byteenable => mm_interconnect_0_audio_out_dma_descriptor_slave_byteenable, -- .byteenable audio_out_dma_descriptor_slave_waitrequest => mm_interconnect_0_audio_out_dma_descriptor_slave_waitrequest, -- .waitrequest avalon2mem_0_avalon_slave_0_address => mm_interconnect_0_avalon2mem_0_avalon_slave_0_address, -- avalon2mem_0_avalon_slave_0.address avalon2mem_0_avalon_slave_0_write => mm_interconnect_0_avalon2mem_0_avalon_slave_0_write, -- .write avalon2mem_0_avalon_slave_0_read => mm_interconnect_0_avalon2mem_0_avalon_slave_0_read, -- .read avalon2mem_0_avalon_slave_0_readdata => mm_interconnect_0_avalon2mem_0_avalon_slave_0_readdata, -- .readdata avalon2mem_0_avalon_slave_0_writedata => mm_interconnect_0_avalon2mem_0_avalon_slave_0_writedata, -- .writedata avalon2mem_0_avalon_slave_0_byteenable => mm_interconnect_0_avalon2mem_0_avalon_slave_0_byteenable, -- .byteenable avalon2mem_0_avalon_slave_0_readdatavalid => mm_interconnect_0_avalon2mem_0_avalon_slave_0_readdatavalid, -- .readdatavalid avalon2mem_0_avalon_slave_0_waitrequest => mm_interconnect_0_avalon2mem_0_avalon_slave_0_waitrequest, -- .waitrequest io_bridge_0_avalon_slave_0_address => mm_interconnect_0_io_bridge_0_avalon_slave_0_address, -- io_bridge_0_avalon_slave_0.address io_bridge_0_avalon_slave_0_write => mm_interconnect_0_io_bridge_0_avalon_slave_0_write, -- .write io_bridge_0_avalon_slave_0_read => mm_interconnect_0_io_bridge_0_avalon_slave_0_read, -- .read io_bridge_0_avalon_slave_0_readdata => mm_interconnect_0_io_bridge_0_avalon_slave_0_readdata, -- .readdata io_bridge_0_avalon_slave_0_writedata => mm_interconnect_0_io_bridge_0_avalon_slave_0_writedata, -- .writedata io_bridge_0_avalon_slave_0_readdatavalid => mm_interconnect_0_io_bridge_0_avalon_slave_0_readdatavalid, -- .readdatavalid io_bridge_0_avalon_slave_0_waitrequest => mm_interconnect_0_io_bridge_0_avalon_slave_0_inv, -- .waitrequest io_bridge_1_avalon_slave_0_address => mm_interconnect_0_io_bridge_1_avalon_slave_0_address, -- io_bridge_1_avalon_slave_0.address io_bridge_1_avalon_slave_0_write => mm_interconnect_0_io_bridge_1_avalon_slave_0_write, -- .write io_bridge_1_avalon_slave_0_read => mm_interconnect_0_io_bridge_1_avalon_slave_0_read, -- .read io_bridge_1_avalon_slave_0_readdata => mm_interconnect_0_io_bridge_1_avalon_slave_0_readdata, -- .readdata io_bridge_1_avalon_slave_0_writedata => mm_interconnect_0_io_bridge_1_avalon_slave_0_writedata, -- .writedata io_bridge_1_avalon_slave_0_readdatavalid => mm_interconnect_0_io_bridge_1_avalon_slave_0_readdatavalid, -- .readdatavalid io_bridge_1_avalon_slave_0_waitrequest => mm_interconnect_0_io_bridge_1_avalon_slave_0_inv, -- .waitrequest jtag_0_avalon_slave_0_address => mm_interconnect_0_jtag_0_avalon_slave_0_address, -- jtag_0_avalon_slave_0.address jtag_0_avalon_slave_0_write => mm_interconnect_0_jtag_0_avalon_slave_0_write, -- .write jtag_0_avalon_slave_0_read => mm_interconnect_0_jtag_0_avalon_slave_0_read, -- .read jtag_0_avalon_slave_0_readdata => mm_interconnect_0_jtag_0_avalon_slave_0_readdata, -- .readdata jtag_0_avalon_slave_0_writedata => mm_interconnect_0_jtag_0_avalon_slave_0_writedata, -- .writedata jtag_0_avalon_slave_0_readdatavalid => mm_interconnect_0_jtag_0_avalon_slave_0_readdatavalid, -- .readdatavalid jtag_0_avalon_slave_0_waitrequest => mm_interconnect_0_jtag_0_avalon_slave_0_inv, -- .waitrequest jtag_1_avalon_slave_0_address => mm_interconnect_0_jtag_1_avalon_slave_0_address, -- jtag_1_avalon_slave_0.address jtag_1_avalon_slave_0_write => mm_interconnect_0_jtag_1_avalon_slave_0_write, -- .write jtag_1_avalon_slave_0_read => mm_interconnect_0_jtag_1_avalon_slave_0_read, -- .read jtag_1_avalon_slave_0_readdata => mm_interconnect_0_jtag_1_avalon_slave_0_readdata, -- .readdata jtag_1_avalon_slave_0_writedata => mm_interconnect_0_jtag_1_avalon_slave_0_writedata, -- .writedata jtag_1_avalon_slave_0_readdatavalid => mm_interconnect_0_jtag_1_avalon_slave_0_readdatavalid, -- .readdatavalid jtag_1_avalon_slave_0_waitrequest => mm_interconnect_0_jtag_1_avalon_slave_0_inv, -- .waitrequest jtagdebug_csr_address => mm_interconnect_0_jtagdebug_csr_address, -- jtagdebug_csr.address jtagdebug_csr_write => mm_interconnect_0_jtagdebug_csr_write, -- .write jtagdebug_csr_read => mm_interconnect_0_jtagdebug_csr_read, -- .read jtagdebug_csr_readdata => mm_interconnect_0_jtagdebug_csr_readdata, -- .readdata jtagdebug_csr_writedata => mm_interconnect_0_jtagdebug_csr_writedata, -- .writedata jtagdebug_csr_byteenable => mm_interconnect_0_jtagdebug_csr_byteenable, -- .byteenable jtagdebug_descriptor_slave_write => mm_interconnect_0_jtagdebug_descriptor_slave_write, -- jtagdebug_descriptor_slave.write jtagdebug_descriptor_slave_writedata => mm_interconnect_0_jtagdebug_descriptor_slave_writedata, -- .writedata jtagdebug_descriptor_slave_byteenable => mm_interconnect_0_jtagdebug_descriptor_slave_byteenable, -- .byteenable jtagdebug_descriptor_slave_waitrequest => mm_interconnect_0_jtagdebug_descriptor_slave_waitrequest, -- .waitrequest nios2_gen2_0_debug_mem_slave_address => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_address, -- nios2_gen2_0_debug_mem_slave.address nios2_gen2_0_debug_mem_slave_write => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_write, -- .write nios2_gen2_0_debug_mem_slave_read => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_read, -- .read nios2_gen2_0_debug_mem_slave_readdata => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_readdata, -- .readdata nios2_gen2_0_debug_mem_slave_writedata => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_writedata, -- .writedata nios2_gen2_0_debug_mem_slave_byteenable => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_byteenable, -- .byteenable nios2_gen2_0_debug_mem_slave_waitrequest => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_waitrequest, -- .waitrequest nios2_gen2_0_debug_mem_slave_debugaccess => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_debugaccess, -- .debugaccess onchip_memory2_0_s1_address => mm_interconnect_0_onchip_memory2_0_s1_address, -- onchip_memory2_0_s1.address onchip_memory2_0_s1_write => mm_interconnect_0_onchip_memory2_0_s1_write, -- .write onchip_memory2_0_s1_readdata => mm_interconnect_0_onchip_memory2_0_s1_readdata, -- .readdata onchip_memory2_0_s1_writedata => mm_interconnect_0_onchip_memory2_0_s1_writedata, -- .writedata onchip_memory2_0_s1_byteenable => mm_interconnect_0_onchip_memory2_0_s1_byteenable, -- .byteenable onchip_memory2_0_s1_chipselect => mm_interconnect_0_onchip_memory2_0_s1_chipselect, -- .chipselect onchip_memory2_0_s1_clken => mm_interconnect_0_onchip_memory2_0_s1_clken, -- .clken pio_0_s1_address => mm_interconnect_0_pio_0_s1_address, -- pio_0_s1.address pio_0_s1_write => mm_interconnect_0_pio_0_s1_write, -- .write pio_0_s1_readdata => mm_interconnect_0_pio_0_s1_readdata, -- .readdata pio_0_s1_writedata => mm_interconnect_0_pio_0_s1_writedata, -- .writedata pio_0_s1_chipselect => mm_interconnect_0_pio_0_s1_chipselect, -- .chipselect pio_1_s1_address => mm_interconnect_0_pio_1_s1_address, -- pio_1_s1.address pio_1_s1_write => mm_interconnect_0_pio_1_s1_write, -- .write pio_1_s1_readdata => mm_interconnect_0_pio_1_s1_readdata, -- .readdata pio_1_s1_writedata => mm_interconnect_0_pio_1_s1_writedata, -- .writedata pio_1_s1_chipselect => mm_interconnect_0_pio_1_s1_chipselect, -- .chipselect spi_0_spi_control_port_address => mm_interconnect_0_spi_0_spi_control_port_address, -- spi_0_spi_control_port.address spi_0_spi_control_port_write => mm_interconnect_0_spi_0_spi_control_port_write, -- .write spi_0_spi_control_port_read => mm_interconnect_0_spi_0_spi_control_port_read, -- .read spi_0_spi_control_port_readdata => mm_interconnect_0_spi_0_spi_control_port_readdata, -- .readdata spi_0_spi_control_port_writedata => mm_interconnect_0_spi_0_spi_control_port_writedata, -- .writedata spi_0_spi_control_port_chipselect => mm_interconnect_0_spi_0_spi_control_port_chipselect -- .chipselect ); irq_mapper : component nios_tester_irq_mapper port map ( clk => sys_clock_clk, -- clk.clk reset => rst_controller_reset_out_reset, -- clk_reset.reset receiver0_irq => irq_mapper_receiver0_irq, -- receiver0.irq receiver1_irq => irq_mapper_receiver1_irq, -- receiver1.irq receiver2_irq => irq_mapper_receiver2_irq, -- receiver2.irq receiver3_irq => irq_mapper_receiver3_irq, -- receiver3.irq receiver4_irq => irq_mapper_receiver4_irq, -- receiver4.irq receiver5_irq => irq_mapper_receiver5_irq, -- receiver5.irq receiver6_irq => irq_mapper_receiver6_irq, -- receiver6.irq sender_irq => nios2_gen2_0_irq_irq -- sender.irq ); rst_controller : component altera_reset_controller generic map ( NUM_RESET_INPUTS => 1, OUTPUT_RESET_SYNC_EDGES => "deassert", SYNC_DEPTH => 2, RESET_REQUEST_PRESENT => 1, RESET_REQ_WAIT_TIME => 1, MIN_RST_ASSERTION_TIME => 3, RESET_REQ_EARLY_DSRT_TIME => 1, USE_RESET_REQUEST_IN0 => 0, USE_RESET_REQUEST_IN1 => 0, USE_RESET_REQUEST_IN2 => 0, USE_RESET_REQUEST_IN3 => 0, USE_RESET_REQUEST_IN4 => 0, USE_RESET_REQUEST_IN5 => 0, USE_RESET_REQUEST_IN6 => 0, USE_RESET_REQUEST_IN7 => 0, USE_RESET_REQUEST_IN8 => 0, USE_RESET_REQUEST_IN9 => 0, USE_RESET_REQUEST_IN10 => 0, USE_RESET_REQUEST_IN11 => 0, USE_RESET_REQUEST_IN12 => 0, USE_RESET_REQUEST_IN13 => 0, USE_RESET_REQUEST_IN14 => 0, USE_RESET_REQUEST_IN15 => 0, ADAPT_RESET_REQUEST => 0 ) port map ( reset_in0 => sys_reset_reset_n_ports_inv, -- reset_in0.reset clk => sys_clock_clk, -- clk.clk reset_out => rst_controller_reset_out_reset, -- reset_out.reset reset_req => rst_controller_reset_out_reset_req, -- .reset_req reset_req_in0 => '0', -- (terminated) reset_in1 => '0', -- (terminated) reset_req_in1 => '0', -- (terminated) reset_in2 => '0', -- (terminated) reset_req_in2 => '0', -- (terminated) reset_in3 => '0', -- (terminated) reset_req_in3 => '0', -- (terminated) reset_in4 => '0', -- (terminated) reset_req_in4 => '0', -- (terminated) reset_in5 => '0', -- (terminated) reset_req_in5 => '0', -- (terminated) reset_in6 => '0', -- (terminated) reset_req_in6 => '0', -- (terminated) reset_in7 => '0', -- (terminated) reset_req_in7 => '0', -- (terminated) reset_in8 => '0', -- (terminated) reset_req_in8 => '0', -- (terminated) reset_in9 => '0', -- (terminated) reset_req_in9 => '0', -- (terminated) reset_in10 => '0', -- (terminated) reset_req_in10 => '0', -- (terminated) reset_in11 => '0', -- (terminated) reset_req_in11 => '0', -- (terminated) reset_in12 => '0', -- (terminated) reset_req_in12 => '0', -- (terminated) reset_in13 => '0', -- (terminated) reset_req_in13 => '0', -- (terminated) reset_in14 => '0', -- (terminated) reset_req_in14 => '0', -- (terminated) reset_in15 => '0', -- (terminated) reset_req_in15 => '0' -- (terminated) ); sys_reset_reset_n_ports_inv <= not sys_reset_reset_n; mm_interconnect_0_io_bridge_1_avalon_slave_0_inv <= not io_bridge_1_avalon_slave_0_waitrequest; mm_interconnect_0_jtag_0_avalon_slave_0_inv <= not jtag_0_avalon_slave_0_waitrequest; mm_interconnect_0_jtag_1_avalon_slave_0_inv <= not jtag_1_avalon_slave_0_waitrequest; mm_interconnect_0_io_bridge_0_avalon_slave_0_inv <= not io_bridge_0_avalon_slave_0_waitrequest; mm_interconnect_0_pio_0_s1_write_ports_inv <= not mm_interconnect_0_pio_0_s1_write; mm_interconnect_0_pio_1_s1_write_ports_inv <= not mm_interconnect_0_pio_1_s1_write; mm_interconnect_0_spi_0_spi_control_port_read_ports_inv <= not mm_interconnect_0_spi_0_spi_control_port_read; mm_interconnect_0_spi_0_spi_control_port_write_ports_inv <= not mm_interconnect_0_spi_0_spi_control_port_write; rst_controller_reset_out_reset_ports_inv <= not rst_controller_reset_out_reset; end architecture rtl; -- of nios_tester
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block K8q9ZlRJT8POo5cdW+MzFQZpEeNjGNVo+jkwCntplrh0UNC6lA8N+5lUcCHxAJxPiaBgg1zc9vxj Hgp4OtfubQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block eQgQRIlN8WDHe0k99WZSWBmDIzM020hr46M99HpJ/pY8gqAPpqalMif5DzlLooNGRLUO0d2m5UeZ tPn+wnqZPiqnSVcCxRQeNwcoK3ZJGlME5XyUPlK44eAmpB3U6EzlK+xZgklHfoTbvwsaSPXBqKuq CCpfjPcofFpv5pv1Qho= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block HaMY2mcT+ups4H67kSXh8Dv5rTVEz5JG7XBE8gB/dQ6jclR1jhvekDXp/Y4p2DMJZrA3apOkJgK5 4OviOX415uM/DrbRlnWAiYCJNFe28eFjwt3HfcwoMyLJ/5vLZ+hOxCI5zq1rlVqZlZFbHRxnQ5qj Sx6yYzmucdBeRLGm9+ZaqqOsxryGeWqU8Ji12h9Y70PuTyc33RqPpcuOAfIa70GEEq1VZzkr7K5z 5JinTGGbQEK0ID58YjyoiK3GIdEoQsy5bCaKojyhmyUp+gAG3mcJFWwZMGkkjsnyjDtIVXCvhapT XiDMM+pR43yQgBjtnxHezJky/HSfdYPkDM9/jg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 04FzIRj6/yEM2CcNmrsWpk6sGxMcgJ7W4wj/j4OoLHgRf9hcmj8jHwFhmybt2wS4mClhSj0Nk2rV pblCdVOfw0o6QNjrod/K5JBSg9XLggvzfEuXDpEiYLVCUDFL5ycnAOolyuBSQgjrVu69J5hVxZbD jXDEO/MGWgztws1FCL8= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Xk0J5ZDhwrQYhc04CQtreoSQ1b9hE4mmud4v/HItpvStzh3gl2snq/dvE1YdbJWC21+q43x+ZEd7 yqv03vTQ7DqLteFiuEzOx2aS0dgzUKRTIlP87zRFrE/PJ0+SXUqzV3Kp0XbrH1zCfWpl0NNdO76j ZAUAaSlCBxEJHF7YMtJCX+1ndwa89UaDnMoX5bb77ClMDeUBQXhJjzhZDU66p0LaPee/14YppbKR WfyghtSoa+KLLQgMhwxbpo3W4wE8mZuouAS9CbrLgeuxv8RZcXVcvA5g28ys3S2fCAW/0oPgB1Xx dFcdPV7tXf4ArYPtVSCg/gh5n8NX5zZTDDOHRw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 65120) `protect data_block QxsTGA6Vdlwwa0sw+RGXId7SiqlnMRqIZJIN7rWAu1IX2U0HaZFuft00P/rKXXmhj1ezkgsUieZ8 N3tGSq9944WUzvS0XWu00iGBLoTMAPNYyXkSRpt0VIjhq/hkp3GqljyI7rV1QwUwWoIW0tJUgGMa 7Nc0U8q1wdNLvm+Sw+cInE2+00Uo6FjejEyiGBjA2q+QIQhyyX/a+iJWZrDsR+4Oz2FU+sPU/L2y 10vwiYfcEyAsXAkPLYktI6MeiChNJTLM6EaMbmsmTvnOsw3lyXb9h/gGl/6HgTK/h9JSbRGTdCom xcS4OEvOV3S7JBfemxiGGK6MBNlHe10O6WhG5lc96CRCwlssfkcaKvfzhtS5UYqv49X5OtLlTXce nOPIz7GnkPi1RMubeeNzioaV0XvD5UL5oGm0UjHryvu9V3Aq9VycXGH0k2wI6Ex/nKyH5e0CWcHY R6xjU8NzPgbfyfe4QBnvuk9An4IJmi2sx9h4ke928BBGJjhUiCPXcx6fkZqNLsGdZglHHdiW3yG2 Qv/VBI3EAgpFcx30AqYBxdTJdgFjiWZZpLZhDY/NwHu9UI/pPoFHz8C0amp6oeXT07TdQxo7bEFm J0tcrgL7xt+fyJ9/NRw9iWkAtOjKRn7BzpmxjVq4MitXqKD1lciKBzP2MbuQNajCWPbA6K0tWK1N Sf1UPrgkATtVV6QtkptZWUfyCwzZuEdP5meIsmext/xl0R9nK7KRv4a1tC/VD43RiidLtbckcQgI RykT7jdGoyCedhMYV8EEN5E1Ofy9m/m9qk7x7l/YeclovNczW8JmE5+f1xOWheOCe842DRIj569H n3WPLDq2KeZFIPgD1YEfa5w0EC0BPtMkSghDsCyGJWlYbu98EF69cJgetAyFlfKpmY1PGeEWj0LX svfFU0WLKaYlG+o/jjmK2U211PTg6R4eXlHRsN++OLN10lO23Zr7Fdb4g5tIaihaOIUqtg8JsEl0 9/pi7A4+QN38Xzqks/+JgNbAfO0PA/gYwBMsN+WBocIr9O3bt3qlAigZSaTM/Qzdg6esfvzy2H7e BAS3gb8NJubT3UyLtU7cC2Y/yZKjqqSpTQJNbYJISHvRG+8H9XG5ZErumGaMAjjgxMxlsCLMPn3Z FGgAZhYqOh5RkRYxqjPHtrNOaBBhkJETvcfgwdLamVCEMzFjfqhz9zWOWfYixnT4sYC7g44KU9tZ D+rFI/odXwnrV6TF1I/qiQ/fJ5W4xzhbK1DkjgXY0P/LBmc0NtksiINnfBqj3Rs7Blsyf8nhv+yy tvvYca3BlH8e2RlSqErg7VEpDOS/DKlAPn7vThjUO19wUpqLBXY7p95wBVZ2Mpw6LWcunOKsSdoF 8dJPFKyCwDDmBboTdzG7LO+5gxxWf1XV2t50A8h4U9FChpa1QARA2n1FUE6CrbQYReRqRJGCNluo Ex5HA77nhyRBWCsyrf5TL4rWaDg3WRjf+K21Pbj8NxKSeGF25M+E9ZJuqETAqPr8PQPeDtr62aci Ju0vArSi5qipZnVUT6P+gHh4ISGqwUMXqjZ0VgMuutRE+iS+PMBnhhxjjkeq3VcEZC031jPRwX1K 8U/BjIuZe8Ntc7uZmrb6oXnU+jI3a/2VmEMEmXA+aRFVnc6+Y9uxbt8kQZGLLeSBM4IjJJbFYgIF SWdEo/c+npClIt7SH+NQKI1feB/8l0rU3IPt6svfM6kEBLFtwg2IM0kFgl+32w1miob5ASeijJ7I MTFYNKNcCpzWg0Ijt5dPDy90bG3IVtGDNabRV/ptSmUYo+55aXURW3GPrNZOjpE99COCZ2hVeJHU 0X8+dXpUkGIAIm3Nb71qbK7SyyshgBZstK57ICfvWy6QM6KJwqI3HHRORxuaZ16lmbOesH7VBzpj OpglbyQsPf5VQiJ5zbxZ+g/s5o011C9hWWf1zdTEF3WGon6DzyCHkrIihPouS2xN0jjFLx56hHji VTZ0YqIPyIj+NXZ/6qYmePqnVl8Agv62LiKgbqZeYnJLCwHGTVVnm0Zc/tZp4vdtaU6DQTK7e47m YiBbvZEBulU83dGwQf2RXgtzLrdzexDu/hgv+qDxrTCzDJTIQhuqdvvPvxf78wN4NGSMRtDEm/TK ISmJyqzyaruK6StS4mB4Bk8oa/TsGyolBOqT5JYiNX0Raj29bGQPTlolUdNgo3OJ5kyJQat7XVNl N7K5t98db/5ZhtPiwKjL2a3FuG0akV0LUZUI07AAJp0PrvUM7qdORa3xSEcbOUkVDKF9vRwf3cWF JDcNlQaI1LgI0nbLRMiG4YFWPZCNqT+sv4haTY5w4zVHQuii/UigT17x1E/hGeIyddYoXOJmHe/Q qtl6QCO9PNAa3mXLyTQW9accSrbOiji4o/3pIMWR1z+TmWBGPCU+wFABanCkMSZRWQ8ml1L+ovQT dT2NiwZVwHGkreExdSpJkTb9ofS/bavqnsmDxDtzUvFqqLR6ittn5NKCo37lMMXrPfzhr+yyQyjF 6OpbU3wihFCV04NvI9bvdE4RO/vEjDqhfi2gx5HXSYgvDqLNllq8bOzjZM0roPptulOl98KGnLoP GJ6tXxyEAv+2Ht4Wda5t41Yk1fUF4Yc23UDJCq4YjeCEVB/0SP6tq0VXGjwrfcogE2oyMIAh5SZ+ eUhrdWhIS207Pe5d1HII5fEW3n5jI+zoNPwxGHA8zG9Px/Mef2zi9lWzajPvc3jd8NnaGjSy642a sb6SAim9wfhiHG0BZicnmEFO6rQ7u1KeVhqsBhkIj1oyeWiwpwUoChNt9LZ/IoMT0PeXW+Nr2cHq d2V5dRQCbNC8sIfNvk4VJp4AqYH2MZW9UvuaccY0411in2A8sdsJY2d/R3ds55IcLg5FQi4R5TEa k6UJOjOUyjyyCpFfvE2HvGB225vTjH3Fw3ZoEpfUmydMUQRLT9C7xB9K9/JSQgazSAHBhvINdNg8 59FnWu/utG1ZmXy/bSGqqCH9jZCMvEmYVjDe0Sc/bO4jIzQ9S7i03IMP9sv70BZnt24NKDdO5qpx 4ICrpqdrioJo8YnUjbKZnM7GJmitBTYhWmiAmuH2w5IaajHnJgE0NfIKbH71PqTV8/uewngkEBGC 7Q8T0jmq9N7hZum/cth6H9NA6rz2eOBCp/4qDmpiaexaJWwWXWcE/fVi5Af+/KntYasWNZG4Ianv VM1WyzyyzFOp5qi+yx+EbuBYO3zX7lGvAmE32/QrgYpg10vgJa5fhX4joWWkVQ7sttiWz5ku6CxF yed5DKk8pQOPgm3huivqMZ7FMtJDWWGGNjGw9DJrBa7rZ3BuzYOZg+FD8qXpQ4JTzrvUgjwf3/vA jzay5NrxI0Kf9+6txQVPHU0izA5Z6cl2cyYPs/6TRo65rYvUL8SDOb3diolarmB64ZazE+Qwqv1B 40E9CO/hC4bbMp3DwU29sOTyu6pzTAcloRjtzmec6E8jSCaY5GvNFhCx3zSZL3rM4eh6EiWVUGhl XT86tyyG1N6KavnuZPnA7UmdwVNB1YzJdRwbVgDLhwiFgSZldNeeAxcvurpc1Q5bbEfjIYYryq4S hn9txUrGk+WS4rbbraiA2XWohgO7NQZxBvKXSXC6VnxvC86KH0ID+VWgcf5aGnFiHKr8UHriRogl vki38dl+W02qVIKOQbsEbcsdEqjPvvVsIFfybMQadvFbAVac3zbd8tGG4DEaK10abSkncPLzkhYp KNNg4TZ87sphgBF0yGCPbTSGHSYe9jvvScpI9Wbc3YPVyBY9InD+SFMqHGi/H+9DCVqK5LOcMwRM Ymql/nMY/8ncxCckWK694sVRMnX/Z2OJtV/Ojn7VTmlgnRc0psdl1LoJJUcpFp9jk9qQBqQpiHnm EKNZxGli9CfEga+mnzfHC2rDWdYzgiAgGD9hj+8O9LDBEqTV+GYv4C6ShVQ3pOkTbTl5vzdImysc 01lTwNBQ+r/gVZh3mdHDJAfeoZkxU6C2nUvJDMu+roretIe/qIGMh1oqAd3T87x4GZS/RmvWQ1bI c5nKTYYfsNN9ncwyTkrHxpgzDhHmTp/SEulhGUgElGYsDSSZZnwUnyUqCBbCqB01PfPcuz3aLMxR wOq+XtmXe1m1lLty9XmSCoDh7amS3FB9Rvimryn9fXt6qZyEK0Ojvquv+09uBOOnNBiGP2PG9FrK 1W0dnX3JA/a2csF5l3mmreJFVFEDp6OFzwwI613zJIkQMNYCnp+h9k4otX9O+zMEMWX5+xQZDlPl KOKKIYoN7eupvtY5iFz0xBwIefw0m4Oz9UaI/nqcCd7sYEtKgbZkE3OGhZPsGhnuZ4P/eBqlZCtj K4aPdrejfOJ2yJyNA+4YLTKHuVrTUHTf5Aa/vyOyiXzEcg5LBrr/ryMesdS6L6FxOLOXiSM5MYlo 6/jxSKEAYRn43W+nnSNI8+SUN+OF9BG9kTHd9hv3TobDt5EWU7trb032SoxTL4m7lUvQbxKGfmA8 lfrySc7yRPZs66A7wT5Exeppu2HEwXf8Ybb4g+D3ioobPjG9+3WhMUn2MpkA4YqI0fW0k8yyF4Fg 8DgxQ78buwjITaD28TP1mVHHtkWCuZM7W+dLYB8wKjjZnLbiYVcgsn1vcvAG/TZ1S9uKyVvAvV5T pJenwa/iogcAw/voz273+byWkn0xhQrtdR8aPb7JRmEx7WNkaVQHYgwBCPlyvnj7q/loamW9Wmni /kgKpq2m0HYKe2S9fyfjFYQJWNNCFGyHBNVjtzN6tPWZbSfJ/p1yEVYn1dJLNJHowVC++SWrcgt0 wjN0PJdWCXZxzk/phvKYw9OPyptKC/5F+wnCTrcKnncNKK8JTe2RgmQ/JuNSUAKcwR/UPpUK00uv IT0JLiXhkvlo1Wh8Gur6sN/EgkJU71FOp1gVUdq4bVhIPkLq5jKPljxaCQ8ZoajOcW/qcvHJ8nOw AI3VGnpEWnPOc+FEtzybgbg6aEOm9ggZjgy1uliss8sddveFttbFzVMXhd3f0BPTEivQTaTLuSEE +EGv4aHER04XYohJumXU8h0HxQtv8Cd6/mqUNKUSTr1QyzGl+2qnl8WY4Lqs9CLxZOssi0SfShqz WoJRby246w3BCPx6OnjM1LzoA0CzPMFpal3/K2nxZkl7qUmyM2o+J8M4dF56S2AQT68BzcV/ofmD GB2KXgWReuC3uMSDJ8NZI8v7Xvop25wO62m8Vc01LsyfWPyaPXHhePst+SQOU8h9Rs6eH4MkCKwG T5lfrIPjfKSWW+/DP1oYJ70LcTbvQqy70eCyt5FrKaIMjN+0UiA2aYmNmGrqRK0TxCPAI9Rcsl3O bgdxcbelppluACGPGlIQmWB5A2jPpVzQNmcqNd32QYIYjk+4dKdE8n+i9+V42VTc5mTBw0oIGd3/ a36gI1ljDN98tYMMULkZ8SdCoaNyGncnXc8w6XeN5yUoTuXVKXw9BmC9ln75qXzxsIgIpMvNU2gO wdatbUrr5CPyJMonF/AwWbfyFKFJWIKiItVPHiXd6dBVHPfkyPCRTntosfiAcQsMMEd3bPzFyI4A XUAl0vUzXoM4+RitYG5sgtau8nrYjz4PR1mwD1sXX3bronI7WxKAZc3h+7Fmxxq8CiLFmnsd86TN OElG0lwzFqnqDuNPcAsbptS0LRc6kP/fvSE9g0tdAa9jW1CV+F2RXQGm1YLgV0bL858b8WWhzo8v HQZOH95+1lt2tn9Ih5aGritNze9Nh41hkmRd1aqgzZwopS+3Ecf0p/hfFHtw6pVZu2lxXxooC9T5 F3VumbVU6NeVQo5NxK6+7HAGt3I1dK4NV3B0k4kNJy5Dwp9b1WL+BQXfjaV9h8bN0WdhkAPIe3MV +AhHPadIOEgP1JjjK6STYVnWUkgO+qxkXBLCk+PjV3E+24qGo3Q5OHu1j2MCZlH293s8F/alGDdo yT+jcZIH4rIXj4CILMuYXWXGXW1xUAkffgtkTVvMlSdqnN/773wcQSftSQdG1NEm85PT9G1kH+TW wXA9Kg4lF93n5SbU/z4FhPj4v3NSLYIzvH8sAG8DAE0ota0Iwpd/2dqL3T245WzBecLD7ZLJqKqc b803Pn9PGyNqTpbMemd3zTJYGQuP/Uido41z/djLn3p2Ud6WwYhU5RreZKZgdRrYwLD2faOrkm9O vGg21g9f9tD6LACp+0/mj6X7No/0jaSzs0L4Dvb15yp7it3SaYBevas+BY0muKqC1Q+QrKO+qpic BKvPZYNjGdU6jUO+N9Xzxu8+DXJAmCN/nt36PFa0nSREd692txz0R8Qag6IA0NnDaAfLXJabxoRE mGc46fJbOUyOUBCaQBO5pXnmBcWsbXqcoXFwfB+T2TuQ8cnIS8lQHJqF4EwbjWkIpsu0d3lhInNx PJFhBvh/aBoMOh2UxpPysqAAta4rhNcTzarWIP+ouEI7EgXgowfveRurzEn4h3zINBvO254rVG+/ 8g5YmaHAOM0mB5eMowu5AIVHnShez+X4/NbWI1idgvz8DnBrdcJjS/UVaFk46Nen0ivJCppGNfca 4Ai7ofcSxA5AH0xhkyesYLlR0cT7gbbddVugH8BGIacWI/5jTawpvniZA0LECH42uY3ofzqhK6pm qFIdASSGx1ildIh2k2n3z8kkYbm3cTKK2BP8YJWDTC25drCk5sDScbLhB3ui8aPHuIqwtvqb39y5 kTNlShDCUZvT9Q9jjYiOcVRxyOmH8MjAS4yEKXeaNJQppw5pFxqXC+R+2oOKMTkeSz1JwkneTH7s Kecphcgdzjt/NclV4i6lwCQXkGAHdPPlKiYaAo27nqYzj+d4nL2AmQsneTl9aUvYy2n7tJT+GlTS XB7PsGqvZ/40HhXkDWj5qT2yZz9Hin7cqeimUqZzYU6EoReTV+SujWeNX+P/Ped5Mc/BEgtiGaGI HYEWm+rYGhm3MAkBCn9Yx1IgKEqyFYloOLsII83NbCztZveSN7+MwK3yw6IWuukdNLrxjf8iRe6y CK5L052Q6kA6Vo7/RNdhuryynvf/p+jFAeSHqUjkCPt86CeuxObTSQsBejpJE/EV8LnB8DItKO+a kYn5FtafB2U1MfqimmiSlmlKvOGY+td68BjqwpPQuv3fCXOv1S/ZRrxoO3rxLvLJDAvEa539Sngs wXt4EWOXUS7AbnQUVP1T1U1C2j+IdepSClgqnuSanbVSPYQhVbrOoF+govnoDRCuW5Vcwo4UcJQX ZjuztyotuvDRKtMZyBdh/ILHCJeC6JgozMxEWzIuQqvsn9NRinD8r2bZGLnSKVflsxSINfXYes8w NO0I7QWLT7WKd52pGjUwOOeGNtKSla7JXpoHXSko7FzXVeuc3Ksp42Y/JWpNdbCI4UyJH5hDrbdA xIYoIbqGJ2uCLadt42ukhTqb/Ryzp+hcxOBsgghUEGJEmg8IUelwqEXUm/gx9qR2DtZy7HjLQhCG 70YA5X/c6k7Jmsg36v/tq3O5ZXcsB6Fj0uIvskcNhoA74COSL8Zx+Wypu3tnFbBUSyIa1zUMmQ3a tS4kpQkw5v8JrIR8zvHcPkr1TXLOl9Qt4WSGrTAFSEtacpgOnuMoCEW8JGA4/rFvcqZWjqzW6EY/ BO6vngXYAeW5dRQsBOZc95YlPmFN4Nde/1ILw/aF6q5l7b8+IrwLr8+m5WHaUKnCfpFiCfutYIsA PlTV1PMFjZMiptwfDzzo1fWEIhG5ZJvRn9/W+T5aqDvXDRWJihc+wo+jR3n3Ju356em5pcqHtrwH qNOdc8Axk+QrjtaNkbXbp5H9++Y98ZgY8WNcgZVitK+ywlLnwHvQiBWDTsNG1Y59sVFNQt9C6iep +7U0akh1UipV7VeOdg7jm5Nsub1NxMELSkYhDm1mpvPk8k2Hb0u3lbdyk9qYE7S86w1hOdkj5R64 OklG9iAWi/++mipuIe8xKaAlyyXxUuzNIzuz2VZ17fwtPc5N8cm7dvi8aVcWAzIuEPwxozO13Qbi wPxtGnheiPBEN2XOy42OPQYNJzp2wNakeW3fmmbEZz7+mS6DEW7mxvJOCmKkk62eR1l0RxgT7fZ/ ubDTXbzurYz9BWfU6Op5cGo8gXeFWz8Jb2RqyLqMu5LJr4V3aZeOTJ+MCWdyT9n6T9Mi6zblFEbf vDPQJohHD3Ln74mhipbeqO3s5JCiL3kHOHKo9rh+QA/z5fJ/tfNuba17A2Da0/ODxifZcTUqQtRv 1i6tYJI0rVRudUf9SssedtdWKHtQv3a0euNb1u/xvYUOXC4NVq9WpQD5If97vzFOuHyzsSpY45sa 0CyR1dEHZh1j/fMkE0W96U5LVxb/3IHwkJFHx4Re/kNTW96NKVL9JKhm9NhaYKweAq8X5CMadYqZ QcnAXxhYq301HGL5uQMgSr1ZeIee9vFPpkLATxCYNk/g6ac4iydy0WA70/aCgQ0cyhfdfOpZ9hE1 4lEwy16cLgEtgTe8Iw0eNO9YArBzpc+QikPR2ChfOw1dSmTLzotU/qW6Z63lEkuy4xbbwnLv1gmN ixQKOBxa/5R78X2kbhlXoMvPGK2egHKCc6h8E14oPD9ld9MUspheqj259/WcJ6NbWTOZV05uYkg+ Sxy44A4w9CbCQoZlaaDYTVnMbMMy9Os6tgRyPOWlUx0sG60oXP+/xl7iKgiciyvTQ1IQOeBBoGyD GN/+SqW8S6EBoONqa6gLIVXYSP0+N21d9PHHQlzpWiFfke4Cy3h/zlS401LOBA4JH0Xc8QvSxZTV 7y4iWH5kJrjsnpPqJVJTizsgVLlmiOt6C6jkaYJJW7aEq8tbrl9g0bsKrCcdYzVgZ846DfY3JVPt F6zA3SqR4Gbie+KIMzF1GBmfiGLZMSv4Hm+QN7xSXqYr0Xrr7ODrRNE2XOR6k9iEHUMLXBPGljkQ WZ83Ss5u6eZ0lewFJH6M668TqNQw4RNBeFWm9U+ljkJPtNqChxZxLLE3DEwrP5XmyI+rTiyOfL2u ydgJvnhlW3kuJ+wiZFUoydu3VU6NSBsdi9M31h/zFDG0a3YGL9xnlD41g2yY9hDMAV15ZDd1TR6D 5ZOhJGBfnp2xGCoP8XwQmTDFTEvDfQhpz/GCe5YPGQW2oc23Bo4GSd1wYlIBGFJ0NfHFo76TQkpL FVPCvSFIaWqqZc8kQDr8McEbmq0O/+FSVUmIBO04py5iWlUyHMhGckRfzBSwcMoq2cnzPQ0YGohr Ko80HRdI5lxA0rL7KooCenIdjQ5tykFYJR6nIqbZ9XMhQuBAJSWBRnM/K+TkilawYU+krqiTZaOX SCMGf1WBgdwuGwIitBJeQ/PgBl4QDAK8Op9Z5Tqw9isqhyV4cb3uv6Bt+YHL2LtKQw3jWoUC7YV5 jIRAagl36ScQ5N8kOgb1qB8wULjCJ+xEj86XoeCmWUI0o+BMEu5Fo8G4KFvQyM+nOOImHn5uQopy mDhXhedwfHTe0X9vVxU+dNyP8U6SzLprWjRKqNEC8AabWwvPWzMvDhO4EyN+CQ068uThmUvn61Ga l1sldGmSO7TBZObIB+NP1Zw8oBBnELey6f7FwImgZqQ3FIi6ONzCKyNnAl/TkMTOov+BglNBVq8s ElDo5H7Bu1F6DTkqTWD7Q+7fGhNN/rA7r72y/1klYMwm85K5GyyTHw9u/4dvb7v68JkTkqKQ5j5u UCvKjQtNVHtz4TpiT9C7xGUi2EvyJivyh3WuZGjWvOWqK6HvkKPFx2HMpCuxcsckZL1Rib8yvMZb DKP4ITrPZO6Hsh5TMFZWW+O7KVoGQiQ8t2VDGHKTkNq5I585KD5JsTrorFjVlZSPBF+s9fUi+1Cs fQNtX95L5n+qCtenC1FU7hLcKnjAy3fKXjSDBaYIUEAYGgWM01HQ3Ab0XVmhzzaUAusU+33gq0AS X5C1W1PsF50PvFpdlhTgHLjmRcrBInq5l02pXT+Az9DxchLWs8MMiGvfdewBZ0M3FcVnJ9QoEA/S KQtniDP2bGSSGR9tGZrZ5XgNFM4Caa5Si8TGnQdVm2eniDDDFSsI70BTZD0KQeN10dLGr/r6zcS5 G/1V9URYI7sbflhWx3a4ohzRADRo07F+SPOFlVyGZZQS3Nw/X8XODcg/48V4bv9m8uHYsRT0eW0q u+7hJkKXkR9Crs/u3+qP1saGP2qCYNXw6/V9/Ip4IszCmtqdmyfGOFFAueXfSUd6B8DFuJxpapsZ PKtD43Jg8X099ceLDdI+tdJQDQoZruiyO5CBPwDz7v1/wzlwJU/GfsDLJSW3kLvSKVLE26cLXfD2 RnvUkFovfArsqaW1FIzbDqbOA4pIXdBaxaadfc7idH/480JzElLKD+PA2cD/3T8s7IJClv/XnSA1 o5eznJei6N/5VCJr/w9XRG9OZnCrTFAnZbJS3VLHex0WyFjN+RAvkQpLqZ5krfRK6rjKj2Yysm0z 7QtfrL+2BksrkQ3mFxI67DhctFxYuWTq2V/tiYxDIT/gyv1D93GhG8syBHievEfT1aIeqZwnwtmB HQYNulhs1QW+WIngGi1pTjpFHK1pcJdUxIsPJArjrJG30f9xTosZ/xXZYAQV4JeBm8py6Xqg4YF7 9Bw4tblE0anrIYtydcN/abTyLH9k38irkfFxeA3dw/99fnoyvks4aacVP6sWKUG29T2d/izNBj/m BUR+kFudkqyD+rcdM1dXH2LHKdHSDOSBncBIFkpJKXABXmV6ybpCRF0sYlrPyPEqlMVZmjA3o8po OJstKXYcOSKvNJP9YvZpmoiKxhsMKco4Vn4FFOJdOjptWukZuLNK6woVvi6SzUONRR9wI8kie/+G VLy0mq44g1WQqLpvU0OCHOYfICglFhjE+xM7nvAZvg8ZxVs7G/6XNxduDBd0k0t84IGlfJ6UN1SN ZlCzzARTdVXRthWp4sBzm+DI3CG1/WMf3rHxMYvsdqRjFovVyJC9XVv8CS1sTvhz3KE996j9BrAs hXOTcakaIh77baaiJJYXfRNpMo43zqjKsukOgMWkZ7G+x+YsnBwA03xNe2KjD0qMeg49esWzUU1N hv8EOlSc6MGRbyUMxDepZZSKwdsb6CM5bzTGO1Y1isz1hJ7VcL/AAJmTPar6NmpjjHPMpVyreLeZ Hm4ANlY+G8RqCnCw9Vy4APXZ6X4ScXmc+2l1bgEoqat32pr5Fax9jv0n/DiLzKrKNQpTGDHq8Qw4 PE0OcbIF87z5n0bxTTrR4Zv81Ch/COfy5eQVKglWdkGDRZwVWR8Tz4+liC8TN3JbK7IQD53iygfW GGNqNb58qk86FfeVMLUTw1dQow2AEAyakBbW2A6tyCCLFytV3dcCDO0AoKCnz3+ItzpRVpN7bhVf ub0ZrAifXOCy16kuQ8cYVcdCDmv+iho099o9IkZ6uxO/z4fRlnlCQ5mgeblJ6SUTez7JS9Pq/epd og3Xh0Yla12XYUboqmk3ifcfypQyWHhblOsfuvG3sIx+5BbmpUUoeinlkh1tqToRfk/1I9C8edBZ usviRHz9mEUbbPUFPdfFmkdQqXxUMHE/A2bYOMrYl2eDyGCbWXuAgxa6iasC6HDZZtQ2bQ6EWIKn oaWO/PVzvGzvwzsBDLvshwQq3H/EsrC58Q7huKDkmXxejFNmW34/GyEEn+mjOmcJq7ZMVvjhpRFF jARAbVXYaBzXGMY7Iy4x6cY5+itPrGwF15PCr3bSeZp2eKFhrqES/7PwmqTbKGzhSml3dtq5vI53 oHdG4K2tYbRQB+gEQ80X17MhfQpvwZ1TKIed4r295qrU6YeoOtiiELiPhuCBoTq6ORYKXRp6fyNZ UnxBGwET/F+vvrYDUbKPC6tzDEHeoKun4dPoDCMA4zNPfUlJKRBp+1DAceC+zgHcmw1tPTRldPQy hnO4+vtUzk3YI9UF8RzXUg007qpGamb/atvDpCz2klBWfhhKB5fLMxAma1JIvVoL2qxkBEzBaZ9V Z8OAkOwbgPET/QuXRjFmTy9EH9GCyRNlYk9Obso0LpDmMjkne2o5TdKZEZOfbdKuPi1jdbYNA8Y0 1J4fGfhUkR/Wfz1LFKw6Bn+UIhB5AgepmHtTS5/hyclVN1hkAZnRPio4UiVm8OzbFOjuKfRP/ogs zMpNa7mtqnsIXtC8FbFbXSwCCd4AsVyulx4DNmVrmmW+0MpFT1AKTmcOeuSsWPKV7Oj3LcVmPNLu 8TVL1Ak3ohOXBxk4fFDnWwgszDc4KT4arFN6udlo8yiNXXgNjJ329peO3ePd124IK/V7I0SRA7u2 /yeb//qTYLiTh8YkEszar45JS8Qv3ote6+rpca844yKgReeG1z2/b5ndDWlvi6Fef/n1Ke7P9GSC FMsXYuPg1K2bsNDD3a/8RvWVfaierf0dtJMDXXgYVxle4FW/OGs/q6s8ID83IVubpudl/Me6JC5w A/RTyHaKnGkBeFfKkTNwo7dCB+Rv+HHJs+U0iDQjw6htEI1ThK98rACRxNveKc7I1NXFXCSue+/O eYilVi7dE4uuk0+gWJur5X20p/F8rM3Hhmss9jqBPEr/GsvQKZf9ey/7Q1/IhCJ1yw5P9y6457XK v29/eECXnUuXHPgTWqDgu3pR6oLUlaxQf2/hu47m14yu8ySaYOjdSlCjp5KT8McVAh+943lmKQIO ywe+T9yPb8VX7AueOWQGT8iHH4PH8odjdqwMXMmdpM1YtluK5E/e3vWzRnN1VmHAcum98wzSLKNZ JCTI+afhYskpkFysjTEoe6yNrm5skVckv4xjBDl/aDwfoP8mb5Uy/Yf+7M/ABtIA6vQG56yHTsK2 WmR6qmiUTryuxsUSSIA92TkGOUPhhpx8f+mjC4yNZgzbnVNP9sYEFeJU6wTwveGFRYesTcufrfNJ IU54EvtMdcZ9v81Sx7Vs8k9+f+weRoTbbcWSRrPacHM9V0feCS2Uq9QIidIHYhWEyBRCVHQ2XuMO +GOyv3HNNKGdlAS7mHJcwHdazc48h2aEfVirbRGtrSTWzjdVkHk1Ctk6/qoB/TKC5Scup7GXJNCN NJA9OYZRQZh+sErQ167HjPuQp77x9OaAw/p2lDAnCXrZpMhnVZCAFvOrhO66xluBASguSpxaz79i 3/yHLL4IANqWvsAtspml+u3dvem7JJbxIA9MAUxdo2u4GblRvd9DUmRIEKEVTM4Ejw2vdCU+XcMg NjZF5KnwBnQx1STIquc+5qkzTyjPak/yYB5bhj6vjBpej86kOnPoFF2IWDiWHeYybE4Y4v9XdPIG b7n2NlgWsIV6F2OsOopEfYgAQhjAmLEb6ZUi3brNgw/nVc5n+mm95hrN2mpiHOAPhJkRY2dRAQCc BB6AjczAf5zkTF4AoMGXMOZ/jFbsLvdxPfHsYOk2+5J8tmyBOr7TeoFLtnQSHZhP1Up3HgKey3wL 6HA6IUhkj1HJ9e3QFBLWIrz8pX6tomcEZDmat0pHBI+KHIf3x4wNEMRn1AGpq7jNorr7NWSs7zPX Jo+TxOeQfQk2cMXKYd2qCKXLi5635CYCtZg2FaCO0w2kM9ihQKGhtco/UVJ06AYn6dNvkJZwvKcN 3/7i5S7OgT1owjpsLnBQL9yzGeA6xzpiPkdwBFcINFYmsdjHQ1ynUNS3Db8JCxNDE6pr8IayIHM5 cn3BHjvS56pPktF1SRgxQm0bO6yPzhei9cT5AwYavZ+M6KkefRuvQj2A0Qx8fA4FBp4ROMvsh7hQ r9+kkLYZGdCWqEs9ROPTXOaZaKeu9ViTvPOWEp9rQ970+TpLLwg/0P5aKarBcOS/GWxWXWCTvfQK 9uo520Be8P+wsBUiz+b7BEEkGNBk2gH/Q5yXIh5qrJzMEs8p2RdW29xJjdBk+T5GZLmd4cMgUH0t 7ObTkL7/GKg2Hgzit8f3M2Yhw/JO9CMBeDNoHIyO74AyJNWf0d38FiwP6Sw852pA01YlE0zKDTu8 ByXFlgxSEpUv4UKLIcLJCNdccjZ/6ly9gLh/GWlD31XUnNXRwK3nC8nABaLwwf+5kMN+cNgtsUJy EDMpUhBKNmMeiruvy+pdSKll2TuDf5gc76PtNY5/rX7YNUwrnJjJWNuRJqnweLZFevlvyVWiDZGw +s5eAMxg3qwMl/HNCVhtWTqZI8OJoA6JkoUZchht8DSxz0RY/xmvVoKWfgxbKNOhv/aD2wwlMM+q bh5ckWrEcoHgLWCS3ONdJpGUaZgnszB8lZjyjVFcoJv9ewG/hjcXCzRX5QKZZzynAbqF4vlcyGDO TBobH6PrmFvVp2z+3mRldjL7aAoIQAXgUq5sAtCpr3VhhT1Zd0mIvhaci7+7iM6q2/eIe/1m7g6k CeEXwX5wb7DYwGTrd4+TkGKOgYlpmkwGsORwGojmdBBehktnCJqRVstBPi+xBSsdQ5ulidaSkLwy X9/K7bgBYx1yYVBr+Mhuj1loUGICd+GoYcnBBBpfvP9DeUv2xqIhmw/32jciB5Nq7rarUP7wfK4t WzeK+zFptbQzvtW22mChKXpI2f3FKu6K6x7yEhLCw/+jLBSUQLx7FEf3LQb9RzWOBNn3KOLEhE7y 8ONXJebEMOljh/TUXle5K2Dz3S5Ehs4V1/Q+u4JSnc+tQa2Y4bRXwhWztGk9aVAg7bFndpVaW8Ox e9pap6QIMW/Z1AWAuk5XXPZ5AbY3kvlDpR5jjQMreGypBxPG/vTus1Gt/7JXYKPX/C0dVq2ODkf1 6XpRf/CxXp9TE1nPWKjaiJMtHZAGv6e1p7NIXOGvhhXV/w8t5e+JCIJD5YVbskLu7H9+zo6+0/Mo CCLRsQBDo1JRtP3yPXXuwoEXIxd35bOzKtgx8ofe6l3qy8JjVbqsPpHj9QOy2ZufK32UOGSMRlie h/F1Sc2K5gI2iYiwtaUlZM9MDNoG1Nyf42gHc9M+YJHmbCOPL0HAMvMOA4NahwNTL4TyjWPvm2uF ViJE1a2RB11OEpu7EHzKUNCIF0FRiy2msehZqhO7jc3NIIg9Wbzt39CkhAR/NtleZVcAFu4yrfzT UEOivnpFVmpSjSY8td/oZPFjopXodhKHrPnsyF+SfLhDGMP7fVWXcw2XRL0JwTNJnrySq8aoeoCY Q/iSNpVe0MrL6JfrleNbpZ5/jMZ7zd8Zq+Hw86ertchecp4szzFp85QTEMXTYhm21kZoWHQRGL1H zDhfwiVu4+mxJIwQrFmWfN+HsbQ66D/YrE0V7w2rVj5myae2Xwe1Ca8WCtozHuiNscEEgKDcZ5vp 4zP74rJ0XaJhFUp3aKddvX+LUea+XZVtKCvxnv9707jfBMXmYaenRD3cSitWin7UTV8gHequikks rakUvTtQ9ExCv5iHgt64PDNIXvOcdkx1LykLbJObtTe9fSqANhZU/1MwhS1WjqR2ywmx7o3iiM0G neDmlA4+o/Lu4Sm3O964+BO2SGFv6s16h1W5xGcsq0q5sFdPGmLS01cyzR6Ta1gmfJhGZYjyuUvo TRnwE2AC/M70JxJFT2dOgew0W7AsXO48a5SDELnKi9uCn+osydRloAaDQwh9BduhQrOYQojWQizp MyNPmzUIUU4Z5esDBMC67VIYLFCy2eElNrve5ztggEvqrwR9ROKg5/3ZD+ZhCct7ntZhIO51dKNS sY016OTRtL1ytX4LmNnSwwyQUeFZf00IKcU3eE8CxWj6fRIABI/zuyzWfFbjeeXpmBWj451mGWTe dNb5RwNeBNBymgXvwTV/fQA8cc820Bqce6JuumkMNIGgI7hnqpD4SzETSxowTl2rHzXHXLNzsMhD p99XxcOOniy344QUTpUtG0/mWX22tCgyyvjfzpuKO8dw7ZmuJfrTh90Cy/KY27CVIEVMl6oUHpk4 lPIvb1DsTliQPbuEiqGsTMhStb0aXW9P9E0OkbgY6y6do2fvOdRu1794J13mNt+ujmN6SvNTNEsa wVHi3TyqBoyFMLWWWEIk7BIzsATmI2zWOX1uWURbuP1gflP4TSAhXy/6RC94aM36Z3zDRE+UCNMi 6hF1smZ8mwpOkTvXmfJAjO0MwPX6hglBSgtYGihCbpuD9B+xNHw9QLc8BBscsyeLVedWWW4p536e 0djwNLami8fKxtwDzPs+mXhJ2Kc7SyyQu7LNCcnO/GJISvA3Lax60DbW6ZA3ZKXCrRP09csKOgKb 96c+MeoS5jkb7Q85ZplDYhWez2q+FfuJ/Uc4aVhPdtuXYn27pt87abbQcAa7muClVFAQzmih6Toq LKkjyGZP+sLclVGy4S3hSNh1VQTPx4txgX8Y1egbMkiF8B9kP9zuUWjYrtMTGXkjvBnHEaJ9YV52 wwh05a92RYdb7yxbpE62+ZIkJLoTolrn3IbUlQT/XYSvMjnaujX+p7z+7JnWqPQq/5ONStCGKIQg TwBbNqYtRJu/EWEaNrEd5KbquJhR5VbyDe1XIAuQEc8WCzso+YH0CvhO0BYx7/ggKeVIUD9gnq2O G7SnG3EqOjVVtaq4QLOsDGYBYPbhJZb8a1dw+6VZHLED6z/OQecfD/Jq/moIshxCmZVgSJjBHje4 ABxv/MfJ1WK7WU7bhnMIKBTjEfKK38cCXT0nwVO5ocV6/hzl5Sh4xPZKK3Ly1q9OJHcMqvzMh9Oi dQ20BP5NELf+0wMTZwwm+s69BMCxOVHsZwWnBxVZCJDLK8hc9tH1pPXikeB0Pla/KEPzGil9ePc6 z5rbU7JqbkZWgZtSLUz5Wx4GnHUA7b/hbeHLVWV0IH9tgGe6kUtaJO58CFP9eng8v+L1wkovksoZ QJLQCpPu18GkZlpvD8mGR4nXYrys0gO51dA6LU01ktOAifEmCIXUpQPWZ1ElVMTk+xMgMJ0kd4ws Ll/9YmiVuAeOGxsV6Pv63hba5qFQ7PoUFeaWNlp89QSvzw00VQNdvt08ch49GHYi0xPW/CCztS1a 9dit/Z9FseCPbjXQ7k9WqF9/qTig8YmSapvQeZYw3b3njNsTjOg4GeHfbzgwPGG06dUZfh7nhKmN kJzEHcg+4eUDCsE631VCvuLtOM096PZv28pr1jnH0x6xLBHlYVW+JOo9tBfzgcNaPVHW+5NCj/qy Can/OH1EFWRTucVicJif8DOXiCFblyx7vvZunkMo1HffU2EZa/OSMEl3vaXStvsSSoIoCv/bYMET S005OBe74Y5d7620qsYs1i92z1PuCdwZfUNnj5Ugz1MkJlHw+3qk8lVewYgbuhgEffTUCudKls1i ID7xWSefV3+zJisuiqUsb03gLZuzdvi+mMn3qZ8P7g9QNHalxwRFtyDuQDnYzHeITHyyiW9Gj2d+ PKeS/TKPcU7SDEth7wHqNRccrfwqaXTXe5n7vb9qfjHqMmTdoNBLQoom2wxpMtv3AVlgnrEQ+cWb fZt0K+N7h1ogIY72XVeitlsKSiBXi0N7t7zoE/ZrfxCuLzAQCgotXYDvwXEfSHVWK1LZreuerMPb R/fzBIbQHDuj3JKHXJwE0nqFMDcVIt3Svfebh96SDqRxB+mjK7y8O0GavfiW19vQBSiFXD+Q/FGq tpgDshMiSRQR2BXhCx4vfBFZV6HIRdFIT/+m59la2M/X7opZc3efwigRcvObN6p8QX6Cr/cyCThu mHE1LgQUh8i5MPdjXPitvP16R6m9MBjxofxmoIx8n8RFNvsCzXY3Nn6jniD4WPv6/Z7swuEekAtD Vj99bABdHGYsga5p6guPkQOKX2fFAGdCFIr5DwF28ezgOcDhzopF1LFUbUyjOOKss2r+saQQqVkx oKwnnSGQNmImidxP8ExUj6fGhdXQTek5faGiV2dwvUGiSoER9zUHf/yKVJakVlvnHKWyrvzT7xeb ZgWJKxvGCmziKW3vVCIaJJxfmgicDi8p7NXpkStr48CugdvxK9JwSShyaAGWWpIC/qSS6dSKU4TX qNmB6qQIsPr9d04qZCbiPJ3xiNv/pPbtt+NATBWI9bchZTZZOZuEY6n/2LrsDMyKgUU/5dzhIJjB t5OXVTo/WAIiFKgWYGnheGjsyyPYqoIQawpZm0Dtd2yjNUCLQ9S6NAmzs32xEPr8iqvD3u0fIxdC Ltr2w5ydmH7q9134Z9ITg18l1i8xuMd2+EgLa2rTVLsqfo8ic0YKRwZ/yo4zG/Gfc67wIt/jVTWo bpAfJJs/VLe+ZFlzkdT7r7IwNtgjC7GvRhYTWxF1r2P1riA/M2vsEPFMMD25NhOMiaIMTeqBYqlH f8H1/Q+ubKMZWQ5cli6GZ4NrtFWShhrOFSlFDczrdOflPyd/fjnpKVRsXiDVjC43lGNV4LP1l2Xj Kp7p7cuNwGez/mXvftVpsabWxrkSS+4VK8nSzxlOUGjCFiSK+f0912O+xYE3EsIUOuNVWgN6pBpc xKycgOdh2+dbeWEpuHoVvXCaR5ERP2V48JhZavBaKFqeQV+5mTZHUNl4Kc5c6bexODF3S+b/niqy nze3a/od6EX1l5u70nN1KGJj8jXstC1/jWxsTjmOa0L78rA7IJhblKMz/bLdjUpJNVUFgoVXo+oQ rUc6XhuaLNJw5AjhIK4mGqVgGqSC4taNuaSKaFsewXcFk9ZVyOIYUdzW/iu1t1DyQZ7cVWGQ4PjA /alW87XQ5jxPcgNFhdZelnv9PZkhjbKnqQuTTIif6MbFWYWKg9K7FCUUHz9m0IuTJfPp88O4UhhE ySaFIBBLMeT3uB8lbpkXmxLFymctNyo0dvbz93zuSIBRbtsSHL3jGVfNYtDEvNE9cbLh5P/pSRFT sdrqos2LpiiKki6YfKO9iyv8/I59nYLfXSJMIGFybR/Ld/PY56x4iGRlgu7RiYmknZ4jRGNTutUE E5RN/TuCZRe96x7xUrP5Un1ehM3GGkBl5Sl6CzqkV8Tr26KYt2oRc7YNygl/+FWlwSlYcRkDF4T8 W+OHMbMJn7p9bJOWggIbWsXg6/GkhM4lANO0j8xLwKdEF/KDiubjAMhDlEixrK4o2eLBfQ9Ug+wB qhWOFkZ8NZVj5H42gb3LcPhUdhNLLSI+cwQIPajijcNGSUfL9jVKJo4SUsqF2No20v2obtVVjzh7 2ETxbIOOcTPS8lu1rC3ZPM5pnqWPWNb715VkEbwYttkPWkCo7jlGDfie6/lD6+REubvFr5xhN4rN 7TqYb46iG/cJAg8xzE7g4BQIKo5gM2FBY6KCjTY9cCe4mdjsypLKaM1AJDbyIbd/aMU4gq775wpT IvP6CxB1srthT1ur5OO0hhjPuU2JP2M/0RKohMJ3nxIXxjcQDGz2kK7+qO5UoSnXvrhA5lJSsGnT rfNISXRCj+oUzVO045upt09KqzzYVEboUf3lsvbWFv9aF/7bayvEqgiEV2yDIOpyEDZ+3MWGXaZV AFVAyfxg2/uPRRQ5QlwCedJ5dtGx1jFotZe6Z0uSg68+eeU7I/f1wNnGb7GiYQxM7TxOoQQh7ZNF k1VbPsqexgcsnUTzTQcv1oLtajbikPnVFiR05uyD/xhoRa1VuN3tMshxgD+31/DGKyYnhFP0xhwe MAjp1VF/3JJxM+18BbDVC9R9e5eh7LwjjqvSh3bNA/Z3zWR12jfOi2kQiPHuno3yHr3xLLg2BQDg QhhSIS/0oXNUZKoFu0v/9VqIvLjFYHUXX/y+ns5X4bP90l3NT4nnv1K30tuEPslg3NjxTgBHtQ+j H8XGNhpBDD2A66emWIJK4M7pS1Z3DTCrtDsBUi9qDlaWtis2Uu+3lP2r2K+kyr1SYYQrQkyqoF2P F9AlaQ5QnE60HLmwNInUJ8fLkamTFhFz02UU/L24ZpSWvkyEUU7IXrBaXCJPJB4+JEQZwDuWZJKy Bac4bqVOojt+jo2ac+iPO9OtvLI1cbsNw2CZZQWoNWw0cEN5xYuHTW+ulEmb/dtIxGq+OzBYnZkq /bnEc2FxA0eic1ol/Trd+7p9Iuqzc67BvJPP+iCjvarmStE9O8ZKcAyg1j3zkAj9EYV7IidvdTgk 715720b+m5iKBRIn6FHU4A6yT9H0XJzTYPRug9YIARELyus6eBodV/a8b2PwkrNDxh6nPWv/0jac lFryzgBTzDPI9YIHPD6C/+aLFvFY6XiaeHceeGP/kceZUeQ59FJPJwYjpOKctUxIO7qVviq3sio0 mO3Sa8owixAQMWAWtyc5UXANtw/1XLmk/3CrVI8c0dwiecwIiDmyKc739grbw/eR/hCN7DWGcUGN 1VqCkMn7U0+Bgc7QxLd5ApmeIyJhvtco8PudPteZEQBY3pnOx3e8sLLUfLYifxUztcVsJ+QS7Ctm RpCadSY8rNHhU3+vmJ7RIEhlqxc93xAYjS98/2WwI0aKhUs+LyMzMd8Ibdo55bI3uXb+ri/1jJ+L FDHXqvv7Xo1w7OB4v526UoUmMQTnDd4AscAT5oyLTyWtE01hh2G7KVz1xGn9Jt9NfEFI0GjXWvg8 ouqww8KQkpQWe2ENcP/ZWdNfByOQkL0UGEkdXHC6N2GYBBnVFiJn8ToCyBDh0JjyAMJy5kPm+IId jzX4NlGYauuof0hjEH/9XkHHNU+K0/b53Xc4QW5Ae9NZyhWrGj7uBlM1JNk+JC8OENougwE5/kMa W8iedtwQkdtJHql/eqok5V5e6njOo3AAWFTsrquj0NKLPx1QrwcPCkb8D9rZ20hbI8+fdTLWI/Mx e8+M0gjqfXHMUhgcTYGeTIIbp6mYAcI/HiREl8MNIvcmL0cKTn5Pw3loMoZxRouFmx9f3ATlNyyz odJQkJeLp9HYaF8Tkk7wfQ/Nr7ab6TrtBHdZyPKT7HHy95UKVMGp3hgDk5LPJFKpXnW3DPRPJ51S VTM3vkEMDXQ3bwvk0YrrcApvNTROFOiwqzBzjKTDIlqTYBxZz+oVBM+EBJoyQsMXZu1bzO5ODZIL sXJJLKf/RzE1LdploSoVmpfMUNXlsXh+2UYbv3FduybNPR24qlC3V6vb91/iJfT3AxFYG8z8o3pH J/sSelvo4EPKuyLiKP/2tH50lC3nXwkh/+gO+xLrWUldO5JqAmHxP7WUciSFvK8MNJ2EYtlAyrdh xu5ZPhMWuOqGh2ui3aIkhepWR1Y6uqCOT5ahNl66PT6UzwHVuhGZ+vLbc44G9bh6aGTWThUufueH c9uFNkRkfeu8ExaqKnFfmX6R+tABmkpDrjbcfPxqlFIaQQHn6KNQCRWZ07NziQTK92r0Pz5rV6T+ BoZjQO7aslhZo58KuUzQur/lqeDBwAKop3vJ+Mq1vGvbk58tACzzrEOdEET1z0UhTR7u4j2j7EWp U44ObYrDgsV/H+UocLtSQOq7RZd5Mro8BoAvhOrqZyIte0KdP+3AXnfLEz5HHqMfh0MKiQzYbsQp uz+3Hbk301dYph58GRPx+fhlzsXDBlaaNP19vcKnGAUGT+mTbaz+APE72dqXakzZYbC0/0GnvsM4 YgafwmZDiPEtNe2d+b/4f9j3/lZSzk7mcGU1k7iUfvJLQXUET4v2E3wU2mnTcJTjwZr2N5cYPW1P J+93JnxvN0U/1rlGm7gPhYbfQAoAAg8wukriPQ7045rmzVANvOnbMpl3ZUZU0beTBMr5i3PPOOhU OUQXstlJ+Iy3lWvqnZUHI12oj/KmiX7AEnwJIBEF/kZdS9d3t0/sU1hmRjnWf8uAO52kOczBF0ms Ti9w2sceQiWDy0OOXJqGEslC4YHjI9brh0d9Q7+/JLDTaMGEa5gN6C/tRx1+fCemFOA6ehs60fM/ dKQxhTa5bfChHIB8UVEyO4B7oJTMV8DyZvIsujIEcNvX8a/llfQ4vblTmbZuix+G3qxCIZ2Nr3r/ enJCgak/NEFpmL6HdLThZYTW8KPj+kx2Kcfzw8CJRQisRp0u7yQfjajF8CF2KIOKvG17BSL5i2Hs vALqW9CphMuKOozKNxXXtRILru9FOw1jznUakeobigVr7P3LLXyu4kSAOO/Y5oS7Vzq4N4piYkNM XglFa0JKLG6VMwT+0PdiALi3uWC6gVkonCpdppT++2jP8ECZAEzQqpYkW8R8LXDGm1eFQNuRWDrY m8cyKFY5BTl4OiKxbxaqiTJZgM6A5nZ/0/X3GLtDhM1LC6nKzdiy1rHoROhArtRtd1FlnzjI+EVN 1cjDtENCwdUtjz1B8aZ2eX3HOGDRL6ERvfOYWM9dUX8WT4JY5rGMEFzLB3sES/4h8px4xkMzA08C utfMiHAZZG+9Z09iu30ma76yXb7Zx8N1gETR3jjEM2rTAJfX4gryvUeT+SCGHUdK7iVcCPRjmMzp qurOnY8nNbp301Moz8pdk7qtDZqmbDGsyqf5hjdtYckf1OLozM+XgfR+/3So5MYo1hnbgO3G1aau iSdVIbtMD3FrmGdd794+akVmQPZO7w9ZJJONIbD9DoIJ94f1UwGpngUTO07XGYFVcb1B0S2c1Pcm tuMlHEZ6Loeij9Vcg+WJ/BbZJMGDZghITuFQOZ360CpC+xnh07dTO96QzHaOOZTE2wPCZG52s1eW xvdIlFnZLgbZuFZvGy1/jcAWc5EymKgdZOo+VnBeEUefBUgqo/cn1UhiJEPZloKsKreodoss543W E71jFvcVeOLiNqYCvWaSOflbdL40rjK71q6WLmztn86HE7MM/ktbOzEIQQMh54S3g2DrFEbDLXie Xme75WBcD9xydAVO9P98QJIQP2QkFHJAJM5tJswb3qSc4iZnI1VYRSazeggx2sc/Ouq1YuWdjhzO +X2mZkKhiZR3u0PpPtPBrV8dTE9R0M4nLwZvjU6kruZbP9V5R8zYk2nRZMaslnfRip/mTsRUJRNW ulZI1jpf1HDiXImCZh77HfcBsuenLh5VtlR1LrcGjla6thH/GHpBRVAWf4hEorFiWL9iuVNV7b7f LaYCRFKnKZwkt+DQkFfuESn8CtFGRD61shnyhGgbMolRqg2XyS4oeeb/+35f7ez8mHElXHEX6lk5 sFujRRexTgAcE8SJdcvrLmpN1UhEm8UlRFpLCfTopaU6PoHj+4nnlBmjLHo8PKNsqcK/6GXuFuQ8 Mbej8Pc+A1uqp6m6V5XUMdacmDhoYi/ueZ/FKqbw/x2t5mSCWYToCiyFj2boZoZfCtnjZATNY6v8 x/5HgyJBHK/wLSVE51TUs97CWqAacLRG5TaUj+ewoukbmpBCvvPFwA/naaC5b3oKkZU/9ClxItCo 7+Kzfq3wue8WDPGcnmMp7BUocCLtsf6FsXf5G2r3Yn+5XctsrStsHvZrHKqT2H5zzuoWYLFFYiIu 1f+2am+AT/gGhg7zdrzCVCFhAfxj7vJNj13BM8xL5DpISBLzxDVUytsDP7os57h7O3z7c/6MTe+c TjrN9mw9TKjbi6ueGYIBp8VOiy4G0C4kEI6JsNrd38cXwu9oBu4bwVzB3TZJCkQ3GcErfQOZzAgL wf7vpVBMK0LDCLtuOlJLHArrsipWKHa1EUeG//Xd4xJAzowkDQAjsXOmX6ATVxdY1/aqYWZuWh3g oteQAZz98ngYQfcZ/p7xzMYUhi46GuysgymqHdMCY7GMYvBPsMba49UkGQ3dXVH60+p72f67gXZo 1o5lI1GPkqlcEAF4d8KBBWoMQXijJv0xMdDozQjOzTzhRVF6K2qsoUz38uGJvFDlUQ+7ODi25PCz aUvHk8IijXD7FYpysOW+YgmQ9Ec6qTLxyI/tbRxLFWhGzkVYmOOicw/Qhvt2474Vk2VKKupygmHI o/aDzT3e8BXydEBeEEdP477RCjfb21STmXF7C62JiEIlYOAI7UAk7OdbSvCYOlDY0c9b7bT/oYbu 3JCg4no31zpL2ywtst2e5jX6JkzNqAN4PPC3iuqnrIew82IBXBKljECwn7IP+0GjFoiGCiiTwIqh miYOgcy4jD5nM08zamDIZnS6Ba2gTB0k6HKq7O8vgru5MEZu8lR9/7j9MuVRuD+bVpYsTUK6eVZG MIcL4Ux0kgWMS7CYr8SMsr+hNACiaSO7wvmNO+Dyp8aVHoqimu1dE90K7YQqXRZxRO7RF3vWH7fn RflEMi0mWHjim3l4EBa2KsBI1ejra83Pe8B6Kuc5tN2gBdDnaNsZqfIEWDGEUlhD9L24Da0TUEJN 17XBr9RnT5BNWksAma7r/dAmxXSIaHFdpzIsbTNFCi1D5I/VMcLwgbQT3PxLxFgZ4RPOve7zZczc N14TbIKUWiY7eT7B0VHkCe2679NqPQGjvFMVlNZ5t3oFcvHbfarBkqN0iISg7Hjf326suBM0Usxt jSKlcJUKzZwUqKd6mLoCs5XkiE6B7dvO+SM6P4CsaSnPyKUrPuJNpzLNvK55VWVeL7TeILCRiFAS h+9Xv749YCLoBJDz3J277kwMImclTFyLb791I7Bk2sR4F257igDTJtOo++vCwQ3lLZaXxD1XFcXs 1a++bnDuDpT5iTCxow6VPauvVc870Y/Cwm58dsmXC2dzFzvA7WZGZmJBDUq++4tnwtAIsdf+ZtGm BrlcCOrWLPLrDguljuCXuC41dlg5yyiETDq9bRAY5S3FsNxnNfGfo78F7cjlN+ONe/LAKAoK0OAL 35xfFXAX9wGZQmrLtR1nHcyuL3N4hfWxGjXtR1NJ6KJ4OGOd/5264dUzMdvk1Xvhlvhxh11E0F05 FkTM+WeKAiwxaqAMWLmuZapO9Gv5vZ/Ic1PmyMh2SWid9DfUJASP4O9Yzq/iSew2lto23MFr16Yo ADkxk5F7jfyImdbdWaxVeGSUluwRkjKpwhCyoj6khKVw40678wUN+QvklqDkuIxOliN3YbF1Efoy 80UlJzJENMOumoXjXfpDj9fAEAwj8z68xvGwro7XnJH4Q+k9jxzcw+HMtxyIMxxxdFsiQSG9e4l/ wMh47vdeFw1xmTXB6ULajlFSUhWTTRLXeeZCKHT1CctPpRHhii/rbmWf1isEl9JCzqzl4DBbsSjL r61mt81qrCPHUzQWhpd4Oe3SRWoNCh6y6ZpOo+0VQMbaLSv9DOsK2eauQM3JT6De1z5gZz2927CH KzYDvVHEJ6a8396jXAdx1KxEHrcW8twVp3YmoWSs2l8oHECUcBoihtEw7Fl1ZIbfLsksATC7hzmi WEglm7/qUr7LM1qDejg2sIlVl3ZoiyvV1kjqPiq/VHHXHzws+hqV5bY+Az3tAyn9ByU6sIlqhZRm EcRwDml4ngK7fkDbjNcDPT6Yya5EI26EL3tQeqOpYGxUSerQTSGzwzAxskZzYsF0Hha4cPA7YhuQ Il0E6W8QFzILUjhFqhzsh2MinhilI96EYhQajY5yo6VAhUT30yL6Gas+g4+9MbkBoP0htwvQTYLY wQsC75WTlVHJlmVdGb59CgJkc7f/ZB2ISAM2Nf1hTXV9MzEJRvzaDEs/M0Eo2m/ACHURad24yuVT lPsaswRK5X+9l7ZpNHz+ZFLLosG/cI+hGlKxnJJ3+Co83La1hbYubUeeoxEZ7ZF1bpfTpkDxs/Fb 7vg8VIO4yZ40glgFqc5hcrno08fxmhc7T8VMhTkOygKt9bT/39aT22ksOUqzVqmuYL+qyoQeabon T6BeSSYQgrHv+CpHxct7ut+JBpHp5E1l5IVkyCo6sNqlN7qj8/L5d5+eL9t/WqQrRkk2gy7lLPXj Fx4VfNJXREpUikc2sSXmT7FBhBpigDNURvWalsJllBD1onWR78yaZJBtCj90TWXd8ExBbhNWuf9k 2VgqbA3Lp9mFcF6XzIkvIkE48u/vazqVZgblVeYxk+2knFcCPGpGCGPOru5WfDwFzVLHzd/8/YEL NhR9DB+9Y6MkCMJ2usMJWYjK0AAVlMMKSQltnc2S3NMISY9Q+CJ5Z2s99+zXgVhEtiyWNkgDRCHz EUcyaYaH9IwPM6KQ7di62yfB2GAKPJAMTdret82Nbuh2lsckfFvt30zK+wdbTyEEbLwMGC1fZpYz mPx/HufDbLB+j+znwaSr1GtRQsWpRfrDb+Qyn8AIDEYbbQs3X/2cDmlrPno9o/Htm0PkRIOhlWO4 86zVoC4TNuzBQcKwkhEr/tf94PHKcCpnFd2auCwX19FLx0B46TLa8ai11kfMlNypbOrUgRxBASXF DoPXfXCxGwPtb8gEQyp60/AZ9yJWSayjeTArDGLuk4MS1Xh3vM1byDUuEDv11cH2SmcYo4KSsHHK NAla84q42m63BtUVAidjBG+s9S7agxdUt7/mcE819vzet0S/rghPuN2d0i9/MZJFVBvnYFAjCksg bVSbJ11E88OUrgAKqqO6udIsLrbzpGQYLuZDUU1bIbr70/BUwycniejz7ETMZYxIN3igrPwcSAhI fv8IVOBZIqz1pj/FRJ2VPkYo/OxmHV703LAo/Y8LYugdAW7jj30vVbUeqCiQBJDQ6L+3t84Vzkdx E3o6Yw6XVN0tA/K+fQVwzYtgNwSzLum2YLkvc/MJGYB1TLyLmyWdvH8PrfKjd7zIg668Fayjs6NQ IPyZVL7b7hDoMmSgkMBeu9qPkhe0xe/DdlpHa9l0gpcU0AitZTaT4+yp8bQpbBlrhkpOMZgYSAIK mmi0jU+B0ai4B8jEc1s6ljBXMGBJaRj2uvT9lXM9/GfPizHBjSzJc1wUAYZo+LILUo2qsYRf5/R0 qVoSKrGErZyDkUdXyYznDxwlCOh+9RIxYYYAOa2SUnIQWG54AjooEJZ8DNbgqPKAF1gnLg3py8r9 7Ije1R86PN7TPp7LIg4fcYZe2tUSdThnPr5+QtxEQV+xrejMEwQgU8kUZKjy5cwWvlNAL4tk5KLT goK7KaxyuzN3y7MNGspn62HhWUBPlBllieUWyZEQUH+FpBO2/Gt+k1Iofgynu0ckkJBdgIq8xelb b1itl3z7T9HjM6mIYexKoZtbVKCQVBNpy5fAPA/Tf6ix/x/fmYMnNpu4z35CtHnfxwSMK8s/GA4F eCLfR6d6l3v/aCaRsva2m3WgjOxR4Ao+SrW/Svmk87wV0tcDghNkAeyh724/xbcjICTYF4U8injM Tj75zop86NJ9elGeoX84WF2bksU5GCzM8+3ae5uJV9i3E7QJr49ZDO+7+i99KoPkTN/rOICMUM00 BG8LeRiW/YjF2qsScgQlnnX+byjJKzy1qPpFOwaD+/Dt/BWcuOhO6mySfgpz75pYBjmfvarKxExb sLUlGLRMlmxjmNsMjCJasI43fmtNxqpVXV3hOFRvip+Fxb/YwdRPeAOM0GLLogwsOHXhRy+MX8uW sze6348VzxO+Ng8K2Z79cAaAm3CeVyClcosD9hWjGsj1fWO5jxnYSNjxuYtGmFqOeoD9Zfjsj/kR 6nfNd3rbFrI3R9vwjSY5jE4fs6HwaOZGT+efC2JPUOHPtmhOOBuhgHwxG9c0dY+qnlCE1YNMZ/MO 0eidrXsDtYn0RV6dlRe4KeaWVNZLHkpyl6L3V/g2Kp7mpglicKp/cdh6afQ410xYT46Wx9IbHyKU vDYTixvSb5eqVSLr+hfVp5RQbRqEzN3Hb6Wmmt/rr63UxKzd7tGEhyW4MM+VQbhGmmApfjuMIv22 2V23Bo/zxo2Y7GVdTEH3Q8OM7eS1CIolD4HzBvyatsw07PSBc9Q44vN9PT66WWOLkqrheTG535FN b/HbTuk3smMO4TYHPwf4nonipcRMBxj6a+NIbZsYwJ/QbvOLvRLRAHx+/nKm7UudNSmg/L3BskwP TC1pEOzsTZ49RdiB5rGu/d3Pj6G25YZGbHLc9CUCwTiVmKP0F0N2QKpsz13iAtF6WvT9puhD+o7J hK+9klRd4iT+bz1CbQ0FHqQ6g05myTB49VzdGsYOKA96l+f3bftdxypYZP0OS2XdXp4U9HqJI9nQ pPtm+tvYRXUAY6ROO1JCG4LPuhfwk3xgQOh6RQo9TIkQueNINupNpS+Ss/nxhbHuXjW1ytwuMf/9 0s3QDHKWwWRb2BISh3rTeW56awKbYpuq0WyDbZs+ec97NxMP9Qy1fmEQSkdCycZsXDW3av8m+5BU /isQur5Anq23b6TB3SuSs+bYPp6tqgbVedBrqf9vNlUqv5bLQQ0PFcxP5OPISofSMeglsgpbIfmF ehEt7CUbUBjfKNxoNlfOrsHcm6RiAvfKyv+hkI+VyKOLJwYjejNKFho0ZdAGnhHrH459ea4BBN75 S9SeRwAnMeUGKWaUWsGzQmkDZYtkarwOqe38CkFd11fKGhswzmmfM5ZhIWQW1BUpjV4CF+D8jxCn IzRd6AKEQcBYqrwszMtqf4gRKujBOsQJvx409XR+JzkNfbr80NUKUCYKWMzFYjpYrbEyap4AfU6e RpygLV/iExlT9D/yoCJ1SgAzJiVGRqpK3Nb1ChPbXu5ROp7QD/yrtBdUasEOnmOp3BTBfHZhLNu+ hm2O8817uMCtet08X4j49f5uPNCeeC4Sk7Ds+oaUf8L57F1CiXtErILs3mJ51ZWy7UlonpHPkYa6 97dfsCJYHluNY8lO1xlnc0pHtgne69HmjyvYTALvk9UhBcmzlGO5ZywzgBCZW01JpwVdLCK2fu3c irFbOCwDIf4pSwoKec5jd/985Okfzt+ra+8EDwpxW5MrRuEe5i1357zLapFOpD+nu9dLuLcJo7YN rUn+yp+klRsODauvuoSqutrzNhsk90F9ouPtRJGj8EoCJqnjcDesnm/p9oFQpjFfYcQ4mqUL2Y4v Lg8i5h7Tj7xLpPxnInDyK8pG5YuWbNOYYH7UUke4B5uMwfdL/gnFKolZncVDArlF/AQ5UncIuGtR oFobapohfB3MaoBfs7npJbCaTIG4dDPFf5KKpC+8LTVF02VG7wZF7tlk9j+IAs7UbU8pKa6Jdbhk lD1jmyox/Gw1/Y1Ez+J2scVL4Wo59sXy/KJyuO9h6gqVbCYsI1yV3fIZWKnH4+yE8OIFPy0JTaD5 xuTrYTVRsnoeNPlw5tIg/2nV5qndSzjRPNmIWrJCR1Yc3ABACgUqmeMdtuXzJKUyTm3WCMMA3Btj AYx3VQB5a/lqaQOcL1vUPf751WjGmXkNKJrvTQcAw+WOxr8O7U4D7E2kRnD62oLbMnGbz282PNJl V/tHVcxyjdUFO3L5qrfpdYv1KeybGtmb0ghy4QUAWWa6JtQACvum7TK9YlaJ5dmzu9V2AAzIlpCr Xrky5jXsECE+eljx5ROoJYxQkGOBNvXYgm4Yx7fdTrnzuxmyjWAVYm+spLQxtu6kI3Q39Nizao+4 00mw0CqVLMAHEA0GUImvQM4WmNtfZoJLpAKMMqhMXUEpLIkwHYzGLNF0QnKpF8Utv4BKmwwKXQTe bcctkNIB9YFaBcoD0agaXUeayMXfGpxVsweRe7kMYfTYW7Hrg+z3EFRoZYTBzQ9oQZ8yfGdNSNLN gDT201O/d4NkLlqjxrcOtdlrovy3z2rk7+xd3B7l04DxnIfbLpcDvef2EpDONhOpuc6cA/ayF2xu lQvMHq8sYbtSv/7cceUuRm21w+CLKKo2fQgCuyim0stzeoK3VjZXdwm5IN4JHgUDKfPv+VlmHoTI 73Ttlam5prOZ/wObxiYJ9S+0ik9uLtqOXFCJavsRz8ihf8UvEac8qeYUYh070tf2SVJpXNAbrNT3 QeupXvsU79x2kjdnjXlzuupo8ZxnzUvuuOOlmDUB1bUFlAQIO9Pr1QpQ6VX8dN6lIbQA1r2oVFpQ wN/i+kJ3qh9jfqTTqjxNBcW/MmKj+GNruXWVoFBqmRwgthWwbq9/mHVPrvgHe4vjnuX3ovzJhnHU BtOZ2AJYjtq3SND6k9+QfzmHU7dloJZgU+Cqj6JmyxndELn27kqyzhgAn4QlDpKrK1zNqYtk+aLq Fe4naAhLxhpoqISaRzbpQKCzLaOHXNAIpgS+jOV41sc1FJ/nmWuoRXXkEH7P5/Chxon3Wk+ysBn8 m5I/YWkWmtH98YBpfG9Gz7AR7xXGSWUzs54Cmr79BC0di7x9c1NcT+vyDIBBxlQRR0QpCabqMtYl E1ZuxYUkK21kcVvsSEB+8HpGZ9VLXGVp5RxpRw6XjoH+kHd7JqpoXheZlGzUuyyGHGU8oT62gyHD BMSW/7aYn7YhrzcEUReabuiyRA0MxW2Lx3H9NDzUOF/YvhzvilvYH0feSQXMBjAd5yVKuLkqJFDA D54c68MhPdms8zyt1hkll3mG5MMSP6xgEJHLzq6oDg1YfORAocuOjLsP2q8cc2K4B8KJz3L96khJ XNTb1UZm2HlABm3cd7kY/RYz/zKMP0ORzCTjEAVg/xZtS2m9c5J23t7rKXF6aHs1mBKZF9wd3kbH Ro6hKGY1iqUsOkJgoiYfUGgB/Evahphg4ulc3kuWEg9QJzKaphotS7G/97fkqIyKmVgjlFA1qTx5 ni11ys+gDdGroT3j+9cLw4zjyUwqtbfC4AmFKI755PMMrzg41XNytRMTJJhYy3jm/BwGaEM5TFrM 2faiuOmqNTgalwCg3SmsQlF4bnrb1gS3NG+haIqRIlUE5I7+cF+c6aoECTFQmwOnpNMT0Z7hpRm8 t7cN23Md8dv/WSa6BYMf8jeh/tXrqStrmybKdktwtyoDSUJ13AUPcMyPAemxLPMyRRU58cwZRICT BptnNne9k4KKt/fvgkT5RZQGBcM+kkjuTzVLfdeuVZKdNzydaEtFD6MCsf5WRCMKXzMaK8660hm9 QAmoAwtTeV7kmFGtudFFS7JhfpJtZp3dGmkN+tOjMu3D2uBjJ4UonlZMG76wBcowWaUaN3/yUl6J 5sgmJpTAkdrNigAa9ooDqWuQYBi1wD8pDG56Yt2eaNHwVWRaplNv0vaGQycotHlRbYBGPWS32YuF uzmbLIpqcInkeNjtuhdPlksxjm1BLaN/xGrR+ZDJ3RbWlcymeZh14u2j0Hr6SDFsEHM4bsQBjcit 1vYrcw3nQVJEFFUXCwAN//cw9IaCcBLKWvCFf9EJklpckfWyofSzNzinspIhv52ebpAnqS5oocUU yQA/nVCz0a0THDRcJWRYpAGyE6Z4PWFGS8vm/8nGIy7poX/g+AQnrlYKNrhgo51Aaz1LOZlBQY7N XH0x+TYo96rB4GuVCVSenYMaIrKcd8i4nKG74RXBa9twbge2ErN3BR/q62kZuGejMQk4hXReGzT+ YZxaemkuGSnoOdCndvxatzju3QiaKyzyRPnuFtZYE+IHQ/lL9MTVYGf4YAmAiMex9YmX1E/miPJI GtTSlrdBpTzHlhsnJYXqz3mISJ5KBTUpoZcFGJoBB03uuxRLicLNcDy0CI7BKUzk1nNUJLIN2/8Z Rb7OfpDTezUQyWE8ZF0NPq+JuQINHT0uP+PP20TYYPIldxK/qM673gCMjAQEtrCzAsjNleNW9ty0 J7nZkOPNQ2blLxDWEe28Mp3Jlz+vCPNw2UwVeHgPp8hAqebMN1cDqUES1ey0WU6VLRn9o823/1N6 +PQRX02X3VtI7tZ1M6Xlm5uWfNvSPsAkInIvr6kWeSn/OmdtaH8c1fiYnwOysuvrRpFXJRavq2Mm zCiBvCgfUirOdy8PDuWO/PbbylaCKJdpulZf1qTmlpViuP0zDKqem4K8PpG6vGBGIg7DGcX38Oj+ slJaa98oalwgAO9UrtqQHFmInQ5oWqN0J3YK7GHNNhIUbCyGAXH7cttyYsXR5kLIfb2nnpNrA3cs 4XhAtTCBY56ZIhGesQromWaj2FQloiPhsT4wcCPZ2nb5jF50p6WvK53PpIw77YG+P9q0oWrsGEd7 sA4wx+VyN7e9NEi/eh9Ot/9pjHk3w4lGOAYc8ZQIhWfcI64KFpeDw89Mc4bANCopEy8kFvvCongz ECuOb6z2qLjqMHkD4m5hUrN7Ey4uWkldMgGpG8QoP9TAPFHZNo+pATlDMFUzdpEw6TJyajsFAF6Q TdnnC5l+arHqeSzv5X+2rbQyS6CsOrJPMgfIm/fcfyPRLC0T03XISESdBYqopcvS6rnSu8gU2Qn+ 6cjG2QXSFd5X+Vs0ItPx7R/IU/WjI4e8vTQmwqL+SuzcMeHl6w8A+en+9yS4Zu2ixmkRJL//2sSs X7lVDaokcXgXE2G9F9WG+E8MYVf3YKC7wSELeiS13gHzbFnxLF/4HkHCgIO2ou22fERoHmRHJgN5 GIAZs9QBW/wWhmmTLOyXLnBJenc7mNtTNDEtDEhmPMHbUZARR3Mx+p5aL2RH7V0W9aNGUVCK409D +jFnO8CN/rC7OM/z1uigDxvRi75lBzH9b2yd4AhRMWa+cdqQtqHc8ZmgrO55n8CVDDqJR5LTHgH0 eNa2oHF2eXYJV4H7/qB0+MgFyrWvh0lEi+6a6mxOmYp9SyBJ09aPJ29UCFFqX9ujGRmfkbX3kg4b nmARJ/5rY8ZMOrFvl/GgoHq6MKdhDfdRlX7O6RNCqufRoz/2c+J4dL+rrQEtduE6GfI0Zc+5brtt J4d7IU02MkQ676ED6cfo21lYWPSEN9xBiY84VHVKzSd1jiBrnignPSimILVlV18T36EjNm8+qbv5 22N7sXHcoKFBf0+H0pyBZPADTx7RGA3aaUieiWQ4Mz6FYWG0HDDxnOGBijPp7wY4v13ioBQAEEr4 MjHMXID6kl0wm1JzwJ9RhBqHm/ec6fAuKesOmQEZjDpykaGvO8o6p6stPAIa1fa4Sgr/j4Kfx03Z EDnRcoF7BkRdvg47aHrmS898K34VzUtaUeOnQZGrAksAAgzX6jBYW4lAl6vs8ROLB+QCsBGo5SvQ YCmQ9hXvE8pmpXpd9IHhn3FZJo+b+mYDrGEk+j+ThzsIJszzkbY8YCLttryWL2DmzoCvc+JrOcf6 UalldfUTZh2WcBWb1tqNc09IS+h4BwDmQS4epD0bIQIGAiV0n6jQvHubtTt0tDNuC+oGmxjzgG/a pCcGY/XzD/v9D+09n4eLXzz/x55SXNjdA3InB1gX22iRHpkamHIsKmn3u1mbjbufnYg1DffNyXcC TXeyXHba97sZYt+XjNgV6sqv71KD3Y9WpFfgo73XnOoX5o2Zqca7w2Mg/emXiXvAzE0UcQ0l7Vjx BcT5S+Rk6WyMfRdg2bByYNFAiAa/XG9T8ele9FyJ4KXSf38qvkWGOBu+x5KkVBTnCI6oASWq/FL3 Deg85EIbyVQJzOGZ1RKkRRJimNaFckfG7F3/ApPCPpyXEGimDX9vFr0mTJIbYETEash8LTIOAyLy vs27EodNn8bbVhA1VgkmpLZur/GSWG5bhAvPasoP9/tvp0iF9nBNKrwxYDED1NKcdINswArk7ovi AHJSvmG44o1AtHp5aNd1eWM1NlQDaAcmQuLdEIQJzzP2HF8fHW8YS+9kn1NnQ2TLd7ySnwtpeXTN 8vINVQqdIFoXxJFdxcRCPl+Ln5tMq4mVVVaRKuMOblGhNdlxGXB6G6LbwZW1rRlrEXbjPcDLNj9S X1xKi/O9Pp8as+MCrpKJFERB4e7pUBIpXC6Y4wjLnW8ePG5gg2gLR7ESVSej8ef8WXqEIWU0WFs0 Us5f+IRJTqjk+XwzcVBPm5p4d63mlXVcUxTpHNDzMOjIxhm9PNXkiWkfLQbkuxT1iVwjLiaIWwMW 4Lqn3eFux7u/g4zbwgpHEySrQMQdFybtYrQJwD0YVMs53jwj/PdSSqN6AdSv/iyGG5hhR9bHslqR elcCZIDQJEOd/GJ37eAD4lMXX/hU6UD8Qbf/tJyjEz2AzCv4d6jUE2WbuSiPl7kyUC2jPJ1F8t8t hC+hI6ji/jedst3x3TsG6yqw4lWQxm9TgdjLWUsM/8XGJMQX8ZhKjG9MNQmwUP4cdP6JXzs7O95Z mvCY4z3bMTN4hAQNWevf2MZavFw0YT/BprPr7U3gFARucHSNdZEd3Fz5Lg4cP6soDbdI1VGV8sEp /yoS3z2F28+ZZnToqoyBQmvu7mnxTUaWyjYJvslgla5jRBvCNDWaQCf42Pen5M5TCmdSXJEyvFn+ Sy/NMZPDbmFUajspKDwOvcvCL2cpFfJCPajIFiXZkQ7Eg9yawFsv2Q3h2dEvNQaRZB8PeY9xEPoO a9rRlxrEYqcr42KaMT4brnr9Mrlw1Z5qS2pjWRkUvAtGGKD3Z42hxSl+3FFQU7cIguVB/uAnSFRM R8bQ7SdEnIyE65Xf/iks0JqX7+BMGpfXvhjhMpe42COXthp9am3H7exsERo4lVlqcpjKGs0Hbel6 fGQsQvmDwBl6BA/xIuUbCftlS5hoCRhBjZsYH0fWoEGNjPMKWejOlizYANSdbvChF6NFcmE7r9XX WBL5Re4FnG70+WVqR8JM35auJaC97qiJOuXT+R/twgUM9U19sEecbaFw8hxWf3+op4bSi9REu750 skbH7fepiTsdu6S0lN+QjZ6K8l2L2b4hr+O8DJsP+hhof5xRK7MiWLMDM0RjFGloBtyYLpBTTclA qyv7RH4FwNUo71/CowM7EX0hm2SxJIDVctOQYlRePFnTzpEVUr8UPUetU/d8XaENjbD7Ol15p8su sagfLc4fy8lmW1vwFKaXd7ElVvEDN4/QeHHBGV28VrHXL3WLw1zp0Up+jXXcNd/I6xhPLtkHGQHH qHjC+mQPBTQM98o0jjtTpt5FkNBeYVMsYn/+5V1gdYviJlX0e7QRzooJEnhsk9Sv/g4fjVZeUGId tzyWWqQncbJ7KgxUaBUF8IlHofi4LUE28atjvYBHCCX3gdLeGxy8DxJ+oMEC7xYJIobJnSxzOci2 e6AuP7k8VKUngKwvo8whq/QSWC1qHxC49N+G9nyltvoCNWTf1/ufcsBSu5blVDXljoU/pV6mUnfL cTAUiWr7TqV84ROVfc1yBSnPn3wHI2vkdOrtcf/ZP2YQXmBtt0QgSFXb8vypibxDerf8+mzNTD20 jXCtND99ymYiRBioFude2t3JVPMFMVo/hYh1dIOE+0T28pioOrRIcIXwDI1/9VUf55oCgs7u2c55 ReZjlMwRE5SsCo6Vl25GGRI+P+yR6JgIS48L3HxBt8OJQousjYl6rBOhNJgLmBU1W8kNzuKPWkx9 2PiDtLMwrElJrYuHyXtbftySWzPXO9HVj0B119TyRwQ9EyJJBzRFARftViFcQQStd5piQbyLmSma dzkVwQF141Wq7otZsw8mdz6FWgr3D06dulsvXONYyhJgEw/sWtgSxdsvzHDEXQGgMk21sw5dEdu0 lQV3x+1Gd4p2PjQbvZTY40a3VTMckGM6BzqISeBQYB67m5DCHr9RsJ6anLru8MRIJyBEzRconwP6 Rze9TkOgoNfwf3D2VZq9ooM/jwPs3AK324rxIfcrij2CPpcM3ks9bUxBF+PSCRQNT8bbZF2BqYKV FR2AA5tazpUVmH44V8I6JOl0nELNys03o0R19W76ACxngsFIG2eZW1wUQBo/g/VqjwgGHKBa7nrg BxFcl5tcCu7KnonsbV7gHPVQLx6iL/MFouPYYVoI2hW3zoc6qAiGR/WryaFGNNp/GVQsi9TuZqje VXC6DBGoKYF5UweAaZtlEGXHYbo/I4I3ny03jicaC4QfEI4lpcSD+ViswVYAuhk0x3SeDcXc7AQU 8Q0hDDEvToYIbSwWkn4AlnVL1rliYW/njxjAWRoSHFyZgq9FPyZDhFuuEcvXCe4Dqm+QvTsWO5eC LdnS7vONWZhAbxdO8Ca/8sPTgt/JrhPYOkBKa8Zk+tmTtqw8U5hLW1hlsQaXFnm1pKVMEEtm5MYe tycETY24LMKSxOqDP5WphShT+Nt8L9/vHuDIU0fF1EV3r/NN6M+ewG4qrOiVkpb0UdmUYZDiCMy3 cxtER8Ep9h1p642iiYDwvf0Wj+8IOLhHsnAnBiUtHHj800jSxjqVMZ2ma1cMHOrWjvgBSAp/wnCM z5e+WE7RfaM37bvk3MG/5GOu7MBGbeoJCaejUngKjlYneBErW0GRcpBoBa7CvobRCPkRO3TpqAd1 q6f1O7Y15lUsYl7WLfXXWLVS3lw3n7g1RT5qvwJttUh1P4vCSt2asKjzBTgalQa+NgYTgXj+KErj /sCItjgrNsqA+V+oBMt9BEKg2LlYUWvqiJFRtoeW1FwL3SmDofOjwQJNgxUBqqKv/T8dAJJLFHGE BVyRRS2u8DtGlX7Q3N68HqFja1zSXdf+5gFewhB4012IbsMzqBpDRI51nnBZm2F9lNR4yrmu8o8w i/NPKAYXRsH46Y/kqrkB8WLd7mk/UMyTh4xAUchyOucN9QD37QCfh+9UHAONuirkDYI2hzztu//F TiXeIehC3XfQXoVnTwMIPwfLtzygy+UcNB7QhT95BgQfMrcq90VjCiIbpsOsg0fEay4fapI79gpZ +iF0/ZC9BT1JXfRvJULItKZ1fPo90MDl88V3bmKE8euOeVSOngAJ4xhFbmWTHhKfq6p5Sf+pgxsO t58X77iRGoYROcMHDabPcikicgQ+evE91kdVEBiB+Eq++k491l5C5+4TmV16VZZni53CbeJJi1M8 fE4yih96hKfTIQ5zQmr4KAsnMC3dkEh4IhRPJ+CuW5RjO3TuxpWPoF+P7nZCXFW+2qG8iCuKtm9K T5DOqXlBS1Du7K3QAk1i1nhB8xdKshBNJd12S23bzd5uYdpjj/vprYK2UZ5vP0puQ27ote2M/na0 jmE+E1nEBhwJXizWxXBjuextPibht+IKmfKARZV0wrt9rsic2sSjNV7fPqVQY+pkCJW0mvx8Ma/A 6BSWUr7wQSz5XvbsIjTJvwFt2YaeOdne8pHTUdJzZ79kA0XV+Nx0DUhm2kb5ZgYOJ7+wwdpai1cr u4KxB6lw6jTjhjiI6l5sCwkvuG0nC2QNS9FsCkvl52bu8dQKom2/8raK04BYxlcyA8kbLEcN+VPx t4MeNpR6aO1o2o8s24r2m34wEP+vgXVW2Iw7PzsVmhZEnFED04A6XYAM4uaXw3/T7cu4Ef1PMPwo lgyCVD3aRip4iPal9wk5OQM1BmRjJIKFyz5pIN8NIGnpWIVE4jzZwby2+WM1HTh1ZT1LmAHhvFWP mnJA2di760nVJo5gkNxPh8+YyoLxjjJnVDqxk78AcAegUn7Os0pLseEqJqjecb+iTPx4PRDBE4oV y5YKRLIYxO+fQMXhTFrLFL4OGvdQrkg/RQ7TqkF3wHfJEIaVEzns1uP8FokqdbgASThrAwFujqwK RTg6QZnM9N3b2fuP8NDAYE7jGT7IBG0vr/oBfWxjmSvY154SctiNzXu/np1BYjyxQH18K0CurIss RWDhCI1r0sRprJr467O3e/wnQimA3Pvwn6ZN6RBOF58OWpC5LFg3eZGQKPRS25gGC9QQsbcCMd1l LSz/5TZzDIEkoIobFhVKNDK6X8WpnLBd2leV7OhKYoc356sPJeJcss8wcWtwjgs3YPtEslYYIcS9 LQbvz1iUfzrcmxnMTvvaoG/sFBuFIU2EvcpdeEMyDx4vedjR9uD0W4cez6tBpe0D7P3rrTptjmVZ N4Fj+bG7JJQzpNoSB3hwe+8B6+GHInBHOgHQXQbBK6hLnnW+M8vngeLPkeD3+c/rQDVZeJJe/r9s pZ97NNl5/rV+N7+Im8MQGrMLK+28tM52IVMOTDa6RTDgWQe5At5OZD5jHOuWrmbR5pl4Sb3aSMCS QJ1pQMptJZ1O5G8GYsF5tpXBkddiqvX1g8NZFW+6YzezB0gxS4vi9nQ8U/wW6MfoEG57Qnbco+T2 vo5DhWtON8L1v/mELEQDO7bTAoR+9oiZXegVZ3id5rd1g/jtYwxfQgLudX4QqyWswoHAyhrhbmrc N22h0NtGv8uev3OpEHFPAewWkpBOn4C/lOtaQAo2nE/u6ug6gzk6ZB2BnUhkwH5sfL6wkLF7UAl1 siKTwaU0or+ObHRUkdiPN7NBUU2f2jM9PTr3goyMn2kIQUVRalkG3dY0N3OR8vyQBhpfjPPnzwzv F3huXLiWWARkh3QGLi2lMadEmFPxVSC7Hb4mjDYqhaZ1ger71oHGN5Pwz2TpYbNcdtXW8gRB/76Q pyyfMWevPltXz5q8r0soGVBmZEFhInhJrSl/rEGLJCg2THbBIA3TP/8JYrzU67YyB5B0ulf8OTeX x8hFVBdDHPLFzBGbH3GvyivZ3D4t18KuN3PLcxRm7VXXjgfxYlhBHe672ICOXadUa1393NsBL0wO +hytjGg8DNSbtgRuLfIlo+Lo7QKI1bn2bsjL5f0fRaBT3ZKtosaOTKlAssr/+4to0NCCdJnnnyWQ EWeyiREmLjt6zw+GOmrNaxbEKXRPErzCdjF7V8D6f8q8Vit9sV6xXQVL+UjrQOrvx9VKEwvy54Or 2Qt4gh+b3qJ7eTSCeAJ3vXqfyNpM1xy/9EsB7m2mrOqImWMbvnF//txExWcqyk+Kw/e0T4giCsiR 755uMF/qwI1T5rACV/f18x22U9oD2Cb7HmfBxM1Zo9dyVyTn0V/7dgk5MUWDhvQIw8Z0DZyMmjGA JZA4/B1c/JJZC6y9AUF2Ta95yPLFdFPJkOZXF+U0nWgpWbOR9clhq5B2PQy5abL0yNWLX1Xurfaa 0/Rt5uokWZpl2uyYNXgY+d+CHrvmMSK132T63nfaVNQaCQdQ934qbDt9D91dUyebsogsWERp8yge 7W71aNBc9JcMcFQMfy0JSKSmVTer84Bz53FpU9krgHdiu63Rczh7QsowEx+3IYUSdgarYD4WqSMv zIlShfx3xg6RBNXXCoi09jOyGBUO0L/lbep8qz4cSiKKn8RVQGCIeEh5WVFFJvqjxpRXclin2HOz 7rhZ5XWZmzLvTkz55OhuMZtjy+XF/W8zxaeZA/pDHgzVjalL6+hIbx2gX2t9/LeH2cdzNKz/a+oO lE9mN8Kb8k1l07rUMDgP9uMnZne4Eynb96eRzhCfdw/t0QBRS/Lo2ip/nOOyY3qn0ovht7nHntS8 DqU3jZRS3apPrhn+C2xTjCMhZQBPeKja4UqXx3mpu+31mkE3QpXV+KY/uOXLU2eaBbvheXAw9s2Z ro23iG6bNlbpFDtH8YsjKOMjqXsxIvtEw0Ncn38icq5DtYeUeCs5m91EkPwM7zUhBJCSAc/fHJhW F42wM1pwCt6HlsYP4yC2pginRh75V5k85vuEbrHCMzPBtdWBWuAtr+gRghPkCudTczfnIbAe2JI6 jMDoGqccRHlmjS7dsiKPtJspoF+B5DZToS6rg1cwqMlOnJScD6R1lFrxAp7VGyWdeXGMtHHt4rwq vljYN2bLN3geXtD5iNsHUpS1PaGGU7l9qIuhdSz+NFuI9BICBKPmcOBWwBebS1rbgYibWyOWsYEh 5JUWnw7pFpUwMrvN8tu8vioQXDPXPGZTZuUQ++/MYu0Xg5MKFiCJm9sLdxsezNIu+AcjlrhvY68z /PIJqK64kfecT8Ga3LD+PBdb3XxfF43x62RtEOqW8ctSGRVBVPOjxc6/zw1eZrCVh2cvelhXFCNb 12LpD/Q4h0rXqyiVABkrxAvEDouZGMyFeUFBoglzOXUdqtoPXR/x9dCe7z+LQrzGDYEyxJqrCP/d rjM+2+7HupFn2jwLSdgygDbiT5IzEH35597Dz7cjRYhPDQMUvcFj4wMO8DzItwJR3aUO0KquojXJ inbJrzT3fNZO0Qd1xi8hbnnEyLvFyVdjbnNoBiaG4t8sA79j+Ccgc7SZ1gWripnP6pyuwEKnSA+m lPB7lZS/JZN/3yc3q+LIFTOII8ekcn25tzWxgnh5UiHzOYlWG9H1XRIeY9BEdsqfCxuJWFZcH870 joo6+WZLbArd5wWBg5RT9bUdTTzXFDeb921Z3nImG8JLp19JpcElCWPvaL/x9o1fhDf0egIiuZRT DHwM0byH4Me6Lp/XWLJIdySavGi/Arx+qVfLNT3IBYCwm0vilxmMJn1ZNw5syl6jXrg7AF4fJq55 P+/vNxeQ1/fBA4V+JgeObl+2UXdpdJuYYpvGd1Gt0ZufGeNRS/x5r4PakNubMz+/I8QsTOh6CwpZ 13ctFcE4dp630W+pALnqPmF6Sh31xblOiOfFxKXUZlwC9JHpuYBi/Y3TZ42+IfgoxG23rZmTkTXs PT21KszOtNoXKLpgt//wWfp+T2DR1Jk5kFqSVmfyF8AqoLAE9xeOhSlMGQJ9IP3B7Nby0UB1AOHd WWjH2YN2RiY/Q9wwrHPKQXr5U4EUwqXWUi9kkBrk1xgaGOXcXvNRFd6NQGxo9ypzlJVWPrhJahPW d+z669aTnnrMsriXWAGfrxINrhA9ykT7VlDrJIh8CsRjIUShVier5ynUV3ynCBRFaZCxD7oneDSU OUVb5gHM3X3pcXv6CQHYAMEk3HWLYNtA0t5L+5mNB/oi/zZClcC1LiZlN9QSkrsNBpiDgT88MQWN 3OFSCxk9LVE4lUK/n5OssGcCz0wa5xoyyUDjUgbagXLZaRupPSADkM3nWilD/XaceaO0ZR3qwEY7 GQup/bW/mob7HhEZ+r5/PnqMOAHDNNEM9NyxBJ/uhoI65Gdyew6UbflvgHKBt8JgfO7xhm+kck9o Ft7Eb7BKRhUZr6Kuilb5tPOIzQT+oGRIoPm61rs8ESlSA9wjkuR4YrOZx6zdQlx08QTXP6JziVJR /ELZt3eaayURIi6Cm7aRf8UgTHXZezw9+XSNK3QC8kK0fYJ5c74CdyJm+5VSvI34q1Bx3otdPZMa q7DRtyYhJSFbs9FJE+Mpf7aEUXMnhr91+gQVD8JFTWGX4BfQJFlv94hdEapz+9cdNuyxhNF5MOCO kcXoZULDYKbbeCtDLq7N49n14ZoDntNZs2JzqHVqZZXVMaYt5ZmpTqjFRaDQ+GGxf767jsm92ejP vwoNhV4u72B4PGVHZrrX8CMYxaWvCcEpyQdJjJlRxkRwf0hnyYQMJB03EfEzMhO57A+OrIsnC30E A5NMBhsvEyD1ZZZOnjtUyCCXOWmsRA4LJUpaK7cB4teZuM6i8HRRQyPqVm8Mg/zBsFQUYFJjoe1k pg/M7sQIvc4AYOe2FG7d5n6HmW9wzbGrmDNIh5fW4fYFL0PDNveE+rTh+2GV3XtCeWrwT/MQa8rZ pLGfCQ6GYo+vkB37p7dluTz2GobldldOotv0vA+5Coe62UBDCcIia0kfIlKQxRGLd9jHtRufjtXW 6IvV96w2onA5/cBHNVXFwfgUOtnkC9CPA+QU7JSX5NRMEzP0+STry+v/KHhBC+HNUfLjF7hZyVWU epjiNB7jJRJ8iuwL77lcKauCcDlnrQWw/rhCyL5bsdOlZBOEnM2UVctEEq3CjXJAuM9LJjFMZD/h m8IJK06GR2POOBdNvP4lDU6tpHOlnnDVkqK5o6eJvnJn1bNs60Xyxudqj4AHU/0JI6lcIrkzxAmB 861dCoEk6F2jUVU9oFK2ciQZ1YsxS11JI/hMjZEObygvl0sGjPRVo9WzmnXETFg+SQ3YtfFkwwhE nEwdUqhrdlWDP12gLgCCAvIf32cDteUEwRocDlmgFqCTTNFKI8YXQ+lNo+xak0QgAnTnmVD28bl7 3UH/vQkH6ADhTvjEZSw+aqWK3wukx16RTAIBtnCq4Giy/sqV1zwxCDSpbFlR0P2ABd6dR0OUwQVT TgHAgjZ4o9r8xdD+pN1dWCkPq13QwxpoAjj2uXl67qo0ymTYuSooZnKxonrw5OSf6DisvO6COTMi 5S9lXfxaNFsbjf4JQzbCYeWAFAakyJgibGszs5tZNUXapcf0hhGmG3fNpuKQ+5K5X8K/RC6vHgYc NkbP10dBh5y90XiUo8rTi96OFwcEjEdKjHPeQWzz19Lam+vPoE0spMIV7pD4XtgIcqinNKiPzecy V458Et2BAOaRDAbqLc4CBZkysDh9kw8TtLYm3elqV9a66eP4YakZuzX1Zap4isarVwrOFJCQBTO9 PlWb+lBHhNfWfX/GlpKdOkwNWTISCaTbrmnUwSHHkEgy48gHpgBURin/RlMt9V28AxXWnMQr3VtQ Zb2zW2BCH4a3fdu6LX4+Nq1wwyJ0uXHG1/Vi1G9qUYtc3M7lZL8XHuRv9mHmyNnuekP0y7L93HoH +qSQeYth1w16cH/8UdmTqiJDuvy3oBoH/5Vcm51k729w9RSOplpbTyKD7wRPeRctrmO4Spau6/bR GHzyd4ya18tzUnCylomdSGoV20g8mb7+GJk7qGP0rCE/0kv/a2dh0ZEjNoXwE8zt2G45F2RpdkP1 4mFNGOxv0PCqCs5MrpztlS2k37+LtkegERFo2BlZ0QOU5PqyQHBb4MQlOhU5rjMTPmR/BjUGvSmD 2u02Ou85n5EEEZBOJGOoEkhpPCdxTyXPtd+nrtvAuO5bbHO5YjHRgDmOHnB7NtXPMt1PtrBF16Vm ojmcubsIxUhnrjBtoWYYBtvq1OWRcir2ncmvY1+kSm63JaLauLcdH2WWKDW850fi56Tbv1YIHqW6 r1Yuo+GU0ebCGOsCj5/t/QTQiyRZ7NCRqITPjLG4jpSqMp1ZYF8Wb89Pen8xvFp0+Q9jI8iZsbXi +nG7nFFr9MAqPwVCgL12iyOQ9uzg1ut9ng9U7PUpT1Wz/lDCKenmSIcEogqICejGH7iuo+VQkSDe YmdBnSQfrfaYWFfS2FkkBCZFJ44CQwTgcbnWj6Mzfa53XDQdx7O3r/QmuxRSiEydfPwjKJ+0YwZN +M9VviNP8Lt28bld/5JShZymEDYibwtnhQREFVDLkQHbeZWxwnmnkeG2v5ibpLzwxB+A16zZCA1F Kj4jQiRVrmiThYzoN5pTxi6P2rC/1MTZvmkf/NA/5LtTNGDTNDU9tPJ+VWKwtsXbnPNi0k+XMTXY YkU9vjVlkmiI2GCR9XiEoogjJ/Lu7ttEUFxieRI5kHO9zedkHYdBERau1IvHzQ7jVdvtEmfLMn0J io7Kud1W0mkJ7hngsVhfXEZs2gwQ2WMOR7hsP3pqHqkaqd71sh0Al3F9haHOIJnIyLysdBmcjHFF fxjtWvPlQovMhUxLBmiLEBdDQbzIMci/ms7tPL+4Qr7X1dsFSYp/tG4uGed1XYBa4PCHIjMzvmtm aFndYESFfELXbtNoy2iZjIB1bNn2uSfxMCcPlTxkvq31a6ctkxxAXRnJRQ3+AGGY02kp5dId05iQ EklM5Oeu+Sszw9aStnUJoqaOuI7niiizVMsziAcIqKBlr+EJ73yRH69+69z1nv6fCRv35rlJvNFJ K4oOkLTl+SKaGYUrela7VDLiWCBmF25B/jCi6bmy7cW225sD7UyUXTOB/AroOlDMSHftGWVOwyZa p1uagggosKQDOOdMvfI85PQ44PpAoivQyHjYzSMXomW8eGFBJUlqWXCbI6U7R0tFAwP+kEnjDuZ5 p+qKB6uBWPgvUc4waxm/pPOkwOb31N4mThnTm7xVfj+I6Cr796LYTOOCAvECX6yW8v0EE8/3ucqv rAbFibJiSXVjOWlVMpNuEUsAXzGWiyI5EJs42kn19spbTJwoKN6/1fKhtxR/M8maVy0GymkpRbaL BL7GRtyVXX5/HLad/+V4qRF6BqGRAcUCAxnXu2h0dku7K1ZsEYaqt4iL8YLE8xZHQPksVWZN16wL J3N35IlanuPBQbGYnjLFShkRYrWf14LkGYMpfnF4QPJ/351mBVeh1v113mUVzVqo590IjnL59wRB IugjFXi4aedg0vkknVoueYS10pYV0IZfgdIpdxPx2D8ZxRO89xqZYTFRiPwGswz+sfvafhl/0mpC gkaBrFaky+9xPyw1dvogmxWuSwNkA9zER4FQsfA/a1NaIzAyW22tUKd/M/Socu4G3V8w986RZuS9 VYrjBxeFNAmMriwl6RSwT+Abkpv15lHVkSJNsPUUdmOz1zEBi3vtuN5+wV+UrIYasDcHP9mJY2Pz U8LExW2aFKJTFJPGkkGyPOZUYbZkJAQ0wa+CeJ2lgJb/bfDZ+arRQ+EfexQQJs7Sizduh8xI7yEJ 4H0pPVJwvLEtTRGzOXZGXsrn4Ii6N+fv4qGh9g2uawkjpCXuwOB5CXkJng7evgP3k5HC4P/nTubC PRCKdBLIr91S1Ol/1yW3NSEvQYE0bKu+tkwlPp9GcBmB4CeaYQNwCNB2y/VxyGVTdaK0zwe/pwwI sBsGqHD62vU91lpg99Mpivyz9idU+Vm0z2/1BR8KJJ8RdxMZdECWBxM3EALwjnhc/MvdXGCrwe6W V9NsVNRlrnn1Ii6eJloSMeDflM4Vxnv4Yi9sa9wbU2sCex0LXUeICXz/t0dcvjPPQhFdFbMjcpPU Mjj5RMQ2g0nLMUd3brYFkgskjsB7w7VQ9HoIQ1GiKRgTm8073dXpXDzQO17V4Gt0ufpJGwbXFhjX KKdCv1mk0NuhCRsm4BGXA2ymENxgPUZwKM7RwUnP523kZK50HUNfOIMUVX6337h3kg3ImijuN/LK dEqKEH9Q+gCbKkPeKZS4eGZYikmrZTxL7Z5THVwnZz7JlOK/otwqpJnUSk+J2rPWUZEoW8VwTtN5 xv7uSP7iHigCevjQcIu1/3lcsM9NR3sFXCEKxqLamTe7PpN5XFMq/mQelC4GKZLystQYqOzjy3+y tV1ODEdtaKe37xUYoBzLZmYCGrmIMy4HpVgW+pyQSmxaKjFKAXrwsb4biFub22m3GmwhVIRKYSeb lFFM103etyHlrctWpY/gGSpeBswax7udTzcTgS1PgQ7ljm8K2PfthJ3IzuxJ6FeB9ZEnjwZdK1Nq Tt9L3dxj9+0juKmQbXOBmG5l6dypCB5PnX+UHmy4VxMtcRFgM3za9jUyfkcwXJDJo9CrdIZp0iR6 ADSB9Zj7+42JvBn8bkso7hJPTcig4Zg2qUxnttH0HVdtrEoTXw8rUVd++Sml+3yvymnvFs/a6nPb GjWccayWJhK4YawIFDOB5fTGMmXHph2ZSX1C+BSyVC7b/TdJ0cLcxECkxZBuhkFU1metJcyNxm8f nffLhUgzAPdNY5lueIUosoQ9n8L/gOQl9hwzS+7tzwyrSoEg2nQyIz90BSwG3ZLBPQh/Vb7jGNfN BiUH5RwHTcc9a/mnyyf5/QGZz3dR5fMAuCRstXUsVQVTX2R61wOF16epAgOQ7PojtGmBQP7cfXtP uyYz8stkoHKNbmuQDEc6dyG+rKKCDX5UhDUdrUKcmk+Bo7zKkEsr7kqZ/FTcuEtNpV0jLzLIcoGG XkD8gSzD1YnIPNtNRj4EXyTCVCU1IK49EKnPL2WBbyRe4XujmDT2pGhtgD8sCHT1kvCSs3dyK4Ff 5CEnjdFVYgT5mCu7piSGzYBkWDg1j7LZfMbFJIqMbCZp7i2PvXH4KA9y7DQ6oL4CVQxTiz/LvQEQ f66E1EZaShnDPv3nbTmBv91IeKcAELtpdO/R1s4hfd1VGEFGsq1FhUD/yGQondaPREz9XpU7M1Zy DAW96fexyB9SL5UefvFEwgIoTwbbID5Ko0jdWx33H+ANX4sg8FT0mk5uzDk0LXSY9JBn+RI3I0A4 /9T8DHd3b78vndSUeVR9YjErERV3bxxsjUJEHd/BTvn+z5GV8Sc/0CQqQdEgZMzRfjnKLOm5fPid 2XFdmP+/oOE1fxI/wyWNPOr6Ifsp4p60/5olkgcMX6CPsRFK51EuaVgVB9UWDvl3vstIPzOAPk21 WlJbDQEoWH5gsXyV2BWnSLRUaSZYYXGky/jrnxQq5PuJMUKTmDeG5IvQe0qi3Ac6yY5M88NUUgQt 8S7NTGEb9WPK38wrpk6O6lqNP3hxF6ofj2x7Vo+e8emSvhtwzCwDac9AoLhoFtd8LN3BsOPv1WWt R7/a8GrL1v8jAby46wyxSL1wVxVdVL6LPhICKYLB8s7tRb9TITlyKut6YO087mKpLcVftROkBjSS QYGW5+R6qtah5iP3R2JdJbu+2IBSAn3p8XrYBO8KM4ArXppuypd1Uw/yQ/T1deWcRhSeyyCU+8Jg Pf3NqklL28YuE01t48Uccp9V4Bw6k9KU2WJcIC7RC2waN8+bhccZYe75BjdrYN+SyCeSYNzIGBVv 700zOcLIAooiLHHWL7mmoz/B/2ABRyRgg6LYQH27Fbj3UsNUl6qHsyZdIf7DyNwhRNxy/L+FHnbb tpzZg5Iar1mcXGamKjTSe0u2kZ+EzKhCWk95ymDqH1RvLm0rAndgNd15esPQI61fFfPwGZaxYeX+ 3DyD0p+SULw641Oo3aLyBABhxdqVqeJmHqyffTGOFrTGm2mvpMQq2t5Pfx/ctC3gXslIT1yyFCjy /sfQEvQy8AMbGJK1T7iEWXhZxmjjuR69eBKL1OiNUdEfW75NH+bubwEQInwexzMRbSB4F7uLBJcE sG4/LyHWrTwnbWIx96UrttzAZBPU78tPRGhgweSUjunefTMOu0rsagT00uNyUDH+rLHVd5uXobVp ElwUea3M6wY14LJrAZjNwAppTZBdVoBQoW2UK1v7aiWI9VGcJt231l70AsMhfDZHEzOIyhjP61x0 ebUXlZ7rCmrVLkmJDmAW4D4qtrDUvXDbpsXbizlktqxLBfoeHzn268xQIWI0vYDm7UQp1EDYHVD+ jhSqMpyTwvbstivtiHIuYwbgWnS59r9B6Xy+z6OSgWNJ3/mLBAjCiU2+XyF3FWxDyzrMJY+PL/fy kkRpxb7NUMUQ+s8JoKHPAOKNFDSr8LN3jwnDNlR1TV0saGgSvr7/13XBIC4GYeUWLz65Fw/6Ibnk 2wtQs8ueo2TGGvaA7OOh/4J1erGQg8aeLDQ+ARt7TSU2zoQkaOTvn1bJmY8io8WddC06CC7DR0ST 77LbWfAvU+Z8PkriCuJC8rG4KmdLDSQ174YPy3CGQ0QDSOvS2LjzLgTYd3/EpNZY78ryrQCD60g2 DIOjSoHwbR4Z5rhllRiV74+Xuoj24d4G8ZpY+TY+BnDme10kUOKSjqUZHJm0kBTP91ztGxKFPdGC 3h3PzzU2qgCKlGABei99KsiTlADqHKRmJV/V6Q/8f5Hfl3Rl9fABwzHpdj9soA2WwU5BTqBDiDl7 izNndBSSNmYN+pskxCPX80E7yI1HT7oVWt9VFgdeCpIKjl+CNTq8bvvQB4oSieJNxSen3WuVnK4v baklzyr2aRgKbaG0dpURvtOos80mQRsbXXJG6GMwEYGQ0UsuKNzM59N8AmOGYyX1yDbQyKl4tUqM OZGc8W1/nX4Sz69uScxwd6bbKbhhn4gmXBkA8EjVDUgHyfqu14q2v/CfYt2VFSCdWaciEH9/idLv Yh3OQETrz7a4rkP7M8UCDbfXRw6Zjg1inz2CQSiQAJCrcg0IAtiNNyNaBjrRirN5n9lD15WDNrIr CD6940y3AuhvzTJ1YOEOx3v+56Guuy4yt4RV9D2g5txL4MUng6qgM8otAqE6yZEZs2+RnW4Bx7ia XE8U1WdL8xMjA4MaMz269O2z98VQ0dWLOgFq1CVJDUr4Iv+g9jW/hALz14ahSwO83QMdqLThiOGp w4f4RkJdGdVTqTs3c+OwQS5p0ug8QGtBRx1HTRlwfjVdIMOUTmOZ1/F8R4NW30BPziwfOJdfQatY yr2WThfhBFiToeAuOqTnc87sC4id7niHUvANBOp33DlYtIS/E5zVpVrxEE89vGvdBTlL9v24Aqj6 suV8sJb6nifqH2buxlpre6PmtB3n+9UoXrxi4/6vW4YfPM/6ThzFN6yl/saDTCbU0ZxiEaCjan4U qrfLmfdzxbDtJaLhqm2+Qe2z69d46/qaAE4VrFAE2ojTXujI7pO8t5eBXvtd8nQ/ILXN5VuLE1dA pY0B2wxU+e4dhNsfDaZItLiWTv4E7iZh+ZqX8KfRVg88QaGs17u2DF2HNup3lX6U+rTn4lCzPhiV 8H5WTGnhv3qtQlU+SDuUsZQg3Y4/dpDS4TtPDX7EPE8ubFad4HqNRmfGkghdczI16pEutengTDlo q9y4S+CwuEM7WROGrS/KtA9vmUczJIYF57ZGL8/Woc3pbzOLDTD41LZhzic0GxwfmHCKAVLr3tPT jC2/Cq3a7bkQxtoTBpBYaSx24ZWq/3qRi1qaYFeFH6ZYsS98TMGQP4D47lNu+hhJp5iN2gDa7Gu+ 3QZ3zJ2B1mV3XQkC9A+G9keOYq0DWEfnIcw6ytHbmhkphpjmw6GSFCC/xGuqy2gi9WPoe1Nwy9Q/ VenZYTUMGHo4ftMrFMgxMZyPSFoqY0wePdNAUgc+U0RZwS27Gya/7dSnZMcv8dRSyNRJq5rDpMdb hvZiPPsgFw8aeC2/SQDb/SuXlc1Txt6zoBuLnPv2Nb4miMnajHC8Iq2wzAxAX+cVzx4d5NpkD2RC Npn1EGx5DPJi7bcHu/pe7uLWWIhihGxOvPf0BJfaZllvJuwrQMJ03Y6UrmO4WadijcLopJqBn/C6 NXBJhTBMzE6kNIQDAK5LCwKgewEJ9TdkWfU5wXToqRCd9Zhd0WcLYCs7epO4ARjnnIfaZRM5HPWo ptmFFXWUjnuZ7uUiKBWNqYMkI371nT9zEiSYleId/aRWcnKuz1MXcDtC5atrF8UWq45XhMKeEtLb rOj+G+VNKXlGioNSjyQn9vYWwmllEWlv81hKZf5Qeo/m9exeIyvKl+AaHYM7zD7KDioJ9mIO/b1q oQsqTRlD+Q+yMN6/CWzr0mFZxjLhFo0WVahENWmO0MoF5fRjnMG7yH9kug/4OO+BvWtGqhnTkWCx 6ukZUzrrRZFLdxfBflvR1EmebpQky8SEAQjBS+amxCBn4va+Xu0AsEmqFDdke05zq2P77rehiTPb Q6u0U2IhOggILlHHZufMncowjZJ6/aJzRJXI4nQ22c6lqRb50v0PaS1AmE8v2wJV1I0ACQa69rNI LuGelmhbSTOCot1oNGbqgTozp0VJ1bh5PPn71kWvgO3vQ8EPmS4vnwZuFOxNlsdkgbbvPy0q6HXI UQMo7vOt7p6FSu1+U3kDhYVlhTrBRB406SBYTFYROyXE6RPe7f3K2vuba8bOx0i/chao56FTp0+R 0pWdzjkhePPSyG6DlgkSf6DQkTrkOt9plsxRA0UdIwqpHLegPFzu20uSyMqh4UyFPA+xcdewthP+ yZfT0oeMmGG8OOvgQyxfPENd2oCcQjFUbnzKWxVuqqzilCYO1SxaOS1pYfG7qtHD29MbxTjDusOY Mj2f2dGH+Orrn1HJ+wiIMqsc6WpXznKPCkiqmf2vx//vxMfq92YC/oiO2XJX7gGeVhj8QdaFg8VX c7Xc2E/1OTMbI/Vp3fc5F2s/8sdj/QNODn+ZvMh/dU8nCiYZ7Oy74xO2or4W2NRJA1HQmbdE/wd/ nMFmL9vfeAQPoAaZROeDINqSVUt/XohWHWzjxKqtFrHywmdpnyrJaDlJ4dlzGeKZg6MtSrOvXeWk r5Iyoq+/P1YqnoSKCNfXkz8neIP4Kt2WDSPFHk+BvSKHPvQP02Zk+KxUywd8VL97OzDVc/ah7SNG 2d+TT6Ndm6z46kpm5ykkG5M86OypNnRxShLXFTv8X5dn0cmsU5vRZi3lrzEZR/PFFR1rv6QQ/p+9 ZGTgpQ7bYAzlSZRQaHGKNnMTxQc5FXXMlNM7Rlvk+iZ0DPz9D4/8lPQAbpORJRoJf+KykGg5EOA2 w0SIGTPa3T9i4uFgeAqhF6kpcb6nrFquDMiYCamtOQExIms8dfilIyYnCkDNfuOGUXeRrzpTUfvg T3CC56vCRMAzv3fIRa7c2AkhRZaniTnZg1aQBYxWoWjQ59kz4X165zFbswKchy1rZf9y9f77KPei o0eUjaOsQsEAL1+2Lf2dPOl5f12kTb9R7IEL8s7y0k8epF0zoj0rhd+lKYzdVpTQ7i1jLX7n9BbV Qafbkdkjdvcm/iJT1TDM1ZcLuNn/HqmfuoL6YtSvhjrJ8Kv55uB4i6r4MkwYpy155c1xabL1Nabn tcEgBhpVnLvl1T/K0Wko7tdVmoQOw4vdeNZRGycRjqP7MkjWykVHCOT2N0rYHNAPCA4WYyZGmmm5 mrKazgVgkMVibxkUWWp/pmXt030dXWqm9C34m1O9R/SfWGa5OZrPapn0K1w3aB5HIeQ+TNItVFlL 0eALf1tPSX2jNi4Rqz8sZTsjP97ojeRzvzf+UsnSBSsYwDLtu/3J0dPTIVzAqD5wIffCJdfnW2Jk 2kChj654rgtD5E+hm1et295+ZMTSr9ICCaGR8cDOcWTIBWD0qhIaWIf30xXTpdJHzy61FKpxXB2S DNXAAPM5YKcNIIWAxXFnZTv8Ny3/6Y9aW92Erl0cucq5+jQ8ObdFkWvZ1rmqnj0yvlCl1+h6Wx6s Z7TAzeKuY8VSUKVgvZRgqnMzgdCz51np/PJMeBM4PLsm5+94MHDnl2iE679OoQ3m1sRER0uPRaIS amcVQo0Uc2/ZBhG3VH7rmJGqFbOzxFx8pJnlBWxJ/vwkjZo6SDVylihXRg5zKMeyvI6ksN+34tsu 5fRUrmBZx0k9IRT4rmkKauiPvUc3Mo6+vIPbeoq/7uDKCyBiXgLVuhm9lMYqYHSIK3o85gOoPTSJ lfoE24L/kdLERNdKvA4BlU8LAzP0Jb2RC8lH5UF/WSc+Nd2y5fEXXCZ3DFGFL+vhQJO9OYk/IRqf s71nriO3GKYHJp0ifJIkrb72+G9T27690/TQIttzd1tp2T+xfmPpBeQ/TDjm7cJw1PuLwYd5VgRd j35FCVP/wwwxrGO5WjTVQAtfSG/QrFpEY7LCs7n6vu8nP6DfelZ1it3azuzu3/5An8UesjAAW5HU jMxG2UW6j/o41qf31edfh2xd5Z+oqwJOp324je5jABYUG4Jb8OL/2ZnL7BlaZSMEdE+IZT36QDQ6 3VZdcHmONXT+Kl7wF13SNV6OTyqT9pM46n3QtFFNNzCZYD6K5PsJZI0KTt0LrStmuF7ukJ2tBC7J i99zI88eJJFaHZAJyWZbX/H7qJd5aIfWDJIsGzTeo3/pqGIccyXBRcT8Qx4+bgnQxK1xQRK33j2a d8r/Em+uJ2quvidq2+bmtE1PiwC+tC6nHHJsGPDhWeHkI429tvLkRE3UHZmMxJqsmGPJRuqwwHl9 3NPr+pKZ30mPT3JTEyyaJKI32QruPni1IZElFVBLBep7MitkPp7X2if99UpMGmOuEUUKIjPIm2ly OiZwnaxUE/DXX+Qve7abbe4NO9JQYSdWp9TR25BTgYVOrtZ7YempCJ3GHviQi2m0P8fms4s0+nKf EIO/5tAZkuo13Xq7HYlfnKwbxZn9uBXjlq0mNNWhPnl6H7TiHldVB4pQ1f+p1ooDURInBpk9c3a1 1GBIZCbPthqwXJ23iEGGjsWrOzxrdncyloG6lshN/6mR/GSvm0kxm5Rp15GBOVRt3Hh9hEO+MjNR /GSLPJHdW+SfmN4FkqCM9ZWeCIfrEwYNlDJ7ZjyJ9Nln8sScCy2guepBXQGmf/i7GK69o81bYrPn BkHC2dPz0IGZ8hQ8sJtrESRy+HbUETAeBlhAO+v8kVmA+q4PEsYLhLckaNznBUn/UNU37akqqaOJ A4MQdC71tcK5GnpIwG/G/oQXD7+U/meWhaO1i0JeR0nviFhu34YawzNEYI2fxWjuM7i+M1CU2hf5 zqoyg/+q0Y08JHJygvVuR5BZmekyIdz6CQdMgC9yUN2ZYNIl5doMu5dm6HmRBQe5/kyqNAxOblJx zuXl49a8SutePyWLCPDfogQxWuYUIn+bRzTVzy2EQlxV6iY0V4k6L0Knmh1oUKPjY/YDMo3FpNXp lAIaJ5AlQyv2U4KVltDZVV3kXqMgQAOarnwbIChH20NH9mCPwQVzWJCi6qjjQhTP73v3zn4sN65w pflS1gle921H2sy9YU+cf1TedcVwcLNKmo1/8EecHkow7uiifc7Jv/6vdOLJ0WkDJBDqJg34UslB hQiAjzDFKdWH7bcbH1TYAelmDrkmCFIbBv7efPmBttq67ETXtHDfr+fE0wnthal9Xf/cjE+u3XbW Ah/Jo42WaeWsBFASCpnJ/clw6aPnJfpgNhXX7+DUq9spCzNfV6f0Ba/CjiEntoX6F8jDrcrto0Ux gFZQmjZOxr+9ZdTCd+CwNAjlPso3a1c0zLfS0QXhLv1FkS9HST5+SBgrU9gMnxCW3/q8dtywq9Ou JXMhwj7Wiw/F0EXkgLKE3cUjty99/OMB6eoC5r5trqye5Hh1FaNTjtohlJdESRrP4GdbVB0bxNMe 4ZsOEKJw+Nl9J/5NagaSFsAqc6ddXD3saPk672eVU23rYojPhaKADnBGe7lNmyidjeyqqFPlpkHh kgnUuRioxP1vTLjMRS35ETpQdbnPuX8lQGHLlrZ/4R1pSpTldTUJTSUP3pZzl8k4xQQ5Z5r0mBnV QkXhAULkvrFkuU9t7n1PF6zT7ktxbSTa8f0T8RwLNeUmR8urlauHp8f/Q/9wRWee6VYPBxuVWXed lJFrvxUnr1RDAthod0g2JFE6SoORXPyuv8FgCfJOqVeuBIHmqFBDk6E2ebYVva2CDqLyuOtLO0ZX Hrko6ydC5rX9CW8sSwA3PUL7c7m8sinxu5fsjSHEN3xGJcGnQ6Bjl7KewbQ0+PXUIthzAWVZ1lBw h79yGR1eQu5DoMxVojNw7vJCj+7yiuQP9O7cnHGFoSViIwuoz+W4HjVRi44veC1z0tGs5UrqgKiD we6hoPbzE02/odJCEQO/v3i/R5Xb6qLfmsOYghf6XARO9aT14t9iAXfo6xBg8LtDOG+9Ag/y9GLY PTADG7efTnl9RLKbKTgVud6ZSebvLMdPXhyDyV9jaoq6DS3KIlkSbzdYGDrat0FRMGZ44V9vID5/ S2lu70FQF0RpbAmA9UxE/Cz1+pBT5LjvSoudMAZdGVetV+bzWfYW9q/Zm83eIRM4RaZfnYg5uViP nK9uXncQDFoqZZolBmrDdMK9Ji89L7QlNw8RKjzW63SpIFvgp8Jl0EDisKNErbnsUO0Pl3Akwuzo YajgcrzXQ6UGMJ6H4E/LQfaeGsq/kufmAEkBghjx+oQ8i9zHmzt4cBxIs9Tox3JGqdO+yAgYaO2H MlXJaqME+GQsaXf16QpF4BVXtlCVW1l5plhSlBf0TPCG/igNBZHyxsaHfegwU5Kk+7Fbib3LnFjK 9orOQJj8Dt/J5bcvUcBg6YuIHY60E+3nQ66Cktu8OcPrrrhYq+larxVtJW02jT/DiipyRWtMMf53 cNkF8bSCTbTY3K7DM2pv87B/prcnepmxlcZkIE3rzvwqbud4wfK+WtFd1rzB2Ow1fETVhCexCVB2 HJhwPf9HkHKbh5wUiFolVsjTm475k0A8nrm/oAacN5SsQyNlFMl+0VlBAwsrFZKgNvs/5a2McBED sjBDUDioDUw39JNMBzenefhzXPQNx1BJScc/W3eHgVOu4kXrLukC1Gv7Rz27BO2p5uuQEEl2jmWG Ch76J42NwIaeVUVGDW+74U4wnf60/Fyr/oEnIhhGP8ZkRNKX2go65uz01NSEcEXncekl1hkbkNPy QhuSaUT/OR1MM/sW+rFUd6gOgwuB1wPcTGQkS6VYZEuyINmTQeKsj52NtvmfPtXxP77NrS/R8cvO 5CquUXAj0XDvml3eZSufaxaAofyXGP8En78KfA4fKPicHl9/BVw9ULNbyGK4OgsYvoAPXoAMACmt c0SK3U+KAL9bBADwdf3c3ImzZSvL/hWN2TCYhYud/MMf9bBlFGdyK9c3GYwhQjkzAOkPuO1oWKN3 9GU41ORUG6YsYstVflMLdk1HuISYE9wqe8R4sfytOYysfrC1bBfNrLB6xAW0WQ7tA5pXhHYnpvXw MCeFaPobNTOhCCRG1RNt6vhmcgP1INknaMSzLFKDRaAl/y5ub0m4LkGomlGuKTSLWH6Cqj//rtS7 T8uAmGtpSPyb4WhG3kTWf6SNwE/sCxJa1sjO1VJL4yYkWC0Ozn5UP7QuZrk1/qGCi33lqZmhNipN zK0gmQ00NY1OC1oEeqPG3riSWmCFjsQGIy8UKo0NyVBCE/OSv68HVP8IfMzic76iRUhDqmcT6YNO T8VZ4rwfG8hsKEw36ALnPrzCwneCmHqBOsXYRlPbwqe6uYEzllPjH7wcMm4jHumeIn4REOV9kJKE 6KAlJtGM53IbhgGmTcqEjPpFh4fHuYG6JYWfQ97q78+4FcBpJp4fyErhbR5buz5PoeRKdjbgTXNP RIOpBrUnALbbY+wbiT5jaXI3ivkf8WvpKajVkavPYHgLq5LTHaT5w1LxgAOvmBqbH/z+0Gtd1lRu Ep0v6NlDMLFs+hAysi+JQA0MnxTi/1r+nUTX2XJwRs9JZb1LWYrqeuvU4u1h2h9bciUNFPaYpRIm 9qxUfwtKmRyaeira9u4qwxVh4m2v/9TBbKLjksTJiCcBx18U8HV+PIvky8ycYv9193WKdHcxjTVg hkYCbWSjglX9kKmPn1JHqPv8QLVApxI2XypSTtoM85Rz8kMFaa1d36SzkFB3TAXsWym8PdC8OqQ7 tGenUdkKFzelTmxYSroJzlxq8gboYIzEjxSK9zfxFUxHZQcK26Uu1l7eXvRTD/nYjckajvdC6zj0 wQLvPmylYqS2QhmTMG+XjjbNalYcRtU/3ii25VNSQepUnDhl0qVoIliUwyySmA9PytT8ORU9qfgs xrK6hTKnFRTxpbbJm0xwAq3LVkNz/JSdCoK3sPDSfhz1JNj1sS0Jp3WIRi1tFfxgFECLRFntuU5X KBcLYXio0p2WcFFjk2Ewd/8ghzZzyTane/HzpA7dkzvUfnG+StDcki9pQcC2SHsMYplJw9jvyR44 xQrIhACA4y+Qr0v0bg+Yl/goMiuCu5wmQbm57CvF0CnlF/NpUYZ/i7mazQpqAHFQerOaYsnKmxoi CKZompaVx5QoFpiluLlAgGFiSUAWLkkfcZL2/OHTUS/BONC+0I+Wb+OoXsg/4cGF4F6QlaBJ/a1y ZHhRXaGnohTPHD5LAKnEeC5aLCdcaoimJ5mZTAwjmPiPbVPlZ8gBfagnU8UnyhABUhcmVCZjxmoN 6liGSFGf/yHPXAITxB9k4eEeTEKay66db81z7vtZj2gHrthm17oLz/UsUVRXOcsvDJjKQlz1rKhq 4E9Bnl87JryPeDi/lS6/nghE8BU91e+Dat0IIYMTZLVUwuLd7Oele+wzLjlcz0kgatZ+8HZ9MQ71 3GgKZXB4dEJgofWjJVrqjn6iJWgFA5F48Ivv6eeHgDuYgxlDYcY5s0OJkZsvAiAyEC3H5b9dOT4N 9grp16e+CRROgJIbSsoZoneDyNgUu51URgDM+Sh1uJxIBf0QFO7fZkjh1ooFyLzVLruPuI1yiXaQ eUpehFxjwXewxFaSHl4V2GF7hKwSBsYupmuF8waOzEgNCClGiRbR/syDgsJ6BZjjehra85/Qll1F /rSmj065dNBkmjC/jYehe3qU9fVMK3c8DGU9AGmV6SK4u38WsI/PSa0TClBhOlFxalPD9oL6H0Sd ODS+d/F7W2ZXW7fdOv3vrvP3mHxXMzePiFQmx265P2mfbbV2DWrENsh37NvWn2aYqIqKQEV4GNM1 7YLhZX/y7iH+b7zqVG8Qn7bXaUTce0uXdYSnLOWkMcjIQvIcDbS5rDvJ/rrPnG98x8DALI7dBX2Q VF6wFupJRTlWWElV2qJvZvPgU7KLCbuOJfKCA+eb0eZcGMRW8qbiQWz1p7p/zfKr2+sO0H02jPI4 ge90vHr3OZ1whdYC38iTmp//5KOqsLzv0cDcROEirkjV6jyk84SLaROrqtx7l0S0+Ry56qYuAx8K E9C+7zflXWZqcsart3fgu0dwGDLc8uwVobq+EEDMDOwkmf9xMs8MDMoA0wHz2eL5UGYE40om5BKY bjpYoRdIBBfu5AvOJfjQnv9vaFtWHwL310is4L/5Dpsw73GIkyy8Se3Xp2mhjEkETdTcGp6o2XAk 5OapfU8PLfwaGgxmrljAAd+gM/t2omttSBWke5OiYTpCUBt2oo79P2Mr+dKYtUDw/3aWpeKz4UD6 myYwLS0Qhd96iYae/c4wtXvT0Mb5QikYvQOD/A1/DXBTb0YwjPzXSOjo41tPNZv9iGf2Kr8EO3Ci U1bka2b6GdtrWFR0R7qHj7MDFRJpSkjcCx+/SjGe9O06IP/1Lzyk8VXYJFZFLnFbadlsF3DUW52n 5atAppxipB2FYZARx3UK5HacWrDf6HdT9P+1CyVVhzS9SM0nEJAUNCIQrheJZ6DShSH0N4uGhSOa Xy+Kw8p98OE34zIl/CySQNRXSsQn3J+P2YKi+Qc5PKatnD2c8EIsBWL6nwA2/kmBe4Y5A9jpunoh 3KcItwnDChNQu0ytWeOa3Yrm08YMrsD0Iz3hCCZkJad9M3IUGu0jezPV8k2KpRMB49lMdUTJrprA vbyaYk9jHM/a8izEtdeYCl/7rciouPe/WefsmRjMGkuoJQGkAPgwhkTX/rkVrJdw8M30z4uZdLbL UWA4jK+iiyWq2gxH5dHO5oaMaFabVCgJOXiYcIxXUIsg7IbOOBRnOFEIzFhDm15CTJDdM95F7hok wMK1KVZ1u6l7spXwg/3qO6YaGRDj1MGF6Y4rCZvaRF10CkLqegfzF/dlwMjBB+Dh6D959ztt7hnM aIoJ2MTs5mk8JdKd5pb0ADh5jNisPeaCgcUn8DbQ+ietGLbsl7XuUBmiVS9I8yIyXZIGONZs6tI2 5OabUbmRpohBBbZgrfPReCATpik1QiBCY62JSq4tvzox6zOG63SUckRQ7CFsxKVaxLIKTTue5fW4 3Ha3+WuWW9EOc7tZ3rCIeE7y0abcfXNk3JQZ5kdjAQ7ruyr9N73msWoru4+n5focM1bPnNMWSEYU wzolIFCcqkKaYaIa5gF4vPnPgFJ9XmxlhtwgxX/V+HhjNpV6py2r2AYwy4e6Tpw9ou7tv/59rGMM uxxUSqcyjEOr34ZDNZ4LBZ5IlJd4EJ1ADlsXNN8nlGpXbu5wqTVHogBEpW0JeXUtYsXNajvjqXyf 8UKBG1Ho/8PRDNtYNtGJD9OcijV10FyeWoJHVPgQswKmyHRhx/fhto431xmIZTKZ1ItlSsW8HzWj Z+y7aX0oGn6QqFxgb/Y7ozkuMKvCk4zDLQn48yPG1hI8bYcGdsnEKUtCNruZBNBYiuTeNHJ0Nk7K VcNqyKvWQ/BBfH7Hyj7DHMOzaFo4rdrkyiZVA/uHKp3fQ0xSP8INZbrzKqNZ+Ex+718yHZ7Yo7tU JqI1HSCOitSdreyOfit+qoquLU0KwaVW1QxiK7243yDZKSRSibZiT79l6KjrEisoGP3LZet/5v73 3NQpwjAMK/P4Y5yX2ikk/45zDlliyalnfhdUSserUBXFyomftvFDZqxcafdrwX8oRHaGxC+57Obn 73pcbjrqIBmgNUooi/e1QxFOooVSmDGb7PTDqBrPu+vM8UB3Wgkc0QgoqyEKktkk6y4nqvXhCumP 5vIj31IsLIChTi1oZynAJCsSaPEp6KhGksKRybZMMxqs939FzvoaaPb/ImOS+qWv9OKTTO7Scols cxou5MVanBXC6jYNrxwJIfUcdG7wh95IonLju5dutnnmU9WDipfGEvy3eem0Y7o3CVbS9hjllPWm ioiTyMIlzQFzpMeU2oMiempTb0uNHv7Q6QRsNrt7EDeFIjmWupM+A8xjiJ3Yq/ST2ZkjiBssv4ck bJiFwsogtMl/xDAs91ponms/CNT6Bu/NuW+9wZh0frYZN/tngkxFNgcf457DbhQv6JjITfO8/H2P 9i/7fEZXXoXSYzydVvXNwFa1HBdPFCVWHAOj9LThV5THTjlNOXOZCgVllIWN/AXHpoNSaO7eZZ/I eFYBrCqU9pHNvE7wEQwHk4wUX7mU1JI0BqkFoZcgZGxLJRrnj138+4MJSMj1xsr0UTfHh51Lbf2s YcaViyPyheL/BEC5UOhYVWHX0OtjuF89gcb0WfcWrfTFPCPtcrX3xpzDUVKCAuLzGHaXa31XMYHv kN2VENrfbF4itxiVawGP87h43nVS1EUbd7B25zKoLCSUa7zpd6DAZHP6GbYbHk6ryG5iVZ8ZcANs qHD0RYl3JecP+/RD+xSwqp+L4kgPsxXfcUgQdhHKtmGdvK1E7E13FiSCYggJWG/j4XGqn5F5OLEV rq9UiZZnf+aFnRTcPSGsF3GqIFCm44st4Z8r/BlpSF0I+oeuzjB17C+vrJhQMTXY5YveRvByxXBU ldh22BLSbXnpn68oM21CeA+yGl5edGDs2RoW8kN2J8JjKVnkmW0wi2WdN+fAN7RJkRJJrFxSjOxm zm8JhaQyZHdMjGZR1/q+lhz14YS94+8BOZJUpsJ9UdKQ9TcR+LFp6FvSN8BqRKMB8muK+EPN2iFn xVQB2QQEuY3RdWbt18cKoTISjogEPWJkXdznr22Tn/YjOt5Jhf8M+De9f4Z6M+1iYrX9ntTyTOK7 B5NqHBnR532BBktZy6Qmr0JcBRFkY/iG5gAgRCQjb6lzW4EIoRx/or8KA+JC7UsHYotrwqwtWbFh VEacPgn4V6I2nTBdsEdV9mFvrJIJG7EthktqbQxiMhllPvgoRqbTywJOE7kDeYARCu8piJxsmRKA KuV+/2rY8l8fe40KcvngJmXE2zGlo+WiYpOM+R07t/0l7ETnxWj9EE6BiekNemCtSYTEnrvB15Nw sEIVlitSYbp6pt9TwoJZKFys8ugQESUwqVMxhiMXCyZtycrvMkhGTBzIlu34YMoFDG6+oFlTBLx+ lweWvQgoOmeOUpCJhJDrax+64RJjfRbSjPIYgfMIo9R//8uxq371DtIPteslLW5aMGdk8NS83nvp ziolrd16FwgJ3OXDF9UcXZb9IyyJmUnSbr0pki+Xr/Xt/tdr19OjIe8Vz3hv0kpfQIN5Zr5IkfCM hA8zyXjjpPkWIHBG7sPdtRBQr24ryEDTvUxSIiktpAuvmI5dqNKePsn7Z0GvB2E/wwbst3HBx0Pj 306N97KXOOiJ9NikG3WUrxCvEnfGvYvkTphKNyETHRHwkhWW41ZFFxjvYeBDhFMYX7xh7pudj83P msXvzukvP0LBbpe3l09lwuex4Rgh2MQRYMPUJaB2YmSi0JyhM8VLjZjU+brPWGxifLo8DHtqSWrz oNC+WGLciljvYedUKPIiFBF2cntuy5bYeKPpIhoSe8p5Okwohnh5M1Cwl/AE7HW/d52ObtfbWXFD JnZPEvlg8CdMGWOngaz3qjwlTmVhR/m2OQrlzsNcHxwcDPpdOIV5rSCWhlzRI6apa3LBPMHMGzMQ QxiGuGZAuWlHjqYs4iN7o+F9o+xI2D/T1DBAlWnyj0lDDblFFSKomcZ8BPPtwZNMUC519PBZL1Lw vNOdJE4D2SrP7rv/GhkU/XwcGwGy7PDE46DTMAhjC3+wbSSG/89eDvlxqnyImy0nhMXHCotCtp3X OW18Sih/R1gl3lj2/+UUgMaQdj0XDXdldZk+DoaI+3v9iwatfblxEZ1EPo7i9dNd1WMNVYMIlTFu YOg2P4plyBu9stO3jxFIk6XKsVXE/v+L+AqHu7f8XgQn7FbKERHhZnz9AbRkWDztPVJH01D6tUJj buqLO6M5M1/20/zmiLzs98UhG2QNptdiAgKTgBBOxJRoRIWXV7F8ByP+KJpn/HgsxfZoat3VPpqE 3G3fI1fVeEHFBOBfGSKueI8GZc2nrrJfyOkChMLklM9O/Le9PLMoCl0lJssrvSsN/jRW7TfzbYG9 t1AAO7gWQei2cZd3M9aEeb3nn0C/1lJp4zfTx/7pkeEeYxhddMe2DT+NLWvhf5Q7rx2l93sX2K1Z r//Z5l4PneIF8Di5UjQ1K1ywfQO7D8g7kCVE71AObbPkOPqMk+dBIP0YE4yUEGFvU/oCbs/6bX0V zdX9Qy1qS7oakHp4gZH1MBvQ168LuQ6tc69hTUUOVnSCiyXMzMJ1gA+a1Zxy1OH5B0ojZnYuzBR6 oU8Q7BVQVbNyKmIhA0ucAozCk1wUkYzj9zCJ08RKXpxT9Q1QM84ugnYk9kSj7txI3P+j48TslUam ImaL1HahD/E4h3dkFk9NwDzZmiw1syVz9Kaip4JdKjxutskAf+woFbSkWbUtunXFXwl3pe9Huepi /dC/8iWM/7m31veSue2HfbRYkGUC1qdh+xyO6BKlVqdDDsFR3kIRFrq6IhVT/Kymkcv4rX10Nvil crh/7i/4IT6N0LvduItg023bztiyjaxS7JN8s7/SgMqhZhSGfrTiUAempclDdoTm9pGiDj3GSLv1 hpKuHWzwF22SO8QFBkPDVwNG1O0oUyPKR/yw6erE6ydbqjN39PYQ4S2XYH3Q4vlXrvwge8spgbM+ d216dj7aSh7jvkNp4yaehxr17UAG1jWEFO28jN9Mwe3pUMjbWnO1GXaTphXVmkQBu0Hoq4vAIzW4 5LDc7UZA9cgDAy707J02OSn96NSUMt3gp2tLceaCYIu7UW0r/ZD3C6JRcW/Xl85c9rC5CxzvUH3S n0Pr86QF8QFIr8TVTsHjQyCboUoyhinD57h1LDdNNRWcI1OIHafeWcB/Y8MGj7HYo1UqASKO2rX6 OSS8uKUuXNjfSQ/Ii+q5yQvDmXvElocLGkqBpNqycZEDsKbHT8BxGR9BeIWgh10cxAUVs3zb/+zq NOyRmYkx9U7OPLNFsEjBr1n21WN3+eYHDe+oZQUhM1+tfbpDunA5TB7CKFJCcAOIR4U8MCd9DwQK W+X9jWrkdJUL3t9sJw9xEW2pPRHguk456V3AqFD5FDxPOrgrLwpbrOIAsCh4+U5zpefZ3TAhTIXc IS2nouL5FqhOsa8mnvGsNBnfn9zakk4Ii1vPWx/zthF51xLS+xqhjVISs1Z07uqvDCz/GSZMCnlP XhXQunQb8DlCqCFAhOSw+im5KdrX9susX/7PXkaE3/vwa+1XFLeNRZ+TuMbffsx5R2Ncvas+/R0+ vFz/vKnirD0nrHGJYA5Eks8gYNstUGTx91R1YZtlHSlgK+JlYsqzzjgeLLuK70Sa9gHj1kNetEsQ 0N0fmbOv/KOpHTaQQOj4YgdsqvcSz9D2HqRKzp/zogC/2wq/QOt9oN83ORSgwSGKiIQfbCt0Z/Pj oFoq9j32rkiH2iRVQBxD1jd5lz0ohYiJ6Y2b/YozuysJ3QAltFNRDY3OLRNjc8QFVC8xakywjRoH QPjARBoTjFALr5twS6QcaN8sX4niMpCLLcCpsGJl+0ZQO93ulxZMNocBvdPoocoXMyYGz950/e/Z OyVNb0OovaVr5Ol16ZXxoIii1j/YFqr++pjMovpRGsE70yZ4lshtjKIH+G9+tKx+iAe85sJC82zV 0sLH5YpPENKu79DH+ca/niVbk/AmsmRkQINHb46Hvh8wjmcycVbHlfbK+pwyudehGvCfXQQ84kI9 ZmjxURSf6Pqe2rXMx9Az8Ym2/rOPHGNQ/7MR0X20xdG/Jay0JPO77bE4/HhpzaFhF43ByABVWDAz 89E0vnxPZ3H5KyklBLcK1475jU1dz/K/nvUg/3GEXlWhJgfOpZh+NXDNviXeuJVgxBu9B2WIIs1J zWRC1hNYS6K/bnSiKCj4XAm1H5CgUjLZ4382VkA5nW7Szw+wrFXXtwKzrmIJnQBixDKLcmP1QjYf /3oUKXhl90nFafbsM+qdq/UcTGAALKHxE57bTwiGRdvZmAvezq2FNUD8swdOJSLMB4eTy6m20eyC t+e1TDS9XL9P5do2lHtjsaF2i4dQ+jOGLeVPwXF1WCu12xofpOcUVmNEZF2HS/sFXxj7G3uEZY0p QytsErLG4kx5CAD+py4kpDniIySdgnCqQSI9cXf+k+E25T3NU1x6dGgpgsHWI1mv/w7k1jBVt/qG XtoXAUjMJ0tZtKgbaYK1HLj76ZRZ0RJv+9pchCaTcHc+Ns/LUzgqB+bZJxKXbJKh6NNnlwnQ04pn +F89hA0tXtwpnSgagqh4aPYJFpWWOrKtvY4UUw1kY5d41x4GSteHLSngwavNWQ1LoKctuvTnpgPT 1mfa4X9zjthMIZHt+Ffz1ogMlS9rD2vSmGGGSTQf1wwxpzTe0FXj01Tdz71cI/kPa9Do6kUDlwCX sPBIlB7REg/RRRnLyykGlq7ZAwSBecpuo3dvyyqyS/y3UWMSSq8IDJ+Gc7frNLBfMUwPLw7QO81z hqLuBidRn6VqRO26vs4wGvQAbIDNRpzY/m6gyrQp6ztu8PjI6coJBdWl8oATkwqZFZedIBd6axM2 KGxk+IWKAURayEnIZwpEYmBYl1cM2N7x9CNFGZvBF+RWqHPrGfHqyz3x4r2Bj3Hez46Rco4FB1cb +cSz1uUXgaw5mEy8Lctv7GZkxOLMzBZm+QVjcfLkO1L6cYYfvOMXY06qfoNDkIYq6j3ygk4Y2R14 1XWquGuTmfOXbDhzCPXo+TxkADeELoFhMOW8ZxcSkWDFXjK4CaW6nY+UHRB6uc7bXWRAlN6blJEk hN0MqrGgv1TAPcOyHq09DbwqSnFlVekmHPcTnua7IxBXZI1ERD7FVPYqe7z32QdKs79XDJFzd0M9 1mYnKNHY/Z/ZnO/KIG3eZuQDE5ZlNjDkA7sZpEUKjcNCyJngHy7uoeyj2CuQFOEGeXU+syqBJgGI Vhmm7/0r7Ai9ypb5GETm/HSFSLCpoaEt+DTtUOThIxVXlXsRXxAAwHdBIZlEEakfodtSXyqOVno4 0WQCDh9I8I0OCFo9ouSBD5bxy3YySwVt+mS7PgQRoGveYKl8DencD/bloyD5Ahov7AjrEhTuJH3z FNH3eSqpHk7WI+Nj5a6VPhtp0D1TiXalkvn6oYKmuVk4UvJtzGD7BmvuVt0jka7/8cK98KpunAN4 faCeRTI+G0G4W+lGTI/snw+IDiXzgu1+jmPlY/e8Lx2Eb9drFTLNcS3DJmkvobZ9VATMohX8+f9u c4i0EM7GggwgDKWLSeoXQ7VLkCYVQN4UFVqPk/ntQTpvtoDsIEsBrdg0nHj4gIet5i5XXlQ/sZBQ 30rBYKFSPPwWepJqsEa1GenMRv2v0WtUoY1BSWtctuxHSIwJCr2szuyqMGFkiXlmzHysx0yonnPz joE8GBMWX+ztw4c7tpBr9bozibG4qNQWt2VxKwgMVmVJ/wivNLrU688SF0LLey9GbQb8LD7Bog3V NG4uhnf54a+9dMDpbXned4UpEB4GOCt6uuftx6cASihfxD5IY4r+atpzHRJn9VziMjvSqUnqJTz1 3TfAiRfO5jcnkoyddBpnhbFaFQbAZ8B9kuYL72FiWSDwF5dKBNrpdddJ4o+gLvpcFQcDCmIFUrsM oNcrjYFK5T55m0bSrExnXfziDepdqdW3+/JVsf+SCZxQAcWzj4K7u3LckQVQTvBLAHGj8HM65ncP YLVqpHachqk6n1pxbvWvY/APU97HQ63qaaSj6/lR9M+l7JSRGUeA3IJApmanfTTM8ysSPoCUicZ9 fYhBbIDSqJcxaWn/5R4AebIEDFSGzbw/DUXSddr4RZ9HXGUMhII/g4ffaNqIwOJeKdQKK20rns1Q 7arPMGjOrJ+uLrDz8eKi1kNXncwfXXzSGiUehaem5/NAqI3AUKkHLaoTgv43UMDzYDYYlP2ZGeiD +3IQMdxy7b+zajqzfMZBB+d0ou/oJPE7Htv4vfo50yfd74TAAXkbSHbx0+QpyWDnfjPiH/C2IUkH 4V40kk94h9FVvLCKxZft80ADtoGvATLAKHDbgPEyA34LGTdUbGj79ia/su8knkORaNHuhk9DjaU1 xNennWk3ss/+aQU7JY5GdZqFVUfvoHzFw3mGeLtl9WPTK7yRUclqafDcv+pdGKisUAZhJcCHXJgV gssFYoa8x0eM0nzBgpke1gyqCVJlNaTgaPrpw1i6/j04rlbMvK5OKBvYXWpBSrdAxBzsi5pnEkWP biW+k4JgjpXRYyZiEWZZFyBK9oPjK5F0wzmhPdbLTwtVLM+xjOR0P+nzSbraAlSehMyalnDVYzO4 t/pckV4N4BClnHkxYPEH2F8T+wUHQV2TnWknjum4TEuTZXklMWHGgJ8J/Fb1oQEpEn3xThnUnD9y JOHBDojB9hvwsDKhg+mjYTt8+5oAtjpH8I9K2BWaSGt5lTMG6xw51dJnF3VDSh+63bO/Scbw2tJO wcBGgvqzpzvesSmhE7PjGhNieILnJ5EUFPiwdAGjSt61wi7eso6b9qnMbsM/vkW3gkpp5MQmyCW2 +LXsbN60ZOkL11Wl0926VmaJTTNOzAXom4bM9Ufr2IZuFkB6iA9BY69hvA/iXHhbsKDsW4KGZM5D rs+CCH5VZua0GggHhjRGP5zukjDnl995jYBS2SiGXzasehZRfPRBbk56lVftq7NPuPoE9DpZd6uw 7PwtYvfhvP/RgzRR4U2r/WdbGHTkc6e7do7hdLJ8StpaOdGAr8+Up4dUfxuZp+Kj9CSvwcapgMGI ZJIVEbc4jsuscw6wmMkmYLFHsHfcpkhwc+IyEEcnITBEargV8ueSVk5bVzilpVb/qk8xxvERk2sn rSDtWwYjZxzC7QHvT2FIQ034QsXi+laWVQSGC314c/xJCO+tqRA+XEV6jEcxaYJAbAsjM0bcQ53G oWEZVSRPBQAC1pVFy30L46hZG65medsn+pa8Wyau2ldSiKOGUg1xElUPv8PQHOWqLk6qoeUCgwGa EWi/IsI4HE1RFvwrpQKyDxzLTXb3Otz+hr7+u6LWFp1d5qicg5zAp9W3rvQVAZ/86qkH0W6ekITt Ij3rUd3CbrjMqiIiVAoM5zG82Tz6Pti+RAmCC35nyzZUWT/bbic0S9X0ao36n5gCbBC/j3LQacsT u9Es4Uhyq+eAq2hL3iQdERLVEgBvBhS6f11gFJx1ZBH5KgWwXe/e7ClapNuGFuyGCM2nF+jmbrSL 0wutbWnRBfuVzPpbKIjPLwK4OmHvojJtrRhO9wl5fsactagLuvt/a23k5WPRjDsseEWFLpaVRzbv FJBVu7kBd+YIvdLavW1PWW4DDHfkunz9GOUmt5w38gAHVg60V+sZC3YHqNAvqDZAUChMi2KAbLI7 wFxnk9UOZP2tAaWoI3bHG+0yAWP4LBqRBSXY9CMQbFHR9w96CDGg/ilWpD+6JEMFdjZ7+TD1pxfF QrrgvWsdl7vZGl1bz+N07Alw6KoQNXJIjexIgySGBmB2dti3WXd6p24g9QPH51Rgl7zFbPmWtYLr 5fwwInAu1/KBL8Lv93cjv+3Nmmz6Y6lDnc0W88x4vRmAEFm8WmNgwlLBzgyzqvTD9NAQhTwSOssR QuzC1HXyi7aNVv5oTGJ5271Ti6RNu4Fp9QidxrYDi6bAXahkHGQ6JCWZ2aGB46BY21ZOoqhkKht/ PGROu3YBqBBLC3ZocOfV7YN81hiC7317D0IOJn8hteU+IhIzPzvYPLoN9O54K0TR/I2eaKeOjE13 Fzsen9p6ZsIM5UdkpN2t7Q61Vvo5FkY3g7HKokmdF0AtIR9gtQSkBcOgFRVrbHb9oTk9QSkQpedE TI8J1M0hbHKvkVUJh0N4SZR37vk3zdXdi/Uxgx09R+LgzesJJ+zWz0B1ERH/d2ZMXos/cOluYMW3 BGfMf3izEwUncf7g4gNWmpfJpcMkCyPHJbsK27A47+QqOUfjKceAtKjMgndknbDyH/H3VUOcJIIj byZBBbhfRP67Ql7Dpf+gbsn30diwY0P8Lo7zJ6kvPnxUwdlJsrIQ8hIgyJEY9UIw8xSvRFPwz8v7 1nwwXcTvOhlK6ebYW+zWwoWIbpCtDm5v2jg5nT7KDtBl4UBabHJ+4Y++Fx3ErXlro3qw14toDRWS v+mBaXZeTfR/FMv2wgg7/pZEYASaKhRnrSDlgrwhwAdZPvNSOLNfBWw++VX75Ccj2f4Zf/XcDANY dMKp09jSHIKvo4KmMaZgFGgTZHVUnxmw7AKmwGvFir/53tGGO8rsPqQKsS6IrtlYAHA/SX4K/YKJ B62jrsgmVMq6i5grPM30j25WRcexAZJxU7/4zmTlmXZDpxy/pq7lYzjC2PQxl8Tl9MFts5napHYN Z2R3MLBEaXjsKRN9JekS3+FAgtPidIifo5ACUP2HNgjrH9lPqLMmvGCgGUo79/eo2XgJdIC1Xqpu hvYByA4OOgEXmuOQ+Eg2dZFp90BmB6Eyj7Akj+slZpHWuDxK8LKuanqilYdRXrOUix7uF0LTGv/Q bIHSb8G1S9gvX7YGBMAz9CL2aZK7+HP6VB/CSc9OwdoxShnAstUdtqu6Wh/Ui68eKTrEp45ZNSxk wjIUL6fyqFb7SC9nnVF9gBQ8f/ASrW5Qz+RoApioYvQ4yKPrArMP0bYpSM3e9oFiLHbO3IK2plC+ wbhsBJJIt4NrbdrmQT4nNrOtqCF4wIShVOOueduhzvwtFqVoyPYzCaZdaserjl89nSZj+jO9nuxK AdiZBmPjRVRiKO2kqG6YxqOO7pzCBCSar1XvfouHou+iehFoSSkN3ovjf5mwQFBLlKespX6cBVu4 HKXFl8367gBXP439sw9+9T3GmhLawVoajgyujDudqlQltsgBZbZEe0HzYYbcRGYeCMC52bzgzNLC VRWdO/FNSC+rHwwbcQU9SxlJducPWWxzGwyea3PX0zG5tHpVrN8NX56P/PS/TAfRbsmT3ZI1dhIe lhWPH+wefuGvMCcPO85CBfzoYaN63STb08Kvd+ckf8T4PFpPcpp+uvitl64LpAPiNdMxncylAB+u mYgtQQQYu5MIvcCculLp1mHgYTkULyIFAG/SILpdsnI/4ikZ3AosGcXn7V5eiOsGOdYHpyei03BE 1ssHrU/3p1VPLLySPiEERM1S65XURM16viYcIFbAcWFIE1phWkxU9qm7B2Xv7jqgDia+pgctznug Q49Cc0Q5wvQ1RZRRsTj7qzBWxCxMvFf+x6jVyvQiCBP79sMegoKCpXHS0IM2yel33CJq1kziYIUi wU0d60oZ8hL3IdCm9PW2E+CywRMEZjvuhfZmLT2TYlZ9acAuD26dShmb+fkhjc/0UrPX/GfdOCuk 9XtyWl20AKLp1U1mYb+JifWjqngHsbeFBrNw9PS9nfV0+ugeUOxbgV4IZpNysUcUuGZVSPuosh7E D/1HBh1M35zpOyQfXbD5UmaAEagzRbvlWh8Y0mSYnKfZ7XQon2azbB0cdwW9pfbZBm1qzBlOxLj8 1ku/CJ0QpOt8lk+yOy7iVFEyvkt7zDTdpDYgX1tt8oJiBlMIzHp+esWsgkbV1iTL4BRQmw0InhNF BtG3qvjv+orSzns+ZbEFZhsWHr/7gERs9PRFMtfzu8uCSAqhKGywsyjm3PowsgmXqnfNl/x/tG6K wv5kuFb86zYV1m9gyPIIpSxyGlknkZtwMjQAdRxtTysk1x4JO/r2iJ3lzNJrNdSIUosntxj+El6f lky1BVqFA2C7ToOb21IvpQAeYsWhvg54CSS07F1kuvh38KMaLlBkUmqmsDkHIyFZA9qf/CRPrC5B zDrvSxKTr2YB3r4Vpy4eANvuMIGRtEMgAtsTN0MEj68iopBzVbMITCf41tc0GfWcKEFM+VVSUSoB 0JT8++nkmc0FQYZUD76LUysxyIawAy/DS8Ll9rE/rECAqxfWx3jbqFoT+BETVp9cs0NPIAUdHIJx 8F2O/CjZWjDTYgZk0NrxwnF2QjrBcBvTI9XSXRqDfEnbmVEm1LObaAklEIpQcyKA2tVhfMitKljg Ii/neJG3Ct09Nn0QYdi92ib1FPBW8zKSCyYq+kcDsnYw0qmU5wMOZr787KAHTzdME/9FQ+QoBrMT QS9chlAQR42iaDQm5/bOG0EahSh9Mh4U/F4+QkOy2EP/qSYzIlglZwNa1QTCvJ5DdPg1+VPjKfSX dQ7NCj3VFam/yhfnhRCc9XsTmfW436TKNXLCTgG6zuC8Gnt72s5cI1+6u7M1uCDDN2m/+Ak0t+/u GoE0rLyePmPUF4sO/Dh0J3vM0620H7ueaUD7LB9IeSAFoucjvL7n20k+xMiqt//7rotClDo+agAw /uy4rVPxbDqn6Mbgoa0ugv12a/BxLpg3H/YeluwWKkyonIJTgzkKWUdgde83TviH64Mw89pO5d3T gVLtAx6aB3W+pgFQhDODSgzNA85Xj7n4TckaTUrw5oDeuGxQMI9bS5yTqBNHtsXzcspDzFxAIXCA b2roQKnApr6Irc/DPY5AXz7yTngnkGJbAbLDUuEixw4rodWBIEopcp1l6VyAQP4e0gC7BHXo5AG+ Xu4pRdPeZpW0IH9p3B/cvuVHTKOM2xq8W1v0cypo530B+4TFh1BFnDj6s2B24nowEZMXrRdTEVXo vdGugycloqDMlFp4jFyBYKCgPTjvFNtWzJzI05a6RtZA74BiSX6gWv/SnLVsbh+vGGuVfLR9mvXd dhYlff6fSS2zmU7RECfKsliJRIRUSb/GOI8y+RLvMFqYOpZzB6NYYHLqjX3xJZIvkoeeYYI4qSCs q30DxrXWT5MwkjxKYsIYJ0Sn2hYOedB7XIXFB2er0iTm2ZX9xxITjYYHIGxH4LjJHEHGE0wbPBDu WU/InQnX1hYpBmgWVW2jby7TRhpuRTYWCN8RusH8r/KcOUbxiQ02+G5TcpmqhA9z50hVXoqeHNYK VGIZmcFxh1uVwO9yGf5EyxIOU16VTMzc2aW02WzOyScDQkfmAjwq7eIeyvcBy2UxBVDYO4wC767A RM3TqBATPSF3uske3dSMl3sU2JRJI6hQ4xdZ3IvguwdU7grl6HsT5lNOaX4t9P28d9puWwxsK28R ay8qXe/uFNhbXOjK1brMz74K73O1F6bwjLfKiRV06xQ7ECvA/9mdz4nWpNdHTqFTL+ID3tP4IQ+v 9CmUbXvUTwITEkApuhW8cK1JuiHzQUxvHvzsCoVdl0Y1jhUYWHvKyGx5Di1/pLRNbzcSe+38uLJT LAH+Tmc96pQC3vvJVF09hpe1IjLfdT/vWgqnnBmngfomxRMGv75WASkFyMm/HlwDI+MvK8I5Zbnp mv242/+guNFpRidbobZr/gsvGFYcpFATq1Db1q42Ioq/hN4GG2Ri0/F2w9pKIkHFQ/oqkCgliPe5 op96TRLDXwNVlwl5cEkyWcheaHJOkIQk1ubSA6/a7cGEOXJoyMIGm2aQZvP+C3N4gLPDe66AkMYq IswsO4gxosgYTH5LOWF7yyzcVRp3JSQPPwcKDpbtWwUk4ANptasEDu9WYTC+dAoIzgMa3qXR5WfG mXDPaCnAXzF4SPTGigUYI6INqKXEplbC25YgfM/KoRxkza1L88h3yAG4hpWEGMqH6AzfpvidlzBZ +WWrZraRMSd3WtGdNxWnAA5CmLjShNZabblhCbyjvlSG3UsvgZsCew7qKe/3gxZH+J+pW4SQQh5e kFIPPpMWwoyb2p+GnKW0q2SkRulWc/gsSZosGLTGOSL3DcB4KSz24smqiqRJPGY5wEVipw0NoC3e s0VJQs/2X+FhgqYRZwXNCRgCQkItT6hTUpX4AFhFFloOUItn8vfY2cyXoyMQlxdFEKpT6HRU+K9K Y5uwXeBVqgSuHWkBfYjDrzSvUlI8iHxBbD0vmBwN4Nr1CMkVrK/2JFA04xbkyrH50aoIbXJjjRiJ 10N7ESeO1hQThDhlpzGgoOIak3UN017j0DZchazsS1ukz8BP5WtIWX7i/0cfwoqJ+YPYwxhPkZRl dtONjmzk2J491gQw0l3Ux7PMZ+nAw4qy1Jm3VgSrTfrmEMsJIT1b/Lq1dgS+Ayy0re0x1+BIJwcd vbm0EH/c/M4YrfhnV1pHIxvVin7Pxhpd/zy/nfcbVl24ptSAwI8nZhU9tQzcIWQf4DIBLOypyHjH YUaHuVQky7LD2gCL7+hWGbefar1Kuf8Om9NlKRw1sB0S8RedY395nCW/g4G7DtTMlDp1VXdNhG9m mtmxOvVPab+QBQN0X2smAit53dw31h3u3Nrz1iwb1A50I41hh++IN1NchAklYY5pe3GOjBoIf/Pr ApBZQXH933hRFRLZjmlTbabGa2k9bl7osocjteHUrV25IoulR0FtfIC7gH4otsNV3PPPkDTjdB6S H0zKQ56ubCAOL6OuxjbMRc8H0Y+ocrrtxzz3G1rDhg5GkQ4JAWbMjTw77lLM+4n4IFnxxjzGMg/I DG7Mma6SEchLY7wAZTUQAk5ShZc97T/1uzthKT8wk0kPtkKvC08dgonelIcOpt1tsFlJ1ywOi1b3 xUTgqGZ4evRzl0yyhN9fMxXVNuT5ySjMRwPWq7u5pvBYPM8a/u63bvG9Q6nbA8Dmd/2EPp6DGgu8 B80D1eslyRhO1TTT8Fqy4ug/TjfNRC6F5MrWy2VpTmUuoB/f7nuh5yc/exChuFTpsCVCIzmQFBYI n3ZcK3dhtc2ueW/Ihz90QFPyVm9cOj4xuCDBPMEvTESLQQxtlgzhEcWpyJc1nTZQuibYIdRGNKws +OezKFAvWeka9AaCrk0u8OZnQv2IXINNuNnsoJFXONqQmXhIOMCY31+hpEbmqMFgHyN63mrmZ1A+ YVnB6jIN8gXEdypsgyqLfYAl/6m2ZyUG26YXiP3Gdt6PASytVfG4Coe7cxZxeM+eP5uzY1imJKeb 9sG8K01VqWeQinFt2r2b0XxSa2lf653wpePpgOEOcr0zLniC4P058G3sfrHlzIIqupXzbvsei4qn jSA/uj+DPDeJEDoa7ceQ6HmWsC4Dz1xzzGjyWl3VvQqWWyJv75x9OKByThGGSYJAPUsSrWAKRIiG cCTW4ebiNdwPIucum8thohrL7w6kjjsh1XZ4/yrvm6ib5VxFtmqEOYU3OcsvnH7fwIoPT4bdVMG1 TBDk26bDaKewFQg2VrWQDHkGCuY4cxJE6+5ZlqK8sf70YXAPjvcXze0zrNFoT+123NiHVYR7Ij8r Yc5Cc2sEckOUrjp/dY4Y77HLze4hsBSi84yQxgNAdQAMec4mpnuSMF1fIUc2XLBTlnHlwWIcOr4L +nNB9tGFfC07dzlBJnG+PhkMbaCg/g7XCcq7U/NaukYoY0JoyA6qT3te+99NpDIFnAf41nFfVEIy qD7UxOuLHcZ9ApUQP3Z8+SvfuVHr8LySZVkfkdGk9VisRFA+lUJ1ibJZUtzr2FSROk7ifm3kQZZh 8iAUK1SGej8sgRpZMzLF6aCZljaBTMYxElI2O6TN28t1qMoC1kxHX9qgJCdNCM+DNV+8HTwToCbk yOVuBD9I0fT3rK/LFlcEjs9HwBoV5DoCWFihujY9jvIeK2sJ3d0kkJbeQyJy76gFmm5SjIvOj0pW bi52p7jEg5UYghg9jCSp7LHZmtUvK7xdt+ehBsNBJuliL2iHb0bQDNHM74uzS3pPS87QVEWkjh/w ZGC9BRPDKy6SWR6kVN/yJe4WTKW2ISJYTfSLCCzYKrGF6734o06qma/lzOY2hWY5UuXG49oqf/P2 IoQCjLfqwDVYA67r4trayX9iq444R9AC+2FsLAsh5W+dogIWxByCPKyHXu+XfwBguSeqc5Wt0KB/ HwxtQQ0uG1aFrEcsiRde81weBI+/yn7qi+3y5hzWTHM6RxiOel9r+dSPkTGoujW534nmxohYVq+G jsUjOwqIEd/a1ztq34ArnEtLaEcJ+wI2nfO7Viixy6hjQcO/etXd+buvnTqt1WaN+JVP2sjd8ODH M7C9p25RzelkuzGXF2Pb6qgGSJ7+fcuqXmsixsZRyOa+SBTZQo66B4BH7e0x2AXbkRIXzGGgkuzI BDJYrkyn3qLA8HP9ko24Lw7d/F+wPiqiv+/NDohEuyQV0NcJW13lfFKTtSUA05LewJQ9MElh6wPr GZgxPPyi6QhgGsbLiZRWG2c76kFn0JWDOy4oM5vkHV409a2UfcSy4pYW+AhzVeiWsHzyI7uwXDjB EiFlnfmzwu4go/UzlBJ3Q7c1CCUwbujYtTGNuE9ugbxJoCxeVvELqDaTFpPfuBnQEbEp3vt0OFyK cW+nv7QNSpipVwkLWOxd5/1bh0IaurIYEqNT5J32vfq360bjWAfAZ3i1hcJSpeTrCfkryGwAOlPQ hSr1H+IvQoBIv/5WJ2yTWRbBzLyRxRUSW0A5SN1zdfneTUvnw/Jc1OhUJcTMQ057NrpLL+zYGQMc aiXkajWh7JS7tNHORMSiV+pMvneURD6cRBJ1QueCSE7QFlnxM4xZpOBiGMQYFHsW0qZKGul3EfpA EqUlTPfBJ+BHfCDfCIlhUOLwSb55XTxD/ba7u06n6YMxUweFyeyoWH8atFTjN22wWD7/fYtkA2bH cYsH7TnaO83Comji7CMKnzz+q8wS7GwlwFQX8nyZXhXEk2cVhfmTMmf0Ss4dI19T1Zjj8PDGdqrH 8/Z95NCksxvK5BE7w8d934rNH3N0YSHY/JUSn5qaTWgINdWobJni0KUPfL9oO/2LZ4gmNGyZ9YvY ddAWvkRLfvCt3oFe8hqe+goeq5WJSYy6QqOe4/LZIczRuKpQZE4Ce8KAqWwCCNx66ofabUNQIXwd GtZxACeoxUADW7fQQypuWUei2XGFk+Q6rGFzthI++1ccalKse3AKGGiRj/iX0IvQL3XuYPWhgeu2 jefn21+QycJF0lZtf/YZ4ReHJ/OpG6/YWog/d9ZFfFcjlLJv7bC5zoArxkFy4Vpi2QjcQXXGsM4L FxwXMcyPx7Y4VYPgdN9mimDenPm2B1DVgzz2mIdOeoWsdVuVCt1wWBalMdo/S7C6DeJ91wlUFHCx uFAHDVUijoUiKoz0OWtXARBicKtnxi1wP/YMxomEYfxZ5V9c55WDaAY3dgOZDuZZYaisUB9S6lLB OgGo9VgYEbAOkiUNYRizqlj3CYsF6iWgNL1oDBo5liEK1al8gCjacb+h4ZP8ES24yj1B0wAyewTW 7jBUFuMj0M7vsvHroJ6UD4A+6LxISHOBzwL6aej9jfjol9zBnU5sYcVww+fdqDBWfZIrysasI1XV T3gHef2ameUpAUgiOp5rz0QgqpfGGKVuQAqBj9f+7jOynaVdzAk8Yfr0t0Z2XOH6710bPFQ/d5Mk Tv3LBhjd2mi50qG47GTW2hBrVeNVtCyRotLiPyjaSgnDyOXZKUJvgHEk53JJCKLAgBQUmxlqzeMC lOLz6Rn1HZJbXddgBfqqL7ezeGXN571KRz50EZBxkqt10qrobYvePvmtPLNZdlCl0TlOfceeonWX cUNSx8SwyiI8AAFY+XqMDQIuS9Nb783HtHXbaWY+m/bsmLbrMHRzyTj0PIzjLbLniPKS89Egby1l 2ffzFU+cj/h+ePHYC0p1mtrTMneZ9ThT5sMKgxy7qmeooA8CLc3visTzz6EWODeI4OZwx7+Mrv+M OPnxzPkF0ks6f5Fme+qLdq1YuArIpwuJ7hvU2g8SE6g0buIJKo1S8na1rwHhQHk+MH9d5pUCPIRx M9mHhs7gNBmCParzmV0+4ZcU2VXR7oNDNTwwaGZvjztP+MWHRb5vbYDWGYLVQdrEvrhc78H+CAm4 xNqOy4HtzeCOO8DG7lkYK+7rlRJkToomFcy8fJDXpPveUp8ClyYEA6lteWC7EzJ/cyhgkTl22UEB NnpNX8hys88bXLNWidhkDr4xEuW8+ROS/7kDUYmGuu/YgzgvRE+nuN7GMdqWCDvSDLQ6eDo/+xBI bVLPh6E70SNSZFn4vsYmOmLs993w5oslZpPQunEtWtA+U4MsgkiXLTfXBs8tsMWPQXHZVcKqDFiL OhLMFOK2edwaHNkjOnV5KQyvls/jKfeCSObgaKNx73ab3h3GPLrh107yat3C94O2rnR0lwgmHxlp lJccbEltr8qvM5z/iuWHPhznmpp41/KR5B5QS8Qe3eFoRzLW2vOqwuiAvSRA1o8YJMfAzklKEH/o qsH2/lCKEli4Qj3F/0rbNgp+9oC/8Zg5iH21r1rd7roiRTJPWmpL9UvDKaC6C+9HC2+W+Coujw4x gxFRtLXSS0+0cx4YHKulyZw50xfb4PbguFPplrBCjJsdPxEP1YK3KqEU/Pa5jdIl3rMw0vPFh0K+ u2KGFC5EWAc8pdGdg2CJZHzKDOIh/cvz5jnQpjxcE0f/GEIG5h6D50cAXC4RSZ+69POIRpZBJz3S VBJC8h3tNLYOpbnh1ynFg52GefCCnSdCz1qWglsZSHMdV/2y4P8XZ2Rw6FiceTc1NrH6ouemVBCl tKIORmv1ZsQ0KOb2lCgcmOYT0wVg0NCB5BI+k/IeWUHAoNzFn16fe5fnQQ57AMoxVyPu99PTMS0U gbX9SNSSvciWNQ24xW3+CgYdEBktdfeqbkejbPJoDqwzTIDAYH20PaBMErVMdrPRn3/0Qqsnu5aJ X+h3m2SrTjJ69qVznYCfMc85eRr+Lo7L5eWZHRYPNsvU9TVwQQOSPLRb6jj3bYly0erPRrE4t9M+ HKMBWxsNpJSAP3YsC1tmgnHlMY3Aoibw0M+EIOZXg11gTq1Yfev/eQgrEiBoR1lim9NjM306dlOv yloGtRE4kRnNzhgtVwqH5N0FzGEQfyTeZI43D7oFg3b8KcBbP38bGOXziz/OPkgR994WFSAOWqLx SK0cSRmSQNkyhkKIaaVma3dIaE6vFOVWD/VQ3eImqpUts6AySJS79c3yVVOFraeoYEzbjUTuR6UD 0LF/F8hjF2w33fRRgRkmyY5ar6BnedjohwCTynKwWbaRKlvioKTZb3iiBKZOV78B1IVJCU0JT84b gfhO0QIZ+2QPlamFIQ4amoEFYQ5TFxejtbCuQtsogdAyAHGELNXMxSkgz5loqN07zji7l/U4er9o jW7lNd2FwOk+xd4G+k4Eq3Toa7FlMb3922rO4OzODeJUlR+RJCgtD4nYj2Dt4IIT/6oxlX5FKJQA UeK+MMEHr2o5vEvAih2klRQI2kozT5hBPdgXpsI3N1TlTwq7mvTpWz9jI0OM7WCtxvRYmxnH+2rb wO3r1qMwx6JUQNaXwnmvRsCEXJTKqqiGFEfZXXAz5eKnUn/uLUdvaHGrWRx/9cknmQIou8w7zwa4 00Uz0gxAFQ9ejEnsHZD67oYDmobdVvLTI+4m8b3tik2Tn1h59BesEb8RxmQHdi0h9lbThu9hJc+9 4RB6ER5V3qNOgZAlqXe6xQc3VO9YsjDxwBnedJVkMbQ46vAG9ckQRCJSpneW2N5a3nYC1mjXEWFV K+wC+3jDOG5z0ShSrB+w86sBVkGYYOSjt+nZTSZf0ndZ7KbM1fzjn01/O6CNU0J1tc+oGQ12l6/J W2SkPFS63cJIP57mgELC/taAJ22wZvsfsmpJ0RlLI9m4InETdjH1aZ7ZoMlJtukzft7z3zADFw2g kllZiooTehp1xhupHA8KDBYUPTkuRkZG88LT9baJH0ggmjUDDNHlkNmoxROPphFzjfJvDsh7/Yq8 U7P2mBpX6kvU8LTwmY9AvJ/23hnTDfZvARaOe/lAQEaS+IZDy8sYmf0aPVf/dFx36mQ5XTqM+uoF ShVQ0D7p0VfYCCgwRfAUkfZKOAJfKjDid5h2XngiE1ZO6HVPYUZAslvzBkrVycG3TqGHeOU7tiIK ymvlmnAVTxVqLr9uZFvAuXwf66YhTRt10hOUMPbG6//XuGAc7jyHIXf4RCTvO0dftwpSnR+fJf1B 4Wd3qQYgfJw0u7CDd8uikgCPYg7ez347YtbWlzeTbgVHJJJwP1FRMOjdMIUqcI+4SrWEbCCj1ssp g0KxzWMT2RUpDPljXUX/XQXWnbN34LAXhkpFzF1BsaJbjIwU8cwXekWCXNe70YOk7uW/JF6aTaei fW+3zv4SXOH3WVXMWG0k7pRb0gxlL3xtUeapl2pjuy5OtLK53MiuVP0XDE7oj7lxP7aQGawlD3gg c6RXTI9GpGgJXbF08GEy6BsqAFGMgVXmkcnADqjGtxgT1LbMLZKsvYbRqpdb8vlDMkQc8nkVg7V9 xxeuNqOFg7bBTyJzGx3gD4OrPOvBP5pN5EdArSI2vFE26wqd/ii/JZtKT3s9vnSgjkUI0hY0iLPY w8BgQ0LwnenGcaCNYcPRmqvpd4lOEqcNuAU3kKKCPd9hq/fVn9L1sdpj2e+jp+rDg3fT8uLQzlvy pTTzOwrd4KB+SFDrKIG+6FwB31S0nHnTVf68LxhP/HyTaNMSBoAUcpXcociqCy+YE+btI2mMw0w7 Xr/8t6moXyrcnjtmpnaoHcOtmJJYhEaLUMSubQ1hrvjsEuimIo9Tb5rn9aqMoh/tGgbSoeSf+OO4 X5VL+llZFevqTzcP7No0Uvx2394aACjPOq7d00zi0hwFKiwhP5YDljNYpFNVxKh6OvEuGx9lNS9Z k+tcDi26/GlKhaotPlAO5CJDJwB1vLxLShC2Umd+7l6mDODymCjiH3hbmd/DwSr1cOT32qyHb4ZH zBHcj+10d6Gyum1aJnlHGW69or5yMZvp4QRTTbzsK0e/LgtVjSNW2SCBLHY79rE220nzh/nFwDZF 969iM/4APnRLHGyCE8QAF0LyOHw9j880JC1TsIh2yigJsIzalR+rsoqyr6rXiuHIGGQvz6E4kWto KzA32mQoJB5VjmBOxKyG4fDOZA7OWzasKumvgG7bpk/HfPpw8Ref7epW9npeE+afQS6SjzET7WZk p7evhmoxDl0clculeUeku7l6UCR5zfZ9RkiZZ2viv/cfL3F7ixq+8YTiNUynLAMwFGytZGoYFzel rlB+nik1FEOJXI0GKeKazXc62wuCPN9Dku0qT0blCO4O9MhCO/eUd+9JpzEfFjUz1KavVnF0n7gt VAeno7bIOdBzoHWJuRmoHkb7zs50S6y2nR3MbujcvPPdmtv2bsqf2937mx8x9uheRn6web/f8rNT gMIDaJldOMn3Hu70x6XCRezJbT3j7GnZV2x2w37zSSWVKTdsulE9BIKvcSon3iZnpApYW22xy8Uo zf8/qxiJ8vZrPpbzJFMTCHNFjaf57PBp36oB7EwaKSzUG7S12M4cL/7C5QdzY7xneFfw/pjUceVd H2a86hCLrdTd1PmIerIcOkQe/vPm+rKD1da/wjxbt7/PMGsXxEGr9vekhSfRAz6bgBIHd/gHRCrH bIB+hYBGXlqJwKORtutY/A9Fzm0rZU1qDLB/bwouDHB7yXU27WDedd7cgFMbBLhXAQSB6fAcHSe7 YP++nji6RZJqfc7z+E1FuzqzTQZwrDcN1eqdcpJ60phnkPBkcyZuz8AcqnsDHuuI7mMteO2buyaG cVg29TXOEIPxeBS9MGF30Qa9pGK2kiDAH4eaPod2H79GkSgUV2a51UIVTk7usFRgjbvFY6UCoj49 8yIFHD+8B+xPOH36shHJ8I+3P/N7jQkPdyRLwSmhJJinV1oxPX/Wj+sdxXS6ptyawCCFwLONdgP6 pRwnC8bjcym4RBSHQCWE9Zf31NvDGRR8iRzIM9C0nqTVUfzgQ6KCWo93yoo1RoeWMqHN/Y5zMC91 5apKPAMeLhYPHAZLGJSe9rNXOq8odA7rB3ZN6jYOnhTaSiCJwnTtP5+yjq2pWJcyOyad3xzhKf/C PGKlGSBw79Q6ggBhzFFVfoNVaS8HcTCKsREEgmYeP3TQ66vEsggmIo0suBGqLVeUlQSWukJpFwjk PwT2D/p4mBR1HchVTwIj5uMKFx6axNQydg4guwuSTSoR5ItOf6YsTmD2ETmHKEJ6PNC1g16ztkF9 QN3ThOYlB31LIMC347ekJ7Ru80MJ9Gh6XYpHTjrrw2GkAdWa9qHRssbNBk9NnzzAygYK+m/c4sga t0/53+gROz1rRGCRp+MeMmlq4nGx4BmXqYSBHZiAvVXti5OWrmEMb/pXLZcDXKi2WidoBKQxUZ+p 3+Gw4SjCvwdMk+MGxtcyAgsWTcvLAC4pHiucI1KhTzTutxkJvw+ua9Uphf8oTZSqzNJWSQ5Ka/EK WiWEwjaj655hZfIUbn+g8IfV9Jg3JFe+pOnBkRqxsJ+1hX+jMEo0LwrcV3QB2ItYVSWHalltmini 3CoHgVA4kWRxgUiOSPmagBQ5ULrogwo4HmZqJt6QFWtXXv2Vt321E5A1JoeK7whzu7NAgrHtBcmI lC4vzC3VuOaZvKEFv5bIepQOIfT2LzHKTqRwY/pQu4g+0xis65zsYk7/7wiOOXfk3Vc9D/en+8WM 9UIcR7k1tTgAIjMmhJbZ8wpOz4E2n5Yszva8v9poAcrD0mnQ6ep/IrpQo6jKfTtWb0QjnYTpK+Mu yGjQQCeVOKeXZCT2iMzC/GL5kmMkhSaPlRee573f2ZFCFn2c/px3efKAwIIlroHs7C90ef4UNo6C 8lVqbhOkIpUVDQZ939KSm5MFoFvkKWqGDGvXZuvq5szZ+dCzOVhUDv3u8oNBs1FjTnLeUZtZDofD vS/41FgqE8JMUXDa9rVtPxxj3wl86Y0pJOnP/5S8lfuMXrwpCPqsoRUqFYkA4p5WSZxJs3ZHVs5H BZ/1g8gMHUyk+s4/sIzj9iVPPUGYwEoKlEpo0ZX1QYY0cd/lvtFv5DxRTddzELNZ8nlYemylfAO8 9alxJJQimgA5/4+myrULQ7aUrtQZKONmHe2gtGHsJqPqaqk4L4PxRuReQsLlE6JGt5CqPfPkRogS 4JQymQWoO6hfsAzXhrILXtqb/RxqhBRc/pK11iK8IWfKy6+mHwbW0uLy7wf7ygbA5cvQr9KGaQxO FRx4u9h5kTsoOxv7VQYKGovyAt5L7QlGwmN0suGjIyKIYANaUN9JI/u3z0dKJxPP36N3+hnWuda6 F5ruSmIMs50tWfJzv4GX4BENp9dg1hLBjNRbhuEU3oFvgOZZT4iI/Ib0ygAsdZkEkw8ypJhg6Yer TrhZS2fwIR1nsRWwND+GmUVcJ32i9qW8DZC2H+BmUSdCbuIENzWl9P2/Oa8rEpXg8rNmCs5eN9Rc zqCUKONGfzJs5ybTcBWPAB4xZM2Xg5Cq4NO8vOH9EZ9Kpw7axtU/IU41VJCYfiYzJNrd2XRT4h6f s11hLOXhJq3EUC55c+DRuV6bob//+xQ/Ou44Uywmd5pq7WXKFquM0V1+6Zt40XpBiNkg2yMeZNTE ZZxq33z/xau2W9GUFumPnSnIilUjL7wJD/vWKC8AGS98waOR3dSPafh5bKK6yuBlPurmJrA0X9gr U6dMq4aD62vZAYRYIo4mMv+s2n0n2LwWuTyqF6x9ACprLH2mTbf+eKgklCM8xpwWXXqLEm0GV9UZ Dz6JA2zlewzTtCzqxknl6eRcnhACv9664xtHQ1mF3V6v7Kj9Lu5vfTGSS/GG/jiBs31NmM6wrYag hp3s56kc8swOEas9cl2a6OoNwfkFG6DnhU5fkXEmGceiu6rgB1n8mRsigz4tzxLNH5brrqLrUQGP 1CdH8BVZCV1adACj/7obpIB7d8zE105fc+SQyxrENNIoO30k8foLozx5peFZNgMZyJKvhfxik781 MXwkGJEYawIUuCzrO0/1krsQ8aPZUOvUNCYt4U+hWjnYnjaAN9cOSCrlpQ6PGd60Y5ObiQhXWebP b9rhKGVen/9DsAB6jm1TtWRXC3nWuvVJUuxGku1J+EDhslC6NVWG0aaDLTNysOs2q9WL5Bu/8nvy v0Eh6bBKKbg11Nm4euoRLY5AZTZnrM7TH9Dpp00cvsg2F4NubTKzLMsWJ8Ou+HrAnigJntF+LHaH w4hHJNROjT2fYBmHhIPXtC5giQqkirnf6ISBXEq5vlMnO5AT78WtcbuTWIFrk948CE92kJSEdv8K GfWHP6THFRkShND6WYAqVBfG6fXrVSuCVG+qYswfl3gVyf7AOP8iw/1NUozX+cb5CQh7cISgCrIe Du4nx6DITLeoo5diecnrOBSIzkGv8+Of6a0c6kxdYiWEjsV7QbJlJircWzKnf0Xar1aSlx4vBg3z E4oodDDL/GHUr7HcujXe7pwJjl3inEtgQTgDPmS3lr7sgE+AtFmDlFkX5I4Lzm/YfwFCVx+fvxEs 4CuWvoFKUiXsj0qsBd9LJlKFAhjGTscn8nrezzwf4XwBvXq+M9JLasv0nxvbnqmoUT14r86JY9XV kr2zv+J2G9ymtTyarJklG+B9N9oJc6esURamav239aqmWh4LtO/O8zbFXwnW1/HZzIQmB2dniPnM auUKVmyeqNgWjLjL/0RSyBtWfLWw94IJH9UcG9nhRALVC+SRo7Cg94407/7xFLcB2+mmtvxPJBNz iJbhCYk2PYompos2w8n/2k7iL4byQFUdgMIUT3VT8NQZuGG9REcSJCuPV7MfumRJ0IUxyXhQWIPW 6YToHwHtITl94V1lxaEf/Ym72ZBvMinWtcI0aMIFRyklk1Zkxghe6X0FI7NOKvOhGgZt/vhAZqr9 o5t5XMUaszkKHNYrtYkI+ufSVaqKLoWnPGpojX0s6b+qvljKJSHYpViGNoeFA68Q/lnXdIeD6nMt dMWrRVfsccLyg4bJ6vwZYaEHUxRXuuw0y15rav8KCwQ23DMbapQh+KssmoPD9nQ40RNW6pO0i4wH AwD2dIwclE7WjwysB8raBIY8ptczMw9ZwqLKwwh5TO5mWsc4ZxDdFHj9Yb5f/UDnkRGrIELxJ1P2 81dCgbV7bJdnkEdqL1uPR+0jWjqSRd2Dzx3QXtVjhntFXQQcuQRDV7TQ6v+Nn6qYe5UvgCWbU04Z iVoHG2Z/kGaRnqry4du2bKyOtHv5BdMd3DnEPu6XOjSQBhJ0oriIglLV0Quve0F9A/ZeO24a2DEp W6rKGRqB6/wBeKRmSDPasrfi2Gv16klk4svquQopzIlT/XzoLKYA1Hf4NfRYqwuSMnbNhgmCAipI 7kKrAO9t8wgawWiwFQsjNXookG+KK1C/3mMQUEX8TG119GSPvTyLXm7IQv3X+HyR0CmqNE2ZDujQ DYd+1bZS5beDNkRNFBV51MVLTqhORQIJ6jjdiLZzIWF2Pkgtiio6Ywl58TQ3rAbs19yrc5KNTgE3 rCA5cWp6XC1m1hH/d34GIJISbpMKAvDXse8Gzaij1fG0Ua3Pivge0f3HlgJnVuGDEGLMPHXoHrMC Bjj4Eht9jerxdDPRPaIZyAmfFtqN/NbniA9LzZhFg7LrtStLyvDR67dyL2lUy94xENcE7joC6tv6 rDYTpF2LX3lTj9CiHduT9TbUjfpFMSNJxBs2GsYdMA0LBAGr6UMKbtKLDW2dM1PLo4HA29XxyOYL ifkcPuX/frsyK8aMSHV0uJg50089BVikz2mmIUQtmyL5mvdhD2Vz4+8vOBWSDr3f6xXiTcWh5CAp 7yOADGmparA4RPqTH1JjNTAQrfc1HmfoJmLHtSk1adV8GlnHrAVRRd7nvTHKiPYNID/uGIJ9RXoy k7+KhqvmC2TEpO4edtF8fGPHDP7K69oPLYWq64T8BkwcxJek603MvGK/Xy+UPSXu9s8vSRdkvolZ plnzLnYw69ZWsZEYs7YHErn4ZGHMiu+bZv+D096Mvlbcy8v7DLxIPs6gl6S/GJvjmG8XnFd3V45J Iqdx0N7ZZyB5IRPAhJ7QU+t1SpyE6FTgX7eqYpgnAkdII6dRN2vmLUUs5nGMfxmxn5Q9iqrq7rip wjhMb20eaefsOdymKB7FTqhZhZouImDcs4dtPvXzrhDNvBi2ERRMCD+rLuOSfFAU43k3YlsCOfRk yzD99m9xlr7KpUNAufDA5iGaXNvgjQKuSzqDyfUAmZEbWUwluJms8iqdn76/uMkleylW4MfP4P68 5xcFRfWb0oTeG2RggsF/XHs8kCyuFGDhQ1RG42niAfEKiNREH8ledq4NeqZGK4BAFm2BvIGJf1ft zMQO6POmNTaEFl9o21sMu7FwDCXms2P6WxqsXI8DTFmF1BpRdmQtjhmdqxNZH3UYe7DXHuqGpIcR WOyLBLDdiJUC79l0FBr+rN9XCzGxsSjuVn96Gea23/I08KTY2LPc6mcV0PxUcGHheZt2hZ8AceCr TUBUHdetfN91CKXSjk3KMYRXZNTUPewYS7rKIH3qHtro3mJ6ECdFhJBWilTJbI83b9wv5V9kBhpt 1wJCeDP3rkDCkD1MEvipVNk5ZkXq+YFo1Om9EB2BHAaCibKRDjmz2dRVWXdVuCOSoxnxP6UCuzkb S3Ui1pJf3AXosXz90tnm0sFHq0sMGiArk8cnnJyY6qzA+8qReIvTw9rmiWpDja0BxpGiLDS0/BCP I+/UtmadaTrdxmT/1et6KNoyiP/NxRLw554fhMfQ62easz0XRbN0lag7mSkm+EiqcotGG1N1GHv8 09dAd5ViKQAIsDdlCquFcR7vYn/fjYEexk2PWIxjyszzO7UbWx43x5cFKGhrd/LaosBdfupGlxYi rpzfwpSKJOg8u5xSILI8XMFE99LNysUBy2mfFRpS4W0JVvApbAbRIaMQAtFSuczJ5Ib1GOxWXqW1 vNRvEWndWDTnNKOIy55sGV8gTjQLpZpAUA0gw6iDebAj4sLsoNNA7Ba6MlqQCtC3eqQMPzxAQStB 2hazbLsUr9yuE5epjQfDXTrr1zeLz5mhU7nv7PEDUoEO+TzIXMzpAxh9Xg8O3WJDEIvsvN3NwC+r v99xtk9zAykz9jgyDyfgwshcXPM1C4EbM9hqc9/5Wmz9ZE42p3GuHDzGHEgeaXqBXDYXA9xSpVBt ZatuGq9nbAJCnhA8fYl2+1Kpn/3Dd/QEZR5rPsmB26MpsuGBBVbfG3yy4xuQdHpiu7UAeWLqAUQ2 PcwbkJ9AAzJ5D87iNOCvIFSY18bUkQ+mi6YFuxvBAIUSjzCYP0wx0MAR/dcfgz+w9iTtx6zv7znY q6N6L8GXS0/zAQiv7+mALUSSCdD9PEuQk9wVBHA4dmiFvDYDCXRx0EvhNnKtXta7FOUDQHcEqGAm igFI6/peFqHdzln6HEQLqF5giDmY3BXr7h0oNykbMyoilKYxHIcDY/x6QUA/vR0y4nSKERkWcNCi SOJwEhgAvcklIk64J2WzlnVitdtUG+DYpJpldVN/SJSWRzbfYHh4pDtnIIF5as8a3aHMuHHCugYz uA9g72fzE0zuQkbmdGsuYhB4qo0eaVHwOlvPgkbbO+BtsW2xSdqP1T1qu7RMl/VpeLP0+j24PpZz SMGFqXZE+cg+x38aTZr7jVZlgAFne751U1zCsJu9UxVu8T3FlVIP0QcWO65W/PmScXHsnzq3r+sU 6JEvRpJZv9V4XAI442zo8fUHaQQDLdZl+9WCTpx9VjyKjc5A24vXLlxf4q/iDAwgsd8uv/mM7JG/ 3h9A7yDzMkCUFqnvjPDsT7AR5yOD+hX5Vn7w2mlMfT06wNUcdJMs8GAlVipbFQPr/CYIg9Lqmy1k SV2HdH2rVIWmpq0mCZA6j72y/FfrLj9/3y/2nPePbvGFXZObYh7qR3E/Z94f7ci3K9i6uF8aGudg 1I/dwd5NO8B4E6BBi4mVFEBW8JfIfY0GAkVRuqG5HAusZY/DF3o+wvIMkStKsrFfR++EvHF0u635 8LFipAzOX5aC7jBdbmMa9yugsOlAjoy4yde0Muztvmmi+ad4MKVNppF570CzWFQ+cr7IOJ676qtS 37yNC/aL56nwYzoQmLmm2R/kHd7x+WIvQd/rZ2fxHdKPfjm5PisQYTnP8y1Y62oNXH0Ve4EB4hRf MzgDZ5lgAixmjAWNGRxq6DZ+1RgH9/9jHLwC/nb0sGAjmcybD3eAHROcAjeeblru8X9czeWb1qml Nln8NL/nCweSbKDidq1rNXz5xDoCrcqsZAFVMZrQ0RapuQ7WnD2vN/6UUqL59JqLdGJ8SW8Z5+dG EUjJKgt3n6NlA9fcTvziUM1z0lZbSO2R2cxkgJhN0h68wTIbDzU8PjqrcucChMzUmN/bQ7Oi2ywq WM/nH1OnLbAfWRjwjsPRlS5wUnx6MbuSUMvM3lipkymhb3SwW5UNy34rDi1WikOnR9e6ap7WdjqM iWMgXOSqTyUgAegPBtN08SRC21Hk9G6bJYDprY1Qqtpg8prCezKZ2Zl+BPE6K7JAMPN65/xxZDFq bEIl80slzFaPurv8hFqXkopbDMokFH3fpRww0YdjDgwFAqMceQffDNL04q4KIHxvFqUCK/SOktit VytXNhfh5RGMU/2jjJrlpFU+YMBksSO4Q9FCVFdTpeOCvka8dGA4/RjjCp4Py1ZulRVoyexWmypq ImNqj+LvIDNP2/0f1blUmDWWM9Nt1g6HwBQxJDIXHs8u8OfFCxKd7S8IFx/C9u/h1mlKjKZ0kedM dJlFs5C7MndZ5ym9rj9AOhwOe9CmGO2WXA33W/HrLpysuEQK1heT7P6dMWw12ECfOKBmDrWYcaYF Kq/YAJzziXaCPTNMXsyt75MS1cS0OYRIf3Uxywz2rWgz5CW0qW5M30a81IgDW2SMdVjOcV/tYCee WEWSL1v+azOkTY99LeDJBS3BGwCF1wfdOzlf9mS1xwzD8MrhigcbcdDTjy+hhfATFYriBg5iTwP1 OCaUZrafODZPS5coOUMABhexOnmk8Dx/LwIgIigbnrRPn2Zu2jTfpfbVRTNsdzoR9qIViwHlFaKW 3Ls25c1SPStzimihpwRr2F21jba91LVU/NDr64kBQpeYRt1sPF5XTSXHIewE/v5yu8KnUcafE88J XE5//1h5QyV4yiTn/b+dB1HaqGZoMX/MZ/P0i+Ag4bJiO1mqJvo2W1neVB6qA1NzwJI95FoIcJIZ PWjIfp0BetsSPPbj+5sK1IifZOml/gxMYxlAUMANTwTAeKPpumPpGuScc4VWK66oYK9ypx7Dk1CI Y0Yrn1Yuff+f7kl3ohhsDZeSgkxG4VuWwkrh3JopB4AWCOrV+oaB+rrVk1r5U7yhITwZfXep36Hy NACjYllBFXCgcNDQgcOz7Ww5+crFOf0FUIiHH9bxbX+jZ8RkcMghRJiCiNMio7dCNsASsQZGEkg8 ixUcsQIhyzluIfSRy5kyGzXylpVig+SPJ3KInm3kKgkvT0H3SlPfczCAjNP/zqqZcqh3J8X4ZA8o M23SeznAuHxclwF0tLR3aJbYaJEJv1F66Q17r8Pp/XTUhXdhI40CmwYpegVNyXwE7+F4ykm/0eDz qFVdMsH0k+SLkTFMTxhtr/Lf8kAqKptPxfmbguJEswZa5z8PJxXSlw8VxJJBjrx4cJXDUSb3bUhc t63aPIDDGELTgX4ahC4WBYz5aGxNtdf+KDDAMooxxn+h/Eu7gyBgYbuX6TvtErqK1DCLQXP7BkCv JwDtp4OW0XZLshRBUMXRRkdjIHz4iqJU1VEJZ1nkOCIvWutQC70cMpOxtpnPgeYaNW/qRCAweyVX Fuevzwm0esmCLydXMeycNEY9f/1R3ZXUIHRT2opYP/Hjjir4ZFMR+b9tOLlOFwe7rPCMqHAHu/uw Hua9wai6DQHjV0S4ZvtNdYCzrVKanA+190kCU1hW9vc+wC3yeglPiDI3qy1Nl5XOgOPggm+qKPyo 4Dqrq5CIRnq4XOytqldY1hhiyDLi1TyX0xf6TwUZvx9WSw9Sc1ItE9lKFk0OyEJ1F0OOBIMVo4oV 0RdCigajxsDyCS7EqVlGUIpIysD8hvWXhUPiZIresa8/sJNrxAU+00OjsWYTTv9TQmhAYFROzVgb YzGOmTAAxEDkS7ngFUn20X0tmc3T/HV4kIsgS/g1TNvYPp7KYPH4rAZ05oMP5N+m7KWEOOUJa0FU yr9qqimeepGMzQYPnQ6iJ53zypNAHICsT48VTfV4Ljk43b3pNZgBflrc+qXP7DgK6AF5xf8c8dqd MXhLLAGBbw04kHU6JIJn5RqeM1F+5h29BoPC2geADb+iZFBZ3wkWZw7re3J0FCzfW4O6ZwcaktQe NNcbvS0O/XbVNVnBFw2b1lejiWlGVyWTLub7mE47k2zajF8TjCOVTxt5Mme9Q+spVgJbe83LApaE c1tXd1niaXPDEf2Q/2D11zqlw9LTlNjwXW09sHS/SyuobWRlQNrle7yCJytvqu2n+54gzvZwvsEP tNmqG2tVlWRyEXOOo8Xg5JO/+JsXnAKK+Im22NpX72S59TiggwysA4AaHiUAPEEGdpVSydkhL07d Ra7m4GYXBCJ2ZjouVl31ObqXGsbct76wLz7z3QnwCGTmI2F5BzZHf/j8wcOGegCHLRMmZXFe6SYP wRLOwUnJYcnE0VksINpj1WxGfgDkoAPL94PCCCQc48P++UISnWURzdpPggik/np3DSvwzE405hmz yW+PuFejVcvjZgZ4vWV5TNOBNEp7ztnRA0cEg4TSyIh4YY9gmnuM1EVryQ0RaCWJ82IJmwBrvysC /4qBxgKrWhKaxjBANtlByfKuW6/MrtaBVEkyhWl+vcICAFJpv3BT2v1NmpqzDraJRFW4yFfVxxRX ArHcJbHVm5IRsibMYEf3URJWTfFjPblBYjJGs6tMrHzj8gJVkJRvin9giho/3waThHzd2PLRRAN5 pOhd5BEZVGU0VJEyG8TqaVYbJIqJNW0tTZ4aL4mSBlFWVeLBZaecQ3HxT48KVR4FQC3K40D5ZeCC np+VVnP33dXEii7+zfMg6jK+konJRIwLz7Jbw5Ymr+u3gFwQbV1J+MVY2O2LTt0hAmOoHZ0bQak7 2kxuQZzluVlJ9yTowLrK/doDGR8VYG8MejEurKoPdliKmjZERH+RwP+0WGBeC9Qsfmtkz7h6EMTE UOh0QWebMDg5zFththt+48IfwekkzDO2oGIlVM0R7g4OqG+lHhlxFVmJ180ETtqcoYko+Wkwo5Mw CtM3KOJ4j/wt80lPqEHyBjGKLlmeFt1+3pyVQ2g8GF4vfG5+lEffGO1ENtt6rWwEcKRrdEx2dqxA 3+LDKolHEXPAOELkOIrJqc4JloTPQif66MwW/B4fj9Ik8xYXmRQwIe1MMLc47nYFugtkUtNsLFf/ CITB6dC5uX4t5esEEgv/FepFzj0Y8OkvyzpJVBq6K43Ioxg7SkEQBNxasRdWFOwrvREVMq//5Pos WjVPLlpIO6TP/fvlgtgzByc7k+1xiH0eabx8dpSuiHxxS38sNSQvb8PMv6wCLnlM3Ebc6qHvdlcp VGqcZx5/GNS5c5x6MGs1eJw0Zg5/WCSNk8Y= `protect end_protected
-- -*- vhdl -*- ------------------------------------------------------------------------------- -- Copyright (c) 2012, The CARPE Project, All rights reserved. -- -- See the AUTHORS file for individual contributors. -- -- -- -- Copyright and related rights are licensed under the Solderpad -- -- Hardware License, Version 0.51 (the "License"); you may not use this -- -- file except in compliance with the License. You may obtain a copy of -- -- the License at http://solderpad.org/licenses/SHL-0.51. -- -- -- -- Unless required by applicable law or agreed to in writing, software, -- -- hardware and materials distributed under this License is distributed -- -- on an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, -- -- either express or implied. See the License for the specific language -- -- governing permissions and limitations under the License. -- ------------------------------------------------------------------------------- library util; use util.types_pkg.all; use util.logic_pkg.all; library tech; library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; architecture rtl of cache_core_1rw is constant assoc : natural := 2**log2_assoc; type comb_type is record tag_en : std_ulogic; tag_we : std_ulogic; tag_banken : std_ulogic_vector(assoc-1 downto 0); tag_addr : std_ulogic_vector(index_bits-1 downto 0); tag_wdata : std_ulogic_vector2(assoc-1 downto 0, tag_bits-1 downto 0); tag_rdata : std_ulogic_vector2(assoc-1 downto 0, tag_bits-1 downto 0); data_en : std_ulogic; data_we : std_ulogic; data_banken : std_ulogic_vector(assoc-1 downto 0); data_addr : std_ulogic_vector(index_bits+offset_bits-1 downto 0); data_wdata : std_ulogic_vector2(assoc-1 downto 0, word_bits-1 downto 0); data_rdata : std_ulogic_vector2(assoc-1 downto 0, word_bits-1 downto 0); end record; signal c : comb_type; begin c.tag_en <= en and tagen; c.tag_we <= we; c.tag_banken <= way; c.tag_addr <= index; c.data_en <= en and dataen; c.data_we <= we; c.data_addr <= index & offset; c.data_banken <= way; way_loop : for n in assoc-1 downto 0 generate tag_bit_loop : for m in tag_bits-1 downto 0 generate c.tag_wdata(n, m) <= wtag(m); end generate; data_bit_loop : for m in word_bits-1 downto 0 generate c.data_wdata(n, m) <= wdata(m); end generate; end generate; rtag <= c.tag_rdata; rdata <= c.data_rdata; tag_sram : entity tech.syncram_banked_1rw(rtl) generic map ( addr_bits => index_bits, word_bits => tag_bits, log2_banks => log2_assoc ) port map ( clk => clk, en => c.tag_en, we => c.tag_we, banken => c.tag_banken, addr => c.tag_addr, wdata => c.tag_wdata, rdata => c.tag_rdata ); data_sram : entity tech.syncram_banked_1rw(rtl) generic map ( addr_bits => index_bits + offset_bits, word_bits => word_bits, log2_banks => log2_assoc ) port map ( clk => clk, en => c.data_en, we => c.data_we, banken => c.data_banken, addr => c.data_addr, wdata => c.data_wdata, rdata => c.data_rdata ); end;
-- (c) Copyright 1995-2015 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:lmb_v10:3.0 -- IP Revision: 6 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY lmb_v10_v3_0; USE lmb_v10_v3_0.lmb_v10; ENTITY design_1_ilmb_v10_0 IS PORT ( LMB_Clk : IN STD_LOGIC; SYS_Rst : IN STD_LOGIC; LMB_Rst : OUT STD_LOGIC; M_ABus : IN STD_LOGIC_VECTOR(0 TO 31); M_ReadStrobe : IN STD_LOGIC; M_WriteStrobe : IN STD_LOGIC; M_AddrStrobe : IN STD_LOGIC; M_DBus : IN STD_LOGIC_VECTOR(0 TO 31); M_BE : IN STD_LOGIC_VECTOR(0 TO 3); Sl_DBus : IN STD_LOGIC_VECTOR(0 TO 31); Sl_Ready : IN STD_LOGIC_VECTOR(0 DOWNTO 0); Sl_Wait : IN STD_LOGIC_VECTOR(0 DOWNTO 0); Sl_UE : IN STD_LOGIC_VECTOR(0 DOWNTO 0); Sl_CE : IN STD_LOGIC_VECTOR(0 DOWNTO 0); LMB_ABus : OUT STD_LOGIC_VECTOR(0 TO 31); LMB_ReadStrobe : OUT STD_LOGIC; LMB_WriteStrobe : OUT STD_LOGIC; LMB_AddrStrobe : OUT STD_LOGIC; LMB_ReadDBus : OUT STD_LOGIC_VECTOR(0 TO 31); LMB_WriteDBus : OUT STD_LOGIC_VECTOR(0 TO 31); LMB_Ready : OUT STD_LOGIC; LMB_Wait : OUT STD_LOGIC; LMB_UE : OUT STD_LOGIC; LMB_CE : OUT STD_LOGIC; LMB_BE : OUT STD_LOGIC_VECTOR(0 TO 3) ); END design_1_ilmb_v10_0; ARCHITECTURE design_1_ilmb_v10_0_arch OF design_1_ilmb_v10_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF design_1_ilmb_v10_0_arch: ARCHITECTURE IS "yes"; COMPONENT lmb_v10 IS GENERIC ( C_LMB_NUM_SLAVES : INTEGER; C_LMB_DWIDTH : INTEGER; C_LMB_AWIDTH : INTEGER; C_EXT_RESET_HIGH : INTEGER ); PORT ( LMB_Clk : IN STD_LOGIC; SYS_Rst : IN STD_LOGIC; LMB_Rst : OUT STD_LOGIC; M_ABus : IN STD_LOGIC_VECTOR(0 TO 31); M_ReadStrobe : IN STD_LOGIC; M_WriteStrobe : IN STD_LOGIC; M_AddrStrobe : IN STD_LOGIC; M_DBus : IN STD_LOGIC_VECTOR(0 TO 31); M_BE : IN STD_LOGIC_VECTOR(0 TO 3); Sl_DBus : IN STD_LOGIC_VECTOR(0 TO 31); Sl_Ready : IN STD_LOGIC_VECTOR(0 DOWNTO 0); Sl_Wait : IN STD_LOGIC_VECTOR(0 DOWNTO 0); Sl_UE : IN STD_LOGIC_VECTOR(0 DOWNTO 0); Sl_CE : IN STD_LOGIC_VECTOR(0 DOWNTO 0); LMB_ABus : OUT STD_LOGIC_VECTOR(0 TO 31); LMB_ReadStrobe : OUT STD_LOGIC; LMB_WriteStrobe : OUT STD_LOGIC; LMB_AddrStrobe : OUT STD_LOGIC; LMB_ReadDBus : OUT STD_LOGIC_VECTOR(0 TO 31); LMB_WriteDBus : OUT STD_LOGIC_VECTOR(0 TO 31); LMB_Ready : OUT STD_LOGIC; LMB_Wait : OUT STD_LOGIC; LMB_UE : OUT STD_LOGIC; LMB_CE : OUT STD_LOGIC; LMB_BE : OUT STD_LOGIC_VECTOR(0 TO 3) ); END COMPONENT lmb_v10; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF design_1_ilmb_v10_0_arch: ARCHITECTURE IS "lmb_v10,Vivado 2015.2"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF design_1_ilmb_v10_0_arch : ARCHITECTURE IS "design_1_ilmb_v10_0,lmb_v10,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF design_1_ilmb_v10_0_arch: ARCHITECTURE IS "design_1_ilmb_v10_0,lmb_v10,{x_ipProduct=Vivado 2015.2,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=lmb_v10,x_ipVersion=3.0,x_ipCoreRevision=6,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_LMB_NUM_SLAVES=1,C_LMB_DWIDTH=32,C_LMB_AWIDTH=32,C_EXT_RESET_HIGH=1}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF LMB_Clk: SIGNAL IS "xilinx.com:signal:clock:1.0 CLK.LMB_Clk CLK"; ATTRIBUTE X_INTERFACE_INFO OF SYS_Rst: SIGNAL IS "xilinx.com:signal:reset:1.0 RST.SYS_Rst RST"; ATTRIBUTE X_INTERFACE_INFO OF LMB_Rst: SIGNAL IS "xilinx.com:interface:lmb:1.0 LMB_Sl_0 RST, xilinx.com:interface:lmb:1.0 LMB_M RST"; ATTRIBUTE X_INTERFACE_INFO OF M_ABus: SIGNAL IS "xilinx.com:interface:lmb:1.0 LMB_M ABUS"; ATTRIBUTE X_INTERFACE_INFO OF M_ReadStrobe: SIGNAL IS "xilinx.com:interface:lmb:1.0 LMB_M READSTROBE"; ATTRIBUTE X_INTERFACE_INFO OF M_WriteStrobe: SIGNAL IS "xilinx.com:interface:lmb:1.0 LMB_M WRITESTROBE"; ATTRIBUTE X_INTERFACE_INFO OF M_AddrStrobe: SIGNAL IS "xilinx.com:interface:lmb:1.0 LMB_M ADDRSTROBE"; ATTRIBUTE X_INTERFACE_INFO OF M_DBus: SIGNAL IS "xilinx.com:interface:lmb:1.0 LMB_M WRITEDBUS"; ATTRIBUTE X_INTERFACE_INFO OF M_BE: SIGNAL IS "xilinx.com:interface:lmb:1.0 LMB_M BE"; ATTRIBUTE X_INTERFACE_INFO OF Sl_DBus: SIGNAL IS "xilinx.com:interface:lmb:1.0 LMB_Sl_0 READDBUS"; ATTRIBUTE X_INTERFACE_INFO OF Sl_Ready: SIGNAL IS "xilinx.com:interface:lmb:1.0 LMB_Sl_0 READY"; ATTRIBUTE X_INTERFACE_INFO OF Sl_Wait: SIGNAL IS "xilinx.com:interface:lmb:1.0 LMB_Sl_0 WAIT"; ATTRIBUTE X_INTERFACE_INFO OF Sl_UE: SIGNAL IS "xilinx.com:interface:lmb:1.0 LMB_Sl_0 UE"; ATTRIBUTE X_INTERFACE_INFO OF Sl_CE: SIGNAL IS "xilinx.com:interface:lmb:1.0 LMB_Sl_0 CE"; ATTRIBUTE X_INTERFACE_INFO OF LMB_ABus: SIGNAL IS "xilinx.com:interface:lmb:1.0 LMB_Sl_0 ABUS"; ATTRIBUTE X_INTERFACE_INFO OF LMB_ReadStrobe: SIGNAL IS "xilinx.com:interface:lmb:1.0 LMB_Sl_0 READSTROBE"; ATTRIBUTE X_INTERFACE_INFO OF LMB_WriteStrobe: SIGNAL IS "xilinx.com:interface:lmb:1.0 LMB_Sl_0 WRITESTROBE"; ATTRIBUTE X_INTERFACE_INFO OF LMB_AddrStrobe: SIGNAL IS "xilinx.com:interface:lmb:1.0 LMB_Sl_0 ADDRSTROBE"; ATTRIBUTE X_INTERFACE_INFO OF LMB_ReadDBus: SIGNAL IS "xilinx.com:interface:lmb:1.0 LMB_M READDBUS"; ATTRIBUTE X_INTERFACE_INFO OF LMB_WriteDBus: SIGNAL IS "xilinx.com:interface:lmb:1.0 LMB_Sl_0 WRITEDBUS"; ATTRIBUTE X_INTERFACE_INFO OF LMB_Ready: SIGNAL IS "xilinx.com:interface:lmb:1.0 LMB_M READY"; ATTRIBUTE X_INTERFACE_INFO OF LMB_Wait: SIGNAL IS "xilinx.com:interface:lmb:1.0 LMB_M WAIT"; ATTRIBUTE X_INTERFACE_INFO OF LMB_UE: SIGNAL IS "xilinx.com:interface:lmb:1.0 LMB_M UE"; ATTRIBUTE X_INTERFACE_INFO OF LMB_CE: SIGNAL IS "xilinx.com:interface:lmb:1.0 LMB_M CE"; ATTRIBUTE X_INTERFACE_INFO OF LMB_BE: SIGNAL IS "xilinx.com:interface:lmb:1.0 LMB_Sl_0 BE"; BEGIN U0 : lmb_v10 GENERIC MAP ( C_LMB_NUM_SLAVES => 1, C_LMB_DWIDTH => 32, C_LMB_AWIDTH => 32, C_EXT_RESET_HIGH => 1 ) PORT MAP ( LMB_Clk => LMB_Clk, SYS_Rst => SYS_Rst, LMB_Rst => LMB_Rst, M_ABus => M_ABus, M_ReadStrobe => M_ReadStrobe, M_WriteStrobe => M_WriteStrobe, M_AddrStrobe => M_AddrStrobe, M_DBus => M_DBus, M_BE => M_BE, Sl_DBus => Sl_DBus, Sl_Ready => Sl_Ready, Sl_Wait => Sl_Wait, Sl_UE => Sl_UE, Sl_CE => Sl_CE, LMB_ABus => LMB_ABus, LMB_ReadStrobe => LMB_ReadStrobe, LMB_WriteStrobe => LMB_WriteStrobe, LMB_AddrStrobe => LMB_AddrStrobe, LMB_ReadDBus => LMB_ReadDBus, LMB_WriteDBus => LMB_WriteDBus, LMB_Ready => LMB_Ready, LMB_Wait => LMB_Wait, LMB_UE => LMB_UE, LMB_CE => LMB_CE, LMB_BE => LMB_BE ); END design_1_ilmb_v10_0_arch;
-- (c) Copyright 1995-2015 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:lmb_v10:3.0 -- IP Revision: 6 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY lmb_v10_v3_0; USE lmb_v10_v3_0.lmb_v10; ENTITY design_1_ilmb_v10_0 IS PORT ( LMB_Clk : IN STD_LOGIC; SYS_Rst : IN STD_LOGIC; LMB_Rst : OUT STD_LOGIC; M_ABus : IN STD_LOGIC_VECTOR(0 TO 31); M_ReadStrobe : IN STD_LOGIC; M_WriteStrobe : IN STD_LOGIC; M_AddrStrobe : IN STD_LOGIC; M_DBus : IN STD_LOGIC_VECTOR(0 TO 31); M_BE : IN STD_LOGIC_VECTOR(0 TO 3); Sl_DBus : IN STD_LOGIC_VECTOR(0 TO 31); Sl_Ready : IN STD_LOGIC_VECTOR(0 DOWNTO 0); Sl_Wait : IN STD_LOGIC_VECTOR(0 DOWNTO 0); Sl_UE : IN STD_LOGIC_VECTOR(0 DOWNTO 0); Sl_CE : IN STD_LOGIC_VECTOR(0 DOWNTO 0); LMB_ABus : OUT STD_LOGIC_VECTOR(0 TO 31); LMB_ReadStrobe : OUT STD_LOGIC; LMB_WriteStrobe : OUT STD_LOGIC; LMB_AddrStrobe : OUT STD_LOGIC; LMB_ReadDBus : OUT STD_LOGIC_VECTOR(0 TO 31); LMB_WriteDBus : OUT STD_LOGIC_VECTOR(0 TO 31); LMB_Ready : OUT STD_LOGIC; LMB_Wait : OUT STD_LOGIC; LMB_UE : OUT STD_LOGIC; LMB_CE : OUT STD_LOGIC; LMB_BE : OUT STD_LOGIC_VECTOR(0 TO 3) ); END design_1_ilmb_v10_0; ARCHITECTURE design_1_ilmb_v10_0_arch OF design_1_ilmb_v10_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF design_1_ilmb_v10_0_arch: ARCHITECTURE IS "yes"; COMPONENT lmb_v10 IS GENERIC ( C_LMB_NUM_SLAVES : INTEGER; C_LMB_DWIDTH : INTEGER; C_LMB_AWIDTH : INTEGER; C_EXT_RESET_HIGH : INTEGER ); PORT ( LMB_Clk : IN STD_LOGIC; SYS_Rst : IN STD_LOGIC; LMB_Rst : OUT STD_LOGIC; M_ABus : IN STD_LOGIC_VECTOR(0 TO 31); M_ReadStrobe : IN STD_LOGIC; M_WriteStrobe : IN STD_LOGIC; M_AddrStrobe : IN STD_LOGIC; M_DBus : IN STD_LOGIC_VECTOR(0 TO 31); M_BE : IN STD_LOGIC_VECTOR(0 TO 3); Sl_DBus : IN STD_LOGIC_VECTOR(0 TO 31); Sl_Ready : IN STD_LOGIC_VECTOR(0 DOWNTO 0); Sl_Wait : IN STD_LOGIC_VECTOR(0 DOWNTO 0); Sl_UE : IN STD_LOGIC_VECTOR(0 DOWNTO 0); Sl_CE : IN STD_LOGIC_VECTOR(0 DOWNTO 0); LMB_ABus : OUT STD_LOGIC_VECTOR(0 TO 31); LMB_ReadStrobe : OUT STD_LOGIC; LMB_WriteStrobe : OUT STD_LOGIC; LMB_AddrStrobe : OUT STD_LOGIC; LMB_ReadDBus : OUT STD_LOGIC_VECTOR(0 TO 31); LMB_WriteDBus : OUT STD_LOGIC_VECTOR(0 TO 31); LMB_Ready : OUT STD_LOGIC; LMB_Wait : OUT STD_LOGIC; LMB_UE : OUT STD_LOGIC; LMB_CE : OUT STD_LOGIC; LMB_BE : OUT STD_LOGIC_VECTOR(0 TO 3) ); END COMPONENT lmb_v10; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF design_1_ilmb_v10_0_arch: ARCHITECTURE IS "lmb_v10,Vivado 2015.2"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF design_1_ilmb_v10_0_arch : ARCHITECTURE IS "design_1_ilmb_v10_0,lmb_v10,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF design_1_ilmb_v10_0_arch: ARCHITECTURE IS "design_1_ilmb_v10_0,lmb_v10,{x_ipProduct=Vivado 2015.2,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=lmb_v10,x_ipVersion=3.0,x_ipCoreRevision=6,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_LMB_NUM_SLAVES=1,C_LMB_DWIDTH=32,C_LMB_AWIDTH=32,C_EXT_RESET_HIGH=1}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF LMB_Clk: SIGNAL IS "xilinx.com:signal:clock:1.0 CLK.LMB_Clk CLK"; ATTRIBUTE X_INTERFACE_INFO OF SYS_Rst: SIGNAL IS "xilinx.com:signal:reset:1.0 RST.SYS_Rst RST"; ATTRIBUTE X_INTERFACE_INFO OF LMB_Rst: SIGNAL IS "xilinx.com:interface:lmb:1.0 LMB_Sl_0 RST, xilinx.com:interface:lmb:1.0 LMB_M RST"; ATTRIBUTE X_INTERFACE_INFO OF M_ABus: SIGNAL IS "xilinx.com:interface:lmb:1.0 LMB_M ABUS"; ATTRIBUTE X_INTERFACE_INFO OF M_ReadStrobe: SIGNAL IS "xilinx.com:interface:lmb:1.0 LMB_M READSTROBE"; ATTRIBUTE X_INTERFACE_INFO OF M_WriteStrobe: SIGNAL IS "xilinx.com:interface:lmb:1.0 LMB_M WRITESTROBE"; ATTRIBUTE X_INTERFACE_INFO OF M_AddrStrobe: SIGNAL IS "xilinx.com:interface:lmb:1.0 LMB_M ADDRSTROBE"; ATTRIBUTE X_INTERFACE_INFO OF M_DBus: SIGNAL IS "xilinx.com:interface:lmb:1.0 LMB_M WRITEDBUS"; ATTRIBUTE X_INTERFACE_INFO OF M_BE: SIGNAL IS "xilinx.com:interface:lmb:1.0 LMB_M BE"; ATTRIBUTE X_INTERFACE_INFO OF Sl_DBus: SIGNAL IS "xilinx.com:interface:lmb:1.0 LMB_Sl_0 READDBUS"; ATTRIBUTE X_INTERFACE_INFO OF Sl_Ready: SIGNAL IS "xilinx.com:interface:lmb:1.0 LMB_Sl_0 READY"; ATTRIBUTE X_INTERFACE_INFO OF Sl_Wait: SIGNAL IS "xilinx.com:interface:lmb:1.0 LMB_Sl_0 WAIT"; ATTRIBUTE X_INTERFACE_INFO OF Sl_UE: SIGNAL IS "xilinx.com:interface:lmb:1.0 LMB_Sl_0 UE"; ATTRIBUTE X_INTERFACE_INFO OF Sl_CE: SIGNAL IS "xilinx.com:interface:lmb:1.0 LMB_Sl_0 CE"; ATTRIBUTE X_INTERFACE_INFO OF LMB_ABus: SIGNAL IS "xilinx.com:interface:lmb:1.0 LMB_Sl_0 ABUS"; ATTRIBUTE X_INTERFACE_INFO OF LMB_ReadStrobe: SIGNAL IS "xilinx.com:interface:lmb:1.0 LMB_Sl_0 READSTROBE"; ATTRIBUTE X_INTERFACE_INFO OF LMB_WriteStrobe: SIGNAL IS "xilinx.com:interface:lmb:1.0 LMB_Sl_0 WRITESTROBE"; ATTRIBUTE X_INTERFACE_INFO OF LMB_AddrStrobe: SIGNAL IS "xilinx.com:interface:lmb:1.0 LMB_Sl_0 ADDRSTROBE"; ATTRIBUTE X_INTERFACE_INFO OF LMB_ReadDBus: SIGNAL IS "xilinx.com:interface:lmb:1.0 LMB_M READDBUS"; ATTRIBUTE X_INTERFACE_INFO OF LMB_WriteDBus: SIGNAL IS "xilinx.com:interface:lmb:1.0 LMB_Sl_0 WRITEDBUS"; ATTRIBUTE X_INTERFACE_INFO OF LMB_Ready: SIGNAL IS "xilinx.com:interface:lmb:1.0 LMB_M READY"; ATTRIBUTE X_INTERFACE_INFO OF LMB_Wait: SIGNAL IS "xilinx.com:interface:lmb:1.0 LMB_M WAIT"; ATTRIBUTE X_INTERFACE_INFO OF LMB_UE: SIGNAL IS "xilinx.com:interface:lmb:1.0 LMB_M UE"; ATTRIBUTE X_INTERFACE_INFO OF LMB_CE: SIGNAL IS "xilinx.com:interface:lmb:1.0 LMB_M CE"; ATTRIBUTE X_INTERFACE_INFO OF LMB_BE: SIGNAL IS "xilinx.com:interface:lmb:1.0 LMB_Sl_0 BE"; BEGIN U0 : lmb_v10 GENERIC MAP ( C_LMB_NUM_SLAVES => 1, C_LMB_DWIDTH => 32, C_LMB_AWIDTH => 32, C_EXT_RESET_HIGH => 1 ) PORT MAP ( LMB_Clk => LMB_Clk, SYS_Rst => SYS_Rst, LMB_Rst => LMB_Rst, M_ABus => M_ABus, M_ReadStrobe => M_ReadStrobe, M_WriteStrobe => M_WriteStrobe, M_AddrStrobe => M_AddrStrobe, M_DBus => M_DBus, M_BE => M_BE, Sl_DBus => Sl_DBus, Sl_Ready => Sl_Ready, Sl_Wait => Sl_Wait, Sl_UE => Sl_UE, Sl_CE => Sl_CE, LMB_ABus => LMB_ABus, LMB_ReadStrobe => LMB_ReadStrobe, LMB_WriteStrobe => LMB_WriteStrobe, LMB_AddrStrobe => LMB_AddrStrobe, LMB_ReadDBus => LMB_ReadDBus, LMB_WriteDBus => LMB_WriteDBus, LMB_Ready => LMB_Ready, LMB_Wait => LMB_Wait, LMB_UE => LMB_UE, LMB_CE => LMB_CE, LMB_BE => LMB_BE ); END design_1_ilmb_v10_0_arch;
-------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 21:58:40 10/03/2017 -- Design Name: -- Module Name: C:/Users/Kalugy/Documents/xilinx/procesadordefinitivo/Tbfirstpart.vhd -- Project Name: procesadordefinitivo -- Target Device: -- Tool versions: -- Description: -- -- VHDL Test Bench Created by ISE for module: firstrpart -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- -- Notes: -- This testbench has been automatically generated using types std_logic and -- std_logic_vector for the ports of the unit under test. Xilinx recommends -- that these types always be used for the top-level I/O of a design in order -- to guarantee that the testbench will bind correctly to the post-implementation -- simulation model. -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --USE ieee.numeric_std.ALL; ENTITY Tbfirstpart IS END Tbfirstpart; ARCHITECTURE behavior OF Tbfirstpart IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT firstrpart PORT( Resetext : IN std_logic; Clkinext : IN std_logic; Adressext : OUT std_logic_vector(31 downto 0) ); END COMPONENT; --Inputs signal Resetext : std_logic := '0'; signal Clkinext : std_logic := '0'; --Outputs signal Adressext : std_logic_vector(31 downto 0); -- Clock period definitions constant Clkinext_period : time := 10 ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut: firstrpart PORT MAP ( Resetext => Resetext, Clkinext => Clkinext, Adressext => Adressext ); -- Clock process definitions Clkinext_process :process begin Clkinext <= '0'; wait for Clkinext_period/2; Clkinext <= '1'; wait for Clkinext_period/2; end process; -- Stimulus process stim_proc: process begin -- hold reset state for 100 ns. Resetext <= '0'; wait for 100 ns; Resetext <= '1'; wait for 100 ns; Resetext <= '0'; wait for 100 ns; Resetext <= '0'; wait for 100 ns; Resetext <= '0'; wait for 100 ns; Resetext <= '0'; wait for 100 ns; Resetext <= '0'; wait for 100 ns; Resetext <= '0'; wait for 100 ns; Resetext <= '0'; wait for 100 ns; Resetext <= '0'; wait for 100 ns; Resetext <= '0'; wait for 100 ns; -- insert stimulus here wait; end process; END;
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.numeric_std.all; package plasoc_cpu_2_crossbar_wrap_pack is function clogb2(bit_depth : in integer ) return integer; component plasoc_cpu_2_crossbar_wrap is generic ( axi_address_width : integer := 32; axi_data_width : integer := 32; axi_slave_id_width : integer := 0; axi_master_amount : integer := 5; axi_slave_amount : integer := 1; axi_master_base_address : std_logic_vector := X"f0030000f0020000f0010000f000000000000000"; axi_master_high_address : std_logic_vector := X"f003fffff002fffff001fffff000ffffefffffff" ); port ( cpu_s_axi_awid : in std_logic_vector(axi_slave_id_width-1 downto 0); cpu_s_axi_awaddr : in std_logic_vector(axi_address_width-1 downto 0); cpu_s_axi_awlen : in std_logic_vector(7 downto 0); cpu_s_axi_awsize : in std_logic_vector(2 downto 0); cpu_s_axi_awburst : in std_logic_vector(1 downto 0); cpu_s_axi_awlock : in std_logic; cpu_s_axi_awcache : in std_logic_vector(3 downto 0); cpu_s_axi_awprot : in std_logic_vector(2 downto 0); cpu_s_axi_awqos : in std_logic_vector(3 downto 0); cpu_s_axi_awregion : in std_logic_vector(3 downto 0); cpu_s_axi_awvalid : in std_logic; cpu_s_axi_awready : out std_logic; cpu_s_axi_wdata : in std_logic_vector(axi_data_width-1 downto 0); cpu_s_axi_wstrb : in std_logic_vector(axi_data_width/8-1 downto 0); cpu_s_axi_wlast : in std_logic; cpu_s_axi_wvalid : in std_logic; cpu_s_axi_wready : out std_logic; cpu_s_axi_bid : out std_logic_vector(axi_slave_id_width-1 downto 0); cpu_s_axi_bresp : out std_logic_vector(1 downto 0); cpu_s_axi_bvalid : out std_logic; cpu_s_axi_bready : in std_logic; cpu_s_axi_arid : in std_logic_vector(axi_slave_id_width-1 downto 0); cpu_s_axi_araddr : in std_logic_vector(axi_address_width-1 downto 0); cpu_s_axi_arlen : in std_logic_vector(7 downto 0); cpu_s_axi_arsize : in std_logic_vector(2 downto 0); cpu_s_axi_arburst : in std_logic_vector(1 downto 0); cpu_s_axi_arlock : in std_logic; cpu_s_axi_arcache : in std_logic_vector(3 downto 0); cpu_s_axi_arprot : in std_logic_vector(2 downto 0); cpu_s_axi_arqos : in std_logic_vector(3 downto 0); cpu_s_axi_arregion : in std_logic_vector(3 downto 0); cpu_s_axi_arvalid : in std_logic; cpu_s_axi_arready : out std_logic; cpu_s_axi_rid : out std_logic_vector(axi_slave_id_width-1 downto 0); cpu_s_axi_rdata : out std_logic_vector(axi_data_width-1 downto 0); cpu_s_axi_rresp : out std_logic_vector(1 downto 0); cpu_s_axi_rlast : out std_logic; cpu_s_axi_rvalid : out std_logic; cpu_s_axi_rready : in std_logic; ip_m_axi_awid : out std_logic_vector((clogb2(axi_slave_amount)+axi_slave_id_width)-1 downto 0); ip_m_axi_awaddr : out std_logic_vector(axi_address_width-1 downto 0); ip_m_axi_awlen : out std_logic_vector(7 downto 0); ip_m_axi_awsize : out std_logic_vector(2 downto 0); ip_m_axi_awburst : out std_logic_vector(1 downto 0); ip_m_axi_awlock : out std_logic; ip_m_axi_awcache : out std_logic_vector(3 downto 0); ip_m_axi_awprot : out std_logic_vector(2 downto 0); ip_m_axi_awqos : out std_logic_vector(3 downto 0); ip_m_axi_awregion : out std_logic_vector(3 downto 0); ip_m_axi_awvalid : out std_logic; ip_m_axi_awready : in std_logic; ip_m_axi_wdata : out std_logic_vector(axi_data_width-1 downto 0); ip_m_axi_wstrb : out std_logic_vector(axi_data_width/8-1 downto 0); ip_m_axi_wlast : out std_logic; ip_m_axi_wvalid : out std_logic; ip_m_axi_wready : in std_logic; ip_m_axi_bid : in std_logic_vector((clogb2(axi_slave_amount)+axi_slave_id_width)-1 downto 0); ip_m_axi_bresp : in std_logic_vector(1 downto 0); ip_m_axi_bvalid : in std_logic; ip_m_axi_bready : out std_logic; ip_m_axi_arid : out std_logic_vector((clogb2(axi_slave_amount)+axi_slave_id_width)-1 downto 0); ip_m_axi_araddr : out std_logic_vector(axi_address_width-1 downto 0); ip_m_axi_arlen : out std_logic_vector(7 downto 0); ip_m_axi_arsize : out std_logic_vector(2 downto 0); ip_m_axi_arburst : out std_logic_vector(1 downto 0); ip_m_axi_arlock : out std_logic; ip_m_axi_arcache : out std_logic_vector(3 downto 0); ip_m_axi_arprot : out std_logic_vector(2 downto 0); ip_m_axi_arqos : out std_logic_vector(3 downto 0); ip_m_axi_arregion : out std_logic_vector(3 downto 0); ip_m_axi_arvalid : out std_logic; ip_m_axi_arready : in std_logic; ip_m_axi_rid : in std_logic_vector((clogb2(axi_slave_amount)+axi_slave_id_width)-1 downto 0); ip_m_axi_rdata : in std_logic_vector(axi_data_width-1 downto 0); ip_m_axi_rresp : in std_logic_vector(1 downto 0); ip_m_axi_rlast : in std_logic; ip_m_axi_rvalid : in std_logic; ip_m_axi_rready : out std_logic; cpuid_gpio_m_axi_awid : out std_logic_vector((clogb2(axi_slave_amount)+axi_slave_id_width)-1 downto 0); cpuid_gpio_m_axi_awaddr : out std_logic_vector(axi_address_width-1 downto 0); cpuid_gpio_m_axi_awlen : out std_logic_vector(7 downto 0); cpuid_gpio_m_axi_awsize : out std_logic_vector(2 downto 0); cpuid_gpio_m_axi_awburst : out std_logic_vector(1 downto 0); cpuid_gpio_m_axi_awlock : out std_logic; cpuid_gpio_m_axi_awcache : out std_logic_vector(3 downto 0); cpuid_gpio_m_axi_awprot : out std_logic_vector(2 downto 0); cpuid_gpio_m_axi_awqos : out std_logic_vector(3 downto 0); cpuid_gpio_m_axi_awregion : out std_logic_vector(3 downto 0); cpuid_gpio_m_axi_awvalid : out std_logic; cpuid_gpio_m_axi_awready : in std_logic; cpuid_gpio_m_axi_wdata : out std_logic_vector(axi_data_width-1 downto 0); cpuid_gpio_m_axi_wstrb : out std_logic_vector(axi_data_width/8-1 downto 0); cpuid_gpio_m_axi_wlast : out std_logic; cpuid_gpio_m_axi_wvalid : out std_logic; cpuid_gpio_m_axi_wready : in std_logic; cpuid_gpio_m_axi_bid : in std_logic_vector((clogb2(axi_slave_amount)+axi_slave_id_width)-1 downto 0); cpuid_gpio_m_axi_bresp : in std_logic_vector(1 downto 0); cpuid_gpio_m_axi_bvalid : in std_logic; cpuid_gpio_m_axi_bready : out std_logic; cpuid_gpio_m_axi_arid : out std_logic_vector((clogb2(axi_slave_amount)+axi_slave_id_width)-1 downto 0); cpuid_gpio_m_axi_araddr : out std_logic_vector(axi_address_width-1 downto 0); cpuid_gpio_m_axi_arlen : out std_logic_vector(7 downto 0); cpuid_gpio_m_axi_arsize : out std_logic_vector(2 downto 0); cpuid_gpio_m_axi_arburst : out std_logic_vector(1 downto 0); cpuid_gpio_m_axi_arlock : out std_logic; cpuid_gpio_m_axi_arcache : out std_logic_vector(3 downto 0); cpuid_gpio_m_axi_arprot : out std_logic_vector(2 downto 0); cpuid_gpio_m_axi_arqos : out std_logic_vector(3 downto 0); cpuid_gpio_m_axi_arregion : out std_logic_vector(3 downto 0); cpuid_gpio_m_axi_arvalid : out std_logic; cpuid_gpio_m_axi_arready : in std_logic; cpuid_gpio_m_axi_rid : in std_logic_vector((clogb2(axi_slave_amount)+axi_slave_id_width)-1 downto 0); cpuid_gpio_m_axi_rdata : in std_logic_vector(axi_data_width-1 downto 0); cpuid_gpio_m_axi_rresp : in std_logic_vector(1 downto 0); cpuid_gpio_m_axi_rlast : in std_logic; cpuid_gpio_m_axi_rvalid : in std_logic; cpuid_gpio_m_axi_rready : out std_logic; int_m_axi_awid : out std_logic_vector((clogb2(axi_slave_amount)+axi_slave_id_width)-1 downto 0); int_m_axi_awaddr : out std_logic_vector(axi_address_width-1 downto 0); int_m_axi_awlen : out std_logic_vector(7 downto 0); int_m_axi_awsize : out std_logic_vector(2 downto 0); int_m_axi_awburst : out std_logic_vector(1 downto 0); int_m_axi_awlock : out std_logic; int_m_axi_awcache : out std_logic_vector(3 downto 0); int_m_axi_awprot : out std_logic_vector(2 downto 0); int_m_axi_awqos : out std_logic_vector(3 downto 0); int_m_axi_awregion : out std_logic_vector(3 downto 0); int_m_axi_awvalid : out std_logic; int_m_axi_awready : in std_logic; int_m_axi_wdata : out std_logic_vector(axi_data_width-1 downto 0); int_m_axi_wstrb : out std_logic_vector(axi_data_width/8-1 downto 0); int_m_axi_wlast : out std_logic; int_m_axi_wvalid : out std_logic; int_m_axi_wready : in std_logic; int_m_axi_bid : in std_logic_vector((clogb2(axi_slave_amount)+axi_slave_id_width)-1 downto 0); int_m_axi_bresp : in std_logic_vector(1 downto 0); int_m_axi_bvalid : in std_logic; int_m_axi_bready : out std_logic; int_m_axi_arid : out std_logic_vector((clogb2(axi_slave_amount)+axi_slave_id_width)-1 downto 0); int_m_axi_araddr : out std_logic_vector(axi_address_width-1 downto 0); int_m_axi_arlen : out std_logic_vector(7 downto 0); int_m_axi_arsize : out std_logic_vector(2 downto 0); int_m_axi_arburst : out std_logic_vector(1 downto 0); int_m_axi_arlock : out std_logic; int_m_axi_arcache : out std_logic_vector(3 downto 0); int_m_axi_arprot : out std_logic_vector(2 downto 0); int_m_axi_arqos : out std_logic_vector(3 downto 0); int_m_axi_arregion : out std_logic_vector(3 downto 0); int_m_axi_arvalid : out std_logic; int_m_axi_arready : in std_logic; int_m_axi_rid : in std_logic_vector((clogb2(axi_slave_amount)+axi_slave_id_width)-1 downto 0); int_m_axi_rdata : in std_logic_vector(axi_data_width-1 downto 0); int_m_axi_rresp : in std_logic_vector(1 downto 0); int_m_axi_rlast : in std_logic; int_m_axi_rvalid : in std_logic; int_m_axi_rready : out std_logic; signal_m_axi_awid : out std_logic_vector((clogb2(axi_slave_amount)+axi_slave_id_width)-1 downto 0); signal_m_axi_awaddr : out std_logic_vector(axi_address_width-1 downto 0); signal_m_axi_awlen : out std_logic_vector(7 downto 0); signal_m_axi_awsize : out std_logic_vector(2 downto 0); signal_m_axi_awburst : out std_logic_vector(1 downto 0); signal_m_axi_awlock : out std_logic; signal_m_axi_awcache : out std_logic_vector(3 downto 0); signal_m_axi_awprot : out std_logic_vector(2 downto 0); signal_m_axi_awqos : out std_logic_vector(3 downto 0); signal_m_axi_awregion : out std_logic_vector(3 downto 0); signal_m_axi_awvalid : out std_logic; signal_m_axi_awready : in std_logic; signal_m_axi_wdata : out std_logic_vector(axi_data_width-1 downto 0); signal_m_axi_wstrb : out std_logic_vector(axi_data_width/8-1 downto 0); signal_m_axi_wlast : out std_logic; signal_m_axi_wvalid : out std_logic; signal_m_axi_wready : in std_logic; signal_m_axi_bid : in std_logic_vector((clogb2(axi_slave_amount)+axi_slave_id_width)-1 downto 0); signal_m_axi_bresp : in std_logic_vector(1 downto 0); signal_m_axi_bvalid : in std_logic; signal_m_axi_bready : out std_logic; signal_m_axi_arid : out std_logic_vector((clogb2(axi_slave_amount)+axi_slave_id_width)-1 downto 0); signal_m_axi_araddr : out std_logic_vector(axi_address_width-1 downto 0); signal_m_axi_arlen : out std_logic_vector(7 downto 0); signal_m_axi_arsize : out std_logic_vector(2 downto 0); signal_m_axi_arburst : out std_logic_vector(1 downto 0); signal_m_axi_arlock : out std_logic; signal_m_axi_arcache : out std_logic_vector(3 downto 0); signal_m_axi_arprot : out std_logic_vector(2 downto 0); signal_m_axi_arqos : out std_logic_vector(3 downto 0); signal_m_axi_arregion : out std_logic_vector(3 downto 0); signal_m_axi_arvalid : out std_logic; signal_m_axi_arready : in std_logic; signal_m_axi_rid : in std_logic_vector((clogb2(axi_slave_amount)+axi_slave_id_width)-1 downto 0); signal_m_axi_rdata : in std_logic_vector(axi_data_width-1 downto 0); signal_m_axi_rresp : in std_logic_vector(1 downto 0); signal_m_axi_rlast : in std_logic; signal_m_axi_rvalid : in std_logic; signal_m_axi_rready : out std_logic; timer_m_axi_awid : out std_logic_vector((clogb2(axi_slave_amount)+axi_slave_id_width)-1 downto 0); timer_m_axi_awaddr : out std_logic_vector(axi_address_width-1 downto 0); timer_m_axi_awlen : out std_logic_vector(7 downto 0); timer_m_axi_awsize : out std_logic_vector(2 downto 0); timer_m_axi_awburst : out std_logic_vector(1 downto 0); timer_m_axi_awlock : out std_logic; timer_m_axi_awcache : out std_logic_vector(3 downto 0); timer_m_axi_awprot : out std_logic_vector(2 downto 0); timer_m_axi_awqos : out std_logic_vector(3 downto 0); timer_m_axi_awregion : out std_logic_vector(3 downto 0); timer_m_axi_awvalid : out std_logic; timer_m_axi_awready : in std_logic; timer_m_axi_wdata : out std_logic_vector(axi_data_width-1 downto 0); timer_m_axi_wstrb : out std_logic_vector(axi_data_width/8-1 downto 0); timer_m_axi_wlast : out std_logic; timer_m_axi_wvalid : out std_logic; timer_m_axi_wready : in std_logic; timer_m_axi_bid : in std_logic_vector((clogb2(axi_slave_amount)+axi_slave_id_width)-1 downto 0); timer_m_axi_bresp : in std_logic_vector(1 downto 0); timer_m_axi_bvalid : in std_logic; timer_m_axi_bready : out std_logic; timer_m_axi_arid : out std_logic_vector((clogb2(axi_slave_amount)+axi_slave_id_width)-1 downto 0); timer_m_axi_araddr : out std_logic_vector(axi_address_width-1 downto 0); timer_m_axi_arlen : out std_logic_vector(7 downto 0); timer_m_axi_arsize : out std_logic_vector(2 downto 0); timer_m_axi_arburst : out std_logic_vector(1 downto 0); timer_m_axi_arlock : out std_logic; timer_m_axi_arcache : out std_logic_vector(3 downto 0); timer_m_axi_arprot : out std_logic_vector(2 downto 0); timer_m_axi_arqos : out std_logic_vector(3 downto 0); timer_m_axi_arregion : out std_logic_vector(3 downto 0); timer_m_axi_arvalid : out std_logic; timer_m_axi_arready : in std_logic; timer_m_axi_rid : in std_logic_vector((clogb2(axi_slave_amount)+axi_slave_id_width)-1 downto 0); timer_m_axi_rdata : in std_logic_vector(axi_data_width-1 downto 0); timer_m_axi_rresp : in std_logic_vector(1 downto 0); timer_m_axi_rlast : in std_logic; timer_m_axi_rvalid : in std_logic; timer_m_axi_rready : out std_logic; aclk : in std_logic; aresetn : in std_logic ); end component; end; package body plasoc_cpu_2_crossbar_wrap_pack is function flogb2(bit_depth : in natural ) return integer is variable result : integer := 0; variable bit_depth_buff : integer := bit_depth; begin while bit_depth_buff>1 loop bit_depth_buff := bit_depth_buff/2; result := result+1; end loop; return result; end function flogb2; function clogb2 (bit_depth : in natural ) return natural is variable result : integer := 0; begin result := flogb2(bit_depth); if (bit_depth > (2**result)) then return(result + 1); else return result; end if; end function clogb2; end;
entity FIFO is end entity; entity --Comment --Comment --Comment FIFO is end entity ;
entity FIFO is end entity; entity --Comment --Comment --Comment FIFO is end entity ;
-- NEED RESULT: ARCH00182.P1: Multi inertial transactions occurred on signal asg with slice name prefixed by a selected name on LHS passed -- NEED RESULT: ARCH00182.P2: Multi inertial transactions occurred on signal asg with slice name prefixed by a selected name on LHS passed -- NEED RESULT: ARCH00182.P3: Multi inertial transactions occurred on signal asg with slice name prefixed by a selected name on LHS passed -- NEED RESULT: ARCH00182.P4: Multi inertial transactions occurred on signal asg with slice name prefixed by a selected name on LHS passed -- NEED RESULT: ARCH00182.P5: Multi inertial transactions occurred on signal asg with slice name prefixed by a selected name on LHS passed -- NEED RESULT: ARCH00182.P6: Multi inertial transactions occurred on signal asg with slice name prefixed by a selected name on LHS passed -- NEED RESULT: ARCH00182: One inertial transaction occurred on signal asg with slice name prefixed by an selected name on LHS passed -- NEED RESULT: ARCH00182: One inertial transaction occurred on signal asg with slice name prefixed by an selected name on LHS passed -- NEED RESULT: ARCH00182: One inertial transaction occurred on signal asg with slice name prefixed by an selected name on LHS passed -- NEED RESULT: ARCH00182: One inertial transaction occurred on signal asg with slice name prefixed by an selected name on LHS passed -- NEED RESULT: ARCH00182: One inertial transaction occurred on signal asg with slice name prefixed by an selected name on LHS passed -- NEED RESULT: ARCH00182: One inertial transaction occurred on signal asg with slice name prefixed by an selected name on LHS passed -- NEED RESULT: P6: Inertial transactions entirely completed failed -- NEED RESULT: P5: Inertial transactions entirely completed failed -- NEED RESULT: P4: Inertial transactions entirely completed failed -- NEED RESULT: P3: Inertial transactions entirely completed failed -- NEED RESULT: P2: Inertial transactions entirely completed failed -- NEED RESULT: P1: Inertial transactions entirely completed failed ------------------------------------------------------------------------------- -- -- Copyright (c) 1989 by Intermetrics, Inc. -- All rights reserved. -- ------------------------------------------------------------------------------- -- -- TEST NAME: -- -- CT00182 -- -- AUTHOR: -- -- G. Tominovich -- -- TEST OBJECTIVES: -- -- 8.3 (1) -- 8.3 (2) -- 8.3 (4) -- 8.3 (5) -- 8.3.1 (4) -- -- DESIGN UNIT ORDERING: -- -- PKG00182 -- PKG00182/BODY -- ENT00182(ARCH00182) -- ENT00182_Test_Bench(ARCH00182_Test_Bench) -- -- REVISION HISTORY: -- -- 08-JUL-1987 - initial revision -- -- NOTES: -- -- self-checking -- automatically generated -- use WORK.STANDARD_TYPES.all ; package PKG00182 is type r_st_arr1_vector is record f1 : integer ; f2 : st_arr1_vector ; end record ; function c_r_st_arr1_vector_1 return r_st_arr1_vector ; -- (c_integer_1, c_st_arr1_vector_1) ; function c_r_st_arr1_vector_2 return r_st_arr1_vector ; -- (c_integer_2, c_st_arr1_vector_2) ; -- type r_st_arr2_vector is record f1 : integer ; f2 : st_arr2_vector ; end record ; function c_r_st_arr2_vector_1 return r_st_arr2_vector ; -- (c_integer_1, c_st_arr2_vector_1) ; function c_r_st_arr2_vector_2 return r_st_arr2_vector ; -- (c_integer_2, c_st_arr2_vector_2) ; -- type r_st_arr3_vector is record f1 : integer ; f2 : st_arr3_vector ; end record ; function c_r_st_arr3_vector_1 return r_st_arr3_vector ; -- (c_integer_1, c_st_arr3_vector_1) ; function c_r_st_arr3_vector_2 return r_st_arr3_vector ; -- (c_integer_2, c_st_arr3_vector_2) ; -- type r_st_rec1_vector is record f1 : integer ; f2 : st_rec1_vector ; end record ; function c_r_st_rec1_vector_1 return r_st_rec1_vector ; -- (c_integer_1, c_st_rec1_vector_1) ; function c_r_st_rec1_vector_2 return r_st_rec1_vector ; -- (c_integer_2, c_st_rec1_vector_2) ; -- type r_st_rec2_vector is record f1 : integer ; f2 : st_rec2_vector ; end record ; function c_r_st_rec2_vector_1 return r_st_rec2_vector ; -- (c_integer_1, c_st_rec2_vector_1) ; function c_r_st_rec2_vector_2 return r_st_rec2_vector ; -- (c_integer_2, c_st_rec2_vector_2) ; -- type r_st_rec3_vector is record f1 : integer ; f2 : st_rec3_vector ; end record ; function c_r_st_rec3_vector_1 return r_st_rec3_vector ; -- (c_integer_1, c_st_rec3_vector_1) ; function c_r_st_rec3_vector_2 return r_st_rec3_vector ; -- (c_integer_2, c_st_rec3_vector_2) ; -- -- end PKG00182 ; -- package body PKG00182 is function c_r_st_arr1_vector_1 return r_st_arr1_vector is begin return (c_integer_1, c_st_arr1_vector_1) ; end c_r_st_arr1_vector_1 ; -- function c_r_st_arr1_vector_2 return r_st_arr1_vector is begin return (c_integer_2, c_st_arr1_vector_2) ; end c_r_st_arr1_vector_2 ; -- -- function c_r_st_arr2_vector_1 return r_st_arr2_vector is begin return (c_integer_1, c_st_arr2_vector_1) ; end c_r_st_arr2_vector_1 ; -- function c_r_st_arr2_vector_2 return r_st_arr2_vector is begin return (c_integer_2, c_st_arr2_vector_2) ; end c_r_st_arr2_vector_2 ; -- -- function c_r_st_arr3_vector_1 return r_st_arr3_vector is begin return (c_integer_1, c_st_arr3_vector_1) ; end c_r_st_arr3_vector_1 ; -- function c_r_st_arr3_vector_2 return r_st_arr3_vector is begin return (c_integer_2, c_st_arr3_vector_2) ; end c_r_st_arr3_vector_2 ; -- -- function c_r_st_rec1_vector_1 return r_st_rec1_vector is begin return (c_integer_1, c_st_rec1_vector_1) ; end c_r_st_rec1_vector_1 ; -- function c_r_st_rec1_vector_2 return r_st_rec1_vector is begin return (c_integer_2, c_st_rec1_vector_2) ; end c_r_st_rec1_vector_2 ; -- -- function c_r_st_rec2_vector_1 return r_st_rec2_vector is begin return (c_integer_1, c_st_rec2_vector_1) ; end c_r_st_rec2_vector_1 ; -- function c_r_st_rec2_vector_2 return r_st_rec2_vector is begin return (c_integer_2, c_st_rec2_vector_2) ; end c_r_st_rec2_vector_2 ; -- -- function c_r_st_rec3_vector_1 return r_st_rec3_vector is begin return (c_integer_1, c_st_rec3_vector_1) ; end c_r_st_rec3_vector_1 ; -- function c_r_st_rec3_vector_2 return r_st_rec3_vector is begin return (c_integer_2, c_st_rec3_vector_2) ; end c_r_st_rec3_vector_2 ; -- -- -- end PKG00182 ; -- use WORK.STANDARD_TYPES.all ; use WORK.PKG00182.all ; entity ENT00182 is port ( s_r_st_arr1_vector : inout r_st_arr1_vector ; s_r_st_arr2_vector : inout r_st_arr2_vector ; s_r_st_arr3_vector : inout r_st_arr3_vector ; s_r_st_rec1_vector : inout r_st_rec1_vector ; s_r_st_rec2_vector : inout r_st_rec2_vector ; s_r_st_rec3_vector : inout r_st_rec3_vector ) ; subtype chk_sig_type is integer range -1 to 100 ; signal chk_r_st_arr1_vector : chk_sig_type := -1 ; signal chk_r_st_arr2_vector : chk_sig_type := -1 ; signal chk_r_st_arr3_vector : chk_sig_type := -1 ; signal chk_r_st_rec1_vector : chk_sig_type := -1 ; signal chk_r_st_rec2_vector : chk_sig_type := -1 ; signal chk_r_st_rec3_vector : chk_sig_type := -1 ; -- end ENT00182 ; -- architecture ARCH00182 of ENT00182 is begin P1 : process variable correct : boolean ; variable counter : integer := 0 ; variable savtime : time ; begin case counter is when 0 => s_r_st_arr1_vector.f2 (lowb+1 to highb-1) <= c_r_st_arr1_vector_2.f2 (lowb+1 to highb-1) after 10 ns, c_r_st_arr1_vector_1.f2 (lowb+1 to highb-1) after 20 ns ; -- when 1 => correct := s_r_st_arr1_vector.f2 (lowb+1 to highb-1) = c_r_st_arr1_vector_2.f2 (lowb+1 to highb-1) and (savtime + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_r_st_arr1_vector.f2 (lowb+1 to highb-1) = c_r_st_arr1_vector_1.f2 (lowb+1 to highb-1) and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00182.P1" , "Multi inertial transactions occurred on signal " & "asg with slice name prefixed by a selected name on LHS", correct ) ; s_r_st_arr1_vector.f2 (lowb+1 to highb-1) <= c_r_st_arr1_vector_2.f2 (lowb+1 to highb-1) after 10 ns , c_r_st_arr1_vector_1.f2 (lowb+1 to highb-1) after 20 ns , c_r_st_arr1_vector_2.f2 (lowb+1 to highb-1) after 30 ns , c_r_st_arr1_vector_1.f2 (lowb+1 to highb-1) after 40 ns ; -- when 3 => correct := s_r_st_arr1_vector.f2 (lowb+1 to highb-1) = c_r_st_arr1_vector_2.f2 (lowb+1 to highb-1) and (savtime + 10 ns) = Std.Standard.Now ; s_r_st_arr1_vector.f2 (lowb+1 to highb-1) <= c_r_st_arr1_vector_1.f2 (lowb+1 to highb-1) after 5 ns ; -- when 4 => correct := correct and s_r_st_arr1_vector.f2 (lowb+1 to highb-1) = c_r_st_arr1_vector_1.f2 (lowb+1 to highb-1) and (savtime + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00182" , "One inertial transaction occurred on signal " & "asg with slice name prefixed by an selected name on LHS", correct ) ; s_r_st_arr1_vector.f2 (lowb+1 to highb-1) <= transport c_r_st_arr1_vector_1.f2 (lowb+1 to highb-1) after 100 ns ; -- when 5 => correct := s_r_st_arr1_vector.f2 (lowb+1 to highb-1) = c_r_st_arr1_vector_1.f2 (lowb+1 to highb-1) and (savtime + 100 ns) = Std.Standard.Now ; test_report ( "ARCH00182" , "Old transactions were removed on signal " & "asg with slice name prefixed by an selected name on LHS", correct ) ; s_r_st_arr1_vector.f2 (lowb+1 to highb-1) <= c_r_st_arr1_vector_2.f2 (lowb+1 to highb-1) after 10 ns , c_r_st_arr1_vector_1.f2 (lowb+1 to highb-1) after 20 ns , c_r_st_arr1_vector_2.f2 (lowb+1 to highb-1) after 30 ns , c_r_st_arr1_vector_1.f2 (lowb+1 to highb-1) after 40 ns ; -- when 6 => correct := s_r_st_arr1_vector.f2 (lowb+1 to highb-1) = c_r_st_arr1_vector_2.f2 (lowb+1 to highb-1) and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00182" , "One inertial transaction occurred on signal " & "asg with slice name prefixed by an selected name on LHS", correct ) ; -- Last transaction above is marked s_r_st_arr1_vector.f2 (lowb+1 to highb-1) <= c_r_st_arr1_vector_1.f2 (lowb+1 to highb-1) after 40 ns ; -- when 7 => correct := s_r_st_arr1_vector.f2 (lowb+1 to highb-1) = c_r_st_arr1_vector_1.f2 (lowb+1 to highb-1) and (savtime + 30 ns) = Std.Standard.Now ; -- when 8 => correct := correct and s_r_st_arr1_vector.f2 (lowb+1 to highb-1) = c_r_st_arr1_vector_1.f2 (lowb+1 to highb-1) and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00182" , "Inertial semantics check on a signal " & "asg with slice name prefixed by an selected name on LHS", correct ) ; -- when others => test_report ( "ARCH00182" , "Inertial semantics check on a signal " & "asg with slice name prefixed by an selected name on LHS", false ) ; -- end case ; -- savtime := Std.Standard.Now ; chk_r_st_arr1_vector <= transport counter after (1 us - savtime) ; counter := counter + 1; -- wait until (not s_r_st_arr1_vector'Quiet) and (savtime /= Std.Standard.Now) ; -- end process P1 ; -- PGEN_CHKP_1 : process ( chk_r_st_arr1_vector ) begin if Std.Standard.Now > 0 ns then test_report ( "P1" , "Inertial transactions entirely completed", chk_r_st_arr1_vector = 8 ) ; end if ; end process PGEN_CHKP_1 ; -- -- P2 : process variable correct : boolean ; variable counter : integer := 0 ; variable savtime : time ; begin case counter is when 0 => s_r_st_arr2_vector.f2 (lowb+1 to highb-1) <= c_r_st_arr2_vector_2.f2 (lowb+1 to highb-1) after 10 ns, c_r_st_arr2_vector_1.f2 (lowb+1 to highb-1) after 20 ns ; -- when 1 => correct := s_r_st_arr2_vector.f2 (lowb+1 to highb-1) = c_r_st_arr2_vector_2.f2 (lowb+1 to highb-1) and (savtime + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_r_st_arr2_vector.f2 (lowb+1 to highb-1) = c_r_st_arr2_vector_1.f2 (lowb+1 to highb-1) and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00182.P2" , "Multi inertial transactions occurred on signal " & "asg with slice name prefixed by a selected name on LHS", correct ) ; s_r_st_arr2_vector.f2 (lowb+1 to highb-1) <= c_r_st_arr2_vector_2.f2 (lowb+1 to highb-1) after 10 ns , c_r_st_arr2_vector_1.f2 (lowb+1 to highb-1) after 20 ns , c_r_st_arr2_vector_2.f2 (lowb+1 to highb-1) after 30 ns , c_r_st_arr2_vector_1.f2 (lowb+1 to highb-1) after 40 ns ; -- when 3 => correct := s_r_st_arr2_vector.f2 (lowb+1 to highb-1) = c_r_st_arr2_vector_2.f2 (lowb+1 to highb-1) and (savtime + 10 ns) = Std.Standard.Now ; s_r_st_arr2_vector.f2 (lowb+1 to highb-1) <= c_r_st_arr2_vector_1.f2 (lowb+1 to highb-1) after 5 ns ; -- when 4 => correct := correct and s_r_st_arr2_vector.f2 (lowb+1 to highb-1) = c_r_st_arr2_vector_1.f2 (lowb+1 to highb-1) and (savtime + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00182" , "One inertial transaction occurred on signal " & "asg with slice name prefixed by an selected name on LHS", correct ) ; s_r_st_arr2_vector.f2 (lowb+1 to highb-1) <= transport c_r_st_arr2_vector_1.f2 (lowb+1 to highb-1) after 100 ns ; -- when 5 => correct := s_r_st_arr2_vector.f2 (lowb+1 to highb-1) = c_r_st_arr2_vector_1.f2 (lowb+1 to highb-1) and (savtime + 100 ns) = Std.Standard.Now ; test_report ( "ARCH00182" , "Old transactions were removed on signal " & "asg with slice name prefixed by an selected name on LHS", correct ) ; s_r_st_arr2_vector.f2 (lowb+1 to highb-1) <= c_r_st_arr2_vector_2.f2 (lowb+1 to highb-1) after 10 ns , c_r_st_arr2_vector_1.f2 (lowb+1 to highb-1) after 20 ns , c_r_st_arr2_vector_2.f2 (lowb+1 to highb-1) after 30 ns , c_r_st_arr2_vector_1.f2 (lowb+1 to highb-1) after 40 ns ; -- when 6 => correct := s_r_st_arr2_vector.f2 (lowb+1 to highb-1) = c_r_st_arr2_vector_2.f2 (lowb+1 to highb-1) and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00182" , "One inertial transaction occurred on signal " & "asg with slice name prefixed by an selected name on LHS", correct ) ; -- Last transaction above is marked s_r_st_arr2_vector.f2 (lowb+1 to highb-1) <= c_r_st_arr2_vector_1.f2 (lowb+1 to highb-1) after 40 ns ; -- when 7 => correct := s_r_st_arr2_vector.f2 (lowb+1 to highb-1) = c_r_st_arr2_vector_1.f2 (lowb+1 to highb-1) and (savtime + 30 ns) = Std.Standard.Now ; -- when 8 => correct := correct and s_r_st_arr2_vector.f2 (lowb+1 to highb-1) = c_r_st_arr2_vector_1.f2 (lowb+1 to highb-1) and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00182" , "Inertial semantics check on a signal " & "asg with slice name prefixed by an selected name on LHS", correct ) ; -- when others => test_report ( "ARCH00182" , "Inertial semantics check on a signal " & "asg with slice name prefixed by an selected name on LHS", false ) ; -- end case ; -- savtime := Std.Standard.Now ; chk_r_st_arr2_vector <= transport counter after (1 us - savtime) ; counter := counter + 1; -- wait until (not s_r_st_arr2_vector'Quiet) and (savtime /= Std.Standard.Now) ; -- end process P2 ; -- PGEN_CHKP_2 : process ( chk_r_st_arr2_vector ) begin if Std.Standard.Now > 0 ns then test_report ( "P2" , "Inertial transactions entirely completed", chk_r_st_arr2_vector = 8 ) ; end if ; end process PGEN_CHKP_2 ; -- -- P3 : process variable correct : boolean ; variable counter : integer := 0 ; variable savtime : time ; begin case counter is when 0 => s_r_st_arr3_vector.f2 (lowb+1 to highb-1) <= c_r_st_arr3_vector_2.f2 (lowb+1 to highb-1) after 10 ns, c_r_st_arr3_vector_1.f2 (lowb+1 to highb-1) after 20 ns ; -- when 1 => correct := s_r_st_arr3_vector.f2 (lowb+1 to highb-1) = c_r_st_arr3_vector_2.f2 (lowb+1 to highb-1) and (savtime + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_r_st_arr3_vector.f2 (lowb+1 to highb-1) = c_r_st_arr3_vector_1.f2 (lowb+1 to highb-1) and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00182.P3" , "Multi inertial transactions occurred on signal " & "asg with slice name prefixed by a selected name on LHS", correct ) ; s_r_st_arr3_vector.f2 (lowb+1 to highb-1) <= c_r_st_arr3_vector_2.f2 (lowb+1 to highb-1) after 10 ns , c_r_st_arr3_vector_1.f2 (lowb+1 to highb-1) after 20 ns , c_r_st_arr3_vector_2.f2 (lowb+1 to highb-1) after 30 ns , c_r_st_arr3_vector_1.f2 (lowb+1 to highb-1) after 40 ns ; -- when 3 => correct := s_r_st_arr3_vector.f2 (lowb+1 to highb-1) = c_r_st_arr3_vector_2.f2 (lowb+1 to highb-1) and (savtime + 10 ns) = Std.Standard.Now ; s_r_st_arr3_vector.f2 (lowb+1 to highb-1) <= c_r_st_arr3_vector_1.f2 (lowb+1 to highb-1) after 5 ns ; -- when 4 => correct := correct and s_r_st_arr3_vector.f2 (lowb+1 to highb-1) = c_r_st_arr3_vector_1.f2 (lowb+1 to highb-1) and (savtime + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00182" , "One inertial transaction occurred on signal " & "asg with slice name prefixed by an selected name on LHS", correct ) ; s_r_st_arr3_vector.f2 (lowb+1 to highb-1) <= transport c_r_st_arr3_vector_1.f2 (lowb+1 to highb-1) after 100 ns ; -- when 5 => correct := s_r_st_arr3_vector.f2 (lowb+1 to highb-1) = c_r_st_arr3_vector_1.f2 (lowb+1 to highb-1) and (savtime + 100 ns) = Std.Standard.Now ; test_report ( "ARCH00182" , "Old transactions were removed on signal " & "asg with slice name prefixed by an selected name on LHS", correct ) ; s_r_st_arr3_vector.f2 (lowb+1 to highb-1) <= c_r_st_arr3_vector_2.f2 (lowb+1 to highb-1) after 10 ns , c_r_st_arr3_vector_1.f2 (lowb+1 to highb-1) after 20 ns , c_r_st_arr3_vector_2.f2 (lowb+1 to highb-1) after 30 ns , c_r_st_arr3_vector_1.f2 (lowb+1 to highb-1) after 40 ns ; -- when 6 => correct := s_r_st_arr3_vector.f2 (lowb+1 to highb-1) = c_r_st_arr3_vector_2.f2 (lowb+1 to highb-1) and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00182" , "One inertial transaction occurred on signal " & "asg with slice name prefixed by an selected name on LHS", correct ) ; -- Last transaction above is marked s_r_st_arr3_vector.f2 (lowb+1 to highb-1) <= c_r_st_arr3_vector_1.f2 (lowb+1 to highb-1) after 40 ns ; -- when 7 => correct := s_r_st_arr3_vector.f2 (lowb+1 to highb-1) = c_r_st_arr3_vector_1.f2 (lowb+1 to highb-1) and (savtime + 30 ns) = Std.Standard.Now ; -- when 8 => correct := correct and s_r_st_arr3_vector.f2 (lowb+1 to highb-1) = c_r_st_arr3_vector_1.f2 (lowb+1 to highb-1) and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00182" , "Inertial semantics check on a signal " & "asg with slice name prefixed by an selected name on LHS", correct ) ; -- when others => test_report ( "ARCH00182" , "Inertial semantics check on a signal " & "asg with slice name prefixed by an selected name on LHS", false ) ; -- end case ; -- savtime := Std.Standard.Now ; chk_r_st_arr3_vector <= transport counter after (1 us - savtime) ; counter := counter + 1; -- wait until (not s_r_st_arr3_vector'Quiet) and (savtime /= Std.Standard.Now) ; -- end process P3 ; -- PGEN_CHKP_3 : process ( chk_r_st_arr3_vector ) begin if Std.Standard.Now > 0 ns then test_report ( "P3" , "Inertial transactions entirely completed", chk_r_st_arr3_vector = 8 ) ; end if ; end process PGEN_CHKP_3 ; -- -- P4 : process variable correct : boolean ; variable counter : integer := 0 ; variable savtime : time ; begin case counter is when 0 => s_r_st_rec1_vector.f2 (lowb+1 to highb-1) <= c_r_st_rec1_vector_2.f2 (lowb+1 to highb-1) after 10 ns, c_r_st_rec1_vector_1.f2 (lowb+1 to highb-1) after 20 ns ; -- when 1 => correct := s_r_st_rec1_vector.f2 (lowb+1 to highb-1) = c_r_st_rec1_vector_2.f2 (lowb+1 to highb-1) and (savtime + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_r_st_rec1_vector.f2 (lowb+1 to highb-1) = c_r_st_rec1_vector_1.f2 (lowb+1 to highb-1) and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00182.P4" , "Multi inertial transactions occurred on signal " & "asg with slice name prefixed by a selected name on LHS", correct ) ; s_r_st_rec1_vector.f2 (lowb+1 to highb-1) <= c_r_st_rec1_vector_2.f2 (lowb+1 to highb-1) after 10 ns , c_r_st_rec1_vector_1.f2 (lowb+1 to highb-1) after 20 ns , c_r_st_rec1_vector_2.f2 (lowb+1 to highb-1) after 30 ns , c_r_st_rec1_vector_1.f2 (lowb+1 to highb-1) after 40 ns ; -- when 3 => correct := s_r_st_rec1_vector.f2 (lowb+1 to highb-1) = c_r_st_rec1_vector_2.f2 (lowb+1 to highb-1) and (savtime + 10 ns) = Std.Standard.Now ; s_r_st_rec1_vector.f2 (lowb+1 to highb-1) <= c_r_st_rec1_vector_1.f2 (lowb+1 to highb-1) after 5 ns ; -- when 4 => correct := correct and s_r_st_rec1_vector.f2 (lowb+1 to highb-1) = c_r_st_rec1_vector_1.f2 (lowb+1 to highb-1) and (savtime + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00182" , "One inertial transaction occurred on signal " & "asg with slice name prefixed by an selected name on LHS", correct ) ; s_r_st_rec1_vector.f2 (lowb+1 to highb-1) <= transport c_r_st_rec1_vector_1.f2 (lowb+1 to highb-1) after 100 ns ; -- when 5 => correct := s_r_st_rec1_vector.f2 (lowb+1 to highb-1) = c_r_st_rec1_vector_1.f2 (lowb+1 to highb-1) and (savtime + 100 ns) = Std.Standard.Now ; test_report ( "ARCH00182" , "Old transactions were removed on signal " & "asg with slice name prefixed by an selected name on LHS", correct ) ; s_r_st_rec1_vector.f2 (lowb+1 to highb-1) <= c_r_st_rec1_vector_2.f2 (lowb+1 to highb-1) after 10 ns , c_r_st_rec1_vector_1.f2 (lowb+1 to highb-1) after 20 ns , c_r_st_rec1_vector_2.f2 (lowb+1 to highb-1) after 30 ns , c_r_st_rec1_vector_1.f2 (lowb+1 to highb-1) after 40 ns ; -- when 6 => correct := s_r_st_rec1_vector.f2 (lowb+1 to highb-1) = c_r_st_rec1_vector_2.f2 (lowb+1 to highb-1) and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00182" , "One inertial transaction occurred on signal " & "asg with slice name prefixed by an selected name on LHS", correct ) ; -- Last transaction above is marked s_r_st_rec1_vector.f2 (lowb+1 to highb-1) <= c_r_st_rec1_vector_1.f2 (lowb+1 to highb-1) after 40 ns ; -- when 7 => correct := s_r_st_rec1_vector.f2 (lowb+1 to highb-1) = c_r_st_rec1_vector_1.f2 (lowb+1 to highb-1) and (savtime + 30 ns) = Std.Standard.Now ; -- when 8 => correct := correct and s_r_st_rec1_vector.f2 (lowb+1 to highb-1) = c_r_st_rec1_vector_1.f2 (lowb+1 to highb-1) and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00182" , "Inertial semantics check on a signal " & "asg with slice name prefixed by an selected name on LHS", correct ) ; -- when others => test_report ( "ARCH00182" , "Inertial semantics check on a signal " & "asg with slice name prefixed by an selected name on LHS", false ) ; -- end case ; -- savtime := Std.Standard.Now ; chk_r_st_rec1_vector <= transport counter after (1 us - savtime) ; counter := counter + 1; -- wait until (not s_r_st_rec1_vector'Quiet) and (savtime /= Std.Standard.Now) ; -- end process P4 ; -- PGEN_CHKP_4 : process ( chk_r_st_rec1_vector ) begin if Std.Standard.Now > 0 ns then test_report ( "P4" , "Inertial transactions entirely completed", chk_r_st_rec1_vector = 8 ) ; end if ; end process PGEN_CHKP_4 ; -- -- P5 : process variable correct : boolean ; variable counter : integer := 0 ; variable savtime : time ; begin case counter is when 0 => s_r_st_rec2_vector.f2 (lowb+1 to highb-1) <= c_r_st_rec2_vector_2.f2 (lowb+1 to highb-1) after 10 ns, c_r_st_rec2_vector_1.f2 (lowb+1 to highb-1) after 20 ns ; -- when 1 => correct := s_r_st_rec2_vector.f2 (lowb+1 to highb-1) = c_r_st_rec2_vector_2.f2 (lowb+1 to highb-1) and (savtime + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_r_st_rec2_vector.f2 (lowb+1 to highb-1) = c_r_st_rec2_vector_1.f2 (lowb+1 to highb-1) and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00182.P5" , "Multi inertial transactions occurred on signal " & "asg with slice name prefixed by a selected name on LHS", correct ) ; s_r_st_rec2_vector.f2 (lowb+1 to highb-1) <= c_r_st_rec2_vector_2.f2 (lowb+1 to highb-1) after 10 ns , c_r_st_rec2_vector_1.f2 (lowb+1 to highb-1) after 20 ns , c_r_st_rec2_vector_2.f2 (lowb+1 to highb-1) after 30 ns , c_r_st_rec2_vector_1.f2 (lowb+1 to highb-1) after 40 ns ; -- when 3 => correct := s_r_st_rec2_vector.f2 (lowb+1 to highb-1) = c_r_st_rec2_vector_2.f2 (lowb+1 to highb-1) and (savtime + 10 ns) = Std.Standard.Now ; s_r_st_rec2_vector.f2 (lowb+1 to highb-1) <= c_r_st_rec2_vector_1.f2 (lowb+1 to highb-1) after 5 ns ; -- when 4 => correct := correct and s_r_st_rec2_vector.f2 (lowb+1 to highb-1) = c_r_st_rec2_vector_1.f2 (lowb+1 to highb-1) and (savtime + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00182" , "One inertial transaction occurred on signal " & "asg with slice name prefixed by an selected name on LHS", correct ) ; s_r_st_rec2_vector.f2 (lowb+1 to highb-1) <= transport c_r_st_rec2_vector_1.f2 (lowb+1 to highb-1) after 100 ns ; -- when 5 => correct := s_r_st_rec2_vector.f2 (lowb+1 to highb-1) = c_r_st_rec2_vector_1.f2 (lowb+1 to highb-1) and (savtime + 100 ns) = Std.Standard.Now ; test_report ( "ARCH00182" , "Old transactions were removed on signal " & "asg with slice name prefixed by an selected name on LHS", correct ) ; s_r_st_rec2_vector.f2 (lowb+1 to highb-1) <= c_r_st_rec2_vector_2.f2 (lowb+1 to highb-1) after 10 ns , c_r_st_rec2_vector_1.f2 (lowb+1 to highb-1) after 20 ns , c_r_st_rec2_vector_2.f2 (lowb+1 to highb-1) after 30 ns , c_r_st_rec2_vector_1.f2 (lowb+1 to highb-1) after 40 ns ; -- when 6 => correct := s_r_st_rec2_vector.f2 (lowb+1 to highb-1) = c_r_st_rec2_vector_2.f2 (lowb+1 to highb-1) and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00182" , "One inertial transaction occurred on signal " & "asg with slice name prefixed by an selected name on LHS", correct ) ; -- Last transaction above is marked s_r_st_rec2_vector.f2 (lowb+1 to highb-1) <= c_r_st_rec2_vector_1.f2 (lowb+1 to highb-1) after 40 ns ; -- when 7 => correct := s_r_st_rec2_vector.f2 (lowb+1 to highb-1) = c_r_st_rec2_vector_1.f2 (lowb+1 to highb-1) and (savtime + 30 ns) = Std.Standard.Now ; -- when 8 => correct := correct and s_r_st_rec2_vector.f2 (lowb+1 to highb-1) = c_r_st_rec2_vector_1.f2 (lowb+1 to highb-1) and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00182" , "Inertial semantics check on a signal " & "asg with slice name prefixed by an selected name on LHS", correct ) ; -- when others => test_report ( "ARCH00182" , "Inertial semantics check on a signal " & "asg with slice name prefixed by an selected name on LHS", false ) ; -- end case ; -- savtime := Std.Standard.Now ; chk_r_st_rec2_vector <= transport counter after (1 us - savtime) ; counter := counter + 1; -- wait until (not s_r_st_rec2_vector'Quiet) and (savtime /= Std.Standard.Now) ; -- end process P5 ; -- PGEN_CHKP_5 : process ( chk_r_st_rec2_vector ) begin if Std.Standard.Now > 0 ns then test_report ( "P5" , "Inertial transactions entirely completed", chk_r_st_rec2_vector = 8 ) ; end if ; end process PGEN_CHKP_5 ; -- -- P6 : process variable correct : boolean ; variable counter : integer := 0 ; variable savtime : time ; begin case counter is when 0 => s_r_st_rec3_vector.f2 (lowb+1 to highb-1) <= c_r_st_rec3_vector_2.f2 (lowb+1 to highb-1) after 10 ns, c_r_st_rec3_vector_1.f2 (lowb+1 to highb-1) after 20 ns ; -- when 1 => correct := s_r_st_rec3_vector.f2 (lowb+1 to highb-1) = c_r_st_rec3_vector_2.f2 (lowb+1 to highb-1) and (savtime + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_r_st_rec3_vector.f2 (lowb+1 to highb-1) = c_r_st_rec3_vector_1.f2 (lowb+1 to highb-1) and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00182.P6" , "Multi inertial transactions occurred on signal " & "asg with slice name prefixed by a selected name on LHS", correct ) ; s_r_st_rec3_vector.f2 (lowb+1 to highb-1) <= c_r_st_rec3_vector_2.f2 (lowb+1 to highb-1) after 10 ns , c_r_st_rec3_vector_1.f2 (lowb+1 to highb-1) after 20 ns , c_r_st_rec3_vector_2.f2 (lowb+1 to highb-1) after 30 ns , c_r_st_rec3_vector_1.f2 (lowb+1 to highb-1) after 40 ns ; -- when 3 => correct := s_r_st_rec3_vector.f2 (lowb+1 to highb-1) = c_r_st_rec3_vector_2.f2 (lowb+1 to highb-1) and (savtime + 10 ns) = Std.Standard.Now ; s_r_st_rec3_vector.f2 (lowb+1 to highb-1) <= c_r_st_rec3_vector_1.f2 (lowb+1 to highb-1) after 5 ns ; -- when 4 => correct := correct and s_r_st_rec3_vector.f2 (lowb+1 to highb-1) = c_r_st_rec3_vector_1.f2 (lowb+1 to highb-1) and (savtime + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00182" , "One inertial transaction occurred on signal " & "asg with slice name prefixed by an selected name on LHS", correct ) ; s_r_st_rec3_vector.f2 (lowb+1 to highb-1) <= transport c_r_st_rec3_vector_1.f2 (lowb+1 to highb-1) after 100 ns ; -- when 5 => correct := s_r_st_rec3_vector.f2 (lowb+1 to highb-1) = c_r_st_rec3_vector_1.f2 (lowb+1 to highb-1) and (savtime + 100 ns) = Std.Standard.Now ; test_report ( "ARCH00182" , "Old transactions were removed on signal " & "asg with slice name prefixed by an selected name on LHS", correct ) ; s_r_st_rec3_vector.f2 (lowb+1 to highb-1) <= c_r_st_rec3_vector_2.f2 (lowb+1 to highb-1) after 10 ns , c_r_st_rec3_vector_1.f2 (lowb+1 to highb-1) after 20 ns , c_r_st_rec3_vector_2.f2 (lowb+1 to highb-1) after 30 ns , c_r_st_rec3_vector_1.f2 (lowb+1 to highb-1) after 40 ns ; -- when 6 => correct := s_r_st_rec3_vector.f2 (lowb+1 to highb-1) = c_r_st_rec3_vector_2.f2 (lowb+1 to highb-1) and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00182" , "One inertial transaction occurred on signal " & "asg with slice name prefixed by an selected name on LHS", correct ) ; -- Last transaction above is marked s_r_st_rec3_vector.f2 (lowb+1 to highb-1) <= c_r_st_rec3_vector_1.f2 (lowb+1 to highb-1) after 40 ns ; -- when 7 => correct := s_r_st_rec3_vector.f2 (lowb+1 to highb-1) = c_r_st_rec3_vector_1.f2 (lowb+1 to highb-1) and (savtime + 30 ns) = Std.Standard.Now ; -- when 8 => correct := correct and s_r_st_rec3_vector.f2 (lowb+1 to highb-1) = c_r_st_rec3_vector_1.f2 (lowb+1 to highb-1) and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00182" , "Inertial semantics check on a signal " & "asg with slice name prefixed by an selected name on LHS", correct ) ; -- when others => test_report ( "ARCH00182" , "Inertial semantics check on a signal " & "asg with slice name prefixed by an selected name on LHS", false ) ; -- end case ; -- savtime := Std.Standard.Now ; chk_r_st_rec3_vector <= transport counter after (1 us - savtime) ; counter := counter + 1; -- wait until (not s_r_st_rec3_vector'Quiet) and (savtime /= Std.Standard.Now) ; -- end process P6 ; -- PGEN_CHKP_6 : process ( chk_r_st_rec3_vector ) begin if Std.Standard.Now > 0 ns then test_report ( "P6" , "Inertial transactions entirely completed", chk_r_st_rec3_vector = 8 ) ; end if ; end process PGEN_CHKP_6 ; -- -- -- end ARCH00182 ; -- use WORK.STANDARD_TYPES.all ; use WORK.PKG00182.all ; entity ENT00182_Test_Bench is signal s_r_st_arr1_vector : r_st_arr1_vector := c_r_st_arr1_vector_1 ; signal s_r_st_arr2_vector : r_st_arr2_vector := c_r_st_arr2_vector_1 ; signal s_r_st_arr3_vector : r_st_arr3_vector := c_r_st_arr3_vector_1 ; signal s_r_st_rec1_vector : r_st_rec1_vector := c_r_st_rec1_vector_1 ; signal s_r_st_rec2_vector : r_st_rec2_vector := c_r_st_rec2_vector_1 ; signal s_r_st_rec3_vector : r_st_rec3_vector := c_r_st_rec3_vector_1 ; -- end ENT00182_Test_Bench ; -- architecture ARCH00182_Test_Bench of ENT00182_Test_Bench is begin L1: block component UUT port ( s_r_st_arr1_vector : inout r_st_arr1_vector ; s_r_st_arr2_vector : inout r_st_arr2_vector ; s_r_st_arr3_vector : inout r_st_arr3_vector ; s_r_st_rec1_vector : inout r_st_rec1_vector ; s_r_st_rec2_vector : inout r_st_rec2_vector ; s_r_st_rec3_vector : inout r_st_rec3_vector ) ; end component ; -- for CIS1 : UUT use entity WORK.ENT00182 ( ARCH00182 ) ; begin CIS1 : UUT port map ( s_r_st_arr1_vector , s_r_st_arr2_vector , s_r_st_arr3_vector , s_r_st_rec1_vector , s_r_st_rec2_vector , s_r_st_rec3_vector ) ; end block L1 ; end ARCH00182_Test_Bench ;
-- $Id: tb_basys3_core.vhd 1181 2019-07-08 17:00:50Z mueller $ -- SPDX-License-Identifier: GPL-3.0-or-later -- Copyright 2015- by Walter F.J. Mueller <[email protected]> -- ------------------------------------------------------------------------------ -- Module Name: tb_basys3_core - sim -- Description: Test bench for basys3 - core device handling -- -- Dependencies: - -- -- To test: generic, any basys3 target -- -- Target Devices: generic -- Tool versions: viv 2014.4; ghdl 0.31 -- Revision History: -- Date Rev Version Comment -- 2015-02-18 648 1.0 Initial version (derived from tb_nexys4_core) ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_textio.all; use std.textio.all; use work.slvtypes.all; use work.simbus.all; entity tb_basys3_core is port ( I_SWI : out slv16; -- b3 switches I_BTN : out slv5 -- b3 buttons ); end tb_basys3_core; architecture sim of tb_basys3_core is signal R_SWI : slv16 := (others=>'0'); signal R_BTN : slv5 := (others=>'0'); constant sbaddr_swi: slv8 := slv(to_unsigned( 16,8)); constant sbaddr_btn: slv8 := slv(to_unsigned( 17,8)); begin proc_simbus: process (SB_VAL) begin if SB_VAL'event and to_x01(SB_VAL)='1' then if SB_ADDR = sbaddr_swi then R_SWI <= to_x01(SB_DATA(R_SWI'range)); end if; if SB_ADDR = sbaddr_btn then R_BTN <= to_x01(SB_DATA(R_BTN'range)); end if; end if; end process proc_simbus; I_SWI <= R_SWI; I_BTN <= R_BTN; end sim;
-------------------------------------------------------------------------------- -- -- FIFO Generator Core Demo Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: fg_tb_pkg.vhd -- -- Description: -- This is the demo testbench package file for fifo_generator_v8.4 core. -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE ieee.std_logic_arith.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; PACKAGE fg_tb_pkg IS FUNCTION divroundup ( data_value : INTEGER; divisor : INTEGER) RETURN INTEGER; ------------------------ FUNCTION if_then_else ( condition : BOOLEAN; true_case : INTEGER; false_case : INTEGER) RETURN INTEGER; ------------------------ FUNCTION if_then_else ( condition : BOOLEAN; true_case : STD_LOGIC; false_case : STD_LOGIC) RETURN STD_LOGIC; ------------------------ FUNCTION if_then_else ( condition : BOOLEAN; true_case : TIME; false_case : TIME) RETURN TIME; ------------------------ FUNCTION log2roundup ( data_value : INTEGER) RETURN INTEGER; ------------------------ FUNCTION hexstr_to_std_logic_vec( arg1 : string; size : integer ) RETURN std_logic_vector; ------------------------ COMPONENT fg_tb_rng IS GENERIC (WIDTH : integer := 8; SEED : integer := 3); PORT ( CLK : IN STD_LOGIC; RESET : IN STD_LOGIC; ENABLE : IN STD_LOGIC; RANDOM_NUM : OUT STD_LOGIC_VECTOR (WIDTH-1 DOWNTO 0) ); END COMPONENT; ------------------------ COMPONENT fg_tb_dgen IS GENERIC ( C_DIN_WIDTH : INTEGER := 32; C_DOUT_WIDTH : INTEGER := 32; C_CH_TYPE : INTEGER := 0; TB_SEED : INTEGER := 2 ); PORT ( RESET : IN STD_LOGIC; WR_CLK : IN STD_LOGIC; PRC_WR_EN : IN STD_LOGIC; FULL : IN STD_LOGIC; WR_EN : OUT STD_LOGIC; WR_DATA : OUT STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0) ); END COMPONENT; ------------------------ COMPONENT fg_tb_dverif IS GENERIC( C_DIN_WIDTH : INTEGER := 0; C_DOUT_WIDTH : INTEGER := 0; C_USE_EMBEDDED_REG : INTEGER := 0; C_CH_TYPE : INTEGER := 0; TB_SEED : INTEGER := 2 ); PORT( RESET : IN STD_LOGIC; RD_CLK : IN STD_LOGIC; PRC_RD_EN : IN STD_LOGIC; EMPTY : IN STD_LOGIC; DATA_OUT : IN STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0); RD_EN : OUT STD_LOGIC; DOUT_CHK : OUT STD_LOGIC ); END COMPONENT; ------------------------ COMPONENT fg_tb_pctrl IS GENERIC( AXI_CHANNEL : STRING := "NONE"; C_APPLICATION_TYPE : INTEGER := 0; C_DIN_WIDTH : INTEGER := 0; C_DOUT_WIDTH : INTEGER := 0; C_WR_PNTR_WIDTH : INTEGER := 0; C_RD_PNTR_WIDTH : INTEGER := 0; C_CH_TYPE : INTEGER := 0; FREEZEON_ERROR : INTEGER := 0; TB_STOP_CNT : INTEGER := 2; TB_SEED : INTEGER := 2 ); PORT( RESET_WR : IN STD_LOGIC; RESET_RD : IN STD_LOGIC; WR_CLK : IN STD_LOGIC; RD_CLK : IN STD_LOGIC; FULL : IN STD_LOGIC; EMPTY : IN STD_LOGIC; ALMOST_FULL : IN STD_LOGIC; ALMOST_EMPTY : IN STD_LOGIC; DATA_IN : IN STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0); DATA_OUT : IN STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0); DOUT_CHK : IN STD_LOGIC; PRC_WR_EN : OUT STD_LOGIC; PRC_RD_EN : OUT STD_LOGIC; RESET_EN : OUT STD_LOGIC; SIM_DONE : OUT STD_LOGIC; STATUS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ); END COMPONENT; ------------------------ COMPONENT fg_tb_synth IS GENERIC( FREEZEON_ERROR : INTEGER := 0; TB_STOP_CNT : INTEGER := 0; TB_SEED : INTEGER := 1 ); PORT( CLK : IN STD_LOGIC; RESET : IN STD_LOGIC; SIM_DONE : OUT STD_LOGIC; STATUS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ); END COMPONENT; ------------------------ COMPONENT tx_buf_top IS PORT ( CLK : IN std_logic; DATA_COUNT : OUT std_logic_vector(7-1 DOWNTO 0); ALMOST_FULL : OUT std_logic; ALMOST_EMPTY : OUT std_logic; SRST : IN std_logic; WR_EN : IN std_logic; RD_EN : IN std_logic; DIN : IN std_logic_vector(32-1 DOWNTO 0); DOUT : OUT std_logic_vector(32-1 DOWNTO 0); FULL : OUT std_logic; EMPTY : OUT std_logic); END COMPONENT; ------------------------ END fg_tb_pkg; PACKAGE BODY fg_tb_pkg IS FUNCTION divroundup ( data_value : INTEGER; divisor : INTEGER) RETURN INTEGER IS VARIABLE div : INTEGER; BEGIN div := data_value/divisor; IF ( (data_value MOD divisor) /= 0) THEN div := div+1; END IF; RETURN div; END divroundup; --------------------------------- FUNCTION if_then_else ( condition : BOOLEAN; true_case : INTEGER; false_case : INTEGER) RETURN INTEGER IS VARIABLE retval : INTEGER := 0; BEGIN IF condition=false THEN retval:=false_case; ELSE retval:=true_case; END IF; RETURN retval; END if_then_else; --------------------------------- FUNCTION if_then_else ( condition : BOOLEAN; true_case : STD_LOGIC; false_case : STD_LOGIC) RETURN STD_LOGIC IS VARIABLE retval : STD_LOGIC := '0'; BEGIN IF condition=false THEN retval:=false_case; ELSE retval:=true_case; END IF; RETURN retval; END if_then_else; --------------------------------- FUNCTION if_then_else ( condition : BOOLEAN; true_case : TIME; false_case : TIME) RETURN TIME IS VARIABLE retval : TIME := 0 ps; BEGIN IF condition=false THEN retval:=false_case; ELSE retval:=true_case; END IF; RETURN retval; END if_then_else; ------------------------------- FUNCTION log2roundup ( data_value : INTEGER) RETURN INTEGER IS VARIABLE width : INTEGER := 0; VARIABLE cnt : INTEGER := 1; BEGIN IF (data_value <= 1) THEN width := 1; ELSE WHILE (cnt < data_value) LOOP width := width + 1; cnt := cnt *2; END LOOP; END IF; RETURN width; END log2roundup; ------------------------------------------------------------------------------ -- hexstr_to_std_logic_vec -- This function converts a hex string to a std_logic_vector ------------------------------------------------------------------------------ FUNCTION hexstr_to_std_logic_vec( arg1 : string; size : integer ) RETURN std_logic_vector IS VARIABLE result : std_logic_vector(size-1 DOWNTO 0) := (OTHERS => '0'); VARIABLE bin : std_logic_vector(3 DOWNTO 0); VARIABLE index : integer := 0; BEGIN FOR i IN arg1'reverse_range LOOP CASE arg1(i) IS WHEN '0' => bin := (OTHERS => '0'); WHEN '1' => bin := (0 => '1', OTHERS => '0'); WHEN '2' => bin := (1 => '1', OTHERS => '0'); WHEN '3' => bin := (0 => '1', 1 => '1', OTHERS => '0'); WHEN '4' => bin := (2 => '1', OTHERS => '0'); WHEN '5' => bin := (0 => '1', 2 => '1', OTHERS => '0'); WHEN '6' => bin := (1 => '1', 2 => '1', OTHERS => '0'); WHEN '7' => bin := (3 => '0', OTHERS => '1'); WHEN '8' => bin := (3 => '1', OTHERS => '0'); WHEN '9' => bin := (0 => '1', 3 => '1', OTHERS => '0'); WHEN 'A' => bin := (0 => '0', 2 => '0', OTHERS => '1'); WHEN 'a' => bin := (0 => '0', 2 => '0', OTHERS => '1'); WHEN 'B' => bin := (2 => '0', OTHERS => '1'); WHEN 'b' => bin := (2 => '0', OTHERS => '1'); WHEN 'C' => bin := (0 => '0', 1 => '0', OTHERS => '1'); WHEN 'c' => bin := (0 => '0', 1 => '0', OTHERS => '1'); WHEN 'D' => bin := (1 => '0', OTHERS => '1'); WHEN 'd' => bin := (1 => '0', OTHERS => '1'); WHEN 'E' => bin := (0 => '0', OTHERS => '1'); WHEN 'e' => bin := (0 => '0', OTHERS => '1'); WHEN 'F' => bin := (OTHERS => '1'); WHEN 'f' => bin := (OTHERS => '1'); WHEN OTHERS => FOR j IN 0 TO 3 LOOP bin(j) := 'X'; END LOOP; END CASE; FOR j IN 0 TO 3 LOOP IF (index*4)+j < size THEN result((index*4)+j) := bin(j); END IF; END LOOP; index := index + 1; END LOOP; RETURN result; END hexstr_to_std_logic_vec; END fg_tb_pkg;
/*************************************************************************************************** / / Author: Antonio Pastor González / ¯¯¯¯¯¯ / / Date: / ¯¯¯¯ / / Version: / ¯¯¯¯¯¯¯ / / Notes: / ¯¯¯¯¯ / This design makes use of some features from VHDL-2008, all of which have been implemented by / Altera and Xilinx in their software. / A 3 space tab is used throughout the document / / / Description: / ¯¯¯¯¯¯¯¯¯¯¯ / / **************************************************************************************************/ library ieee; use ieee.std_logic_1164.all; use ieee.math_real.all; use ieee.numeric_std.all; library work; use work.common_pkg.all; use work.common_data_types_pkg.all; use work.counter_pkg.all; use work.permutation_pkg.all; /*================================================================================================*/ /*================================================================================================*/ /*================================================================================================*/ entity perm_sp_core is generic( dimensions : positive; p_dimensions : positive; serial_dim : natural; parallel_dim : natural; left_ps_latency : natural; right_ps_latency : natural; input_high : natural; input_low : natural ); port( clk : in std_ulogic; start : in std_ulogic; input : in sulv_v; finish : out std_ulogic; output : out sulv_v(input_high downto input_low) ); end entity; /*================================================================================================*/ /*================================================================================================*/ /*================================================================================================*/ architecture perm_sp_core_1 of perm_sp_core is --parallel dimensions constant P : natural := p_dimensions; --serial dimensions constant S : natural := dimensions - P; constant LATENCY : positive := integer((2.0**serial_dim)/(2.0**P)); --latencies after removing delays whenever there are 2 consecutive sp permutations constant LATENCY_0 : natural := LATENCY - minimum(right_ps_latency, LATENCY); constant LATENCY_1 : natural := LATENCY - minimum(left_ps_latency, LATENCY); constant common_LATENCY : natural := LATENCY_0; signal count_is_not_zero : std_ulogic; signal counter_out : std_ulogic; signal count : std_ulogic_vector(counter_CW(true, --UNSIGNED_2COMP_opt, 0, --COUNTER_WIDTH_dep, true, --TARGET_MODE, (2 ** S)- 1, --TARGET_dep, true, --TARGET_WITH_COUNT_opt = t_true, false, --USE_SET, 1) - 1 --SET_TO_dep) downto 0); --only the serial indexes (N downto P) mapped to downto 0 signal control : std_ulogic; signal start_delayed : std_ulogic_vector(0 to common_LATENCY); /*================================================================================================*/ /*================================================================================================*/ begin count_is_not_zero <= '1' when to_integer(unsigned(count)) /= 0 else '0'; counter: entity work.counter generic map( UNSIGNED_2COMP_opt => true, OVERFLOW_BEHAVIOR_opt => t_wrap, --COUNT_MODE_opt => t_up, --COUNTER_WIDTH_dep => , TARGET_MODE => true, TARGET_dep => (2 ** S)- 1, TARGET_WITH_COUNT_opt => t_true, TARGET_BLOCKING_opt => t_false, USE_SET => false, --SET_TO_dep => , USE_RESET => true, --SET_RESET_PRIORITY_opt => , USE_LOAD => false ) port map( clk => clk, enable => count_is_not_zero or start_delayed(0), --set => , reset => counter_out, --load => , --count_mode_signal => , --value_to_load => , count => count, count_is_TARGET(1) => counter_out ); control <= count(serial_dim - P); generate_serial_parallel_permutation: for i in 1 to 2**(P-1) generate constant index0 : natural := calculate_indexes(integer(i-1), P, parallel_dim, 0); constant index1 : natural := calculate_indexes(integer(i-1), P, parallel_dim, 1); signal in0, in1 : std_ulogic_vector(input(input'left)'range); signal inter0 : sulv_v(0 to LATENCY_0-1)(input(input'left)'range); signal inter1 : sulv_v(0 to LATENCY_1-1)(input(input'left)'range); begin in0 <= input(index0); in1 <= input(index1); more_than_one_register_in_0: if LATENCY_0 > 0 generate begin process (clk) is begin if rising_edge(clk) then if LATENCY_1 > 0 then inter0(0) <= in0 when control='0' else inter1(LATENCY_1 - 1); inter1(0) <= in1; if LATENCY_1 > 1 then inter1(1 to LATENCY_1 - 1) <= inter1(0 to LATENCY_1 - 2); end if; end if; if LATENCY_0 > 1 then inter0(1 to LATENCY_0 - 1) <= inter0(0 to LATENCY_0 - 2); end if; end if; end process; output(index0) <= inter0(LATENCY_0 - 1); latency_1_is_not_zero: if LATENCY_1 > 0 generate begin output(index1) <= inter1(LATENCY_1 - 1) when control = '0' else in0; end; else generate begin output(index1) <= in1 when control='0' else in0; end; end generate; end; else generate begin more_than_one_register_in_1: if LATENCY_1 > 0 generate begin process (clk) is begin if rising_edge(clk) then inter1(0) <= in1; inter1(1 to LATENCY_1 - 1) <= inter1(0 to LATENCY_1 - 2); end if; end process; output(index1) <= inter1(LATENCY_1 - 1) when control = '0' else in0; output(index0) <= in0 when control='0' else inter1(LATENCY_1 - 1); end; else generate begin output(index1) <= in1 when control='0' else in0; output(index0) <= in0 when control='0' else in1; end; end generate; end; end generate; end; end generate; finish <= start_delayed(common_LATENCY); start_delayed(0) <= start; process (clk) is begin if rising_edge(clk) then if common_LATENCY > 0 then start_delayed(1 to common_LATENCY) <= start_delayed(0 to common_LATENCY-1); end if; end if; end process; end architecture;
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 02:38:29 12/18/2014 -- Design Name: -- Module Name: Trigger_generator - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity Trigger_generator is Port ( clk : in STD_LOGIC; Trigger : out STD_LOGIC); end Trigger_generator; architecture Behavioral of Trigger_generator is component Counter is generic(n: POSITIVE := 10); Port(clk: in STD_LOGIC; enable : in STD_LOGIC; reset : in STD_LOGIC; Counter_output : out STD_LOGIC_VECTOR(n-1 downto 0)); end component; signal resetCounter : STD_LOGIC; signal outputCounter : STD_LOGIC_VECTOR(23 downto 0); begin trigg: Counter generic map (24) port map(clk,'1',resetCounter,outputCounter); process(clk) constant ms250 : STD_LOGIC_VECTOR(23 downto 0) := "101111101011110000100000"; constant ms250And100us: STD_LOGIC_VECTOR(23 downto 0):="101111101100111110101000"; begin if(outputCounter > ms250 and outputCounter < ms250And100us) then trigger <= '1'; else trigger <='0'; end if; if(outputCounter = ms250And100us or outputCounter = "XXXXXXXXXXXXXXXXXXXXXXXX") then resetCounter <= '0'; else resetCounter <='1'; end if; end process; end Behavioral;
-- NEED RESULT: ARCH00550: Constant declarations - composite globally static subtypes passed ------------------------------------------------------------------------------- -- -- Copyright (c) 1989 by Intermetrics, Inc. -- All rights reserved. -- ------------------------------------------------------------------------------- -- -- TEST NAME: -- -- CT00550 -- -- AUTHOR: -- -- A. Wilmot -- -- TEST OBJECTIVES: -- -- 4.3.1.1 (6) -- -- DESIGN UNIT ORDERING: -- -- GENERIC_STANDARD_TYPES(ARCH00550) -- ENT00550_Test_Bench(ARCH00550_Test_Bench) -- -- REVISION HISTORY: -- -- 19-AUG-1987 - initial revision -- -- NOTES: -- -- self-checking -- use WORK.STANDARD_TYPES.all ; architecture ARCH00550 of GENERIC_STANDARD_TYPES is begin process variable correct : boolean := true ; constant co_bit_vector_1 : bit_vector := c_st_bit_vector_1 ; constant co_string_1 : string := c_st_string_1 ; constant co_t_rec1_1 : t_rec1 := c_st_rec1_1 ; constant co_st_rec1_1 : st_rec1 := c_st_rec1_1 ; constant co_t_rec2_1 : t_rec2 := c_st_rec2_1 ; constant co_st_rec2_1 : st_rec2 := c_st_rec2_1 ; constant co_t_rec3_1 : t_rec3 := c_st_rec3_1 ; constant co_st_rec3_1 : st_rec3 := c_st_rec3_1 ; constant co_t_arr1_1 : t_arr1 := c_st_arr1_1 ; constant co_st_arr1_1 : st_arr1 := c_st_arr1_1 ; constant co_t_arr2_1 : t_arr2 := c_st_arr2_1 ; constant co_st_arr2_1 : st_arr2 := c_st_arr2_1 ; constant co_t_arr3_1 : t_arr3 := c_st_arr3_1 ; constant co_st_arr3_1 : st_arr3 := c_st_arr3_1 ; begin correct := correct and co_bit_vector_1 = c_st_bit_vector_1 ; correct := correct and co_string_1 = c_st_string_1 ; correct := correct and co_t_rec1_1 = c_t_rec1_1 ; correct := correct and co_st_rec1_1 = c_st_rec1_1 ; correct := correct and co_t_rec2_1 = c_t_rec2_1 ; correct := correct and co_st_rec2_1 = c_st_rec2_1 ; correct := correct and co_t_rec3_1 = c_t_rec3_1 ; correct := correct and co_st_rec3_1 = c_st_rec3_1 ; correct := correct and co_t_arr1_1 = c_t_arr1_1 ; correct := correct and co_st_arr1_1 = c_st_arr1_1 ; correct := correct and co_t_arr2_1 = c_t_arr2_1 ; correct := correct and co_st_arr2_1 = c_st_arr2_1 ; correct := correct and co_t_arr3_1 = c_t_arr3_1 ; correct := correct and co_st_arr3_1 = c_st_arr3_1 ; test_report ( "ARCH00550" , "Constant declarations - composite globally static subtypes" , correct) ; wait ; end process ; end ARCH00550 ; -- entity ENT00550_Test_Bench is end ENT00550_Test_Bench ; -- architecture ARCH00550_Test_Bench of ENT00550_Test_Bench is begin L1: block component UUT end component ; for CIS1 : UUT use entity WORK.GENERIC_STANDARD_TYPES ( ARCH00550 ) ; begin CIS1 : UUT ; end block L1 ; end ARCH00550_Test_Bench ;
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016 -- Date : Sun Jun 04 17:33:00 2017 -- Host : GILAMONSTER running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode funcsim -rename_top system_buffer_register_0_0 -prefix -- system_buffer_register_0_0_ system_buffer_register_0_0_sim_netlist.vhdl -- Design : system_buffer_register_0_0 -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7z020clg484-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_buffer_register_0_0_buffer_register is port ( val_out : out STD_LOGIC_VECTOR ( 31 downto 0 ); val_in : in STD_LOGIC_VECTOR ( 31 downto 0 ); clk : in STD_LOGIC ); end system_buffer_register_0_0_buffer_register; architecture STRUCTURE of system_buffer_register_0_0_buffer_register is begin \val_out_reg[0]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => val_in(0), Q => val_out(0), R => '0' ); \val_out_reg[10]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => val_in(10), Q => val_out(10), R => '0' ); \val_out_reg[11]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => val_in(11), Q => val_out(11), R => '0' ); \val_out_reg[12]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => val_in(12), Q => val_out(12), R => '0' ); \val_out_reg[13]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => val_in(13), Q => val_out(13), R => '0' ); \val_out_reg[14]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => val_in(14), Q => val_out(14), R => '0' ); \val_out_reg[15]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => val_in(15), Q => val_out(15), R => '0' ); \val_out_reg[16]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => val_in(16), Q => val_out(16), R => '0' ); \val_out_reg[17]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => val_in(17), Q => val_out(17), R => '0' ); \val_out_reg[18]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => val_in(18), Q => val_out(18), R => '0' ); \val_out_reg[19]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => val_in(19), Q => val_out(19), R => '0' ); \val_out_reg[1]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => val_in(1), Q => val_out(1), R => '0' ); \val_out_reg[20]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => val_in(20), Q => val_out(20), R => '0' ); \val_out_reg[21]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => val_in(21), Q => val_out(21), R => '0' ); \val_out_reg[22]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => val_in(22), Q => val_out(22), R => '0' ); \val_out_reg[23]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => val_in(23), Q => val_out(23), R => '0' ); \val_out_reg[24]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => val_in(24), Q => val_out(24), R => '0' ); \val_out_reg[25]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => val_in(25), Q => val_out(25), R => '0' ); \val_out_reg[26]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => val_in(26), Q => val_out(26), R => '0' ); \val_out_reg[27]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => val_in(27), Q => val_out(27), R => '0' ); \val_out_reg[28]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => val_in(28), Q => val_out(28), R => '0' ); \val_out_reg[29]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => val_in(29), Q => val_out(29), R => '0' ); \val_out_reg[2]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => val_in(2), Q => val_out(2), R => '0' ); \val_out_reg[30]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => val_in(30), Q => val_out(30), R => '0' ); \val_out_reg[31]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => val_in(31), Q => val_out(31), R => '0' ); \val_out_reg[3]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => val_in(3), Q => val_out(3), R => '0' ); \val_out_reg[4]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => val_in(4), Q => val_out(4), R => '0' ); \val_out_reg[5]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => val_in(5), Q => val_out(5), R => '0' ); \val_out_reg[6]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => val_in(6), Q => val_out(6), R => '0' ); \val_out_reg[7]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => val_in(7), Q => val_out(7), R => '0' ); \val_out_reg[8]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => val_in(8), Q => val_out(8), R => '0' ); \val_out_reg[9]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => val_in(9), Q => val_out(9), R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_buffer_register_0_0 is port ( clk : in STD_LOGIC; val_in : in STD_LOGIC_VECTOR ( 31 downto 0 ); val_out : out STD_LOGIC_VECTOR ( 31 downto 0 ) ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of system_buffer_register_0_0 : entity is true; attribute CHECK_LICENSE_TYPE : string; attribute CHECK_LICENSE_TYPE of system_buffer_register_0_0 : entity is "system_buffer_register_0_0,buffer_register,{}"; attribute downgradeipidentifiedwarnings : string; attribute downgradeipidentifiedwarnings of system_buffer_register_0_0 : entity is "yes"; attribute x_core_info : string; attribute x_core_info of system_buffer_register_0_0 : entity is "buffer_register,Vivado 2016.4"; end system_buffer_register_0_0; architecture STRUCTURE of system_buffer_register_0_0 is begin U0: entity work.system_buffer_register_0_0_buffer_register port map ( clk => clk, val_in(31 downto 0) => val_in(31 downto 0), val_out(31 downto 0) => val_out(31 downto 0) ); end STRUCTURE;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity ExtADCSimple_tb is end ExtADCSimple_tb; architecture behavior of ExtADCSimple_tb is component ExtADCSimple port ( Reset_n_i : in std_logic; Clk_i : in std_logic; Enable_i : in std_logic; CpuIntr_o : out std_logic; SensorPower_o : out std_logic; SensorStart_o : out std_logic; SensorReady_i : in std_logic; AdcStart_o : out std_logic; AdcDone_i : in std_logic; AdcValue_i : in std_logic_vector(15 downto 0); PeriodCounterPreset_i : in std_logic_vector(15 downto 0); SensorValue_o : out std_logic_vector(15 downto 0) ); end component; -- Reset signal Reset_n_i : std_logic := '0'; -- Clock signal Clk_i : std_logic := '1'; signal Enable_i : std_logic; signal CpuIntr_o : std_logic; signal SensorPower_o : std_logic; signal SensorStart_o : std_logic; signal SensorReady_i : std_logic; signal AdcStart_o : std_logic; signal AdcDone_i : std_logic; constant AdcValueWidth : integer := 16; signal AdcValue_i : std_logic_vector(AdcValueWidth-1 downto 0); signal PeriodCounterPreset_i : std_logic_vector(15 downto 0); signal SensorValue_o : std_logic_vector(15 downto 0); constant ClkPeriode : time := 100 ns; begin DUT: ExtADCSimple port map ( Reset_n_i => Reset_n_i, Clk_i => Clk_i, Enable_i => Enable_i, CpuIntr_o => CpuIntr_o, SensorPower_o => SensorPower_o, SensorStart_o => SensorStart_o, SensorReady_i => SensorReady_i, AdcStart_o => AdcStart_o, AdcDone_i => AdcDone_i, AdcValue_i => AdcValue_i, PeriodCounterPreset_i => PeriodCounterPreset_i, SensorValue_o => SensorValue_o ); -- Generate clock signal Clk_i <= not Clk_i after ClkPeriode*0.5; StimulusProc: process begin Enable_i <= '0'; SensorReady_i <= '0'; AdcDone_i <= '0'; AdcValue_i <= (others => '0'); PeriodCounterPreset_i <= "0000000000001010"; -- Check constant values of dynamic signals coming out of the application modules wait for 0.1*ClkPeriode; -- none to check wait for 2.2*ClkPeriode; -- deassert Reset Reset_n_i <= '1'; -- three cycles with disabled SensorFSM wait for 3*ClkPeriode; -- enable SensorFSM report "Enable, first cycle at value 0" severity note; Enable_i <= '1'; wait for 9*ClkPeriode; assert SensorPower_o = '0' report "SensorPower_o should be '0'" severity error; assert SensorStart_o = '0' report "SensorStart_o should be '0'" severity error; wait for 1*ClkPeriode; assert SensorPower_o = '1' report "SensorPower_o should be '1'" severity error; assert SensorStart_o = '0' report "SensorStart_o should be '0'" severity error; wait for 1*ClkPeriode; assert SensorPower_o = '1' report "SensorPower_o should still be '1'" severity error; assert SensorStart_o = '1' report "SensorStart_o should be '1'" severity error; wait for 35*ClkPeriode; assert SensorPower_o = '1' report "SensorPower_o should still be '1'" severity error; assert SensorStart_o = '1' report "SensorStart_o should still be '1'" severity error; assert AdcStart_o = '0' report "AdcStart_o should still be '0'" severity error; SensorReady_i <= '1'; wait for 0.1*ClkPeriode; assert AdcStart_o = '1' report "AdcStart_o should be '1'" severity error; wait for 0.9*ClkPeriode; assert SensorPower_o = '1' report "SensorPower_o should still be '1'" severity error; assert SensorStart_o = '1' report "SensorStart_o should still be '1'" severity error; assert AdcStart_o = '1' report "AdcStart_o should still be '1'" severity error; wait for 35*ClkPeriode; assert SensorPower_o = '1' report "SensorPower_o should still be '1'" severity error; assert SensorStart_o = '1' report "SensorStart_o should still be '1'" severity error; assert AdcStart_o = '1' report "AdcStart_o should still be '1'" severity error; AdcDone_i <= '1'; wait for 0.1*ClkPeriode; assert SensorPower_o = '1' report "SensorPower_o should still be '1'" severity error; assert SensorStart_o = '1' report "SensorStart_o should still be '1'" severity error; assert AdcStart_o = '1' report "AdcStart_o should still be '1'" severity error; assert CpuIntr_o = '1' report "CpuIntr should be '1'" severity error; assert SensorValue_o = std_logic_vector(to_unsigned(0,16)) report "SensorValue_o should be 0" severity error; wait for 0.9*ClkPeriode; assert SensorValue_o = std_logic_vector(to_unsigned(0,16)) report "SensorValue_o should be 0" severity error; SensorReady_i <= '0'; AdcDone_i <= '0'; wait for 1*ClkPeriode; assert CpuIntr_o = '0' report "CpuIntr should be back to '0'" severity error; assert SensorPower_o = '0' report "SensorPower_o should be '0'" severity error; assert SensorStart_o = '0' report "SensorStart_o should be '0'" severity error; assert AdcStart_o = '0' report "AdcStart_o should be '0'" severity error; -- new sensor value: 38 report "2nd cycle, new sensor value: 38" severity note; wait for 2*ClkPeriode; AdcValue_i <= std_logic_vector(to_unsigned(38,AdcValueWidth)); wait for 6*ClkPeriode; assert SensorPower_o = '0' report "SensorPower_o should be '0'" severity error; assert SensorStart_o = '0' report "SensorStart_o should be '0'" severity error; wait for 1*ClkPeriode; assert SensorPower_o = '1' report "SensorPower_o should be '1'" severity error; assert SensorStart_o = '0' report "SensorStart_o should be '0'" severity error; wait for 1*ClkPeriode; assert SensorPower_o = '1' report "SensorPower_o should still be '1'" severity error; assert SensorStart_o = '1' report "SensorStart_o should be '1'" severity error; wait for 3*ClkPeriode; assert SensorPower_o = '1' report "SensorPower_o should still be '1'" severity error; assert SensorStart_o = '1' report "SensorStart_o should still be '1'" severity error; assert AdcStart_o = '0' report "AdcStart_o should still be '0'" severity error; SensorReady_i <= '1'; wait for 3*ClkPeriode; assert SensorPower_o = '1' report "SensorPower_o should still be '1'" severity error; assert SensorStart_o = '1' report "SensorStart_o should still be '1'" severity error; assert AdcStart_o = '1' report "AdcStart_o should still be '1'" severity error; AdcDone_i <= '1'; wait for 0.1*ClkPeriode; assert SensorPower_o = '1' report "SensorPower_o should still be '1'" severity error; assert SensorStart_o = '1' report "SensorStart_o should still be '1'" severity error; assert AdcStart_o = '1' report "AdcStart_o should still be '1'" severity error; assert CpuIntr_o = '1' report "CpuIntr should be '1'" severity error; assert SensorValue_o = std_logic_vector(to_unsigned(0,16)) report "SensorValue_o should be 0" severity error; wait for 0.9*ClkPeriode; assert SensorValue_o = std_logic_vector(to_unsigned(38,16)) report "SensorValue_o should be 38" severity error; SensorReady_i <= '0'; AdcDone_i <= '0'; wait for 1*ClkPeriode; assert CpuIntr_o = '0' report "CpuIntr should be back to '0'" severity error; assert SensorPower_o = '0' report "SensorPower_o should be '0'" severity error; assert SensorStart_o = '0' report "SensorStart_o should be '0'" severity error; assert AdcStart_o = '0' report "AdcStart_o should be '0'" severity error; -- new sensor value: 30 report "3rd cycle, new sensor value: 30" severity note; wait for 2*ClkPeriode; AdcValue_i <= std_logic_vector(to_unsigned(30,AdcValueWidth)); wait for 6*ClkPeriode; assert SensorPower_o = '0' report "SensorPower_o should be '0'" severity error; assert SensorStart_o = '0' report "SensorStart_o should be '0'" severity error; wait for 1*ClkPeriode; assert SensorPower_o = '1' report "SensorPower_o should be '1'" severity error; assert SensorStart_o = '0' report "SensorStart_o should be '0'" severity error; wait for 1*ClkPeriode; assert SensorPower_o = '1' report "SensorPower_o should still be '1'" severity error; assert SensorStart_o = '1' report "SensorStart_o should be '1'" severity error; wait for 3*ClkPeriode; assert SensorPower_o = '1' report "SensorPower_o should still be '1'" severity error; assert SensorStart_o = '1' report "SensorStart_o should still be '1'" severity error; assert AdcStart_o = '0' report "AdcStart_o should still be '0'" severity error; SensorReady_i <= '1'; wait for 3*ClkPeriode; assert SensorPower_o = '1' report "SensorPower_o should still be '1'" severity error; assert SensorStart_o = '1' report "SensorStart_o should still be '1'" severity error; assert AdcStart_o = '1' report "AdcStart_o should still be '1'" severity error; AdcDone_i <= '1'; wait for 0.1*ClkPeriode; assert SensorPower_o = '1' report "SensorPower_o should still be '1'" severity error; assert SensorStart_o = '1' report "SensorStart_o should still be '1'" severity error; assert AdcStart_o = '1' report "AdcStart_o should still be '1'" severity error; assert CpuIntr_o = '1' report "CpuIntr should be '1'" severity error; assert SensorValue_o = std_logic_vector(to_unsigned(38,16)) report "SensorValue_o should be 38" severity error; wait for 0.9*ClkPeriode; assert SensorValue_o = std_logic_vector(to_unsigned(30,16)) report "SensorValue_o should be 30" severity error; SensorReady_i <= '0'; AdcDone_i <= '0'; wait for 1*ClkPeriode; assert CpuIntr_o = '0' report "CpuIntr should be back to '0'" severity error; assert SensorPower_o = '0' report "SensorPower_o should be '0'" severity error; assert SensorStart_o = '0' report "SensorStart_o should be '0'" severity error; assert AdcStart_o = '0' report "AdcStart_o should be '0'" severity error; -- new sensor value: 28 report "4th cycle, new sensor value: 28" severity note; wait for 2*ClkPeriode; AdcValue_i <= std_logic_vector(to_unsigned(28,AdcValueWidth)); wait for 6*ClkPeriode; assert SensorPower_o = '0' report "SensorPower_o should be '0'" severity error; assert SensorStart_o = '0' report "SensorStart_o should be '0'" severity error; wait for 1*ClkPeriode; assert SensorPower_o = '1' report "SensorPower_o should be '1'" severity error; assert SensorStart_o = '0' report "SensorStart_o should be '0'" severity error; wait for 1*ClkPeriode; assert SensorPower_o = '1' report "SensorPower_o should still be '1'" severity error; assert SensorStart_o = '1' report "SensorStart_o should be '1'" severity error; wait for 3*ClkPeriode; assert SensorPower_o = '1' report "SensorPower_o should still be '1'" severity error; assert SensorStart_o = '1' report "SensorStart_o should still be '1'" severity error; assert AdcStart_o = '0' report "AdcStart_o should still be '0'" severity error; SensorReady_i <= '1'; wait for 3*ClkPeriode; assert SensorPower_o = '1' report "SensorPower_o should still be '1'" severity error; assert SensorStart_o = '1' report "SensorStart_o should still be '1'" severity error; assert AdcStart_o = '1' report "AdcStart_o should still be '1'" severity error; AdcDone_i <= '1'; wait for 0.1*ClkPeriode; assert SensorPower_o = '1' report "SensorPower_o should still be '1'" severity error; assert SensorStart_o = '1' report "SensorStart_o should still be '1'" severity error; assert AdcStart_o = '1' report "AdcStart_o should still be '1'" severity error; assert CpuIntr_o = '1' report "CpuIntr should be '1'" severity error; assert SensorValue_o = std_logic_vector(to_unsigned(30,16)) report "SensorValue_o should be 38" severity error; wait for 0.9*ClkPeriode; assert SensorValue_o = std_logic_vector(to_unsigned(28,16)) report "SensorValue_o should be 30" severity error; SensorReady_i <= '0'; AdcDone_i <= '0'; wait for 1*ClkPeriode; assert CpuIntr_o = '0' report "CpuIntr should be back to '0'" severity error; assert SensorPower_o = '0' report "SensorPower_o should be '0'" severity error; assert SensorStart_o = '0' report "SensorStart_o should be '0'" severity error; assert AdcStart_o = '0' report "AdcStart_o should be '0'" severity error; -- new sensor value: 27 report "5th cycle, new sensor value: 27" severity note; wait for 2*ClkPeriode; AdcValue_i <= std_logic_vector(to_unsigned(27,AdcValueWidth)); wait for 6*ClkPeriode; assert SensorPower_o = '0' report "SensorPower_o should be '0'" severity error; assert SensorStart_o = '0' report "SensorStart_o should be '0'" severity error; wait for 1*ClkPeriode; assert SensorPower_o = '1' report "SensorPower_o should be '1'" severity error; assert SensorStart_o = '0' report "SensorStart_o should be '0'" severity error; wait for 1*ClkPeriode; assert SensorPower_o = '1' report "SensorPower_o should still be '1'" severity error; assert SensorStart_o = '1' report "SensorStart_o should be '1'" severity error; wait for 3*ClkPeriode; assert SensorPower_o = '1' report "SensorPower_o should still be '1'" severity error; assert SensorStart_o = '1' report "SensorStart_o should still be '1'" severity error; assert AdcStart_o = '0' report "AdcStart_o should still be '0'" severity error; SensorReady_i <= '1'; wait for 3*ClkPeriode; assert SensorPower_o = '1' report "SensorPower_o should still be '1'" severity error; assert SensorStart_o = '1' report "SensorStart_o should still be '1'" severity error; assert AdcStart_o = '1' report "AdcStart_o should still be '1'" severity error; AdcDone_i <= '1'; wait for 0.1*ClkPeriode; assert SensorPower_o = '1' report "SensorPower_o should still be '1'" severity error; assert SensorStart_o = '1' report "SensorStart_o should still be '1'" severity error; assert AdcStart_o = '1' report "AdcStart_o should still be '1'" severity error; assert CpuIntr_o = '1' report "CpuIntr should be '1'" severity error; assert SensorValue_o = std_logic_vector(to_unsigned(28,16)) report "SensorValue_o should be 38" severity error; wait for 0.9*ClkPeriode; assert SensorValue_o = std_logic_vector(to_unsigned(27,16)) report "SensorValue_o should be 30" severity error; SensorReady_i <= '0'; AdcDone_i <= '0'; wait for 1*ClkPeriode; assert CpuIntr_o = '0' report "CpuIntr should be back to '0'" severity error; assert SensorPower_o = '0' report "SensorPower_o should be '0'" severity error; assert SensorStart_o = '0' report "SensorStart_o should be '0'" severity error; assert AdcStart_o = '0' report "AdcStart_o should be '0'" severity error; report "done testing" severity note; wait for 10*ClkPeriode; -- End of simulation report "### Simulation Finished ###" severity failure; wait; end process StimulusProc; end behavior;
-- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:floating_point:7.1 -- IP Revision: 1 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY floating_point_v7_1_1; USE floating_point_v7_1_1.floating_point_v7_1_1; ENTITY ANN_ap_fadd_3_full_dsp_32 IS PORT ( aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; s_axis_a_tvalid : IN STD_LOGIC; s_axis_a_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_b_tvalid : IN STD_LOGIC; s_axis_b_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); m_axis_result_tvalid : OUT STD_LOGIC; m_axis_result_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0) ); END ANN_ap_fadd_3_full_dsp_32; ARCHITECTURE ANN_ap_fadd_3_full_dsp_32_arch OF ANN_ap_fadd_3_full_dsp_32 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF ANN_ap_fadd_3_full_dsp_32_arch: ARCHITECTURE IS "yes"; COMPONENT floating_point_v7_1_1 IS GENERIC ( C_XDEVICEFAMILY : STRING; C_HAS_ADD : INTEGER; C_HAS_SUBTRACT : INTEGER; C_HAS_MULTIPLY : INTEGER; C_HAS_DIVIDE : INTEGER; C_HAS_SQRT : INTEGER; C_HAS_COMPARE : INTEGER; C_HAS_FIX_TO_FLT : INTEGER; C_HAS_FLT_TO_FIX : INTEGER; C_HAS_FLT_TO_FLT : INTEGER; C_HAS_RECIP : INTEGER; C_HAS_RECIP_SQRT : INTEGER; C_HAS_ABSOLUTE : INTEGER; C_HAS_LOGARITHM : INTEGER; C_HAS_EXPONENTIAL : INTEGER; C_HAS_FMA : INTEGER; C_HAS_FMS : INTEGER; C_HAS_ACCUMULATOR_A : INTEGER; C_HAS_ACCUMULATOR_S : INTEGER; C_A_WIDTH : INTEGER; C_A_FRACTION_WIDTH : INTEGER; C_B_WIDTH : INTEGER; C_B_FRACTION_WIDTH : INTEGER; C_C_WIDTH : INTEGER; C_C_FRACTION_WIDTH : INTEGER; C_RESULT_WIDTH : INTEGER; C_RESULT_FRACTION_WIDTH : INTEGER; C_COMPARE_OPERATION : INTEGER; C_LATENCY : INTEGER; C_OPTIMIZATION : INTEGER; C_MULT_USAGE : INTEGER; C_BRAM_USAGE : INTEGER; C_RATE : INTEGER; C_ACCUM_INPUT_MSB : INTEGER; C_ACCUM_MSB : INTEGER; C_ACCUM_LSB : INTEGER; C_HAS_UNDERFLOW : INTEGER; C_HAS_OVERFLOW : INTEGER; C_HAS_INVALID_OP : INTEGER; C_HAS_DIVIDE_BY_ZERO : INTEGER; C_HAS_ACCUM_OVERFLOW : INTEGER; C_HAS_ACCUM_INPUT_OVERFLOW : INTEGER; C_HAS_ACLKEN : INTEGER; C_HAS_ARESETN : INTEGER; C_THROTTLE_SCHEME : INTEGER; C_HAS_A_TUSER : INTEGER; C_HAS_A_TLAST : INTEGER; C_HAS_B : INTEGER; C_HAS_B_TUSER : INTEGER; C_HAS_B_TLAST : INTEGER; C_HAS_C : INTEGER; C_HAS_C_TUSER : INTEGER; C_HAS_C_TLAST : INTEGER; C_HAS_OPERATION : INTEGER; C_HAS_OPERATION_TUSER : INTEGER; C_HAS_OPERATION_TLAST : INTEGER; C_HAS_RESULT_TUSER : INTEGER; C_HAS_RESULT_TLAST : INTEGER; C_TLAST_RESOLUTION : INTEGER; C_A_TDATA_WIDTH : INTEGER; C_A_TUSER_WIDTH : INTEGER; C_B_TDATA_WIDTH : INTEGER; C_B_TUSER_WIDTH : INTEGER; C_C_TDATA_WIDTH : INTEGER; C_C_TUSER_WIDTH : INTEGER; C_OPERATION_TDATA_WIDTH : INTEGER; C_OPERATION_TUSER_WIDTH : INTEGER; C_RESULT_TDATA_WIDTH : INTEGER; C_RESULT_TUSER_WIDTH : INTEGER; C_FIXED_DATA_UNSIGNED : INTEGER ); PORT ( aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; aresetn : IN STD_LOGIC; s_axis_a_tvalid : IN STD_LOGIC; s_axis_a_tready : OUT STD_LOGIC; s_axis_a_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_a_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_a_tlast : IN STD_LOGIC; s_axis_b_tvalid : IN STD_LOGIC; s_axis_b_tready : OUT STD_LOGIC; s_axis_b_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_b_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_b_tlast : IN STD_LOGIC; s_axis_c_tvalid : IN STD_LOGIC; s_axis_c_tready : OUT STD_LOGIC; s_axis_c_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_c_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_c_tlast : IN STD_LOGIC; s_axis_operation_tvalid : IN STD_LOGIC; s_axis_operation_tready : OUT STD_LOGIC; s_axis_operation_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axis_operation_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_operation_tlast : IN STD_LOGIC; m_axis_result_tvalid : OUT STD_LOGIC; m_axis_result_tready : IN STD_LOGIC; m_axis_result_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axis_result_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_result_tlast : OUT STD_LOGIC ); END COMPONENT floating_point_v7_1_1; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF ANN_ap_fadd_3_full_dsp_32_arch: ARCHITECTURE IS "floating_point_v7_1_1,Vivado 2015.4.2"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF ANN_ap_fadd_3_full_dsp_32_arch : ARCHITECTURE IS "ANN_ap_fadd_3_full_dsp_32,floating_point_v7_1_1,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF ANN_ap_fadd_3_full_dsp_32_arch: ARCHITECTURE IS "ANN_ap_fadd_3_full_dsp_32,floating_point_v7_1_1,{x_ipProduct=Vivado 2015.4.2,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=floating_point,x_ipVersion=7.1,x_ipCoreRevision=1,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,C_XDEVICEFAMILY=virtex7,C_HAS_ADD=1,C_HAS_SUBTRACT=0,C_HAS_MULTIPLY=0,C_HAS_DIVIDE=0,C_HAS_SQRT=0,C_HAS_COMPARE=0,C_HAS_FIX_TO_FLT=0,C_HAS_FLT_TO_FIX=0,C_HAS_FLT_TO_FLT=0,C_HAS_RECIP=0,C_HAS_RECIP_SQRT=0,C_HAS_ABSOLUTE=0,C_HAS_LOGARITHM=0,C_HAS_EXPONENTIAL=0,C_HAS_FMA=0,C_HAS_FMS=0,C_HAS_ACCUMULATOR_A=0,C_HAS_ACCUMULATOR_S=0,C_A_WIDTH=32,C_A_FRACTION_WIDTH=24,C_B_WIDTH=32,C_B_FRACTION_WIDTH=24,C_C_WIDTH=32,C_C_FRACTION_WIDTH=24,C_RESULT_WIDTH=32,C_RESULT_FRACTION_WIDTH=24,C_COMPARE_OPERATION=8,C_LATENCY=3,C_OPTIMIZATION=1,C_MULT_USAGE=2,C_BRAM_USAGE=0,C_RATE=1,C_ACCUM_INPUT_MSB=32,C_ACCUM_MSB=32,C_ACCUM_LSB=-31,C_HAS_UNDERFLOW=0,C_HAS_OVERFLOW=0,C_HAS_INVALID_OP=0,C_HAS_DIVIDE_BY_ZERO=0,C_HAS_ACCUM_OVERFLOW=0,C_HAS_ACCUM_INPUT_OVERFLOW=0,C_HAS_ACLKEN=1,C_HAS_ARESETN=0,C_THROTTLE_SCHEME=3,C_HAS_A_TUSER=0,C_HAS_A_TLAST=0,C_HAS_B=1,C_HAS_B_TUSER=0,C_HAS_B_TLAST=0,C_HAS_C=0,C_HAS_C_TUSER=0,C_HAS_C_TLAST=0,C_HAS_OPERATION=0,C_HAS_OPERATION_TUSER=0,C_HAS_OPERATION_TLAST=0,C_HAS_RESULT_TUSER=0,C_HAS_RESULT_TLAST=0,C_TLAST_RESOLUTION=0,C_A_TDATA_WIDTH=32,C_A_TUSER_WIDTH=1,C_B_TDATA_WIDTH=32,C_B_TUSER_WIDTH=1,C_C_TDATA_WIDTH=32,C_C_TUSER_WIDTH=1,C_OPERATION_TDATA_WIDTH=8,C_OPERATION_TUSER_WIDTH=1,C_RESULT_TDATA_WIDTH=32,C_RESULT_TUSER_WIDTH=1,C_FIXED_DATA_UNSIGNED=0}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 aclk_intf CLK"; ATTRIBUTE X_INTERFACE_INFO OF aclken: SIGNAL IS "xilinx.com:signal:clockenable:1.0 aclken_intf CE"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_b_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_B TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_b_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_B TDATA"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TDATA"; BEGIN U0 : floating_point_v7_1_1 GENERIC MAP ( C_XDEVICEFAMILY => "virtex7", C_HAS_ADD => 1, C_HAS_SUBTRACT => 0, C_HAS_MULTIPLY => 0, C_HAS_DIVIDE => 0, C_HAS_SQRT => 0, C_HAS_COMPARE => 0, C_HAS_FIX_TO_FLT => 0, C_HAS_FLT_TO_FIX => 0, C_HAS_FLT_TO_FLT => 0, C_HAS_RECIP => 0, C_HAS_RECIP_SQRT => 0, C_HAS_ABSOLUTE => 0, C_HAS_LOGARITHM => 0, C_HAS_EXPONENTIAL => 0, C_HAS_FMA => 0, C_HAS_FMS => 0, C_HAS_ACCUMULATOR_A => 0, C_HAS_ACCUMULATOR_S => 0, C_A_WIDTH => 32, C_A_FRACTION_WIDTH => 24, C_B_WIDTH => 32, C_B_FRACTION_WIDTH => 24, C_C_WIDTH => 32, C_C_FRACTION_WIDTH => 24, C_RESULT_WIDTH => 32, C_RESULT_FRACTION_WIDTH => 24, C_COMPARE_OPERATION => 8, C_LATENCY => 3, C_OPTIMIZATION => 1, C_MULT_USAGE => 2, C_BRAM_USAGE => 0, C_RATE => 1, C_ACCUM_INPUT_MSB => 32, C_ACCUM_MSB => 32, C_ACCUM_LSB => -31, C_HAS_UNDERFLOW => 0, C_HAS_OVERFLOW => 0, C_HAS_INVALID_OP => 0, C_HAS_DIVIDE_BY_ZERO => 0, C_HAS_ACCUM_OVERFLOW => 0, C_HAS_ACCUM_INPUT_OVERFLOW => 0, C_HAS_ACLKEN => 1, C_HAS_ARESETN => 0, C_THROTTLE_SCHEME => 3, C_HAS_A_TUSER => 0, C_HAS_A_TLAST => 0, C_HAS_B => 1, C_HAS_B_TUSER => 0, C_HAS_B_TLAST => 0, C_HAS_C => 0, C_HAS_C_TUSER => 0, C_HAS_C_TLAST => 0, C_HAS_OPERATION => 0, C_HAS_OPERATION_TUSER => 0, C_HAS_OPERATION_TLAST => 0, C_HAS_RESULT_TUSER => 0, C_HAS_RESULT_TLAST => 0, C_TLAST_RESOLUTION => 0, C_A_TDATA_WIDTH => 32, C_A_TUSER_WIDTH => 1, C_B_TDATA_WIDTH => 32, C_B_TUSER_WIDTH => 1, C_C_TDATA_WIDTH => 32, C_C_TUSER_WIDTH => 1, C_OPERATION_TDATA_WIDTH => 8, C_OPERATION_TUSER_WIDTH => 1, C_RESULT_TDATA_WIDTH => 32, C_RESULT_TUSER_WIDTH => 1, C_FIXED_DATA_UNSIGNED => 0 ) PORT MAP ( aclk => aclk, aclken => aclken, aresetn => '1', s_axis_a_tvalid => s_axis_a_tvalid, s_axis_a_tdata => s_axis_a_tdata, s_axis_a_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_a_tlast => '0', s_axis_b_tvalid => s_axis_b_tvalid, s_axis_b_tdata => s_axis_b_tdata, s_axis_b_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_b_tlast => '0', s_axis_c_tvalid => '0', s_axis_c_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axis_c_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_c_tlast => '0', s_axis_operation_tvalid => '0', s_axis_operation_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axis_operation_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_operation_tlast => '0', m_axis_result_tvalid => m_axis_result_tvalid, m_axis_result_tready => '0', m_axis_result_tdata => m_axis_result_tdata ); END ANN_ap_fadd_3_full_dsp_32_arch;
-- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:floating_point:7.1 -- IP Revision: 1 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY floating_point_v7_1_1; USE floating_point_v7_1_1.floating_point_v7_1_1; ENTITY ANN_ap_fadd_3_full_dsp_32 IS PORT ( aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; s_axis_a_tvalid : IN STD_LOGIC; s_axis_a_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_b_tvalid : IN STD_LOGIC; s_axis_b_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); m_axis_result_tvalid : OUT STD_LOGIC; m_axis_result_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0) ); END ANN_ap_fadd_3_full_dsp_32; ARCHITECTURE ANN_ap_fadd_3_full_dsp_32_arch OF ANN_ap_fadd_3_full_dsp_32 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF ANN_ap_fadd_3_full_dsp_32_arch: ARCHITECTURE IS "yes"; COMPONENT floating_point_v7_1_1 IS GENERIC ( C_XDEVICEFAMILY : STRING; C_HAS_ADD : INTEGER; C_HAS_SUBTRACT : INTEGER; C_HAS_MULTIPLY : INTEGER; C_HAS_DIVIDE : INTEGER; C_HAS_SQRT : INTEGER; C_HAS_COMPARE : INTEGER; C_HAS_FIX_TO_FLT : INTEGER; C_HAS_FLT_TO_FIX : INTEGER; C_HAS_FLT_TO_FLT : INTEGER; C_HAS_RECIP : INTEGER; C_HAS_RECIP_SQRT : INTEGER; C_HAS_ABSOLUTE : INTEGER; C_HAS_LOGARITHM : INTEGER; C_HAS_EXPONENTIAL : INTEGER; C_HAS_FMA : INTEGER; C_HAS_FMS : INTEGER; C_HAS_ACCUMULATOR_A : INTEGER; C_HAS_ACCUMULATOR_S : INTEGER; C_A_WIDTH : INTEGER; C_A_FRACTION_WIDTH : INTEGER; C_B_WIDTH : INTEGER; C_B_FRACTION_WIDTH : INTEGER; C_C_WIDTH : INTEGER; C_C_FRACTION_WIDTH : INTEGER; C_RESULT_WIDTH : INTEGER; C_RESULT_FRACTION_WIDTH : INTEGER; C_COMPARE_OPERATION : INTEGER; C_LATENCY : INTEGER; C_OPTIMIZATION : INTEGER; C_MULT_USAGE : INTEGER; C_BRAM_USAGE : INTEGER; C_RATE : INTEGER; C_ACCUM_INPUT_MSB : INTEGER; C_ACCUM_MSB : INTEGER; C_ACCUM_LSB : INTEGER; C_HAS_UNDERFLOW : INTEGER; C_HAS_OVERFLOW : INTEGER; C_HAS_INVALID_OP : INTEGER; C_HAS_DIVIDE_BY_ZERO : INTEGER; C_HAS_ACCUM_OVERFLOW : INTEGER; C_HAS_ACCUM_INPUT_OVERFLOW : INTEGER; C_HAS_ACLKEN : INTEGER; C_HAS_ARESETN : INTEGER; C_THROTTLE_SCHEME : INTEGER; C_HAS_A_TUSER : INTEGER; C_HAS_A_TLAST : INTEGER; C_HAS_B : INTEGER; C_HAS_B_TUSER : INTEGER; C_HAS_B_TLAST : INTEGER; C_HAS_C : INTEGER; C_HAS_C_TUSER : INTEGER; C_HAS_C_TLAST : INTEGER; C_HAS_OPERATION : INTEGER; C_HAS_OPERATION_TUSER : INTEGER; C_HAS_OPERATION_TLAST : INTEGER; C_HAS_RESULT_TUSER : INTEGER; C_HAS_RESULT_TLAST : INTEGER; C_TLAST_RESOLUTION : INTEGER; C_A_TDATA_WIDTH : INTEGER; C_A_TUSER_WIDTH : INTEGER; C_B_TDATA_WIDTH : INTEGER; C_B_TUSER_WIDTH : INTEGER; C_C_TDATA_WIDTH : INTEGER; C_C_TUSER_WIDTH : INTEGER; C_OPERATION_TDATA_WIDTH : INTEGER; C_OPERATION_TUSER_WIDTH : INTEGER; C_RESULT_TDATA_WIDTH : INTEGER; C_RESULT_TUSER_WIDTH : INTEGER; C_FIXED_DATA_UNSIGNED : INTEGER ); PORT ( aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; aresetn : IN STD_LOGIC; s_axis_a_tvalid : IN STD_LOGIC; s_axis_a_tready : OUT STD_LOGIC; s_axis_a_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_a_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_a_tlast : IN STD_LOGIC; s_axis_b_tvalid : IN STD_LOGIC; s_axis_b_tready : OUT STD_LOGIC; s_axis_b_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_b_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_b_tlast : IN STD_LOGIC; s_axis_c_tvalid : IN STD_LOGIC; s_axis_c_tready : OUT STD_LOGIC; s_axis_c_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_c_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_c_tlast : IN STD_LOGIC; s_axis_operation_tvalid : IN STD_LOGIC; s_axis_operation_tready : OUT STD_LOGIC; s_axis_operation_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axis_operation_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_operation_tlast : IN STD_LOGIC; m_axis_result_tvalid : OUT STD_LOGIC; m_axis_result_tready : IN STD_LOGIC; m_axis_result_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axis_result_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_result_tlast : OUT STD_LOGIC ); END COMPONENT floating_point_v7_1_1; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF ANN_ap_fadd_3_full_dsp_32_arch: ARCHITECTURE IS "floating_point_v7_1_1,Vivado 2015.4.2"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF ANN_ap_fadd_3_full_dsp_32_arch : ARCHITECTURE IS "ANN_ap_fadd_3_full_dsp_32,floating_point_v7_1_1,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF ANN_ap_fadd_3_full_dsp_32_arch: ARCHITECTURE IS "ANN_ap_fadd_3_full_dsp_32,floating_point_v7_1_1,{x_ipProduct=Vivado 2015.4.2,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=floating_point,x_ipVersion=7.1,x_ipCoreRevision=1,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,C_XDEVICEFAMILY=virtex7,C_HAS_ADD=1,C_HAS_SUBTRACT=0,C_HAS_MULTIPLY=0,C_HAS_DIVIDE=0,C_HAS_SQRT=0,C_HAS_COMPARE=0,C_HAS_FIX_TO_FLT=0,C_HAS_FLT_TO_FIX=0,C_HAS_FLT_TO_FLT=0,C_HAS_RECIP=0,C_HAS_RECIP_SQRT=0,C_HAS_ABSOLUTE=0,C_HAS_LOGARITHM=0,C_HAS_EXPONENTIAL=0,C_HAS_FMA=0,C_HAS_FMS=0,C_HAS_ACCUMULATOR_A=0,C_HAS_ACCUMULATOR_S=0,C_A_WIDTH=32,C_A_FRACTION_WIDTH=24,C_B_WIDTH=32,C_B_FRACTION_WIDTH=24,C_C_WIDTH=32,C_C_FRACTION_WIDTH=24,C_RESULT_WIDTH=32,C_RESULT_FRACTION_WIDTH=24,C_COMPARE_OPERATION=8,C_LATENCY=3,C_OPTIMIZATION=1,C_MULT_USAGE=2,C_BRAM_USAGE=0,C_RATE=1,C_ACCUM_INPUT_MSB=32,C_ACCUM_MSB=32,C_ACCUM_LSB=-31,C_HAS_UNDERFLOW=0,C_HAS_OVERFLOW=0,C_HAS_INVALID_OP=0,C_HAS_DIVIDE_BY_ZERO=0,C_HAS_ACCUM_OVERFLOW=0,C_HAS_ACCUM_INPUT_OVERFLOW=0,C_HAS_ACLKEN=1,C_HAS_ARESETN=0,C_THROTTLE_SCHEME=3,C_HAS_A_TUSER=0,C_HAS_A_TLAST=0,C_HAS_B=1,C_HAS_B_TUSER=0,C_HAS_B_TLAST=0,C_HAS_C=0,C_HAS_C_TUSER=0,C_HAS_C_TLAST=0,C_HAS_OPERATION=0,C_HAS_OPERATION_TUSER=0,C_HAS_OPERATION_TLAST=0,C_HAS_RESULT_TUSER=0,C_HAS_RESULT_TLAST=0,C_TLAST_RESOLUTION=0,C_A_TDATA_WIDTH=32,C_A_TUSER_WIDTH=1,C_B_TDATA_WIDTH=32,C_B_TUSER_WIDTH=1,C_C_TDATA_WIDTH=32,C_C_TUSER_WIDTH=1,C_OPERATION_TDATA_WIDTH=8,C_OPERATION_TUSER_WIDTH=1,C_RESULT_TDATA_WIDTH=32,C_RESULT_TUSER_WIDTH=1,C_FIXED_DATA_UNSIGNED=0}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 aclk_intf CLK"; ATTRIBUTE X_INTERFACE_INFO OF aclken: SIGNAL IS "xilinx.com:signal:clockenable:1.0 aclken_intf CE"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_b_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_B TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_b_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_B TDATA"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TDATA"; BEGIN U0 : floating_point_v7_1_1 GENERIC MAP ( C_XDEVICEFAMILY => "virtex7", C_HAS_ADD => 1, C_HAS_SUBTRACT => 0, C_HAS_MULTIPLY => 0, C_HAS_DIVIDE => 0, C_HAS_SQRT => 0, C_HAS_COMPARE => 0, C_HAS_FIX_TO_FLT => 0, C_HAS_FLT_TO_FIX => 0, C_HAS_FLT_TO_FLT => 0, C_HAS_RECIP => 0, C_HAS_RECIP_SQRT => 0, C_HAS_ABSOLUTE => 0, C_HAS_LOGARITHM => 0, C_HAS_EXPONENTIAL => 0, C_HAS_FMA => 0, C_HAS_FMS => 0, C_HAS_ACCUMULATOR_A => 0, C_HAS_ACCUMULATOR_S => 0, C_A_WIDTH => 32, C_A_FRACTION_WIDTH => 24, C_B_WIDTH => 32, C_B_FRACTION_WIDTH => 24, C_C_WIDTH => 32, C_C_FRACTION_WIDTH => 24, C_RESULT_WIDTH => 32, C_RESULT_FRACTION_WIDTH => 24, C_COMPARE_OPERATION => 8, C_LATENCY => 3, C_OPTIMIZATION => 1, C_MULT_USAGE => 2, C_BRAM_USAGE => 0, C_RATE => 1, C_ACCUM_INPUT_MSB => 32, C_ACCUM_MSB => 32, C_ACCUM_LSB => -31, C_HAS_UNDERFLOW => 0, C_HAS_OVERFLOW => 0, C_HAS_INVALID_OP => 0, C_HAS_DIVIDE_BY_ZERO => 0, C_HAS_ACCUM_OVERFLOW => 0, C_HAS_ACCUM_INPUT_OVERFLOW => 0, C_HAS_ACLKEN => 1, C_HAS_ARESETN => 0, C_THROTTLE_SCHEME => 3, C_HAS_A_TUSER => 0, C_HAS_A_TLAST => 0, C_HAS_B => 1, C_HAS_B_TUSER => 0, C_HAS_B_TLAST => 0, C_HAS_C => 0, C_HAS_C_TUSER => 0, C_HAS_C_TLAST => 0, C_HAS_OPERATION => 0, C_HAS_OPERATION_TUSER => 0, C_HAS_OPERATION_TLAST => 0, C_HAS_RESULT_TUSER => 0, C_HAS_RESULT_TLAST => 0, C_TLAST_RESOLUTION => 0, C_A_TDATA_WIDTH => 32, C_A_TUSER_WIDTH => 1, C_B_TDATA_WIDTH => 32, C_B_TUSER_WIDTH => 1, C_C_TDATA_WIDTH => 32, C_C_TUSER_WIDTH => 1, C_OPERATION_TDATA_WIDTH => 8, C_OPERATION_TUSER_WIDTH => 1, C_RESULT_TDATA_WIDTH => 32, C_RESULT_TUSER_WIDTH => 1, C_FIXED_DATA_UNSIGNED => 0 ) PORT MAP ( aclk => aclk, aclken => aclken, aresetn => '1', s_axis_a_tvalid => s_axis_a_tvalid, s_axis_a_tdata => s_axis_a_tdata, s_axis_a_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_a_tlast => '0', s_axis_b_tvalid => s_axis_b_tvalid, s_axis_b_tdata => s_axis_b_tdata, s_axis_b_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_b_tlast => '0', s_axis_c_tvalid => '0', s_axis_c_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axis_c_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_c_tlast => '0', s_axis_operation_tvalid => '0', s_axis_operation_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axis_operation_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_operation_tlast => '0', m_axis_result_tvalid => m_axis_result_tvalid, m_axis_result_tready => '0', m_axis_result_tdata => m_axis_result_tdata ); END ANN_ap_fadd_3_full_dsp_32_arch;
-- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:floating_point:7.1 -- IP Revision: 1 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY floating_point_v7_1_1; USE floating_point_v7_1_1.floating_point_v7_1_1; ENTITY ANN_ap_fadd_3_full_dsp_32 IS PORT ( aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; s_axis_a_tvalid : IN STD_LOGIC; s_axis_a_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_b_tvalid : IN STD_LOGIC; s_axis_b_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); m_axis_result_tvalid : OUT STD_LOGIC; m_axis_result_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0) ); END ANN_ap_fadd_3_full_dsp_32; ARCHITECTURE ANN_ap_fadd_3_full_dsp_32_arch OF ANN_ap_fadd_3_full_dsp_32 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF ANN_ap_fadd_3_full_dsp_32_arch: ARCHITECTURE IS "yes"; COMPONENT floating_point_v7_1_1 IS GENERIC ( C_XDEVICEFAMILY : STRING; C_HAS_ADD : INTEGER; C_HAS_SUBTRACT : INTEGER; C_HAS_MULTIPLY : INTEGER; C_HAS_DIVIDE : INTEGER; C_HAS_SQRT : INTEGER; C_HAS_COMPARE : INTEGER; C_HAS_FIX_TO_FLT : INTEGER; C_HAS_FLT_TO_FIX : INTEGER; C_HAS_FLT_TO_FLT : INTEGER; C_HAS_RECIP : INTEGER; C_HAS_RECIP_SQRT : INTEGER; C_HAS_ABSOLUTE : INTEGER; C_HAS_LOGARITHM : INTEGER; C_HAS_EXPONENTIAL : INTEGER; C_HAS_FMA : INTEGER; C_HAS_FMS : INTEGER; C_HAS_ACCUMULATOR_A : INTEGER; C_HAS_ACCUMULATOR_S : INTEGER; C_A_WIDTH : INTEGER; C_A_FRACTION_WIDTH : INTEGER; C_B_WIDTH : INTEGER; C_B_FRACTION_WIDTH : INTEGER; C_C_WIDTH : INTEGER; C_C_FRACTION_WIDTH : INTEGER; C_RESULT_WIDTH : INTEGER; C_RESULT_FRACTION_WIDTH : INTEGER; C_COMPARE_OPERATION : INTEGER; C_LATENCY : INTEGER; C_OPTIMIZATION : INTEGER; C_MULT_USAGE : INTEGER; C_BRAM_USAGE : INTEGER; C_RATE : INTEGER; C_ACCUM_INPUT_MSB : INTEGER; C_ACCUM_MSB : INTEGER; C_ACCUM_LSB : INTEGER; C_HAS_UNDERFLOW : INTEGER; C_HAS_OVERFLOW : INTEGER; C_HAS_INVALID_OP : INTEGER; C_HAS_DIVIDE_BY_ZERO : INTEGER; C_HAS_ACCUM_OVERFLOW : INTEGER; C_HAS_ACCUM_INPUT_OVERFLOW : INTEGER; C_HAS_ACLKEN : INTEGER; C_HAS_ARESETN : INTEGER; C_THROTTLE_SCHEME : INTEGER; C_HAS_A_TUSER : INTEGER; C_HAS_A_TLAST : INTEGER; C_HAS_B : INTEGER; C_HAS_B_TUSER : INTEGER; C_HAS_B_TLAST : INTEGER; C_HAS_C : INTEGER; C_HAS_C_TUSER : INTEGER; C_HAS_C_TLAST : INTEGER; C_HAS_OPERATION : INTEGER; C_HAS_OPERATION_TUSER : INTEGER; C_HAS_OPERATION_TLAST : INTEGER; C_HAS_RESULT_TUSER : INTEGER; C_HAS_RESULT_TLAST : INTEGER; C_TLAST_RESOLUTION : INTEGER; C_A_TDATA_WIDTH : INTEGER; C_A_TUSER_WIDTH : INTEGER; C_B_TDATA_WIDTH : INTEGER; C_B_TUSER_WIDTH : INTEGER; C_C_TDATA_WIDTH : INTEGER; C_C_TUSER_WIDTH : INTEGER; C_OPERATION_TDATA_WIDTH : INTEGER; C_OPERATION_TUSER_WIDTH : INTEGER; C_RESULT_TDATA_WIDTH : INTEGER; C_RESULT_TUSER_WIDTH : INTEGER; C_FIXED_DATA_UNSIGNED : INTEGER ); PORT ( aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; aresetn : IN STD_LOGIC; s_axis_a_tvalid : IN STD_LOGIC; s_axis_a_tready : OUT STD_LOGIC; s_axis_a_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_a_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_a_tlast : IN STD_LOGIC; s_axis_b_tvalid : IN STD_LOGIC; s_axis_b_tready : OUT STD_LOGIC; s_axis_b_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_b_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_b_tlast : IN STD_LOGIC; s_axis_c_tvalid : IN STD_LOGIC; s_axis_c_tready : OUT STD_LOGIC; s_axis_c_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_c_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_c_tlast : IN STD_LOGIC; s_axis_operation_tvalid : IN STD_LOGIC; s_axis_operation_tready : OUT STD_LOGIC; s_axis_operation_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axis_operation_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_operation_tlast : IN STD_LOGIC; m_axis_result_tvalid : OUT STD_LOGIC; m_axis_result_tready : IN STD_LOGIC; m_axis_result_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axis_result_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_result_tlast : OUT STD_LOGIC ); END COMPONENT floating_point_v7_1_1; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF ANN_ap_fadd_3_full_dsp_32_arch: ARCHITECTURE IS "floating_point_v7_1_1,Vivado 2015.4.2"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF ANN_ap_fadd_3_full_dsp_32_arch : ARCHITECTURE IS "ANN_ap_fadd_3_full_dsp_32,floating_point_v7_1_1,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF ANN_ap_fadd_3_full_dsp_32_arch: ARCHITECTURE IS "ANN_ap_fadd_3_full_dsp_32,floating_point_v7_1_1,{x_ipProduct=Vivado 2015.4.2,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=floating_point,x_ipVersion=7.1,x_ipCoreRevision=1,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,C_XDEVICEFAMILY=virtex7,C_HAS_ADD=1,C_HAS_SUBTRACT=0,C_HAS_MULTIPLY=0,C_HAS_DIVIDE=0,C_HAS_SQRT=0,C_HAS_COMPARE=0,C_HAS_FIX_TO_FLT=0,C_HAS_FLT_TO_FIX=0,C_HAS_FLT_TO_FLT=0,C_HAS_RECIP=0,C_HAS_RECIP_SQRT=0,C_HAS_ABSOLUTE=0,C_HAS_LOGARITHM=0,C_HAS_EXPONENTIAL=0,C_HAS_FMA=0,C_HAS_FMS=0,C_HAS_ACCUMULATOR_A=0,C_HAS_ACCUMULATOR_S=0,C_A_WIDTH=32,C_A_FRACTION_WIDTH=24,C_B_WIDTH=32,C_B_FRACTION_WIDTH=24,C_C_WIDTH=32,C_C_FRACTION_WIDTH=24,C_RESULT_WIDTH=32,C_RESULT_FRACTION_WIDTH=24,C_COMPARE_OPERATION=8,C_LATENCY=3,C_OPTIMIZATION=1,C_MULT_USAGE=2,C_BRAM_USAGE=0,C_RATE=1,C_ACCUM_INPUT_MSB=32,C_ACCUM_MSB=32,C_ACCUM_LSB=-31,C_HAS_UNDERFLOW=0,C_HAS_OVERFLOW=0,C_HAS_INVALID_OP=0,C_HAS_DIVIDE_BY_ZERO=0,C_HAS_ACCUM_OVERFLOW=0,C_HAS_ACCUM_INPUT_OVERFLOW=0,C_HAS_ACLKEN=1,C_HAS_ARESETN=0,C_THROTTLE_SCHEME=3,C_HAS_A_TUSER=0,C_HAS_A_TLAST=0,C_HAS_B=1,C_HAS_B_TUSER=0,C_HAS_B_TLAST=0,C_HAS_C=0,C_HAS_C_TUSER=0,C_HAS_C_TLAST=0,C_HAS_OPERATION=0,C_HAS_OPERATION_TUSER=0,C_HAS_OPERATION_TLAST=0,C_HAS_RESULT_TUSER=0,C_HAS_RESULT_TLAST=0,C_TLAST_RESOLUTION=0,C_A_TDATA_WIDTH=32,C_A_TUSER_WIDTH=1,C_B_TDATA_WIDTH=32,C_B_TUSER_WIDTH=1,C_C_TDATA_WIDTH=32,C_C_TUSER_WIDTH=1,C_OPERATION_TDATA_WIDTH=8,C_OPERATION_TUSER_WIDTH=1,C_RESULT_TDATA_WIDTH=32,C_RESULT_TUSER_WIDTH=1,C_FIXED_DATA_UNSIGNED=0}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 aclk_intf CLK"; ATTRIBUTE X_INTERFACE_INFO OF aclken: SIGNAL IS "xilinx.com:signal:clockenable:1.0 aclken_intf CE"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_b_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_B TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_b_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_B TDATA"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TDATA"; BEGIN U0 : floating_point_v7_1_1 GENERIC MAP ( C_XDEVICEFAMILY => "virtex7", C_HAS_ADD => 1, C_HAS_SUBTRACT => 0, C_HAS_MULTIPLY => 0, C_HAS_DIVIDE => 0, C_HAS_SQRT => 0, C_HAS_COMPARE => 0, C_HAS_FIX_TO_FLT => 0, C_HAS_FLT_TO_FIX => 0, C_HAS_FLT_TO_FLT => 0, C_HAS_RECIP => 0, C_HAS_RECIP_SQRT => 0, C_HAS_ABSOLUTE => 0, C_HAS_LOGARITHM => 0, C_HAS_EXPONENTIAL => 0, C_HAS_FMA => 0, C_HAS_FMS => 0, C_HAS_ACCUMULATOR_A => 0, C_HAS_ACCUMULATOR_S => 0, C_A_WIDTH => 32, C_A_FRACTION_WIDTH => 24, C_B_WIDTH => 32, C_B_FRACTION_WIDTH => 24, C_C_WIDTH => 32, C_C_FRACTION_WIDTH => 24, C_RESULT_WIDTH => 32, C_RESULT_FRACTION_WIDTH => 24, C_COMPARE_OPERATION => 8, C_LATENCY => 3, C_OPTIMIZATION => 1, C_MULT_USAGE => 2, C_BRAM_USAGE => 0, C_RATE => 1, C_ACCUM_INPUT_MSB => 32, C_ACCUM_MSB => 32, C_ACCUM_LSB => -31, C_HAS_UNDERFLOW => 0, C_HAS_OVERFLOW => 0, C_HAS_INVALID_OP => 0, C_HAS_DIVIDE_BY_ZERO => 0, C_HAS_ACCUM_OVERFLOW => 0, C_HAS_ACCUM_INPUT_OVERFLOW => 0, C_HAS_ACLKEN => 1, C_HAS_ARESETN => 0, C_THROTTLE_SCHEME => 3, C_HAS_A_TUSER => 0, C_HAS_A_TLAST => 0, C_HAS_B => 1, C_HAS_B_TUSER => 0, C_HAS_B_TLAST => 0, C_HAS_C => 0, C_HAS_C_TUSER => 0, C_HAS_C_TLAST => 0, C_HAS_OPERATION => 0, C_HAS_OPERATION_TUSER => 0, C_HAS_OPERATION_TLAST => 0, C_HAS_RESULT_TUSER => 0, C_HAS_RESULT_TLAST => 0, C_TLAST_RESOLUTION => 0, C_A_TDATA_WIDTH => 32, C_A_TUSER_WIDTH => 1, C_B_TDATA_WIDTH => 32, C_B_TUSER_WIDTH => 1, C_C_TDATA_WIDTH => 32, C_C_TUSER_WIDTH => 1, C_OPERATION_TDATA_WIDTH => 8, C_OPERATION_TUSER_WIDTH => 1, C_RESULT_TDATA_WIDTH => 32, C_RESULT_TUSER_WIDTH => 1, C_FIXED_DATA_UNSIGNED => 0 ) PORT MAP ( aclk => aclk, aclken => aclken, aresetn => '1', s_axis_a_tvalid => s_axis_a_tvalid, s_axis_a_tdata => s_axis_a_tdata, s_axis_a_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_a_tlast => '0', s_axis_b_tvalid => s_axis_b_tvalid, s_axis_b_tdata => s_axis_b_tdata, s_axis_b_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_b_tlast => '0', s_axis_c_tvalid => '0', s_axis_c_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axis_c_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_c_tlast => '0', s_axis_operation_tvalid => '0', s_axis_operation_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axis_operation_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_operation_tlast => '0', m_axis_result_tvalid => m_axis_result_tvalid, m_axis_result_tready => '0', m_axis_result_tdata => m_axis_result_tdata ); END ANN_ap_fadd_3_full_dsp_32_arch;
-- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:floating_point:7.1 -- IP Revision: 1 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY floating_point_v7_1_1; USE floating_point_v7_1_1.floating_point_v7_1_1; ENTITY ANN_ap_fadd_3_full_dsp_32 IS PORT ( aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; s_axis_a_tvalid : IN STD_LOGIC; s_axis_a_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_b_tvalid : IN STD_LOGIC; s_axis_b_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); m_axis_result_tvalid : OUT STD_LOGIC; m_axis_result_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0) ); END ANN_ap_fadd_3_full_dsp_32; ARCHITECTURE ANN_ap_fadd_3_full_dsp_32_arch OF ANN_ap_fadd_3_full_dsp_32 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF ANN_ap_fadd_3_full_dsp_32_arch: ARCHITECTURE IS "yes"; COMPONENT floating_point_v7_1_1 IS GENERIC ( C_XDEVICEFAMILY : STRING; C_HAS_ADD : INTEGER; C_HAS_SUBTRACT : INTEGER; C_HAS_MULTIPLY : INTEGER; C_HAS_DIVIDE : INTEGER; C_HAS_SQRT : INTEGER; C_HAS_COMPARE : INTEGER; C_HAS_FIX_TO_FLT : INTEGER; C_HAS_FLT_TO_FIX : INTEGER; C_HAS_FLT_TO_FLT : INTEGER; C_HAS_RECIP : INTEGER; C_HAS_RECIP_SQRT : INTEGER; C_HAS_ABSOLUTE : INTEGER; C_HAS_LOGARITHM : INTEGER; C_HAS_EXPONENTIAL : INTEGER; C_HAS_FMA : INTEGER; C_HAS_FMS : INTEGER; C_HAS_ACCUMULATOR_A : INTEGER; C_HAS_ACCUMULATOR_S : INTEGER; C_A_WIDTH : INTEGER; C_A_FRACTION_WIDTH : INTEGER; C_B_WIDTH : INTEGER; C_B_FRACTION_WIDTH : INTEGER; C_C_WIDTH : INTEGER; C_C_FRACTION_WIDTH : INTEGER; C_RESULT_WIDTH : INTEGER; C_RESULT_FRACTION_WIDTH : INTEGER; C_COMPARE_OPERATION : INTEGER; C_LATENCY : INTEGER; C_OPTIMIZATION : INTEGER; C_MULT_USAGE : INTEGER; C_BRAM_USAGE : INTEGER; C_RATE : INTEGER; C_ACCUM_INPUT_MSB : INTEGER; C_ACCUM_MSB : INTEGER; C_ACCUM_LSB : INTEGER; C_HAS_UNDERFLOW : INTEGER; C_HAS_OVERFLOW : INTEGER; C_HAS_INVALID_OP : INTEGER; C_HAS_DIVIDE_BY_ZERO : INTEGER; C_HAS_ACCUM_OVERFLOW : INTEGER; C_HAS_ACCUM_INPUT_OVERFLOW : INTEGER; C_HAS_ACLKEN : INTEGER; C_HAS_ARESETN : INTEGER; C_THROTTLE_SCHEME : INTEGER; C_HAS_A_TUSER : INTEGER; C_HAS_A_TLAST : INTEGER; C_HAS_B : INTEGER; C_HAS_B_TUSER : INTEGER; C_HAS_B_TLAST : INTEGER; C_HAS_C : INTEGER; C_HAS_C_TUSER : INTEGER; C_HAS_C_TLAST : INTEGER; C_HAS_OPERATION : INTEGER; C_HAS_OPERATION_TUSER : INTEGER; C_HAS_OPERATION_TLAST : INTEGER; C_HAS_RESULT_TUSER : INTEGER; C_HAS_RESULT_TLAST : INTEGER; C_TLAST_RESOLUTION : INTEGER; C_A_TDATA_WIDTH : INTEGER; C_A_TUSER_WIDTH : INTEGER; C_B_TDATA_WIDTH : INTEGER; C_B_TUSER_WIDTH : INTEGER; C_C_TDATA_WIDTH : INTEGER; C_C_TUSER_WIDTH : INTEGER; C_OPERATION_TDATA_WIDTH : INTEGER; C_OPERATION_TUSER_WIDTH : INTEGER; C_RESULT_TDATA_WIDTH : INTEGER; C_RESULT_TUSER_WIDTH : INTEGER; C_FIXED_DATA_UNSIGNED : INTEGER ); PORT ( aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; aresetn : IN STD_LOGIC; s_axis_a_tvalid : IN STD_LOGIC; s_axis_a_tready : OUT STD_LOGIC; s_axis_a_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_a_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_a_tlast : IN STD_LOGIC; s_axis_b_tvalid : IN STD_LOGIC; s_axis_b_tready : OUT STD_LOGIC; s_axis_b_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_b_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_b_tlast : IN STD_LOGIC; s_axis_c_tvalid : IN STD_LOGIC; s_axis_c_tready : OUT STD_LOGIC; s_axis_c_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_c_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_c_tlast : IN STD_LOGIC; s_axis_operation_tvalid : IN STD_LOGIC; s_axis_operation_tready : OUT STD_LOGIC; s_axis_operation_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axis_operation_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_operation_tlast : IN STD_LOGIC; m_axis_result_tvalid : OUT STD_LOGIC; m_axis_result_tready : IN STD_LOGIC; m_axis_result_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axis_result_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_result_tlast : OUT STD_LOGIC ); END COMPONENT floating_point_v7_1_1; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF ANN_ap_fadd_3_full_dsp_32_arch: ARCHITECTURE IS "floating_point_v7_1_1,Vivado 2015.4.2"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF ANN_ap_fadd_3_full_dsp_32_arch : ARCHITECTURE IS "ANN_ap_fadd_3_full_dsp_32,floating_point_v7_1_1,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF ANN_ap_fadd_3_full_dsp_32_arch: ARCHITECTURE IS "ANN_ap_fadd_3_full_dsp_32,floating_point_v7_1_1,{x_ipProduct=Vivado 2015.4.2,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=floating_point,x_ipVersion=7.1,x_ipCoreRevision=1,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,C_XDEVICEFAMILY=virtex7,C_HAS_ADD=1,C_HAS_SUBTRACT=0,C_HAS_MULTIPLY=0,C_HAS_DIVIDE=0,C_HAS_SQRT=0,C_HAS_COMPARE=0,C_HAS_FIX_TO_FLT=0,C_HAS_FLT_TO_FIX=0,C_HAS_FLT_TO_FLT=0,C_HAS_RECIP=0,C_HAS_RECIP_SQRT=0,C_HAS_ABSOLUTE=0,C_HAS_LOGARITHM=0,C_HAS_EXPONENTIAL=0,C_HAS_FMA=0,C_HAS_FMS=0,C_HAS_ACCUMULATOR_A=0,C_HAS_ACCUMULATOR_S=0,C_A_WIDTH=32,C_A_FRACTION_WIDTH=24,C_B_WIDTH=32,C_B_FRACTION_WIDTH=24,C_C_WIDTH=32,C_C_FRACTION_WIDTH=24,C_RESULT_WIDTH=32,C_RESULT_FRACTION_WIDTH=24,C_COMPARE_OPERATION=8,C_LATENCY=3,C_OPTIMIZATION=1,C_MULT_USAGE=2,C_BRAM_USAGE=0,C_RATE=1,C_ACCUM_INPUT_MSB=32,C_ACCUM_MSB=32,C_ACCUM_LSB=-31,C_HAS_UNDERFLOW=0,C_HAS_OVERFLOW=0,C_HAS_INVALID_OP=0,C_HAS_DIVIDE_BY_ZERO=0,C_HAS_ACCUM_OVERFLOW=0,C_HAS_ACCUM_INPUT_OVERFLOW=0,C_HAS_ACLKEN=1,C_HAS_ARESETN=0,C_THROTTLE_SCHEME=3,C_HAS_A_TUSER=0,C_HAS_A_TLAST=0,C_HAS_B=1,C_HAS_B_TUSER=0,C_HAS_B_TLAST=0,C_HAS_C=0,C_HAS_C_TUSER=0,C_HAS_C_TLAST=0,C_HAS_OPERATION=0,C_HAS_OPERATION_TUSER=0,C_HAS_OPERATION_TLAST=0,C_HAS_RESULT_TUSER=0,C_HAS_RESULT_TLAST=0,C_TLAST_RESOLUTION=0,C_A_TDATA_WIDTH=32,C_A_TUSER_WIDTH=1,C_B_TDATA_WIDTH=32,C_B_TUSER_WIDTH=1,C_C_TDATA_WIDTH=32,C_C_TUSER_WIDTH=1,C_OPERATION_TDATA_WIDTH=8,C_OPERATION_TUSER_WIDTH=1,C_RESULT_TDATA_WIDTH=32,C_RESULT_TUSER_WIDTH=1,C_FIXED_DATA_UNSIGNED=0}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 aclk_intf CLK"; ATTRIBUTE X_INTERFACE_INFO OF aclken: SIGNAL IS "xilinx.com:signal:clockenable:1.0 aclken_intf CE"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_b_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_B TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_b_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_B TDATA"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TDATA"; BEGIN U0 : floating_point_v7_1_1 GENERIC MAP ( C_XDEVICEFAMILY => "virtex7", C_HAS_ADD => 1, C_HAS_SUBTRACT => 0, C_HAS_MULTIPLY => 0, C_HAS_DIVIDE => 0, C_HAS_SQRT => 0, C_HAS_COMPARE => 0, C_HAS_FIX_TO_FLT => 0, C_HAS_FLT_TO_FIX => 0, C_HAS_FLT_TO_FLT => 0, C_HAS_RECIP => 0, C_HAS_RECIP_SQRT => 0, C_HAS_ABSOLUTE => 0, C_HAS_LOGARITHM => 0, C_HAS_EXPONENTIAL => 0, C_HAS_FMA => 0, C_HAS_FMS => 0, C_HAS_ACCUMULATOR_A => 0, C_HAS_ACCUMULATOR_S => 0, C_A_WIDTH => 32, C_A_FRACTION_WIDTH => 24, C_B_WIDTH => 32, C_B_FRACTION_WIDTH => 24, C_C_WIDTH => 32, C_C_FRACTION_WIDTH => 24, C_RESULT_WIDTH => 32, C_RESULT_FRACTION_WIDTH => 24, C_COMPARE_OPERATION => 8, C_LATENCY => 3, C_OPTIMIZATION => 1, C_MULT_USAGE => 2, C_BRAM_USAGE => 0, C_RATE => 1, C_ACCUM_INPUT_MSB => 32, C_ACCUM_MSB => 32, C_ACCUM_LSB => -31, C_HAS_UNDERFLOW => 0, C_HAS_OVERFLOW => 0, C_HAS_INVALID_OP => 0, C_HAS_DIVIDE_BY_ZERO => 0, C_HAS_ACCUM_OVERFLOW => 0, C_HAS_ACCUM_INPUT_OVERFLOW => 0, C_HAS_ACLKEN => 1, C_HAS_ARESETN => 0, C_THROTTLE_SCHEME => 3, C_HAS_A_TUSER => 0, C_HAS_A_TLAST => 0, C_HAS_B => 1, C_HAS_B_TUSER => 0, C_HAS_B_TLAST => 0, C_HAS_C => 0, C_HAS_C_TUSER => 0, C_HAS_C_TLAST => 0, C_HAS_OPERATION => 0, C_HAS_OPERATION_TUSER => 0, C_HAS_OPERATION_TLAST => 0, C_HAS_RESULT_TUSER => 0, C_HAS_RESULT_TLAST => 0, C_TLAST_RESOLUTION => 0, C_A_TDATA_WIDTH => 32, C_A_TUSER_WIDTH => 1, C_B_TDATA_WIDTH => 32, C_B_TUSER_WIDTH => 1, C_C_TDATA_WIDTH => 32, C_C_TUSER_WIDTH => 1, C_OPERATION_TDATA_WIDTH => 8, C_OPERATION_TUSER_WIDTH => 1, C_RESULT_TDATA_WIDTH => 32, C_RESULT_TUSER_WIDTH => 1, C_FIXED_DATA_UNSIGNED => 0 ) PORT MAP ( aclk => aclk, aclken => aclken, aresetn => '1', s_axis_a_tvalid => s_axis_a_tvalid, s_axis_a_tdata => s_axis_a_tdata, s_axis_a_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_a_tlast => '0', s_axis_b_tvalid => s_axis_b_tvalid, s_axis_b_tdata => s_axis_b_tdata, s_axis_b_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_b_tlast => '0', s_axis_c_tvalid => '0', s_axis_c_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axis_c_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_c_tlast => '0', s_axis_operation_tvalid => '0', s_axis_operation_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axis_operation_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_operation_tlast => '0', m_axis_result_tvalid => m_axis_result_tvalid, m_axis_result_tready => '0', m_axis_result_tdata => m_axis_result_tdata ); END ANN_ap_fadd_3_full_dsp_32_arch;