content
stringlengths
1
1.04M
-- (C) 2012 Altera Corporation. All rights reserved. -- Your use of Altera Corporation's design tools, logic functions and other -- software and tools, and its AMPP partner logic functions, and any output -- files any of the foregoing (including device programming or simulation -- files), and any associated documentation or information are expressly subject -- to the terms and conditions of the Altera Program License Subscription -- Agreement, Altera MegaCore Function License Agreement, or other applicable -- license agreement, including, without limitation, that your use is for the -- sole purpose of programming logic devices manufactured by Altera and sold by -- Altera or its authorized distributors. Please refer to the applicable -- agreement for further details. library IEEE; use IEEE.std_logic_1164.all; package dspba_library_package is component dspba_delay is generic ( width : natural; depth : natural; reset_high : std_logic := '1' ); port ( clk : in std_logic; aclr : in std_logic; ena : in std_logic := '1'; xin : in std_logic_vector(width-1 downto 0); xout : out std_logic_vector(width-1 downto 0) ); end component; end dspba_library_package;
-- (C) 2012 Altera Corporation. All rights reserved. -- Your use of Altera Corporation's design tools, logic functions and other -- software and tools, and its AMPP partner logic functions, and any output -- files any of the foregoing (including device programming or simulation -- files), and any associated documentation or information are expressly subject -- to the terms and conditions of the Altera Program License Subscription -- Agreement, Altera MegaCore Function License Agreement, or other applicable -- license agreement, including, without limitation, that your use is for the -- sole purpose of programming logic devices manufactured by Altera and sold by -- Altera or its authorized distributors. Please refer to the applicable -- agreement for further details. library IEEE; use IEEE.std_logic_1164.all; package dspba_library_package is component dspba_delay is generic ( width : natural; depth : natural; reset_high : std_logic := '1' ); port ( clk : in std_logic; aclr : in std_logic; ena : in std_logic := '1'; xin : in std_logic_vector(width-1 downto 0); xout : out std_logic_vector(width-1 downto 0) ); end component; end dspba_library_package;
-- (C) 2012 Altera Corporation. All rights reserved. -- Your use of Altera Corporation's design tools, logic functions and other -- software and tools, and its AMPP partner logic functions, and any output -- files any of the foregoing (including device programming or simulation -- files), and any associated documentation or information are expressly subject -- to the terms and conditions of the Altera Program License Subscription -- Agreement, Altera MegaCore Function License Agreement, or other applicable -- license agreement, including, without limitation, that your use is for the -- sole purpose of programming logic devices manufactured by Altera and sold by -- Altera or its authorized distributors. Please refer to the applicable -- agreement for further details. library IEEE; use IEEE.std_logic_1164.all; package dspba_library_package is component dspba_delay is generic ( width : natural; depth : natural; reset_high : std_logic := '1' ); port ( clk : in std_logic; aclr : in std_logic; ena : in std_logic := '1'; xin : in std_logic_vector(width-1 downto 0); xout : out std_logic_vector(width-1 downto 0) ); end component; end dspba_library_package;
-- (C) 2012 Altera Corporation. All rights reserved. -- Your use of Altera Corporation's design tools, logic functions and other -- software and tools, and its AMPP partner logic functions, and any output -- files any of the foregoing (including device programming or simulation -- files), and any associated documentation or information are expressly subject -- to the terms and conditions of the Altera Program License Subscription -- Agreement, Altera MegaCore Function License Agreement, or other applicable -- license agreement, including, without limitation, that your use is for the -- sole purpose of programming logic devices manufactured by Altera and sold by -- Altera or its authorized distributors. Please refer to the applicable -- agreement for further details. library IEEE; use IEEE.std_logic_1164.all; package dspba_library_package is component dspba_delay is generic ( width : natural; depth : natural; reset_high : std_logic := '1' ); port ( clk : in std_logic; aclr : in std_logic; ena : in std_logic := '1'; xin : in std_logic_vector(width-1 downto 0); xout : out std_logic_vector(width-1 downto 0) ); end component; end dspba_library_package;
-- (C) 2012 Altera Corporation. All rights reserved. -- Your use of Altera Corporation's design tools, logic functions and other -- software and tools, and its AMPP partner logic functions, and any output -- files any of the foregoing (including device programming or simulation -- files), and any associated documentation or information are expressly subject -- to the terms and conditions of the Altera Program License Subscription -- Agreement, Altera MegaCore Function License Agreement, or other applicable -- license agreement, including, without limitation, that your use is for the -- sole purpose of programming logic devices manufactured by Altera and sold by -- Altera or its authorized distributors. Please refer to the applicable -- agreement for further details. library IEEE; use IEEE.std_logic_1164.all; package dspba_library_package is component dspba_delay is generic ( width : natural; depth : natural; reset_high : std_logic := '1' ); port ( clk : in std_logic; aclr : in std_logic; ena : in std_logic := '1'; xin : in std_logic_vector(width-1 downto 0); xout : out std_logic_vector(width-1 downto 0) ); end component; end dspba_library_package;
-- (C) 2012 Altera Corporation. All rights reserved. -- Your use of Altera Corporation's design tools, logic functions and other -- software and tools, and its AMPP partner logic functions, and any output -- files any of the foregoing (including device programming or simulation -- files), and any associated documentation or information are expressly subject -- to the terms and conditions of the Altera Program License Subscription -- Agreement, Altera MegaCore Function License Agreement, or other applicable -- license agreement, including, without limitation, that your use is for the -- sole purpose of programming logic devices manufactured by Altera and sold by -- Altera or its authorized distributors. Please refer to the applicable -- agreement for further details. library IEEE; use IEEE.std_logic_1164.all; package dspba_library_package is component dspba_delay is generic ( width : natural; depth : natural; reset_high : std_logic := '1' ); port ( clk : in std_logic; aclr : in std_logic; ena : in std_logic := '1'; xin : in std_logic_vector(width-1 downto 0); xout : out std_logic_vector(width-1 downto 0) ); end component; end dspba_library_package;
-- (C) 2012 Altera Corporation. All rights reserved. -- Your use of Altera Corporation's design tools, logic functions and other -- software and tools, and its AMPP partner logic functions, and any output -- files any of the foregoing (including device programming or simulation -- files), and any associated documentation or information are expressly subject -- to the terms and conditions of the Altera Program License Subscription -- Agreement, Altera MegaCore Function License Agreement, or other applicable -- license agreement, including, without limitation, that your use is for the -- sole purpose of programming logic devices manufactured by Altera and sold by -- Altera or its authorized distributors. Please refer to the applicable -- agreement for further details. library IEEE; use IEEE.std_logic_1164.all; package dspba_library_package is component dspba_delay is generic ( width : natural; depth : natural; reset_high : std_logic := '1' ); port ( clk : in std_logic; aclr : in std_logic; ena : in std_logic := '1'; xin : in std_logic_vector(width-1 downto 0); xout : out std_logic_vector(width-1 downto 0) ); end component; end dspba_library_package;
-- (C) 2012 Altera Corporation. All rights reserved. -- Your use of Altera Corporation's design tools, logic functions and other -- software and tools, and its AMPP partner logic functions, and any output -- files any of the foregoing (including device programming or simulation -- files), and any associated documentation or information are expressly subject -- to the terms and conditions of the Altera Program License Subscription -- Agreement, Altera MegaCore Function License Agreement, or other applicable -- license agreement, including, without limitation, that your use is for the -- sole purpose of programming logic devices manufactured by Altera and sold by -- Altera or its authorized distributors. Please refer to the applicable -- agreement for further details. library IEEE; use IEEE.std_logic_1164.all; package dspba_library_package is component dspba_delay is generic ( width : natural; depth : natural; reset_high : std_logic := '1' ); port ( clk : in std_logic; aclr : in std_logic; ena : in std_logic := '1'; xin : in std_logic_vector(width-1 downto 0); xout : out std_logic_vector(width-1 downto 0) ); end component; end dspba_library_package;
-- (C) 2012 Altera Corporation. All rights reserved. -- Your use of Altera Corporation's design tools, logic functions and other -- software and tools, and its AMPP partner logic functions, and any output -- files any of the foregoing (including device programming or simulation -- files), and any associated documentation or information are expressly subject -- to the terms and conditions of the Altera Program License Subscription -- Agreement, Altera MegaCore Function License Agreement, or other applicable -- license agreement, including, without limitation, that your use is for the -- sole purpose of programming logic devices manufactured by Altera and sold by -- Altera or its authorized distributors. Please refer to the applicable -- agreement for further details. library IEEE; use IEEE.std_logic_1164.all; package dspba_library_package is component dspba_delay is generic ( width : natural; depth : natural; reset_high : std_logic := '1' ); port ( clk : in std_logic; aclr : in std_logic; ena : in std_logic := '1'; xin : in std_logic_vector(width-1 downto 0); xout : out std_logic_vector(width-1 downto 0) ); end component; end dspba_library_package;
-- (C) 2012 Altera Corporation. All rights reserved. -- Your use of Altera Corporation's design tools, logic functions and other -- software and tools, and its AMPP partner logic functions, and any output -- files any of the foregoing (including device programming or simulation -- files), and any associated documentation or information are expressly subject -- to the terms and conditions of the Altera Program License Subscription -- Agreement, Altera MegaCore Function License Agreement, or other applicable -- license agreement, including, without limitation, that your use is for the -- sole purpose of programming logic devices manufactured by Altera and sold by -- Altera or its authorized distributors. Please refer to the applicable -- agreement for further details. library IEEE; use IEEE.std_logic_1164.all; package dspba_library_package is component dspba_delay is generic ( width : natural; depth : natural; reset_high : std_logic := '1' ); port ( clk : in std_logic; aclr : in std_logic; ena : in std_logic := '1'; xin : in std_logic_vector(width-1 downto 0); xout : out std_logic_vector(width-1 downto 0) ); end component; end dspba_library_package;
-- (C) 2012 Altera Corporation. All rights reserved. -- Your use of Altera Corporation's design tools, logic functions and other -- software and tools, and its AMPP partner logic functions, and any output -- files any of the foregoing (including device programming or simulation -- files), and any associated documentation or information are expressly subject -- to the terms and conditions of the Altera Program License Subscription -- Agreement, Altera MegaCore Function License Agreement, or other applicable -- license agreement, including, without limitation, that your use is for the -- sole purpose of programming logic devices manufactured by Altera and sold by -- Altera or its authorized distributors. Please refer to the applicable -- agreement for further details. library IEEE; use IEEE.std_logic_1164.all; package dspba_library_package is component dspba_delay is generic ( width : natural; depth : natural; reset_high : std_logic := '1' ); port ( clk : in std_logic; aclr : in std_logic; ena : in std_logic := '1'; xin : in std_logic_vector(width-1 downto 0); xout : out std_logic_vector(width-1 downto 0) ); end component; end dspba_library_package;
-- (C) 2012 Altera Corporation. All rights reserved. -- Your use of Altera Corporation's design tools, logic functions and other -- software and tools, and its AMPP partner logic functions, and any output -- files any of the foregoing (including device programming or simulation -- files), and any associated documentation or information are expressly subject -- to the terms and conditions of the Altera Program License Subscription -- Agreement, Altera MegaCore Function License Agreement, or other applicable -- license agreement, including, without limitation, that your use is for the -- sole purpose of programming logic devices manufactured by Altera and sold by -- Altera or its authorized distributors. Please refer to the applicable -- agreement for further details. library IEEE; use IEEE.std_logic_1164.all; package dspba_library_package is component dspba_delay is generic ( width : natural; depth : natural; reset_high : std_logic := '1' ); port ( clk : in std_logic; aclr : in std_logic; ena : in std_logic := '1'; xin : in std_logic_vector(width-1 downto 0); xout : out std_logic_vector(width-1 downto 0) ); end component; end dspba_library_package;
-- (C) 2012 Altera Corporation. All rights reserved. -- Your use of Altera Corporation's design tools, logic functions and other -- software and tools, and its AMPP partner logic functions, and any output -- files any of the foregoing (including device programming or simulation -- files), and any associated documentation or information are expressly subject -- to the terms and conditions of the Altera Program License Subscription -- Agreement, Altera MegaCore Function License Agreement, or other applicable -- license agreement, including, without limitation, that your use is for the -- sole purpose of programming logic devices manufactured by Altera and sold by -- Altera or its authorized distributors. Please refer to the applicable -- agreement for further details. library IEEE; use IEEE.std_logic_1164.all; package dspba_library_package is component dspba_delay is generic ( width : natural; depth : natural; reset_high : std_logic := '1' ); port ( clk : in std_logic; aclr : in std_logic; ena : in std_logic := '1'; xin : in std_logic_vector(width-1 downto 0); xout : out std_logic_vector(width-1 downto 0) ); end component; end dspba_library_package;
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity alien is port( clk, not_reset: in std_logic; px_x, px_y: in std_logic_vector(9 downto 0); master_coord_x, master_coord_y: in std_logic_vector(9 downto 0); missile_coord_x, missile_coord_y: in std_logic_vector(9 downto 0); restart: in std_logic; destroyed: out std_logic; defeated: out std_logic; explosion_x, explosion_y: out std_logic_vector(9 downto 0); rgb_pixel: out std_logic_vector(0 to 2) ); end alien; architecture generator of alien is -- width of the alien area (8 * 32) constant A_WIDTH: integer := 256; constant A_HEIGHT: integer := 32; -- 3rd level aliens are at the bottom (64px below master coord) constant OFFSET: integer := 64; constant FRAME_DELAY: integer := 50000000; signal output_enable: std_logic; -- address is made of row and column adresses -- addr <= (row_address & col_address); signal addr: std_logic_vector(9 downto 0); signal row_address, col_address: std_logic_vector(4 downto 0); signal origin_x, origin_y: std_logic_vector(9 downto 0); signal relative_x: std_logic_vector(9 downto 0); signal missile_relative_x: std_logic_vector(9 downto 0); signal position_in_frame: std_logic_vector(4 downto 0); -- whether missile is in alien zone signal missile_arrived: std_logic; signal attacked_alien: std_logic_vector(2 downto 0); signal destruction: std_logic; -- condition of aliens: left (0) to right (7) signal alive, alive_next: std_logic_vector(0 to 7); signal alien_alive: std_logic; signal frame, frame_next: std_logic; signal frame_counter, frame_counter_next: std_logic_vector(24 downto 0); signal alien_rgb, alien11_rgb, alien12_rgb: std_logic_vector(2 downto 0); -- which alien is currently being drawn -- leftmost = 0, rightmost = 7 signal alien_number: std_logic_vector(2 downto 0); begin process(clk, not_reset) begin if not_reset = '0' then frame <= '0'; frame_counter <= (others => '0'); alive <= (others => '1'); elsif falling_edge(clk) then frame <= frame_next; frame_counter <= frame_counter_next; alive <= alive_next; end if; end process; missile_arrived <= '1' when missile_coord_y < master_coord_y + OFFSET + A_HEIGHT and missile_coord_x > master_coord_x and missile_coord_x < master_coord_x + A_WIDTH else '0'; missile_relative_x <= (missile_coord_x - master_coord_x) when missile_arrived = '1' else (others => '0'); attacked_alien <= missile_relative_x(7 downto 5) when missile_arrived = '1' else (others => '0'); position_in_frame <= missile_relative_x(4 downto 0) when missile_arrived = '1' else (others => '0'); process(missile_coord_x, master_coord_x, missile_arrived, alive, position_in_frame, restart) begin destruction <= '0'; alive_next <= alive; if restart = '1' then alive_next <= (others => '1'); elsif missile_arrived = '1' and alive(conv_integer(attacked_alien)) = '1' and position_in_frame > 0 and position_in_frame < 29 then destruction <= '1'; alive_next(conv_integer(attacked_alien)) <= '0'; end if; end process; relative_x <= px_x - master_coord_x; alien_number <= relative_x(7 downto 5); alien_alive <= alive(conv_integer(alien_number)); frame_counter_next <= frame_counter + 1 when frame_counter < FRAME_DELAY else (others => '0'); frame_next <= (not frame) when frame_counter = 0 else frame; output_enable <= '1' when (alien_alive = '1' and px_x >= master_coord_x and px_x < master_coord_x + A_WIDTH and px_y >= master_coord_y + OFFSET and px_y < master_coord_y + OFFSET + A_HEIGHT) else '0'; row_address <= px_y(4 downto 0) - master_coord_y(4 downto 0); col_address <= px_x(4 downto 0) - master_coord_x(4 downto 0); addr <= row_address & col_address; alien_rgb <= alien11_rgb when frame = '0' else alien12_rgb; rgb_pixel <= alien_rgb when output_enable = '1' else (others => '0'); destroyed <= destruction; -- attacked alien number is multiplied by 32 origin_x <= master_coord_x + (attacked_alien & "00000"); origin_y <= master_coord_y + OFFSET; explosion_x <= origin_x; explosion_y <= origin_y; defeated <= '1' when alive = 0 else '0'; alien_11: entity work.alien11_rom(content) port map(addr => addr, data => alien11_rgb); alien_12: entity work.alien12_rom(content) port map(addr => addr, data => alien12_rgb); end generator;
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity alien is port( clk, not_reset: in std_logic; px_x, px_y: in std_logic_vector(9 downto 0); master_coord_x, master_coord_y: in std_logic_vector(9 downto 0); missile_coord_x, missile_coord_y: in std_logic_vector(9 downto 0); restart: in std_logic; destroyed: out std_logic; defeated: out std_logic; explosion_x, explosion_y: out std_logic_vector(9 downto 0); rgb_pixel: out std_logic_vector(0 to 2) ); end alien; architecture generator of alien is -- width of the alien area (8 * 32) constant A_WIDTH: integer := 256; constant A_HEIGHT: integer := 32; -- 3rd level aliens are at the bottom (64px below master coord) constant OFFSET: integer := 64; constant FRAME_DELAY: integer := 50000000; signal output_enable: std_logic; -- address is made of row and column adresses -- addr <= (row_address & col_address); signal addr: std_logic_vector(9 downto 0); signal row_address, col_address: std_logic_vector(4 downto 0); signal origin_x, origin_y: std_logic_vector(9 downto 0); signal relative_x: std_logic_vector(9 downto 0); signal missile_relative_x: std_logic_vector(9 downto 0); signal position_in_frame: std_logic_vector(4 downto 0); -- whether missile is in alien zone signal missile_arrived: std_logic; signal attacked_alien: std_logic_vector(2 downto 0); signal destruction: std_logic; -- condition of aliens: left (0) to right (7) signal alive, alive_next: std_logic_vector(0 to 7); signal alien_alive: std_logic; signal frame, frame_next: std_logic; signal frame_counter, frame_counter_next: std_logic_vector(24 downto 0); signal alien_rgb, alien11_rgb, alien12_rgb: std_logic_vector(2 downto 0); -- which alien is currently being drawn -- leftmost = 0, rightmost = 7 signal alien_number: std_logic_vector(2 downto 0); begin process(clk, not_reset) begin if not_reset = '0' then frame <= '0'; frame_counter <= (others => '0'); alive <= (others => '1'); elsif falling_edge(clk) then frame <= frame_next; frame_counter <= frame_counter_next; alive <= alive_next; end if; end process; missile_arrived <= '1' when missile_coord_y < master_coord_y + OFFSET + A_HEIGHT and missile_coord_x > master_coord_x and missile_coord_x < master_coord_x + A_WIDTH else '0'; missile_relative_x <= (missile_coord_x - master_coord_x) when missile_arrived = '1' else (others => '0'); attacked_alien <= missile_relative_x(7 downto 5) when missile_arrived = '1' else (others => '0'); position_in_frame <= missile_relative_x(4 downto 0) when missile_arrived = '1' else (others => '0'); process(missile_coord_x, master_coord_x, missile_arrived, alive, position_in_frame, restart) begin destruction <= '0'; alive_next <= alive; if restart = '1' then alive_next <= (others => '1'); elsif missile_arrived = '1' and alive(conv_integer(attacked_alien)) = '1' and position_in_frame > 0 and position_in_frame < 29 then destruction <= '1'; alive_next(conv_integer(attacked_alien)) <= '0'; end if; end process; relative_x <= px_x - master_coord_x; alien_number <= relative_x(7 downto 5); alien_alive <= alive(conv_integer(alien_number)); frame_counter_next <= frame_counter + 1 when frame_counter < FRAME_DELAY else (others => '0'); frame_next <= (not frame) when frame_counter = 0 else frame; output_enable <= '1' when (alien_alive = '1' and px_x >= master_coord_x and px_x < master_coord_x + A_WIDTH and px_y >= master_coord_y + OFFSET and px_y < master_coord_y + OFFSET + A_HEIGHT) else '0'; row_address <= px_y(4 downto 0) - master_coord_y(4 downto 0); col_address <= px_x(4 downto 0) - master_coord_x(4 downto 0); addr <= row_address & col_address; alien_rgb <= alien11_rgb when frame = '0' else alien12_rgb; rgb_pixel <= alien_rgb when output_enable = '1' else (others => '0'); destroyed <= destruction; -- attacked alien number is multiplied by 32 origin_x <= master_coord_x + (attacked_alien & "00000"); origin_y <= master_coord_y + OFFSET; explosion_x <= origin_x; explosion_y <= origin_y; defeated <= '1' when alive = 0 else '0'; alien_11: entity work.alien11_rom(content) port map(addr => addr, data => alien11_rgb); alien_12: entity work.alien12_rom(content) port map(addr => addr, data => alien12_rgb); end generator;
-- generated with romgen v3.0.1r4 by MikeJ truhy and eD library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.numeric_std.all; library UNISIM; use UNISIM.Vcomponents.all; entity atomkernal is port ( CLK : in std_logic; ADDR : in std_logic_vector(11 downto 0); DATA : out std_logic_vector(7 downto 0) ); end; architecture RTL of atomkernal is signal rom_addr : std_logic_vector(11 downto 0); begin p_addr : process(ADDR) begin rom_addr <= (others => '0'); rom_addr(11 downto 0) <= ADDR; end process; p_rom : process begin wait until rising_edge(CLK); DATA <= (others => '0'); case rom_addr is when x"000" => DATA <= x"50"; when x"001" => DATA <= x"4C"; when x"002" => DATA <= x"4F"; when x"003" => DATA <= x"54"; when x"004" => DATA <= x"F5"; when x"005" => DATA <= x"4E"; when x"006" => DATA <= x"44"; when x"007" => DATA <= x"52"; when x"008" => DATA <= x"41"; when x"009" => DATA <= x"57"; when x"00A" => DATA <= x"F5"; when x"00B" => DATA <= x"42"; when x"00C" => DATA <= x"4D"; when x"00D" => DATA <= x"4F"; when x"00E" => DATA <= x"56"; when x"00F" => DATA <= x"45"; when x"010" => DATA <= x"F5"; when x"011" => DATA <= x"46"; when x"012" => DATA <= x"43"; when x"013" => DATA <= x"4C"; when x"014" => DATA <= x"45"; when x"015" => DATA <= x"41"; when x"016" => DATA <= x"52"; when x"017" => DATA <= x"F6"; when x"018" => DATA <= x"7B"; when x"019" => DATA <= x"44"; when x"01A" => DATA <= x"49"; when x"01B" => DATA <= x"4D"; when x"01C" => DATA <= x"F0"; when x"01D" => DATA <= x"AE"; when x"01E" => DATA <= x"5B"; when x"01F" => DATA <= x"F2"; when x"020" => DATA <= x"A1"; when x"021" => DATA <= x"4F"; when x"022" => DATA <= x"4C"; when x"023" => DATA <= x"44"; when x"024" => DATA <= x"F5"; when x"025" => DATA <= x"31"; when x"026" => DATA <= x"57"; when x"027" => DATA <= x"41"; when x"028" => DATA <= x"49"; when x"029" => DATA <= x"54"; when x"02A" => DATA <= x"F1"; when x"02B" => DATA <= x"4C"; when x"02C" => DATA <= x"C5"; when x"02D" => DATA <= x"50"; when x"02E" => DATA <= x"A4"; when x"02F" => DATA <= x"5E"; when x"030" => DATA <= x"B1"; when x"031" => DATA <= x"05"; when x"032" => DATA <= x"C9"; when x"033" => DATA <= x"40"; when x"034" => DATA <= x"90"; when x"035" => DATA <= x"12"; when x"036" => DATA <= x"C9"; when x"037" => DATA <= x"5B"; when x"038" => DATA <= x"B0"; when x"039" => DATA <= x"0E"; when x"03A" => DATA <= x"C8"; when x"03B" => DATA <= x"D1"; when x"03C" => DATA <= x"05"; when x"03D" => DATA <= x"D0"; when x"03E" => DATA <= x"09"; when x"03F" => DATA <= x"20"; when x"040" => DATA <= x"8B"; when x"041" => DATA <= x"F0"; when x"042" => DATA <= x"20"; when x"043" => DATA <= x"4F"; when x"044" => DATA <= x"C9"; when x"045" => DATA <= x"4C"; when x"046" => DATA <= x"62"; when x"047" => DATA <= x"C9"; when x"048" => DATA <= x"4C"; when x"049" => DATA <= x"24"; when x"04A" => DATA <= x"CA"; when x"04B" => DATA <= x"A2"; when x"04C" => DATA <= x"FF"; when x"04D" => DATA <= x"A4"; when x"04E" => DATA <= x"5E"; when x"04F" => DATA <= x"C6"; when x"050" => DATA <= x"5E"; when x"051" => DATA <= x"B1"; when x"052" => DATA <= x"05"; when x"053" => DATA <= x"C9"; when x"054" => DATA <= x"40"; when x"055" => DATA <= x"90"; when x"056" => DATA <= x"09"; when x"057" => DATA <= x"C9"; when x"058" => DATA <= x"5B"; when x"059" => DATA <= x"B0"; when x"05A" => DATA <= x"05"; when x"05B" => DATA <= x"C8"; when x"05C" => DATA <= x"D1"; when x"05D" => DATA <= x"05"; when x"05E" => DATA <= x"F0"; when x"05F" => DATA <= x"25"; when x"060" => DATA <= x"A4"; when x"061" => DATA <= x"5E"; when x"062" => DATA <= x"E8"; when x"063" => DATA <= x"C8"; when x"064" => DATA <= x"BD"; when x"065" => DATA <= x"00"; when x"066" => DATA <= x"F0"; when x"067" => DATA <= x"30"; when x"068" => DATA <= x"0C"; when x"069" => DATA <= x"D1"; when x"06A" => DATA <= x"05"; when x"06B" => DATA <= x"F0"; when x"06C" => DATA <= x"F5"; when x"06D" => DATA <= x"E8"; when x"06E" => DATA <= x"BD"; when x"06F" => DATA <= x"FF"; when x"070" => DATA <= x"EF"; when x"071" => DATA <= x"10"; when x"072" => DATA <= x"FA"; when x"073" => DATA <= x"D0"; when x"074" => DATA <= x"EB"; when x"075" => DATA <= x"85"; when x"076" => DATA <= x"53"; when x"077" => DATA <= x"BD"; when x"078" => DATA <= x"01"; when x"079" => DATA <= x"F0"; when x"07A" => DATA <= x"85"; when x"07B" => DATA <= x"52"; when x"07C" => DATA <= x"84"; when x"07D" => DATA <= x"03"; when x"07E" => DATA <= x"A6"; when x"07F" => DATA <= x"04"; when x"080" => DATA <= x"E6"; when x"081" => DATA <= x"5E"; when x"082" => DATA <= x"6C"; when x"083" => DATA <= x"52"; when x"084" => DATA <= x"00"; when x"085" => DATA <= x"20"; when x"086" => DATA <= x"8B"; when x"087" => DATA <= x"F0"; when x"088" => DATA <= x"4C"; when x"089" => DATA <= x"F1"; when x"08A" => DATA <= x"C3"; when x"08B" => DATA <= x"C8"; when x"08C" => DATA <= x"84"; when x"08D" => DATA <= x"03"; when x"08E" => DATA <= x"E9"; when x"08F" => DATA <= x"40"; when x"090" => DATA <= x"48"; when x"091" => DATA <= x"20"; when x"092" => DATA <= x"BC"; when x"093" => DATA <= x"C8"; when x"094" => DATA <= x"68"; when x"095" => DATA <= x"A8"; when x"096" => DATA <= x"B5"; when x"097" => DATA <= x"15"; when x"098" => DATA <= x"0A"; when x"099" => DATA <= x"36"; when x"09A" => DATA <= x"24"; when x"09B" => DATA <= x"0A"; when x"09C" => DATA <= x"36"; when x"09D" => DATA <= x"24"; when x"09E" => DATA <= x"18"; when x"09F" => DATA <= x"79"; when x"0A0" => DATA <= x"EB"; when x"0A1" => DATA <= x"02"; when x"0A2" => DATA <= x"95"; when x"0A3" => DATA <= x"15"; when x"0A4" => DATA <= x"B5"; when x"0A5" => DATA <= x"24"; when x"0A6" => DATA <= x"79"; when x"0A7" => DATA <= x"06"; when x"0A8" => DATA <= x"03"; when x"0A9" => DATA <= x"95"; when x"0AA" => DATA <= x"24"; when x"0AB" => DATA <= x"B0"; when x"0AC" => DATA <= x"D7"; when x"0AD" => DATA <= x"60"; when x"0AE" => DATA <= x"A5"; when x"0AF" => DATA <= x"01"; when x"0B0" => DATA <= x"05"; when x"0B1" => DATA <= x"02"; when x"0B2" => DATA <= x"F0"; when x"0B3" => DATA <= x"22"; when x"0B4" => DATA <= x"20"; when x"0B5" => DATA <= x"34"; when x"0B6" => DATA <= x"C4"; when x"0B7" => DATA <= x"90"; when x"0B8" => DATA <= x"1E"; when x"0B9" => DATA <= x"20"; when x"0BA" => DATA <= x"BC"; when x"0BB" => DATA <= x"C8"; when x"0BC" => DATA <= x"CA"; when x"0BD" => DATA <= x"CA"; when x"0BE" => DATA <= x"86"; when x"0BF" => DATA <= x"04"; when x"0C0" => DATA <= x"B4"; when x"0C1" => DATA <= x"16"; when x"0C2" => DATA <= x"38"; when x"0C3" => DATA <= x"A5"; when x"0C4" => DATA <= x"23"; when x"0C5" => DATA <= x"99"; when x"0C6" => DATA <= x"21"; when x"0C7" => DATA <= x"03"; when x"0C8" => DATA <= x"75"; when x"0C9" => DATA <= x"17"; when x"0CA" => DATA <= x"85"; when x"0CB" => DATA <= x"23"; when x"0CC" => DATA <= x"A5"; when x"0CD" => DATA <= x"24"; when x"0CE" => DATA <= x"99"; when x"0CF" => DATA <= x"3C"; when x"0D0" => DATA <= x"03"; when x"0D1" => DATA <= x"75"; when x"0D2" => DATA <= x"26"; when x"0D3" => DATA <= x"4C"; when x"0D4" => DATA <= x"19"; when x"0D5" => DATA <= x"F1"; when x"0D6" => DATA <= x"00"; when x"0D7" => DATA <= x"A4"; when x"0D8" => DATA <= x"03"; when x"0D9" => DATA <= x"B1"; when x"0DA" => DATA <= x"05"; when x"0DB" => DATA <= x"C9"; when x"0DC" => DATA <= x"40"; when x"0DD" => DATA <= x"90"; when x"0DE" => DATA <= x"F7"; when x"0DF" => DATA <= x"C9"; when x"0E0" => DATA <= x"5B"; when x"0E1" => DATA <= x"B0"; when x"0E2" => DATA <= x"F3"; when x"0E3" => DATA <= x"C8"; when x"0E4" => DATA <= x"D1"; when x"0E5" => DATA <= x"05"; when x"0E6" => DATA <= x"D0"; when x"0E7" => DATA <= x"EE"; when x"0E8" => DATA <= x"E9"; when x"0E9" => DATA <= x"40"; when x"0EA" => DATA <= x"48"; when x"0EB" => DATA <= x"C8"; when x"0EC" => DATA <= x"84"; when x"0ED" => DATA <= x"03"; when x"0EE" => DATA <= x"20"; when x"0EF" => DATA <= x"BC"; when x"0F0" => DATA <= x"C8"; when x"0F1" => DATA <= x"68"; when x"0F2" => DATA <= x"A8"; when x"0F3" => DATA <= x"A5"; when x"0F4" => DATA <= x"23"; when x"0F5" => DATA <= x"99"; when x"0F6" => DATA <= x"EB"; when x"0F7" => DATA <= x"02"; when x"0F8" => DATA <= x"A5"; when x"0F9" => DATA <= x"24"; when x"0FA" => DATA <= x"99"; when x"0FB" => DATA <= x"06"; when x"0FC" => DATA <= x"03"; when x"0FD" => DATA <= x"CA"; when x"0FE" => DATA <= x"86"; when x"0FF" => DATA <= x"04"; when x"100" => DATA <= x"B4"; when x"101" => DATA <= x"16"; when x"102" => DATA <= x"C8"; when x"103" => DATA <= x"D0"; when x"104" => DATA <= x"02"; when x"105" => DATA <= x"F6"; when x"106" => DATA <= x"25"; when x"107" => DATA <= x"98"; when x"108" => DATA <= x"0A"; when x"109" => DATA <= x"36"; when x"10A" => DATA <= x"25"; when x"10B" => DATA <= x"0A"; when x"10C" => DATA <= x"36"; when x"10D" => DATA <= x"25"; when x"10E" => DATA <= x"18"; when x"10F" => DATA <= x"65"; when x"110" => DATA <= x"23"; when x"111" => DATA <= x"85"; when x"112" => DATA <= x"23"; when x"113" => DATA <= x"B5"; when x"114" => DATA <= x"25"; when x"115" => DATA <= x"65"; when x"116" => DATA <= x"24"; when x"117" => DATA <= x"B0"; when x"118" => DATA <= x"BD"; when x"119" => DATA <= x"85"; when x"11A" => DATA <= x"24"; when x"11B" => DATA <= x"A0"; when x"11C" => DATA <= x"00"; when x"11D" => DATA <= x"A9"; when x"11E" => DATA <= x"AA"; when x"11F" => DATA <= x"91"; when x"120" => DATA <= x"23"; when x"121" => DATA <= x"D1"; when x"122" => DATA <= x"23"; when x"123" => DATA <= x"D0"; when x"124" => DATA <= x"F7"; when x"125" => DATA <= x"4A"; when x"126" => DATA <= x"91"; when x"127" => DATA <= x"23"; when x"128" => DATA <= x"D1"; when x"129" => DATA <= x"23"; when x"12A" => DATA <= x"D0"; when x"12B" => DATA <= x"F0"; when x"12C" => DATA <= x"20"; when x"12D" => DATA <= x"34"; when x"12E" => DATA <= x"C4"; when x"12F" => DATA <= x"B0"; when x"130" => DATA <= x"A5"; when x"131" => DATA <= x"A4"; when x"132" => DATA <= x"03"; when x"133" => DATA <= x"B1"; when x"134" => DATA <= x"05"; when x"135" => DATA <= x"C9"; when x"136" => DATA <= x"2C"; when x"137" => DATA <= x"D0"; when x"138" => DATA <= x"05"; when x"139" => DATA <= x"E6"; when x"13A" => DATA <= x"03"; when x"13B" => DATA <= x"4C"; when x"13C" => DATA <= x"AE"; when x"13D" => DATA <= x"F0"; when x"13E" => DATA <= x"4C"; when x"13F" => DATA <= x"58"; when x"140" => DATA <= x"C5"; when x"141" => DATA <= x"A5"; when x"142" => DATA <= x"0D"; when x"143" => DATA <= x"85"; when x"144" => DATA <= x"23"; when x"145" => DATA <= x"A5"; when x"146" => DATA <= x"0E"; when x"147" => DATA <= x"85"; when x"148" => DATA <= x"24"; when x"149" => DATA <= x"4C"; when x"14A" => DATA <= x"83"; when x"14B" => DATA <= x"CE"; when x"14C" => DATA <= x"20"; when x"14D" => DATA <= x"E4"; when x"14E" => DATA <= x"C4"; when x"14F" => DATA <= x"20"; when x"150" => DATA <= x"66"; when x"151" => DATA <= x"FE"; when x"152" => DATA <= x"4C"; when x"153" => DATA <= x"5B"; when x"154" => DATA <= x"C5"; when x"155" => DATA <= x"1C"; when x"156" => DATA <= x"8A"; when x"157" => DATA <= x"1C"; when x"158" => DATA <= x"23"; when x"159" => DATA <= x"5D"; when x"15A" => DATA <= x"8B"; when x"15B" => DATA <= x"1B"; when x"15C" => DATA <= x"A1"; when x"15D" => DATA <= x"9D"; when x"15E" => DATA <= x"8A"; when x"15F" => DATA <= x"1D"; when x"160" => DATA <= x"23"; when x"161" => DATA <= x"9D"; when x"162" => DATA <= x"8B"; when x"163" => DATA <= x"1D"; when x"164" => DATA <= x"A1"; when x"165" => DATA <= x"00"; when x"166" => DATA <= x"29"; when x"167" => DATA <= x"19"; when x"168" => DATA <= x"AE"; when x"169" => DATA <= x"69"; when x"16A" => DATA <= x"A8"; when x"16B" => DATA <= x"19"; when x"16C" => DATA <= x"23"; when x"16D" => DATA <= x"24"; when x"16E" => DATA <= x"53"; when x"16F" => DATA <= x"1B"; when x"170" => DATA <= x"23"; when x"171" => DATA <= x"24"; when x"172" => DATA <= x"53"; when x"173" => DATA <= x"19"; when x"174" => DATA <= x"A1"; when x"175" => DATA <= x"00"; when x"176" => DATA <= x"1A"; when x"177" => DATA <= x"5B"; when x"178" => DATA <= x"5B"; when x"179" => DATA <= x"A5"; when x"17A" => DATA <= x"69"; when x"17B" => DATA <= x"24"; when x"17C" => DATA <= x"24"; when x"17D" => DATA <= x"AE"; when x"17E" => DATA <= x"AE"; when x"17F" => DATA <= x"A8"; when x"180" => DATA <= x"AD"; when x"181" => DATA <= x"29"; when x"182" => DATA <= x"00"; when x"183" => DATA <= x"7C"; when x"184" => DATA <= x"00"; when x"185" => DATA <= x"15"; when x"186" => DATA <= x"9C"; when x"187" => DATA <= x"6D"; when x"188" => DATA <= x"9C"; when x"189" => DATA <= x"A5"; when x"18A" => DATA <= x"69"; when x"18B" => DATA <= x"29"; when x"18C" => DATA <= x"53"; when x"18D" => DATA <= x"84"; when x"18E" => DATA <= x"13"; when x"18F" => DATA <= x"34"; when x"190" => DATA <= x"11"; when x"191" => DATA <= x"A5"; when x"192" => DATA <= x"69"; when x"193" => DATA <= x"23"; when x"194" => DATA <= x"A0"; when x"195" => DATA <= x"D8"; when x"196" => DATA <= x"62"; when x"197" => DATA <= x"5A"; when x"198" => DATA <= x"48"; when x"199" => DATA <= x"26"; when x"19A" => DATA <= x"62"; when x"19B" => DATA <= x"94"; when x"19C" => DATA <= x"88"; when x"19D" => DATA <= x"54"; when x"19E" => DATA <= x"44"; when x"19F" => DATA <= x"C8"; when x"1A0" => DATA <= x"54"; when x"1A1" => DATA <= x"68"; when x"1A2" => DATA <= x"44"; when x"1A3" => DATA <= x"E8"; when x"1A4" => DATA <= x"94"; when x"1A5" => DATA <= x"00"; when x"1A6" => DATA <= x"B4"; when x"1A7" => DATA <= x"08"; when x"1A8" => DATA <= x"84"; when x"1A9" => DATA <= x"74"; when x"1AA" => DATA <= x"B4"; when x"1AB" => DATA <= x"28"; when x"1AC" => DATA <= x"6E"; when x"1AD" => DATA <= x"74"; when x"1AE" => DATA <= x"F4"; when x"1AF" => DATA <= x"CC"; when x"1B0" => DATA <= x"4A"; when x"1B1" => DATA <= x"72"; when x"1B2" => DATA <= x"F2"; when x"1B3" => DATA <= x"A4"; when x"1B4" => DATA <= x"8A"; when x"1B5" => DATA <= x"00"; when x"1B6" => DATA <= x"AA"; when x"1B7" => DATA <= x"A2"; when x"1B8" => DATA <= x"A2"; when x"1B9" => DATA <= x"74"; when x"1BA" => DATA <= x"74"; when x"1BB" => DATA <= x"74"; when x"1BC" => DATA <= x"72"; when x"1BD" => DATA <= x"44"; when x"1BE" => DATA <= x"68"; when x"1BF" => DATA <= x"B2"; when x"1C0" => DATA <= x"32"; when x"1C1" => DATA <= x"B2"; when x"1C2" => DATA <= x"00"; when x"1C3" => DATA <= x"22"; when x"1C4" => DATA <= x"00"; when x"1C5" => DATA <= x"1A"; when x"1C6" => DATA <= x"1A"; when x"1C7" => DATA <= x"26"; when x"1C8" => DATA <= x"26"; when x"1C9" => DATA <= x"72"; when x"1CA" => DATA <= x"72"; when x"1CB" => DATA <= x"88"; when x"1CC" => DATA <= x"C8"; when x"1CD" => DATA <= x"C4"; when x"1CE" => DATA <= x"CA"; when x"1CF" => DATA <= x"26"; when x"1D0" => DATA <= x"48"; when x"1D1" => DATA <= x"44"; when x"1D2" => DATA <= x"44"; when x"1D3" => DATA <= x"A2"; when x"1D4" => DATA <= x"C8"; when x"1D5" => DATA <= x"00"; when x"1D6" => DATA <= x"02"; when x"1D7" => DATA <= x"00"; when x"1D8" => DATA <= x"08"; when x"1D9" => DATA <= x"F2"; when x"1DA" => DATA <= x"FF"; when x"1DB" => DATA <= x"80"; when x"1DC" => DATA <= x"01"; when x"1DD" => DATA <= x"C0"; when x"1DE" => DATA <= x"E2"; when x"1DF" => DATA <= x"C0"; when x"1E0" => DATA <= x"C0"; when x"1E1" => DATA <= x"FF"; when x"1E2" => DATA <= x"00"; when x"1E3" => DATA <= x"00"; when x"1E4" => DATA <= x"08"; when x"1E5" => DATA <= x"00"; when x"1E6" => DATA <= x"10"; when x"1E7" => DATA <= x"80"; when x"1E8" => DATA <= x"40"; when x"1E9" => DATA <= x"C0"; when x"1EA" => DATA <= x"00"; when x"1EB" => DATA <= x"C0"; when x"1EC" => DATA <= x"00"; when x"1ED" => DATA <= x"40"; when x"1EE" => DATA <= x"00"; when x"1EF" => DATA <= x"00"; when x"1F0" => DATA <= x"E4"; when x"1F1" => DATA <= x"20"; when x"1F2" => DATA <= x"80"; when x"1F3" => DATA <= x"00"; when x"1F4" => DATA <= x"FC"; when x"1F5" => DATA <= x"00"; when x"1F6" => DATA <= x"08"; when x"1F7" => DATA <= x"08"; when x"1F8" => DATA <= x"F8"; when x"1F9" => DATA <= x"FC"; when x"1FA" => DATA <= x"F4"; when x"1FB" => DATA <= x"0C"; when x"1FC" => DATA <= x"10"; when x"1FD" => DATA <= x"04"; when x"1FE" => DATA <= x"F4"; when x"1FF" => DATA <= x"00"; when x"200" => DATA <= x"20"; when x"201" => DATA <= x"10"; when x"202" => DATA <= x"00"; when x"203" => DATA <= x"00"; when x"204" => DATA <= x"0F"; when x"205" => DATA <= x"01"; when x"206" => DATA <= x"01"; when x"207" => DATA <= x"01"; when x"208" => DATA <= x"11"; when x"209" => DATA <= x"11"; when x"20A" => DATA <= x"02"; when x"20B" => DATA <= x"02"; when x"20C" => DATA <= x"11"; when x"20D" => DATA <= x"11"; when x"20E" => DATA <= x"02"; when x"20F" => DATA <= x"12"; when x"210" => DATA <= x"02"; when x"211" => DATA <= x"00"; when x"212" => DATA <= x"08"; when x"213" => DATA <= x"10"; when x"214" => DATA <= x"18"; when x"215" => DATA <= x"20"; when x"216" => DATA <= x"28"; when x"217" => DATA <= x"30"; when x"218" => DATA <= x"38"; when x"219" => DATA <= x"40"; when x"21A" => DATA <= x"48"; when x"21B" => DATA <= x"50"; when x"21C" => DATA <= x"58"; when x"21D" => DATA <= x"60"; when x"21E" => DATA <= x"68"; when x"21F" => DATA <= x"70"; when x"220" => DATA <= x"78"; when x"221" => DATA <= x"80"; when x"222" => DATA <= x"88"; when x"223" => DATA <= x"90"; when x"224" => DATA <= x"98"; when x"225" => DATA <= x"A0"; when x"226" => DATA <= x"A8"; when x"227" => DATA <= x"B0"; when x"228" => DATA <= x"B8"; when x"229" => DATA <= x"C0"; when x"22A" => DATA <= x"C8"; when x"22B" => DATA <= x"D0"; when x"22C" => DATA <= x"D8"; when x"22D" => DATA <= x"E0"; when x"22E" => DATA <= x"E8"; when x"22F" => DATA <= x"F0"; when x"230" => DATA <= x"F8"; when x"231" => DATA <= x"0C"; when x"232" => DATA <= x"2C"; when x"233" => DATA <= x"4C"; when x"234" => DATA <= x"4C"; when x"235" => DATA <= x"8C"; when x"236" => DATA <= x"AC"; when x"237" => DATA <= x"CC"; when x"238" => DATA <= x"EC"; when x"239" => DATA <= x"8A"; when x"23A" => DATA <= x"9A"; when x"23B" => DATA <= x"AA"; when x"23C" => DATA <= x"BA"; when x"23D" => DATA <= x"CA"; when x"23E" => DATA <= x"DA"; when x"23F" => DATA <= x"EA"; when x"240" => DATA <= x"FA"; when x"241" => DATA <= x"0E"; when x"242" => DATA <= x"2E"; when x"243" => DATA <= x"4E"; when x"244" => DATA <= x"6E"; when x"245" => DATA <= x"8E"; when x"246" => DATA <= x"AE"; when x"247" => DATA <= x"CE"; when x"248" => DATA <= x"EE"; when x"249" => DATA <= x"0D"; when x"24A" => DATA <= x"2D"; when x"24B" => DATA <= x"4D"; when x"24C" => DATA <= x"6D"; when x"24D" => DATA <= x"8D"; when x"24E" => DATA <= x"AD"; when x"24F" => DATA <= x"CD"; when x"250" => DATA <= x"ED"; when x"251" => DATA <= x"0D"; when x"252" => DATA <= x"0D"; when x"253" => DATA <= x"0C"; when x"254" => DATA <= x"0D"; when x"255" => DATA <= x"0E"; when x"256" => DATA <= x"0D"; when x"257" => DATA <= x"0C"; when x"258" => DATA <= x"0D"; when x"259" => DATA <= x"0D"; when x"25A" => DATA <= x"0D"; when x"25B" => DATA <= x"0C"; when x"25C" => DATA <= x"0D"; when x"25D" => DATA <= x"0D"; when x"25E" => DATA <= x"0D"; when x"25F" => DATA <= x"0C"; when x"260" => DATA <= x"0D"; when x"261" => DATA <= x"0F"; when x"262" => DATA <= x"0D"; when x"263" => DATA <= x"0C"; when x"264" => DATA <= x"0D"; when x"265" => DATA <= x"09"; when x"266" => DATA <= x"0D"; when x"267" => DATA <= x"0C"; when x"268" => DATA <= x"0D"; when x"269" => DATA <= x"08"; when x"26A" => DATA <= x"0D"; when x"26B" => DATA <= x"0C"; when x"26C" => DATA <= x"0D"; when x"26D" => DATA <= x"08"; when x"26E" => DATA <= x"0D"; when x"26F" => DATA <= x"0C"; when x"270" => DATA <= x"0D"; when x"271" => DATA <= x"0F"; when x"272" => DATA <= x"06"; when x"273" => DATA <= x"0B"; when x"274" => DATA <= x"0B"; when x"275" => DATA <= x"04"; when x"276" => DATA <= x"0A"; when x"277" => DATA <= x"08"; when x"278" => DATA <= x"08"; when x"279" => DATA <= x"0D"; when x"27A" => DATA <= x"0D"; when x"27B" => DATA <= x"0D"; when x"27C" => DATA <= x"0D"; when x"27D" => DATA <= x"0D"; when x"27E" => DATA <= x"0F"; when x"27F" => DATA <= x"0D"; when x"280" => DATA <= x"0F"; when x"281" => DATA <= x"07"; when x"282" => DATA <= x"07"; when x"283" => DATA <= x"07"; when x"284" => DATA <= x"07"; when x"285" => DATA <= x"05"; when x"286" => DATA <= x"09"; when x"287" => DATA <= x"03"; when x"288" => DATA <= x"03"; when x"289" => DATA <= x"01"; when x"28A" => DATA <= x"01"; when x"28B" => DATA <= x"01"; when x"28C" => DATA <= x"01"; when x"28D" => DATA <= x"02"; when x"28E" => DATA <= x"01"; when x"28F" => DATA <= x"01"; when x"290" => DATA <= x"01"; when x"291" => DATA <= x"A4"; when x"292" => DATA <= x"03"; when x"293" => DATA <= x"B1"; when x"294" => DATA <= x"05"; when x"295" => DATA <= x"E6"; when x"296" => DATA <= x"03"; when x"297" => DATA <= x"C9"; when x"298" => DATA <= x"20"; when x"299" => DATA <= x"F0"; when x"29A" => DATA <= x"F6"; when x"29B" => DATA <= x"60"; when x"29C" => DATA <= x"E6"; when x"29D" => DATA <= x"03"; when x"29E" => DATA <= x"4C"; when x"29F" => DATA <= x"1B"; when x"2A0" => DATA <= x"C3"; when x"2A1" => DATA <= x"B1"; when x"2A2" => DATA <= x"05"; when x"2A3" => DATA <= x"C9"; when x"2A4" => DATA <= x"5D"; when x"2A5" => DATA <= x"F0"; when x"2A6" => DATA <= x"F5"; when x"2A7" => DATA <= x"20"; when x"2A8" => DATA <= x"F6"; when x"2A9" => DATA <= x"C4"; when x"2AA" => DATA <= x"C6"; when x"2AB" => DATA <= x"03"; when x"2AC" => DATA <= x"20"; when x"2AD" => DATA <= x"8E"; when x"2AE" => DATA <= x"F3"; when x"2AF" => DATA <= x"C6"; when x"2B0" => DATA <= x"03"; when x"2B1" => DATA <= x"A5"; when x"2B2" => DATA <= x"52"; when x"2B3" => DATA <= x"48"; when x"2B4" => DATA <= x"A5"; when x"2B5" => DATA <= x"53"; when x"2B6" => DATA <= x"48"; when x"2B7" => DATA <= x"AD"; when x"2B8" => DATA <= x"21"; when x"2B9" => DATA <= x"03"; when x"2BA" => DATA <= x"48"; when x"2BB" => DATA <= x"A9"; when x"2BC" => DATA <= x"00"; when x"2BD" => DATA <= x"85"; when x"2BE" => DATA <= x"34"; when x"2BF" => DATA <= x"85"; when x"2C0" => DATA <= x"43"; when x"2C1" => DATA <= x"A9"; when x"2C2" => DATA <= x"05"; when x"2C3" => DATA <= x"8D"; when x"2C4" => DATA <= x"21"; when x"2C5" => DATA <= x"03"; when x"2C6" => DATA <= x"A5"; when x"2C7" => DATA <= x"01"; when x"2C8" => DATA <= x"85"; when x"2C9" => DATA <= x"16"; when x"2CA" => DATA <= x"A5"; when x"2CB" => DATA <= x"02"; when x"2CC" => DATA <= x"85"; when x"2CD" => DATA <= x"25"; when x"2CE" => DATA <= x"20"; when x"2CF" => DATA <= x"89"; when x"2D0" => DATA <= x"C5"; when x"2D1" => DATA <= x"20"; when x"2D2" => DATA <= x"79"; when x"2D3" => DATA <= x"F3"; when x"2D4" => DATA <= x"68"; when x"2D5" => DATA <= x"8D"; when x"2D6" => DATA <= x"21"; when x"2D7" => DATA <= x"03"; when x"2D8" => DATA <= x"68"; when x"2D9" => DATA <= x"20"; when x"2DA" => DATA <= x"7E"; when x"2DB" => DATA <= x"F3"; when x"2DC" => DATA <= x"68"; when x"2DD" => DATA <= x"20"; when x"2DE" => DATA <= x"76"; when x"2DF" => DATA <= x"F3"; when x"2E0" => DATA <= x"A0"; when x"2E1" => DATA <= x"00"; when x"2E2" => DATA <= x"C4"; when x"2E3" => DATA <= x"00"; when x"2E4" => DATA <= x"F0"; when x"2E5" => DATA <= x"09"; when x"2E6" => DATA <= x"B9"; when x"2E7" => DATA <= x"66"; when x"2E8" => DATA <= x"00"; when x"2E9" => DATA <= x"20"; when x"2EA" => DATA <= x"76"; when x"2EB" => DATA <= x"F3"; when x"2EC" => DATA <= x"C8"; when x"2ED" => DATA <= x"D0"; when x"2EE" => DATA <= x"F3"; when x"2EF" => DATA <= x"C0"; when x"2F0" => DATA <= x"03"; when x"2F1" => DATA <= x"F0"; when x"2F2" => DATA <= x"0C"; when x"2F3" => DATA <= x"20"; when x"2F4" => DATA <= x"79"; when x"2F5" => DATA <= x"F3"; when x"2F6" => DATA <= x"20"; when x"2F7" => DATA <= x"4C"; when x"2F8" => DATA <= x"CA"; when x"2F9" => DATA <= x"20"; when x"2FA" => DATA <= x"4C"; when x"2FB" => DATA <= x"CA"; when x"2FC" => DATA <= x"C8"; when x"2FD" => DATA <= x"D0"; when x"2FE" => DATA <= x"F0"; when x"2FF" => DATA <= x"A0"; when x"300" => DATA <= x"00"; when x"301" => DATA <= x"B1"; when x"302" => DATA <= x"05"; when x"303" => DATA <= x"C9"; when x"304" => DATA <= x"3B"; when x"305" => DATA <= x"F0"; when x"306" => DATA <= x"0A"; when x"307" => DATA <= x"C9"; when x"308" => DATA <= x"0D"; when x"309" => DATA <= x"F0"; when x"30A" => DATA <= x"06"; when x"30B" => DATA <= x"20"; when x"30C" => DATA <= x"4C"; when x"30D" => DATA <= x"CA"; when x"30E" => DATA <= x"C8"; when x"30F" => DATA <= x"D0"; when x"310" => DATA <= x"F0"; when x"311" => DATA <= x"20"; when x"312" => DATA <= x"54"; when x"313" => DATA <= x"CD"; when x"314" => DATA <= x"20"; when x"315" => DATA <= x"E4"; when x"316" => DATA <= x"C4"; when x"317" => DATA <= x"88"; when x"318" => DATA <= x"B1"; when x"319" => DATA <= x"05"; when x"31A" => DATA <= x"C8"; when x"31B" => DATA <= x"C9"; when x"31C" => DATA <= x"3B"; when x"31D" => DATA <= x"F0"; when x"31E" => DATA <= x"0C"; when x"31F" => DATA <= x"A5"; when x"320" => DATA <= x"06"; when x"321" => DATA <= x"C9"; when x"322" => DATA <= x"01"; when x"323" => DATA <= x"D0"; when x"324" => DATA <= x"03"; when x"325" => DATA <= x"4C"; when x"326" => DATA <= x"CF"; when x"327" => DATA <= x"C2"; when x"328" => DATA <= x"20"; when x"329" => DATA <= x"1D"; when x"32A" => DATA <= x"C5"; when x"32B" => DATA <= x"4C"; when x"32C" => DATA <= x"A1"; when x"32D" => DATA <= x"F2"; when x"32E" => DATA <= x"20"; when x"32F" => DATA <= x"91"; when x"330" => DATA <= x"F2"; when x"331" => DATA <= x"85"; when x"332" => DATA <= x"66"; when x"333" => DATA <= x"20"; when x"334" => DATA <= x"91"; when x"335" => DATA <= x"F2"; when x"336" => DATA <= x"C5"; when x"337" => DATA <= x"66"; when x"338" => DATA <= x"D0"; when x"339" => DATA <= x"10"; when x"33A" => DATA <= x"C9"; when x"33B" => DATA <= x"40"; when x"33C" => DATA <= x"90"; when x"33D" => DATA <= x"0C"; when x"33E" => DATA <= x"C9"; when x"33F" => DATA <= x"5B"; when x"340" => DATA <= x"B0"; when x"341" => DATA <= x"08"; when x"342" => DATA <= x"38"; when x"343" => DATA <= x"20"; when x"344" => DATA <= x"8E"; when x"345" => DATA <= x"F0"; when x"346" => DATA <= x"20"; when x"347" => DATA <= x"CB"; when x"348" => DATA <= x"C3"; when x"349" => DATA <= x"A0"; when x"34A" => DATA <= x"00"; when x"34B" => DATA <= x"AD"; when x"34C" => DATA <= x"31"; when x"34D" => DATA <= x"03"; when x"34E" => DATA <= x"91"; when x"34F" => DATA <= x"52"; when x"350" => DATA <= x"AD"; when x"351" => DATA <= x"4C"; when x"352" => DATA <= x"03"; when x"353" => DATA <= x"C8"; when x"354" => DATA <= x"91"; when x"355" => DATA <= x"52"; when x"356" => DATA <= x"A9"; when x"357" => DATA <= x"00"; when x"358" => DATA <= x"C8"; when x"359" => DATA <= x"91"; when x"35A" => DATA <= x"52"; when x"35B" => DATA <= x"C8"; when x"35C" => DATA <= x"91"; when x"35D" => DATA <= x"52"; when x"35E" => DATA <= x"D0"; when x"35F" => DATA <= x"36"; when x"360" => DATA <= x"20"; when x"361" => DATA <= x"91"; when x"362" => DATA <= x"F2"; when x"363" => DATA <= x"C9"; when x"364" => DATA <= x"3B"; when x"365" => DATA <= x"F0"; when x"366" => DATA <= x"04"; when x"367" => DATA <= x"C9"; when x"368" => DATA <= x"0D"; when x"369" => DATA <= x"D0"; when x"36A" => DATA <= x"F5"; when x"36B" => DATA <= x"AD"; when x"36C" => DATA <= x"31"; when x"36D" => DATA <= x"03"; when x"36E" => DATA <= x"85"; when x"36F" => DATA <= x"52"; when x"370" => DATA <= x"AD"; when x"371" => DATA <= x"4C"; when x"372" => DATA <= x"03"; when x"373" => DATA <= x"85"; when x"374" => DATA <= x"53"; when x"375" => DATA <= x"60"; when x"376" => DATA <= x"20"; when x"377" => DATA <= x"7E"; when x"378" => DATA <= x"F3"; when x"379" => DATA <= x"A9"; when x"37A" => DATA <= x"20"; when x"37B" => DATA <= x"4C"; when x"37C" => DATA <= x"4C"; when x"37D" => DATA <= x"CA"; when x"37E" => DATA <= x"A2"; when x"37F" => DATA <= x"FF"; when x"380" => DATA <= x"48"; when x"381" => DATA <= x"4A"; when x"382" => DATA <= x"4A"; when x"383" => DATA <= x"4A"; when x"384" => DATA <= x"4A"; when x"385" => DATA <= x"20"; when x"386" => DATA <= x"F9"; when x"387" => DATA <= x"C5"; when x"388" => DATA <= x"68"; when x"389" => DATA <= x"29"; when x"38A" => DATA <= x"0F"; when x"38B" => DATA <= x"4C"; when x"38C" => DATA <= x"F9"; when x"38D" => DATA <= x"C5"; when x"38E" => DATA <= x"A2"; when x"38F" => DATA <= x"00"; when x"390" => DATA <= x"86"; when x"391" => DATA <= x"00"; when x"392" => DATA <= x"86"; when x"393" => DATA <= x"64"; when x"394" => DATA <= x"86"; when x"395" => DATA <= x"65"; when x"396" => DATA <= x"20"; when x"397" => DATA <= x"91"; when x"398" => DATA <= x"F2"; when x"399" => DATA <= x"C9"; when x"39A" => DATA <= x"3A"; when x"39B" => DATA <= x"F0"; when x"39C" => DATA <= x"91"; when x"39D" => DATA <= x"C9"; when x"39E" => DATA <= x"3B"; when x"39F" => DATA <= x"F0"; when x"3A0" => DATA <= x"CA"; when x"3A1" => DATA <= x"C9"; when x"3A2" => DATA <= x"0D"; when x"3A3" => DATA <= x"F0"; when x"3A4" => DATA <= x"C6"; when x"3A5" => DATA <= x"C9"; when x"3A6" => DATA <= x"5C"; when x"3A7" => DATA <= x"F0"; when x"3A8" => DATA <= x"B7"; when x"3A9" => DATA <= x"A0"; when x"3AA" => DATA <= x"05"; when x"3AB" => DATA <= x"38"; when x"3AC" => DATA <= x"69"; when x"3AD" => DATA <= x"00"; when x"3AE" => DATA <= x"0A"; when x"3AF" => DATA <= x"0A"; when x"3B0" => DATA <= x"0A"; when x"3B1" => DATA <= x"0A"; when x"3B2" => DATA <= x"26"; when x"3B3" => DATA <= x"6A"; when x"3B4" => DATA <= x"26"; when x"3B5" => DATA <= x"69"; when x"3B6" => DATA <= x"88"; when x"3B7" => DATA <= x"D0"; when x"3B8" => DATA <= x"F8"; when x"3B9" => DATA <= x"E8"; when x"3BA" => DATA <= x"E0"; when x"3BB" => DATA <= x"03"; when x"3BC" => DATA <= x"D0"; when x"3BD" => DATA <= x"D8"; when x"3BE" => DATA <= x"06"; when x"3BF" => DATA <= x"6A"; when x"3C0" => DATA <= x"26"; when x"3C1" => DATA <= x"69"; when x"3C2" => DATA <= x"A2"; when x"3C3" => DATA <= x"40"; when x"3C4" => DATA <= x"A5"; when x"3C5" => DATA <= x"69"; when x"3C6" => DATA <= x"DD"; when x"3C7" => DATA <= x"54"; when x"3C8" => DATA <= x"F1"; when x"3C9" => DATA <= x"F0"; when x"3CA" => DATA <= x"04"; when x"3CB" => DATA <= x"CA"; when x"3CC" => DATA <= x"D0"; when x"3CD" => DATA <= x"F8"; when x"3CE" => DATA <= x"00"; when x"3CF" => DATA <= x"BC"; when x"3D0" => DATA <= x"94"; when x"3D1" => DATA <= x"F1"; when x"3D2" => DATA <= x"C4"; when x"3D3" => DATA <= x"6A"; when x"3D4" => DATA <= x"D0"; when x"3D5" => DATA <= x"F5"; when x"3D6" => DATA <= x"BD"; when x"3D7" => DATA <= x"10"; when x"3D8" => DATA <= x"F2"; when x"3D9" => DATA <= x"85"; when x"3DA" => DATA <= x"66"; when x"3DB" => DATA <= x"BC"; when x"3DC" => DATA <= x"50"; when x"3DD" => DATA <= x"F2"; when x"3DE" => DATA <= x"84"; when x"3DF" => DATA <= x"0F"; when x"3E0" => DATA <= x"66"; when x"3E1" => DATA <= x"64"; when x"3E2" => DATA <= x"66"; when x"3E3" => DATA <= x"65"; when x"3E4" => DATA <= x"88"; when x"3E5" => DATA <= x"D0"; when x"3E6" => DATA <= x"F9"; when x"3E7" => DATA <= x"A4"; when x"3E8" => DATA <= x"0F"; when x"3E9" => DATA <= x"C0"; when x"3EA" => DATA <= x"0D"; when x"3EB" => DATA <= x"D0"; when x"3EC" => DATA <= x"05"; when x"3ED" => DATA <= x"A2"; when x"3EE" => DATA <= x"00"; when x"3EF" => DATA <= x"4C"; when x"3F0" => DATA <= x"9B"; when x"3F1" => DATA <= x"F4"; when x"3F2" => DATA <= x"20"; when x"3F3" => DATA <= x"91"; when x"3F4" => DATA <= x"F2"; when x"3F5" => DATA <= x"C9"; when x"3F6" => DATA <= x"40"; when x"3F7" => DATA <= x"F0"; when x"3F8" => DATA <= x"5B"; when x"3F9" => DATA <= x"C9"; when x"3FA" => DATA <= x"28"; when x"3FB" => DATA <= x"F0"; when x"3FC" => DATA <= x"65"; when x"3FD" => DATA <= x"A2"; when x"3FE" => DATA <= x"01"; when x"3FF" => DATA <= x"C9"; when x"400" => DATA <= x"41"; when x"401" => DATA <= x"F0"; when x"402" => DATA <= x"EC"; when x"403" => DATA <= x"C6"; when x"404" => DATA <= x"03"; when x"405" => DATA <= x"20"; when x"406" => DATA <= x"8B"; when x"407" => DATA <= x"C7"; when x"408" => DATA <= x"20"; when x"409" => DATA <= x"91"; when x"40A" => DATA <= x"F2"; when x"40B" => DATA <= x"C9"; when x"40C" => DATA <= x"2C"; when x"40D" => DATA <= x"D0"; when x"40E" => DATA <= x"31"; when x"40F" => DATA <= x"20"; when x"410" => DATA <= x"91"; when x"411" => DATA <= x"F2"; when x"412" => DATA <= x"A4"; when x"413" => DATA <= x"25"; when x"414" => DATA <= x"F0"; when x"415" => DATA <= x"15"; when x"416" => DATA <= x"A2"; when x"417" => DATA <= x"09"; when x"418" => DATA <= x"C9"; when x"419" => DATA <= x"58"; when x"41A" => DATA <= x"F0"; when x"41B" => DATA <= x"7F"; when x"41C" => DATA <= x"CA"; when x"41D" => DATA <= x"C9"; when x"41E" => DATA <= x"59"; when x"41F" => DATA <= x"D0"; when x"420" => DATA <= x"79"; when x"421" => DATA <= x"A5"; when x"422" => DATA <= x"0F"; when x"423" => DATA <= x"C9"; when x"424" => DATA <= x"09"; when x"425" => DATA <= x"D0"; when x"426" => DATA <= x"74"; when x"427" => DATA <= x"A2"; when x"428" => DATA <= x"0E"; when x"429" => DATA <= x"D0"; when x"42A" => DATA <= x"70"; when x"42B" => DATA <= x"A2"; when x"42C" => DATA <= x"04"; when x"42D" => DATA <= x"C9"; when x"42E" => DATA <= x"58"; when x"42F" => DATA <= x"F0"; when x"430" => DATA <= x"6A"; when x"431" => DATA <= x"C9"; when x"432" => DATA <= x"59"; when x"433" => DATA <= x"D0"; when x"434" => DATA <= x"65"; when x"435" => DATA <= x"CA"; when x"436" => DATA <= x"A4"; when x"437" => DATA <= x"0F"; when x"438" => DATA <= x"C0"; when x"439" => DATA <= x"03"; when x"43A" => DATA <= x"B0"; when x"43B" => DATA <= x"5F"; when x"43C" => DATA <= x"A2"; when x"43D" => DATA <= x"08"; when x"43E" => DATA <= x"D0"; when x"43F" => DATA <= x"5B"; when x"440" => DATA <= x"C6"; when x"441" => DATA <= x"03"; when x"442" => DATA <= x"A2"; when x"443" => DATA <= x"02"; when x"444" => DATA <= x"A4"; when x"445" => DATA <= x"0F"; when x"446" => DATA <= x"C0"; when x"447" => DATA <= x"0C"; when x"448" => DATA <= x"F0"; when x"449" => DATA <= x"51"; when x"44A" => DATA <= x"A2"; when x"44B" => DATA <= x"05"; when x"44C" => DATA <= x"A5"; when x"44D" => DATA <= x"25"; when x"44E" => DATA <= x"F0"; when x"44F" => DATA <= x"4B"; when x"450" => DATA <= x"A2"; when x"451" => DATA <= x"0C"; when x"452" => DATA <= x"D0"; when x"453" => DATA <= x"47"; when x"454" => DATA <= x"20"; when x"455" => DATA <= x"8B"; when x"456" => DATA <= x"C7"; when x"457" => DATA <= x"A5"; when x"458" => DATA <= x"0F"; when x"459" => DATA <= x"A2"; when x"45A" => DATA <= x"06"; when x"45B" => DATA <= x"C9"; when x"45C" => DATA <= x"01"; when x"45D" => DATA <= x"F0"; when x"45E" => DATA <= x"3C"; when x"45F" => DATA <= x"E8"; when x"460" => DATA <= x"D0"; when x"461" => DATA <= x"39"; when x"462" => DATA <= x"20"; when x"463" => DATA <= x"8B"; when x"464" => DATA <= x"C7"; when x"465" => DATA <= x"20"; when x"466" => DATA <= x"91"; when x"467" => DATA <= x"F2"; when x"468" => DATA <= x"C9"; when x"469" => DATA <= x"29"; when x"46A" => DATA <= x"F0"; when x"46B" => DATA <= x"16"; when x"46C" => DATA <= x"C9"; when x"46D" => DATA <= x"2C"; when x"46E" => DATA <= x"D0"; when x"46F" => DATA <= x"2A"; when x"470" => DATA <= x"20"; when x"471" => DATA <= x"91"; when x"472" => DATA <= x"F2"; when x"473" => DATA <= x"C9"; when x"474" => DATA <= x"58"; when x"475" => DATA <= x"D0"; when x"476" => DATA <= x"23"; when x"477" => DATA <= x"20"; when x"478" => DATA <= x"91"; when x"479" => DATA <= x"F2"; when x"47A" => DATA <= x"C9"; when x"47B" => DATA <= x"29"; when x"47C" => DATA <= x"D0"; when x"47D" => DATA <= x"1C"; when x"47E" => DATA <= x"A2"; when x"47F" => DATA <= x"0B"; when x"480" => DATA <= x"D0"; when x"481" => DATA <= x"19"; when x"482" => DATA <= x"A2"; when x"483" => DATA <= x"0D"; when x"484" => DATA <= x"A5"; when x"485" => DATA <= x"0F"; when x"486" => DATA <= x"C9"; when x"487" => DATA <= x"0B"; when x"488" => DATA <= x"F0"; when x"489" => DATA <= x"11"; when x"48A" => DATA <= x"A2"; when x"48B" => DATA <= x"0A"; when x"48C" => DATA <= x"20"; when x"48D" => DATA <= x"91"; when x"48E" => DATA <= x"F2"; when x"48F" => DATA <= x"C9"; when x"490" => DATA <= x"2C"; when x"491" => DATA <= x"D0"; when x"492" => DATA <= x"07"; when x"493" => DATA <= x"20"; when x"494" => DATA <= x"91"; when x"495" => DATA <= x"F2"; when x"496" => DATA <= x"C9"; when x"497" => DATA <= x"59"; when x"498" => DATA <= x"F0"; when x"499" => DATA <= x"01"; when x"49A" => DATA <= x"00"; when x"49B" => DATA <= x"20"; when x"49C" => DATA <= x"60"; when x"49D" => DATA <= x"F3"; when x"49E" => DATA <= x"BD"; when x"49F" => DATA <= x"D5"; when x"4A0" => DATA <= x"F1"; when x"4A1" => DATA <= x"F0"; when x"4A2" => DATA <= x"04"; when x"4A3" => DATA <= x"25"; when x"4A4" => DATA <= x"64"; when x"4A5" => DATA <= x"D0"; when x"4A6" => DATA <= x"07"; when x"4A7" => DATA <= x"BD"; when x"4A8" => DATA <= x"E4"; when x"4A9" => DATA <= x"F1"; when x"4AA" => DATA <= x"25"; when x"4AB" => DATA <= x"65"; when x"4AC" => DATA <= x"F0"; when x"4AD" => DATA <= x"EC"; when x"4AE" => DATA <= x"18"; when x"4AF" => DATA <= x"BD"; when x"4B0" => DATA <= x"F3"; when x"4B1" => DATA <= x"F1"; when x"4B2" => DATA <= x"65"; when x"4B3" => DATA <= x"66"; when x"4B4" => DATA <= x"85"; when x"4B5" => DATA <= x"66"; when x"4B6" => DATA <= x"BD"; when x"4B7" => DATA <= x"02"; when x"4B8" => DATA <= x"F2"; when x"4B9" => DATA <= x"A2"; when x"4BA" => DATA <= x"00"; when x"4BB" => DATA <= x"86"; when x"4BC" => DATA <= x"04"; when x"4BD" => DATA <= x"A4"; when x"4BE" => DATA <= x"16"; when x"4BF" => DATA <= x"84"; when x"4C0" => DATA <= x"67"; when x"4C1" => DATA <= x"A4"; when x"4C2" => DATA <= x"25"; when x"4C3" => DATA <= x"84"; when x"4C4" => DATA <= x"68"; when x"4C5" => DATA <= x"C9"; when x"4C6" => DATA <= x"0F"; when x"4C7" => DATA <= x"F0"; when x"4C8" => DATA <= x"23"; when x"4C9" => DATA <= x"29"; when x"4CA" => DATA <= x"0F"; when x"4CB" => DATA <= x"A8"; when x"4CC" => DATA <= x"C8"; when x"4CD" => DATA <= x"84"; when x"4CE" => DATA <= x"00"; when x"4CF" => DATA <= x"C0"; when x"4D0" => DATA <= x"02"; when x"4D1" => DATA <= x"D0"; when x"4D2" => DATA <= x"04"; when x"4D3" => DATA <= x"A4"; when x"4D4" => DATA <= x"68"; when x"4D5" => DATA <= x"D0"; when x"4D6" => DATA <= x"C3"; when x"4D7" => DATA <= x"A0"; when x"4D8" => DATA <= x"00"; when x"4D9" => DATA <= x"B9"; when x"4DA" => DATA <= x"66"; when x"4DB" => DATA <= x"00"; when x"4DC" => DATA <= x"91"; when x"4DD" => DATA <= x"52"; when x"4DE" => DATA <= x"C8"; when x"4DF" => DATA <= x"EE"; when x"4E0" => DATA <= x"31"; when x"4E1" => DATA <= x"03"; when x"4E2" => DATA <= x"D0"; when x"4E3" => DATA <= x"03"; when x"4E4" => DATA <= x"EE"; when x"4E5" => DATA <= x"4C"; when x"4E6" => DATA <= x"03"; when x"4E7" => DATA <= x"C4"; when x"4E8" => DATA <= x"00"; when x"4E9" => DATA <= x"D0"; when x"4EA" => DATA <= x"EE"; when x"4EB" => DATA <= x"60"; when x"4EC" => DATA <= x"A9"; when x"4ED" => DATA <= x"02"; when x"4EE" => DATA <= x"85"; when x"4EF" => DATA <= x"00"; when x"4F0" => DATA <= x"38"; when x"4F1" => DATA <= x"A5"; when x"4F2" => DATA <= x"67"; when x"4F3" => DATA <= x"ED"; when x"4F4" => DATA <= x"31"; when x"4F5" => DATA <= x"03"; when x"4F6" => DATA <= x"85"; when x"4F7" => DATA <= x"67"; when x"4F8" => DATA <= x"A5"; when x"4F9" => DATA <= x"68"; when x"4FA" => DATA <= x"ED"; when x"4FB" => DATA <= x"4C"; when x"4FC" => DATA <= x"03"; when x"4FD" => DATA <= x"85"; when x"4FE" => DATA <= x"68"; when x"4FF" => DATA <= x"38"; when x"500" => DATA <= x"A5"; when x"501" => DATA <= x"67"; when x"502" => DATA <= x"E9"; when x"503" => DATA <= x"02"; when x"504" => DATA <= x"85"; when x"505" => DATA <= x"67"; when x"506" => DATA <= x"A8"; when x"507" => DATA <= x"A5"; when x"508" => DATA <= x"68"; when x"509" => DATA <= x"E9"; when x"50A" => DATA <= x"00"; when x"50B" => DATA <= x"F0"; when x"50C" => DATA <= x"1F"; when x"50D" => DATA <= x"C9"; when x"50E" => DATA <= x"FF"; when x"50F" => DATA <= x"F0"; when x"510" => DATA <= x"16"; when x"511" => DATA <= x"20"; when x"512" => DATA <= x"D1"; when x"513" => DATA <= x"F7"; when x"514" => DATA <= x"4F"; when x"515" => DATA <= x"55"; when x"516" => DATA <= x"54"; when x"517" => DATA <= x"20"; when x"518" => DATA <= x"4F"; when x"519" => DATA <= x"46"; when x"51A" => DATA <= x"20"; when x"51B" => DATA <= x"52"; when x"51C" => DATA <= x"41"; when x"51D" => DATA <= x"4E"; when x"51E" => DATA <= x"47"; when x"51F" => DATA <= x"45"; when x"520" => DATA <= x"3A"; when x"521" => DATA <= x"0A"; when x"522" => DATA <= x"0D"; when x"523" => DATA <= x"84"; when x"524" => DATA <= x"67"; when x"525" => DATA <= x"30"; when x"526" => DATA <= x"B0"; when x"527" => DATA <= x"98"; when x"528" => DATA <= x"30"; when x"529" => DATA <= x"AD"; when x"52A" => DATA <= x"10"; when x"52B" => DATA <= x"E5"; when x"52C" => DATA <= x"98"; when x"52D" => DATA <= x"10"; when x"52E" => DATA <= x"A8"; when x"52F" => DATA <= x"30"; when x"530" => DATA <= x"E0"; when x"531" => DATA <= x"20"; when x"532" => DATA <= x"E4"; when x"533" => DATA <= x"C4"; when x"534" => DATA <= x"88"; when x"535" => DATA <= x"84"; when x"536" => DATA <= x"52"; when x"537" => DATA <= x"A5"; when x"538" => DATA <= x"12"; when x"539" => DATA <= x"85"; when x"53A" => DATA <= x"53"; when x"53B" => DATA <= x"98"; when x"53C" => DATA <= x"C8"; when x"53D" => DATA <= x"91"; when x"53E" => DATA <= x"52"; when x"53F" => DATA <= x"4C"; when x"540" => DATA <= x"9B"; when x"541" => DATA <= x"CD"; when x"542" => DATA <= x"A2"; when x"543" => DATA <= x"05"; when x"544" => DATA <= x"D0"; when x"545" => DATA <= x"02"; when x"546" => DATA <= x"A2"; when x"547" => DATA <= x"0C"; when x"548" => DATA <= x"86"; when x"549" => DATA <= x"16"; when x"54A" => DATA <= x"E6"; when x"54B" => DATA <= x"04"; when x"54C" => DATA <= x"D0"; when x"54D" => DATA <= x"06"; when x"54E" => DATA <= x"20"; when x"54F" => DATA <= x"BC"; when x"550" => DATA <= x"C8"; when x"551" => DATA <= x"20"; when x"552" => DATA <= x"31"; when x"553" => DATA <= x"C2"; when x"554" => DATA <= x"20"; when x"555" => DATA <= x"BC"; when x"556" => DATA <= x"C8"; when x"557" => DATA <= x"20"; when x"558" => DATA <= x"31"; when x"559" => DATA <= x"C2"; when x"55A" => DATA <= x"20"; when x"55B" => DATA <= x"BC"; when x"55C" => DATA <= x"C8"; when x"55D" => DATA <= x"20"; when x"55E" => DATA <= x"E4"; when x"55F" => DATA <= x"C4"; when x"560" => DATA <= x"B5"; when x"561" => DATA <= x"15"; when x"562" => DATA <= x"85"; when x"563" => DATA <= x"5C"; when x"564" => DATA <= x"B5"; when x"565" => DATA <= x"24"; when x"566" => DATA <= x"85"; when x"567" => DATA <= x"5D"; when x"568" => DATA <= x"B5"; when x"569" => DATA <= x"14"; when x"56A" => DATA <= x"85"; when x"56B" => DATA <= x"5A"; when x"56C" => DATA <= x"B5"; when x"56D" => DATA <= x"23"; when x"56E" => DATA <= x"85"; when x"56F" => DATA <= x"5B"; when x"570" => DATA <= x"A2"; when x"571" => DATA <= x"00"; when x"572" => DATA <= x"86"; when x"573" => DATA <= x"04"; when x"574" => DATA <= x"A2"; when x"575" => DATA <= x"03"; when x"576" => DATA <= x"BD"; when x"577" => DATA <= x"C1"; when x"578" => DATA <= x"03"; when x"579" => DATA <= x"95"; when x"57A" => DATA <= x"52"; when x"57B" => DATA <= x"CA"; when x"57C" => DATA <= x"10"; when x"57D" => DATA <= x"F8"; when x"57E" => DATA <= x"A5"; when x"57F" => DATA <= x"16"; when x"580" => DATA <= x"29"; when x"581" => DATA <= x"04"; when x"582" => DATA <= x"D0"; when x"583" => DATA <= x"13"; when x"584" => DATA <= x"A2"; when x"585" => DATA <= x"02"; when x"586" => DATA <= x"18"; when x"587" => DATA <= x"B5"; when x"588" => DATA <= x"5A"; when x"589" => DATA <= x"75"; when x"58A" => DATA <= x"52"; when x"58B" => DATA <= x"95"; when x"58C" => DATA <= x"5A"; when x"58D" => DATA <= x"B5"; when x"58E" => DATA <= x"5B"; when x"58F" => DATA <= x"75"; when x"590" => DATA <= x"53"; when x"591" => DATA <= x"95"; when x"592" => DATA <= x"5B"; when x"593" => DATA <= x"CA"; when x"594" => DATA <= x"CA"; when x"595" => DATA <= x"10"; when x"596" => DATA <= x"EF"; when x"597" => DATA <= x"A2"; when x"598" => DATA <= x"03"; when x"599" => DATA <= x"B5"; when x"59A" => DATA <= x"5A"; when x"59B" => DATA <= x"9D"; when x"59C" => DATA <= x"C1"; when x"59D" => DATA <= x"03"; when x"59E" => DATA <= x"CA"; when x"59F" => DATA <= x"10"; when x"5A0" => DATA <= x"F8"; when x"5A1" => DATA <= x"A5"; when x"5A2" => DATA <= x"16"; when x"5A3" => DATA <= x"29"; when x"5A4" => DATA <= x"03"; when x"5A5" => DATA <= x"F0"; when x"5A6" => DATA <= x"0B"; when x"5A7" => DATA <= x"85"; when x"5A8" => DATA <= x"5E"; when x"5A9" => DATA <= x"A5"; when x"5AA" => DATA <= x"16"; when x"5AB" => DATA <= x"29"; when x"5AC" => DATA <= x"08"; when x"5AD" => DATA <= x"F0"; when x"5AE" => DATA <= x"06"; when x"5AF" => DATA <= x"20"; when x"5B0" => DATA <= x"78"; when x"5B1" => DATA <= x"F6"; when x"5B2" => DATA <= x"4C"; when x"5B3" => DATA <= x"5B"; when x"5B4" => DATA <= x"C5"; when x"5B5" => DATA <= x"A2"; when x"5B6" => DATA <= x"02"; when x"5B7" => DATA <= x"38"; when x"5B8" => DATA <= x"B5"; when x"5B9" => DATA <= x"5A"; when x"5BA" => DATA <= x"F5"; when x"5BB" => DATA <= x"52"; when x"5BC" => DATA <= x"B4"; when x"5BD" => DATA <= x"52"; when x"5BE" => DATA <= x"94"; when x"5BF" => DATA <= x"5A"; when x"5C0" => DATA <= x"95"; when x"5C1" => DATA <= x"52"; when x"5C2" => DATA <= x"B4"; when x"5C3" => DATA <= x"53"; when x"5C4" => DATA <= x"B5"; when x"5C5" => DATA <= x"5B"; when x"5C6" => DATA <= x"F5"; when x"5C7" => DATA <= x"53"; when x"5C8" => DATA <= x"94"; when x"5C9" => DATA <= x"5B"; when x"5CA" => DATA <= x"95"; when x"5CB" => DATA <= x"53"; when x"5CC" => DATA <= x"95"; when x"5CD" => DATA <= x"56"; when x"5CE" => DATA <= x"10"; when x"5CF" => DATA <= x"0D"; when x"5D0" => DATA <= x"A9"; when x"5D1" => DATA <= x"00"; when x"5D2" => DATA <= x"38"; when x"5D3" => DATA <= x"F5"; when x"5D4" => DATA <= x"52"; when x"5D5" => DATA <= x"95"; when x"5D6" => DATA <= x"52"; when x"5D7" => DATA <= x"A9"; when x"5D8" => DATA <= x"00"; when x"5D9" => DATA <= x"F5"; when x"5DA" => DATA <= x"53"; when x"5DB" => DATA <= x"95"; when x"5DC" => DATA <= x"53"; when x"5DD" => DATA <= x"CA"; when x"5DE" => DATA <= x"CA"; when x"5DF" => DATA <= x"10"; when x"5E0" => DATA <= x"D6"; when x"5E1" => DATA <= x"A5"; when x"5E2" => DATA <= x"54"; when x"5E3" => DATA <= x"C5"; when x"5E4" => DATA <= x"52"; when x"5E5" => DATA <= x"A5"; when x"5E6" => DATA <= x"55"; when x"5E7" => DATA <= x"E5"; when x"5E8" => DATA <= x"53"; when x"5E9" => DATA <= x"90"; when x"5EA" => DATA <= x"31"; when x"5EB" => DATA <= x"A9"; when x"5EC" => DATA <= x"00"; when x"5ED" => DATA <= x"E5"; when x"5EE" => DATA <= x"54"; when x"5EF" => DATA <= x"85"; when x"5F0" => DATA <= x"57"; when x"5F1" => DATA <= x"A9"; when x"5F2" => DATA <= x"00"; when x"5F3" => DATA <= x"E5"; when x"5F4" => DATA <= x"55"; when x"5F5" => DATA <= x"38"; when x"5F6" => DATA <= x"6A"; when x"5F7" => DATA <= x"85"; when x"5F8" => DATA <= x"59"; when x"5F9" => DATA <= x"66"; when x"5FA" => DATA <= x"57"; when x"5FB" => DATA <= x"20"; when x"5FC" => DATA <= x"78"; when x"5FD" => DATA <= x"F6"; when x"5FE" => DATA <= x"A5"; when x"5FF" => DATA <= x"5C"; when x"600" => DATA <= x"CD"; when x"601" => DATA <= x"C3"; when x"602" => DATA <= x"03"; when x"603" => DATA <= x"D0"; when x"604" => DATA <= x"0A"; when x"605" => DATA <= x"A5"; when x"606" => DATA <= x"5D"; when x"607" => DATA <= x"CD"; when x"608" => DATA <= x"C4"; when x"609" => DATA <= x"03"; when x"60A" => DATA <= x"D0"; when x"60B" => DATA <= x"03"; when x"60C" => DATA <= x"4C"; when x"60D" => DATA <= x"5B"; when x"60E" => DATA <= x"C5"; when x"60F" => DATA <= x"20"; when x"610" => DATA <= x"55"; when x"611" => DATA <= x"F6"; when x"612" => DATA <= x"A5"; when x"613" => DATA <= x"59"; when x"614" => DATA <= x"30"; when x"615" => DATA <= x"E5"; when x"616" => DATA <= x"20"; when x"617" => DATA <= x"44"; when x"618" => DATA <= x"F6"; when x"619" => DATA <= x"4C"; when x"61A" => DATA <= x"FB"; when x"61B" => DATA <= x"F5"; when x"61C" => DATA <= x"A5"; when x"61D" => DATA <= x"53"; when x"61E" => DATA <= x"4A"; when x"61F" => DATA <= x"85"; when x"620" => DATA <= x"59"; when x"621" => DATA <= x"A5"; when x"622" => DATA <= x"52"; when x"623" => DATA <= x"6A"; when x"624" => DATA <= x"85"; when x"625" => DATA <= x"57"; when x"626" => DATA <= x"20"; when x"627" => DATA <= x"78"; when x"628" => DATA <= x"F6"; when x"629" => DATA <= x"A5"; when x"62A" => DATA <= x"5A"; when x"62B" => DATA <= x"CD"; when x"62C" => DATA <= x"C1"; when x"62D" => DATA <= x"03"; when x"62E" => DATA <= x"D0"; when x"62F" => DATA <= x"07"; when x"630" => DATA <= x"A5"; when x"631" => DATA <= x"5B"; when x"632" => DATA <= x"CD"; when x"633" => DATA <= x"C2"; when x"634" => DATA <= x"03"; when x"635" => DATA <= x"F0"; when x"636" => DATA <= x"D5"; when x"637" => DATA <= x"20"; when x"638" => DATA <= x"44"; when x"639" => DATA <= x"F6"; when x"63A" => DATA <= x"A5"; when x"63B" => DATA <= x"59"; when x"63C" => DATA <= x"10"; when x"63D" => DATA <= x"E8"; when x"63E" => DATA <= x"20"; when x"63F" => DATA <= x"55"; when x"640" => DATA <= x"F6"; when x"641" => DATA <= x"4C"; when x"642" => DATA <= x"26"; when x"643" => DATA <= x"F6"; when x"644" => DATA <= x"38"; when x"645" => DATA <= x"A5"; when x"646" => DATA <= x"57"; when x"647" => DATA <= x"E5"; when x"648" => DATA <= x"54"; when x"649" => DATA <= x"85"; when x"64A" => DATA <= x"57"; when x"64B" => DATA <= x"A5"; when x"64C" => DATA <= x"59"; when x"64D" => DATA <= x"E5"; when x"64E" => DATA <= x"55"; when x"64F" => DATA <= x"85"; when x"650" => DATA <= x"59"; when x"651" => DATA <= x"A2"; when x"652" => DATA <= x"00"; when x"653" => DATA <= x"F0"; when x"654" => DATA <= x"0F"; when x"655" => DATA <= x"18"; when x"656" => DATA <= x"A5"; when x"657" => DATA <= x"57"; when x"658" => DATA <= x"65"; when x"659" => DATA <= x"52"; when x"65A" => DATA <= x"85"; when x"65B" => DATA <= x"57"; when x"65C" => DATA <= x"A5"; when x"65D" => DATA <= x"59"; when x"65E" => DATA <= x"65"; when x"65F" => DATA <= x"53"; when x"660" => DATA <= x"85"; when x"661" => DATA <= x"59"; when x"662" => DATA <= x"A2"; when x"663" => DATA <= x"02"; when x"664" => DATA <= x"B5"; when x"665" => DATA <= x"56"; when x"666" => DATA <= x"10"; when x"667" => DATA <= x"09"; when x"668" => DATA <= x"B5"; when x"669" => DATA <= x"5A"; when x"66A" => DATA <= x"D0"; when x"66B" => DATA <= x"02"; when x"66C" => DATA <= x"D6"; when x"66D" => DATA <= x"5B"; when x"66E" => DATA <= x"D6"; when x"66F" => DATA <= x"5A"; when x"670" => DATA <= x"60"; when x"671" => DATA <= x"F6"; when x"672" => DATA <= x"5A"; when x"673" => DATA <= x"D0"; when x"674" => DATA <= x"FB"; when x"675" => DATA <= x"F6"; when x"676" => DATA <= x"5B"; when x"677" => DATA <= x"60"; when x"678" => DATA <= x"6C"; when x"679" => DATA <= x"FE"; when x"67A" => DATA <= x"03"; when x"67B" => DATA <= x"20"; when x"67C" => DATA <= x"C8"; when x"67D" => DATA <= x"C3"; when x"67E" => DATA <= x"A0"; when x"67F" => DATA <= x"00"; when x"680" => DATA <= x"A5"; when x"681" => DATA <= x"52"; when x"682" => DATA <= x"F0"; when x"683" => DATA <= x"3E"; when x"684" => DATA <= x"C9"; when x"685" => DATA <= x"05"; when x"686" => DATA <= x"90"; when x"687" => DATA <= x"02"; when x"688" => DATA <= x"A9"; when x"689" => DATA <= x"04"; when x"68A" => DATA <= x"A2"; when x"68B" => DATA <= x"80"; when x"68C" => DATA <= x"86"; when x"68D" => DATA <= x"54"; when x"68E" => DATA <= x"84"; when x"68F" => DATA <= x"53"; when x"690" => DATA <= x"85"; when x"691" => DATA <= x"52"; when x"692" => DATA <= x"AA"; when x"693" => DATA <= x"BD"; when x"694" => DATA <= x"CE"; when x"695" => DATA <= x"F6"; when x"696" => DATA <= x"A6"; when x"697" => DATA <= x"12"; when x"698" => DATA <= x"10"; when x"699" => DATA <= x"04"; when x"69A" => DATA <= x"C5"; when x"69B" => DATA <= x"12"; when x"69C" => DATA <= x"B0"; when x"69D" => DATA <= x"E1"; when x"69E" => DATA <= x"AA"; when x"69F" => DATA <= x"98"; when x"6A0" => DATA <= x"91"; when x"6A1" => DATA <= x"53"; when x"6A2" => DATA <= x"88"; when x"6A3" => DATA <= x"D0"; when x"6A4" => DATA <= x"FB"; when x"6A5" => DATA <= x"E6"; when x"6A6" => DATA <= x"54"; when x"6A7" => DATA <= x"E4"; when x"6A8" => DATA <= x"54"; when x"6A9" => DATA <= x"D0"; when x"6AA" => DATA <= x"F5"; when x"6AB" => DATA <= x"A4"; when x"6AC" => DATA <= x"52"; when x"6AD" => DATA <= x"B9"; when x"6AE" => DATA <= x"D8"; when x"6AF" => DATA <= x"F6"; when x"6B0" => DATA <= x"8D"; when x"6B1" => DATA <= x"FF"; when x"6B2" => DATA <= x"03"; when x"6B3" => DATA <= x"B9"; when x"6B4" => DATA <= x"D3"; when x"6B5" => DATA <= x"F6"; when x"6B6" => DATA <= x"8D"; when x"6B7" => DATA <= x"FE"; when x"6B8" => DATA <= x"03"; when x"6B9" => DATA <= x"B9"; when x"6BA" => DATA <= x"DD"; when x"6BB" => DATA <= x"F6"; when x"6BC" => DATA <= x"8D"; when x"6BD" => DATA <= x"00"; when x"6BE" => DATA <= x"B0"; when x"6BF" => DATA <= x"4C"; when x"6C0" => DATA <= x"58"; when x"6C1" => DATA <= x"C5"; when x"6C2" => DATA <= x"A9"; when x"6C3" => DATA <= x"40"; when x"6C4" => DATA <= x"99"; when x"6C5" => DATA <= x"00"; when x"6C6" => DATA <= x"80"; when x"6C7" => DATA <= x"99"; when x"6C8" => DATA <= x"00"; when x"6C9" => DATA <= x"81"; when x"6CA" => DATA <= x"88"; when x"6CB" => DATA <= x"D0"; when x"6CC" => DATA <= x"F7"; when x"6CD" => DATA <= x"F0"; when x"6CE" => DATA <= x"DC"; when x"6CF" => DATA <= x"84"; when x"6D0" => DATA <= x"86"; when x"6D1" => DATA <= x"8C"; when x"6D2" => DATA <= x"98"; when x"6D3" => DATA <= x"E2"; when x"6D4" => DATA <= x"3B"; when x"6D5" => DATA <= x"54"; when x"6D6" => DATA <= x"6D"; when x"6D7" => DATA <= x"AA"; when x"6D8" => DATA <= x"F6"; when x"6D9" => DATA <= x"F7"; when x"6DA" => DATA <= x"F7"; when x"6DB" => DATA <= x"F7"; when x"6DC" => DATA <= x"F7"; when x"6DD" => DATA <= x"00"; when x"6DE" => DATA <= x"30"; when x"6DF" => DATA <= x"70"; when x"6E0" => DATA <= x"B0"; when x"6E1" => DATA <= x"F0"; when x"6E2" => DATA <= x"A5"; when x"6E3" => DATA <= x"5B"; when x"6E4" => DATA <= x"05"; when x"6E5" => DATA <= x"5D"; when x"6E6" => DATA <= x"D0"; when x"6E7" => DATA <= x"52"; when x"6E8" => DATA <= x"A5"; when x"6E9" => DATA <= x"5A"; when x"6EA" => DATA <= x"C9"; when x"6EB" => DATA <= x"40"; when x"6EC" => DATA <= x"B0"; when x"6ED" => DATA <= x"4C"; when x"6EE" => DATA <= x"4A"; when x"6EF" => DATA <= x"85"; when x"6F0" => DATA <= x"5F"; when x"6F1" => DATA <= x"A9"; when x"6F2" => DATA <= x"2F"; when x"6F3" => DATA <= x"38"; when x"6F4" => DATA <= x"E5"; when x"6F5" => DATA <= x"5C"; when x"6F6" => DATA <= x"C9"; when x"6F7" => DATA <= x"30"; when x"6F8" => DATA <= x"B0"; when x"6F9" => DATA <= x"40"; when x"6FA" => DATA <= x"A2"; when x"6FB" => DATA <= x"FF"; when x"6FC" => DATA <= x"38"; when x"6FD" => DATA <= x"E8"; when x"6FE" => DATA <= x"E9"; when x"6FF" => DATA <= x"03"; when x"700" => DATA <= x"B0"; when x"701" => DATA <= x"FB"; when x"702" => DATA <= x"69"; when x"703" => DATA <= x"03"; when x"704" => DATA <= x"85"; when x"705" => DATA <= x"61"; when x"706" => DATA <= x"8A"; when x"707" => DATA <= x"0A"; when x"708" => DATA <= x"0A"; when x"709" => DATA <= x"0A"; when x"70A" => DATA <= x"0A"; when x"70B" => DATA <= x"0A"; when x"70C" => DATA <= x"05"; when x"70D" => DATA <= x"5F"; when x"70E" => DATA <= x"85"; when x"70F" => DATA <= x"5F"; when x"710" => DATA <= x"A9"; when x"711" => DATA <= x"80"; when x"712" => DATA <= x"69"; when x"713" => DATA <= x"00"; when x"714" => DATA <= x"85"; when x"715" => DATA <= x"60"; when x"716" => DATA <= x"A5"; when x"717" => DATA <= x"5A"; when x"718" => DATA <= x"4A"; when x"719" => DATA <= x"A5"; when x"71A" => DATA <= x"61"; when x"71B" => DATA <= x"2A"; when x"71C" => DATA <= x"A8"; when x"71D" => DATA <= x"B9"; when x"71E" => DATA <= x"CB"; when x"71F" => DATA <= x"F7"; when x"720" => DATA <= x"A0"; when x"721" => DATA <= x"00"; when x"722" => DATA <= x"A6"; when x"723" => DATA <= x"5E"; when x"724" => DATA <= x"CA"; when x"725" => DATA <= x"F0"; when x"726" => DATA <= x"0F"; when x"727" => DATA <= x"CA"; when x"728" => DATA <= x"F0"; when x"729" => DATA <= x"07"; when x"72A" => DATA <= x"49"; when x"72B" => DATA <= x"FF"; when x"72C" => DATA <= x"31"; when x"72D" => DATA <= x"5F"; when x"72E" => DATA <= x"91"; when x"72F" => DATA <= x"5F"; when x"730" => DATA <= x"60"; when x"731" => DATA <= x"51"; when x"732" => DATA <= x"5F"; when x"733" => DATA <= x"91"; when x"734" => DATA <= x"5F"; when x"735" => DATA <= x"60"; when x"736" => DATA <= x"11"; when x"737" => DATA <= x"5F"; when x"738" => DATA <= x"91"; when x"739" => DATA <= x"5F"; when x"73A" => DATA <= x"60"; when x"73B" => DATA <= x"A5"; when x"73C" => DATA <= x"5B"; when x"73D" => DATA <= x"05"; when x"73E" => DATA <= x"5D"; when x"73F" => DATA <= x"D0"; when x"740" => DATA <= x"F9"; when x"741" => DATA <= x"A5"; when x"742" => DATA <= x"5A"; when x"743" => DATA <= x"30"; when x"744" => DATA <= x"F5"; when x"745" => DATA <= x"4A"; when x"746" => DATA <= x"4A"; when x"747" => DATA <= x"4A"; when x"748" => DATA <= x"85"; when x"749" => DATA <= x"5F"; when x"74A" => DATA <= x"A9"; when x"74B" => DATA <= x"3F"; when x"74C" => DATA <= x"38"; when x"74D" => DATA <= x"E5"; when x"74E" => DATA <= x"5C"; when x"74F" => DATA <= x"C9"; when x"750" => DATA <= x"40"; when x"751" => DATA <= x"90"; when x"752" => DATA <= x"32"; when x"753" => DATA <= x"60"; when x"754" => DATA <= x"A5"; when x"755" => DATA <= x"5B"; when x"756" => DATA <= x"05"; when x"757" => DATA <= x"5D"; when x"758" => DATA <= x"D0"; when x"759" => DATA <= x"E0"; when x"75A" => DATA <= x"A5"; when x"75B" => DATA <= x"5A"; when x"75C" => DATA <= x"30"; when x"75D" => DATA <= x"DC"; when x"75E" => DATA <= x"4A"; when x"75F" => DATA <= x"4A"; when x"760" => DATA <= x"4A"; when x"761" => DATA <= x"85"; when x"762" => DATA <= x"5F"; when x"763" => DATA <= x"A9"; when x"764" => DATA <= x"5F"; when x"765" => DATA <= x"38"; when x"766" => DATA <= x"E5"; when x"767" => DATA <= x"5C"; when x"768" => DATA <= x"C9"; when x"769" => DATA <= x"60"; when x"76A" => DATA <= x"90"; when x"76B" => DATA <= x"19"; when x"76C" => DATA <= x"60"; when x"76D" => DATA <= x"A5"; when x"76E" => DATA <= x"5B"; when x"76F" => DATA <= x"05"; when x"770" => DATA <= x"5D"; when x"771" => DATA <= x"D0"; when x"772" => DATA <= x"C7"; when x"773" => DATA <= x"A5"; when x"774" => DATA <= x"5A"; when x"775" => DATA <= x"30"; when x"776" => DATA <= x"C3"; when x"777" => DATA <= x"4A"; when x"778" => DATA <= x"4A"; when x"779" => DATA <= x"4A"; when x"77A" => DATA <= x"85"; when x"77B" => DATA <= x"5F"; when x"77C" => DATA <= x"A9"; when x"77D" => DATA <= x"BF"; when x"77E" => DATA <= x"38"; when x"77F" => DATA <= x"E5"; when x"780" => DATA <= x"5C"; when x"781" => DATA <= x"C9"; when x"782" => DATA <= x"C0"; when x"783" => DATA <= x"B0"; when x"784" => DATA <= x"B5"; when x"785" => DATA <= x"A0"; when x"786" => DATA <= x"00"; when x"787" => DATA <= x"84"; when x"788" => DATA <= x"60"; when x"789" => DATA <= x"0A"; when x"78A" => DATA <= x"26"; when x"78B" => DATA <= x"60"; when x"78C" => DATA <= x"0A"; when x"78D" => DATA <= x"26"; when x"78E" => DATA <= x"60"; when x"78F" => DATA <= x"0A"; when x"790" => DATA <= x"26"; when x"791" => DATA <= x"60"; when x"792" => DATA <= x"0A"; when x"793" => DATA <= x"26"; when x"794" => DATA <= x"60"; when x"795" => DATA <= x"65"; when x"796" => DATA <= x"5F"; when x"797" => DATA <= x"85"; when x"798" => DATA <= x"5F"; when x"799" => DATA <= x"A5"; when x"79A" => DATA <= x"60"; when x"79B" => DATA <= x"69"; when x"79C" => DATA <= x"80"; when x"79D" => DATA <= x"85"; when x"79E" => DATA <= x"60"; when x"79F" => DATA <= x"A5"; when x"7A0" => DATA <= x"5A"; when x"7A1" => DATA <= x"29"; when x"7A2" => DATA <= x"07"; when x"7A3" => DATA <= x"A8"; when x"7A4" => DATA <= x"B9"; when x"7A5" => DATA <= x"C9"; when x"7A6" => DATA <= x"F7"; when x"7A7" => DATA <= x"4C"; when x"7A8" => DATA <= x"20"; when x"7A9" => DATA <= x"F7"; when x"7AA" => DATA <= x"A5"; when x"7AB" => DATA <= x"5B"; when x"7AC" => DATA <= x"05"; when x"7AD" => DATA <= x"5D"; when x"7AE" => DATA <= x"D0"; when x"7AF" => DATA <= x"BC"; when x"7B0" => DATA <= x"A5"; when x"7B1" => DATA <= x"5A"; when x"7B2" => DATA <= x"4A"; when x"7B3" => DATA <= x"4A"; when x"7B4" => DATA <= x"4A"; when x"7B5" => DATA <= x"85"; when x"7B6" => DATA <= x"5F"; when x"7B7" => DATA <= x"A9"; when x"7B8" => DATA <= x"BF"; when x"7B9" => DATA <= x"38"; when x"7BA" => DATA <= x"E5"; when x"7BB" => DATA <= x"5C"; when x"7BC" => DATA <= x"C9"; when x"7BD" => DATA <= x"C0"; when x"7BE" => DATA <= x"B0"; when x"7BF" => DATA <= x"AC"; when x"7C0" => DATA <= x"A0"; when x"7C1" => DATA <= x"00"; when x"7C2" => DATA <= x"84"; when x"7C3" => DATA <= x"60"; when x"7C4" => DATA <= x"0A"; when x"7C5" => DATA <= x"26"; when x"7C6" => DATA <= x"60"; when x"7C7" => DATA <= x"10"; when x"7C8" => DATA <= x"C0"; when x"7C9" => DATA <= x"80"; when x"7CA" => DATA <= x"40"; when x"7CB" => DATA <= x"20"; when x"7CC" => DATA <= x"10"; when x"7CD" => DATA <= x"08"; when x"7CE" => DATA <= x"04"; when x"7CF" => DATA <= x"02"; when x"7D0" => DATA <= x"01"; when x"7D1" => DATA <= x"68"; when x"7D2" => DATA <= x"85"; when x"7D3" => DATA <= x"E8"; when x"7D4" => DATA <= x"68"; when x"7D5" => DATA <= x"85"; when x"7D6" => DATA <= x"E9"; when x"7D7" => DATA <= x"A0"; when x"7D8" => DATA <= x"00"; when x"7D9" => DATA <= x"E6"; when x"7DA" => DATA <= x"E8"; when x"7DB" => DATA <= x"D0"; when x"7DC" => DATA <= x"02"; when x"7DD" => DATA <= x"E6"; when x"7DE" => DATA <= x"E9"; when x"7DF" => DATA <= x"B1"; when x"7E0" => DATA <= x"E8"; when x"7E1" => DATA <= x"30"; when x"7E2" => DATA <= x"06"; when x"7E3" => DATA <= x"20"; when x"7E4" => DATA <= x"F4"; when x"7E5" => DATA <= x"FF"; when x"7E6" => DATA <= x"4C"; when x"7E7" => DATA <= x"D7"; when x"7E8" => DATA <= x"F7"; when x"7E9" => DATA <= x"6C"; when x"7EA" => DATA <= x"E8"; when x"7EB" => DATA <= x"00"; when x"7EC" => DATA <= x"A2"; when x"7ED" => DATA <= x"D4"; when x"7EE" => DATA <= x"20"; when x"7EF" => DATA <= x"F1"; when x"7F0" => DATA <= x"F7"; when x"7F1" => DATA <= x"B5"; when x"7F2" => DATA <= x"01"; when x"7F3" => DATA <= x"20"; when x"7F4" => DATA <= x"02"; when x"7F5" => DATA <= x"F8"; when x"7F6" => DATA <= x"E8"; when x"7F7" => DATA <= x"E8"; when x"7F8" => DATA <= x"B5"; when x"7F9" => DATA <= x"FE"; when x"7FA" => DATA <= x"20"; when x"7FB" => DATA <= x"02"; when x"7FC" => DATA <= x"F8"; when x"7FD" => DATA <= x"A9"; when x"7FE" => DATA <= x"20"; when x"7FF" => DATA <= x"4C"; when x"800" => DATA <= x"F4"; when x"801" => DATA <= x"FF"; when x"802" => DATA <= x"48"; when x"803" => DATA <= x"4A"; when x"804" => DATA <= x"4A"; when x"805" => DATA <= x"4A"; when x"806" => DATA <= x"4A"; when x"807" => DATA <= x"20"; when x"808" => DATA <= x"0B"; when x"809" => DATA <= x"F8"; when x"80A" => DATA <= x"68"; when x"80B" => DATA <= x"29"; when x"80C" => DATA <= x"0F"; when x"80D" => DATA <= x"C9"; when x"80E" => DATA <= x"0A"; when x"80F" => DATA <= x"90"; when x"810" => DATA <= x"02"; when x"811" => DATA <= x"69"; when x"812" => DATA <= x"06"; when x"813" => DATA <= x"69"; when x"814" => DATA <= x"30"; when x"815" => DATA <= x"4C"; when x"816" => DATA <= x"F4"; when x"817" => DATA <= x"FF"; when x"818" => DATA <= x"20"; when x"819" => DATA <= x"76"; when x"81A" => DATA <= x"F8"; when x"81B" => DATA <= x"A2"; when x"81C" => DATA <= x"00"; when x"81D" => DATA <= x"C9"; when x"81E" => DATA <= x"22"; when x"81F" => DATA <= x"F0"; when x"820" => DATA <= x"06"; when x"821" => DATA <= x"E8"; when x"822" => DATA <= x"D0"; when x"823" => DATA <= x"1B"; when x"824" => DATA <= x"4C"; when x"825" => DATA <= x"7D"; when x"826" => DATA <= x"FA"; when x"827" => DATA <= x"C8"; when x"828" => DATA <= x"B9"; when x"829" => DATA <= x"00"; when x"82A" => DATA <= x"01"; when x"82B" => DATA <= x"C9"; when x"82C" => DATA <= x"0D"; when x"82D" => DATA <= x"F0"; when x"82E" => DATA <= x"F5"; when x"82F" => DATA <= x"9D"; when x"830" => DATA <= x"40"; when x"831" => DATA <= x"01"; when x"832" => DATA <= x"E8"; when x"833" => DATA <= x"C9"; when x"834" => DATA <= x"22"; when x"835" => DATA <= x"D0"; when x"836" => DATA <= x"F0"; when x"837" => DATA <= x"C8"; when x"838" => DATA <= x"B9"; when x"839" => DATA <= x"00"; when x"83A" => DATA <= x"01"; when x"83B" => DATA <= x"C9"; when x"83C" => DATA <= x"22"; when x"83D" => DATA <= x"F0"; when x"83E" => DATA <= x"E8"; when x"83F" => DATA <= x"A9"; when x"840" => DATA <= x"0D"; when x"841" => DATA <= x"9D"; when x"842" => DATA <= x"3F"; when x"843" => DATA <= x"01"; when x"844" => DATA <= x"A9"; when x"845" => DATA <= x"40"; when x"846" => DATA <= x"85"; when x"847" => DATA <= x"C9"; when x"848" => DATA <= x"A9"; when x"849" => DATA <= x"01"; when x"84A" => DATA <= x"85"; when x"84B" => DATA <= x"CA"; when x"84C" => DATA <= x"A2"; when x"84D" => DATA <= x"C9"; when x"84E" => DATA <= x"60"; when x"84F" => DATA <= x"A0"; when x"850" => DATA <= x"00"; when x"851" => DATA <= x"B5"; when x"852" => DATA <= x"00"; when x"853" => DATA <= x"99"; when x"854" => DATA <= x"C9"; when x"855" => DATA <= x"00"; when x"856" => DATA <= x"E8"; when x"857" => DATA <= x"C8"; when x"858" => DATA <= x"C0"; when x"859" => DATA <= x"0A"; when x"85A" => DATA <= x"90"; when x"85B" => DATA <= x"F5"; when x"85C" => DATA <= x"A0"; when x"85D" => DATA <= x"FF"; when x"85E" => DATA <= x"A9"; when x"85F" => DATA <= x"0D"; when x"860" => DATA <= x"C8"; when x"861" => DATA <= x"C0"; when x"862" => DATA <= x"0E"; when x"863" => DATA <= x"B0"; when x"864" => DATA <= x"07"; when x"865" => DATA <= x"D1"; when x"866" => DATA <= x"C9"; when x"867" => DATA <= x"D0"; when x"868" => DATA <= x"F7"; when x"869" => DATA <= x"C0"; when x"86A" => DATA <= x"00"; when x"86B" => DATA <= x"60"; when x"86C" => DATA <= x"20"; when x"86D" => DATA <= x"D1"; when x"86E" => DATA <= x"F7"; when x"86F" => DATA <= x"4E"; when x"870" => DATA <= x"41"; when x"871" => DATA <= x"4D"; when x"872" => DATA <= x"45"; when x"873" => DATA <= x"EA"; when x"874" => DATA <= x"00"; when x"875" => DATA <= x"C8"; when x"876" => DATA <= x"B9"; when x"877" => DATA <= x"00"; when x"878" => DATA <= x"01"; when x"879" => DATA <= x"C9"; when x"87A" => DATA <= x"20"; when x"87B" => DATA <= x"F0"; when x"87C" => DATA <= x"F8"; when x"87D" => DATA <= x"60"; when x"87E" => DATA <= x"C9"; when x"87F" => DATA <= x"30"; when x"880" => DATA <= x"90"; when x"881" => DATA <= x"0F"; when x"882" => DATA <= x"C9"; when x"883" => DATA <= x"3A"; when x"884" => DATA <= x"90"; when x"885" => DATA <= x"08"; when x"886" => DATA <= x"E9"; when x"887" => DATA <= x"07"; when x"888" => DATA <= x"90"; when x"889" => DATA <= x"07"; when x"88A" => DATA <= x"C9"; when x"88B" => DATA <= x"40"; when x"88C" => DATA <= x"B0"; when x"88D" => DATA <= x"02"; when x"88E" => DATA <= x"29"; when x"88F" => DATA <= x"0F"; when x"890" => DATA <= x"60"; when x"891" => DATA <= x"38"; when x"892" => DATA <= x"60"; when x"893" => DATA <= x"A9"; when x"894" => DATA <= x"00"; when x"895" => DATA <= x"95"; when x"896" => DATA <= x"00"; when x"897" => DATA <= x"95"; when x"898" => DATA <= x"01"; when x"899" => DATA <= x"95"; when x"89A" => DATA <= x"02"; when x"89B" => DATA <= x"20"; when x"89C" => DATA <= x"76"; when x"89D" => DATA <= x"F8"; when x"89E" => DATA <= x"B9"; when x"89F" => DATA <= x"00"; when x"8A0" => DATA <= x"01"; when x"8A1" => DATA <= x"20"; when x"8A2" => DATA <= x"7E"; when x"8A3" => DATA <= x"F8"; when x"8A4" => DATA <= x"B0"; when x"8A5" => DATA <= x"15"; when x"8A6" => DATA <= x"0A"; when x"8A7" => DATA <= x"0A"; when x"8A8" => DATA <= x"0A"; when x"8A9" => DATA <= x"0A"; when x"8AA" => DATA <= x"94"; when x"8AB" => DATA <= x"02"; when x"8AC" => DATA <= x"A0"; when x"8AD" => DATA <= x"04"; when x"8AE" => DATA <= x"0A"; when x"8AF" => DATA <= x"36"; when x"8B0" => DATA <= x"00"; when x"8B1" => DATA <= x"36"; when x"8B2" => DATA <= x"01"; when x"8B3" => DATA <= x"88"; when x"8B4" => DATA <= x"D0"; when x"8B5" => DATA <= x"F8"; when x"8B6" => DATA <= x"B4"; when x"8B7" => DATA <= x"02"; when x"8B8" => DATA <= x"C8"; when x"8B9" => DATA <= x"D0"; when x"8BA" => DATA <= x"E3"; when x"8BB" => DATA <= x"B5"; when x"8BC" => DATA <= x"02"; when x"8BD" => DATA <= x"60"; when x"8BE" => DATA <= x"43"; when x"8BF" => DATA <= x"41"; when x"8C0" => DATA <= x"54"; when x"8C1" => DATA <= x"FA"; when x"8C2" => DATA <= x"2A"; when x"8C3" => DATA <= x"4C"; when x"8C4" => DATA <= x"4F"; when x"8C5" => DATA <= x"41"; when x"8C6" => DATA <= x"44"; when x"8C7" => DATA <= x"F9"; when x"8C8" => DATA <= x"58"; when x"8C9" => DATA <= x"53"; when x"8CA" => DATA <= x"41"; when x"8CB" => DATA <= x"56"; when x"8CC" => DATA <= x"45"; when x"8CD" => DATA <= x"FA"; when x"8CE" => DATA <= x"BB"; when x"8CF" => DATA <= x"52"; when x"8D0" => DATA <= x"55"; when x"8D1" => DATA <= x"4E"; when x"8D2" => DATA <= x"FA"; when x"8D3" => DATA <= x"20"; when x"8D4" => DATA <= x"4D"; when x"8D5" => DATA <= x"4F"; when x"8D6" => DATA <= x"4E"; when x"8D7" => DATA <= x"FA"; when x"8D8" => DATA <= x"1A"; when x"8D9" => DATA <= x"4E"; when x"8DA" => DATA <= x"4F"; when x"8DB" => DATA <= x"4D"; when x"8DC" => DATA <= x"4F"; when x"8DD" => DATA <= x"4E"; when x"8DE" => DATA <= x"FA"; when x"8DF" => DATA <= x"19"; when x"8E0" => DATA <= x"46"; when x"8E1" => DATA <= x"4C"; when x"8E2" => DATA <= x"4F"; when x"8E3" => DATA <= x"41"; when x"8E4" => DATA <= x"44"; when x"8E5" => DATA <= x"F9"; when x"8E6" => DATA <= x"55"; when x"8E7" => DATA <= x"44"; when x"8E8" => DATA <= x"4F"; when x"8E9" => DATA <= x"53"; when x"8EA" => DATA <= x"CC"; when x"8EB" => DATA <= x"EF"; when x"8EC" => DATA <= x"00"; when x"8ED" => DATA <= x"F9"; when x"8EE" => DATA <= x"26"; when x"8EF" => DATA <= x"A2"; when x"8F0" => DATA <= x"FF"; when x"8F1" => DATA <= x"D8"; when x"8F2" => DATA <= x"A0"; when x"8F3" => DATA <= x"00"; when x"8F4" => DATA <= x"84"; when x"8F5" => DATA <= x"DD"; when x"8F6" => DATA <= x"20"; when x"8F7" => DATA <= x"76"; when x"8F8" => DATA <= x"F8"; when x"8F9" => DATA <= x"88"; when x"8FA" => DATA <= x"C8"; when x"8FB" => DATA <= x"E8"; when x"8FC" => DATA <= x"BD"; when x"8FD" => DATA <= x"BE"; when x"8FE" => DATA <= x"F8"; when x"8FF" => DATA <= x"30"; when x"900" => DATA <= x"18"; when x"901" => DATA <= x"D9"; when x"902" => DATA <= x"00"; when x"903" => DATA <= x"01"; when x"904" => DATA <= x"F0"; when x"905" => DATA <= x"F4"; when x"906" => DATA <= x"CA"; when x"907" => DATA <= x"E8"; when x"908" => DATA <= x"BD"; when x"909" => DATA <= x"BE"; when x"90A" => DATA <= x"F8"; when x"90B" => DATA <= x"10"; when x"90C" => DATA <= x"FA"; when x"90D" => DATA <= x"E8"; when x"90E" => DATA <= x"B9"; when x"90F" => DATA <= x"00"; when x"910" => DATA <= x"01"; when x"911" => DATA <= x"C9"; when x"912" => DATA <= x"2E"; when x"913" => DATA <= x"D0"; when x"914" => DATA <= x"DD"; when x"915" => DATA <= x"C8"; when x"916" => DATA <= x"CA"; when x"917" => DATA <= x"B0"; when x"918" => DATA <= x"E3"; when x"919" => DATA <= x"85"; when x"91A" => DATA <= x"CA"; when x"91B" => DATA <= x"BD"; when x"91C" => DATA <= x"BF"; when x"91D" => DATA <= x"F8"; when x"91E" => DATA <= x"85"; when x"91F" => DATA <= x"C9"; when x"920" => DATA <= x"18"; when x"921" => DATA <= x"A2"; when x"922" => DATA <= x"00"; when x"923" => DATA <= x"6C"; when x"924" => DATA <= x"C9"; when x"925" => DATA <= x"00"; when x"926" => DATA <= x"20"; when x"927" => DATA <= x"D1"; when x"928" => DATA <= x"F7"; when x"929" => DATA <= x"43"; when x"92A" => DATA <= x"4F"; when x"92B" => DATA <= x"4D"; when x"92C" => DATA <= x"3F"; when x"92D" => DATA <= x"EA"; when x"92E" => DATA <= x"00"; when x"92F" => DATA <= x"20"; when x"930" => DATA <= x"8E"; when x"931" => DATA <= x"FB"; when x"932" => DATA <= x"50"; when x"933" => DATA <= x"FA"; when x"934" => DATA <= x"F0"; when x"935" => DATA <= x"F9"; when x"936" => DATA <= x"20"; when x"937" => DATA <= x"2B"; when x"938" => DATA <= x"FC"; when x"939" => DATA <= x"A0"; when x"93A" => DATA <= x"00"; when x"93B" => DATA <= x"20"; when x"93C" => DATA <= x"D4"; when x"93D" => DATA <= x"FF"; when x"93E" => DATA <= x"91"; when x"93F" => DATA <= x"CB"; when x"940" => DATA <= x"E6"; when x"941" => DATA <= x"CB"; when x"942" => DATA <= x"D0"; when x"943" => DATA <= x"02"; when x"944" => DATA <= x"E6"; when x"945" => DATA <= x"CC"; when x"946" => DATA <= x"A2"; when x"947" => DATA <= x"D4"; when x"948" => DATA <= x"20"; when x"949" => DATA <= x"08"; when x"94A" => DATA <= x"FA"; when x"94B" => DATA <= x"D0"; when x"94C" => DATA <= x"EE"; when x"94D" => DATA <= x"38"; when x"94E" => DATA <= x"66"; when x"94F" => DATA <= x"DD"; when x"950" => DATA <= x"18"; when x"951" => DATA <= x"66"; when x"952" => DATA <= x"DD"; when x"953" => DATA <= x"28"; when x"954" => DATA <= x"60"; when x"955" => DATA <= x"38"; when x"956" => DATA <= x"66"; when x"957" => DATA <= x"DD"; when x"958" => DATA <= x"20"; when x"959" => DATA <= x"18"; when x"95A" => DATA <= x"F8"; when x"95B" => DATA <= x"A2"; when x"95C" => DATA <= x"CB"; when x"95D" => DATA <= x"20"; when x"95E" => DATA <= x"93"; when x"95F" => DATA <= x"F8"; when x"960" => DATA <= x"F0"; when x"961" => DATA <= x"04"; when x"962" => DATA <= x"A9"; when x"963" => DATA <= x"FF"; when x"964" => DATA <= x"85"; when x"965" => DATA <= x"CD"; when x"966" => DATA <= x"20"; when x"967" => DATA <= x"76"; when x"968" => DATA <= x"FA"; when x"969" => DATA <= x"A2"; when x"96A" => DATA <= x"C9"; when x"96B" => DATA <= x"6C"; when x"96C" => DATA <= x"0C"; when x"96D" => DATA <= x"02"; when x"96E" => DATA <= x"08"; when x"96F" => DATA <= x"78"; when x"970" => DATA <= x"20"; when x"971" => DATA <= x"4F"; when x"972" => DATA <= x"F8"; when x"973" => DATA <= x"08"; when x"974" => DATA <= x"20"; when x"975" => DATA <= x"3E"; when x"976" => DATA <= x"FC"; when x"977" => DATA <= x"28"; when x"978" => DATA <= x"F0"; when x"979" => DATA <= x"B5"; when x"97A" => DATA <= x"A9"; when x"97B" => DATA <= x"00"; when x"97C" => DATA <= x"85"; when x"97D" => DATA <= x"D0"; when x"97E" => DATA <= x"85"; when x"97F" => DATA <= x"D1"; when x"980" => DATA <= x"20"; when x"981" => DATA <= x"A2"; when x"982" => DATA <= x"F9"; when x"983" => DATA <= x"90"; when x"984" => DATA <= x"C9"; when x"985" => DATA <= x"E6"; when x"986" => DATA <= x"D0"; when x"987" => DATA <= x"E6"; when x"988" => DATA <= x"CC"; when x"989" => DATA <= x"D0"; when x"98A" => DATA <= x"F5"; when x"98B" => DATA <= x"18"; when x"98C" => DATA <= x"90"; when x"98D" => DATA <= x"C0"; when x"98E" => DATA <= x"20"; when x"98F" => DATA <= x"F4"; when x"990" => DATA <= x"FF"; when x"991" => DATA <= x"C8"; when x"992" => DATA <= x"B9"; when x"993" => DATA <= x"ED"; when x"994" => DATA <= x"00"; when x"995" => DATA <= x"C9"; when x"996" => DATA <= x"0D"; when x"997" => DATA <= x"D0"; when x"998" => DATA <= x"F5"; when x"999" => DATA <= x"C8"; when x"99A" => DATA <= x"20"; when x"99B" => DATA <= x"FD"; when x"99C" => DATA <= x"F7"; when x"99D" => DATA <= x"C0"; when x"99E" => DATA <= x"0E"; when x"99F" => DATA <= x"90"; when x"9A0" => DATA <= x"F8"; when x"9A1" => DATA <= x"60"; when x"9A2" => DATA <= x"A9"; when x"9A3" => DATA <= x"00"; when x"9A4" => DATA <= x"85"; when x"9A5" => DATA <= x"DC"; when x"9A6" => DATA <= x"20"; when x"9A7" => DATA <= x"8E"; when x"9A8" => DATA <= x"FB"; when x"9A9" => DATA <= x"50"; when x"9AA" => DATA <= x"F8"; when x"9AB" => DATA <= x"D0"; when x"9AC" => DATA <= x"F5"; when x"9AD" => DATA <= x"20"; when x"9AE" => DATA <= x"C9"; when x"9AF" => DATA <= x"FB"; when x"9B0" => DATA <= x"08"; when x"9B1" => DATA <= x"20"; when x"9B2" => DATA <= x"E2"; when x"9B3" => DATA <= x"FB"; when x"9B4" => DATA <= x"28"; when x"9B5" => DATA <= x"F0"; when x"9B6" => DATA <= x"10"; when x"9B7" => DATA <= x"A5"; when x"9B8" => DATA <= x"DB"; when x"9B9" => DATA <= x"29"; when x"9BA" => DATA <= x"20"; when x"9BB" => DATA <= x"05"; when x"9BC" => DATA <= x"EA"; when x"9BD" => DATA <= x"D0"; when x"9BE" => DATA <= x"E3"; when x"9BF" => DATA <= x"20"; when x"9C0" => DATA <= x"92"; when x"9C1" => DATA <= x"F9"; when x"9C2" => DATA <= x"20"; when x"9C3" => DATA <= x"ED"; when x"9C4" => DATA <= x"FF"; when x"9C5" => DATA <= x"D0"; when x"9C6" => DATA <= x"DB"; when x"9C7" => DATA <= x"A2"; when x"9C8" => DATA <= x"02"; when x"9C9" => DATA <= x"A5"; when x"9CA" => DATA <= x"DD"; when x"9CB" => DATA <= x"30"; when x"9CC" => DATA <= x"13"; when x"9CD" => DATA <= x"B5"; when x"9CE" => DATA <= x"CF"; when x"9CF" => DATA <= x"D5"; when x"9D0" => DATA <= x"D8"; when x"9D1" => DATA <= x"B0"; when x"9D2" => DATA <= x"08"; when x"9D3" => DATA <= x"A9"; when x"9D4" => DATA <= x"05"; when x"9D5" => DATA <= x"20"; when x"9D6" => DATA <= x"40"; when x"9D7" => DATA <= x"FC"; when x"9D8" => DATA <= x"20"; when x"9D9" => DATA <= x"3E"; when x"9DA" => DATA <= x"FC"; when x"9DB" => DATA <= x"D0"; when x"9DC" => DATA <= x"C5"; when x"9DD" => DATA <= x"CA"; when x"9DE" => DATA <= x"D0"; when x"9DF" => DATA <= x"ED"; when x"9E0" => DATA <= x"20"; when x"9E1" => DATA <= x"2B"; when x"9E2" => DATA <= x"FC"; when x"9E3" => DATA <= x"24"; when x"9E4" => DATA <= x"DB"; when x"9E5" => DATA <= x"50"; when x"9E6" => DATA <= x"0B"; when x"9E7" => DATA <= x"88"; when x"9E8" => DATA <= x"C8"; when x"9E9" => DATA <= x"20"; when x"9EA" => DATA <= x"D4"; when x"9EB" => DATA <= x"FF"; when x"9EC" => DATA <= x"91"; when x"9ED" => DATA <= x"CB"; when x"9EE" => DATA <= x"C4"; when x"9EF" => DATA <= x"D8"; when x"9F0" => DATA <= x"D0"; when x"9F1" => DATA <= x"F6"; when x"9F2" => DATA <= x"A5"; when x"9F3" => DATA <= x"DC"; when x"9F4" => DATA <= x"85"; when x"9F5" => DATA <= x"CE"; when x"9F6" => DATA <= x"20"; when x"9F7" => DATA <= x"D4"; when x"9F8" => DATA <= x"FF"; when x"9F9" => DATA <= x"C5"; when x"9FA" => DATA <= x"CE"; when x"9FB" => DATA <= x"F0"; when x"9FC" => DATA <= x"08"; when x"9FD" => DATA <= x"20"; when x"9FE" => DATA <= x"D1"; when x"9FF" => DATA <= x"F7"; when x"A00" => DATA <= x"53"; when x"A01" => DATA <= x"55"; when x"A02" => DATA <= x"4D"; when x"A03" => DATA <= x"EA"; when x"A04" => DATA <= x"00"; when x"A05" => DATA <= x"26"; when x"A06" => DATA <= x"DB"; when x"A07" => DATA <= x"60"; when x"A08" => DATA <= x"F6"; when x"A09" => DATA <= x"00"; when x"A0A" => DATA <= x"D0"; when x"A0B" => DATA <= x"02"; when x"A0C" => DATA <= x"F6"; when x"A0D" => DATA <= x"01"; when x"A0E" => DATA <= x"B5"; when x"A0F" => DATA <= x"00"; when x"A10" => DATA <= x"D5"; when x"A11" => DATA <= x"02"; when x"A12" => DATA <= x"D0"; when x"A13" => DATA <= x"04"; when x"A14" => DATA <= x"B5"; when x"A15" => DATA <= x"01"; when x"A16" => DATA <= x"D5"; when x"A17" => DATA <= x"03"; when x"A18" => DATA <= x"60"; when x"A19" => DATA <= x"CA"; when x"A1A" => DATA <= x"20"; when x"A1B" => DATA <= x"76"; when x"A1C" => DATA <= x"FA"; when x"A1D" => DATA <= x"86"; when x"A1E" => DATA <= x"EA"; when x"A1F" => DATA <= x"60"; when x"A20" => DATA <= x"20"; when x"A21" => DATA <= x"58"; when x"A22" => DATA <= x"F9"; when x"A23" => DATA <= x"24"; when x"A24" => DATA <= x"DD"; when x"A25" => DATA <= x"70"; when x"A26" => DATA <= x"4C"; when x"A27" => DATA <= x"6C"; when x"A28" => DATA <= x"D6"; when x"A29" => DATA <= x"00"; when x"A2A" => DATA <= x"08"; when x"A2B" => DATA <= x"20"; when x"A2C" => DATA <= x"76"; when x"A2D" => DATA <= x"FA"; when x"A2E" => DATA <= x"20"; when x"A2F" => DATA <= x"3E"; when x"A30" => DATA <= x"FC"; when x"A31" => DATA <= x"20"; when x"A32" => DATA <= x"8E"; when x"A33" => DATA <= x"FB"; when x"A34" => DATA <= x"70"; when x"A35" => DATA <= x"02"; when x"A36" => DATA <= x"28"; when x"A37" => DATA <= x"60"; when x"A38" => DATA <= x"F0"; when x"A39" => DATA <= x"0A"; when x"A3A" => DATA <= x"A0"; when x"A3B" => DATA <= x"00"; when x"A3C" => DATA <= x"20"; when x"A3D" => DATA <= x"99"; when x"A3E" => DATA <= x"F9"; when x"A3F" => DATA <= x"20"; when x"A40" => DATA <= x"EC"; when x"A41" => DATA <= x"F7"; when x"A42" => DATA <= x"D0"; when x"A43" => DATA <= x"19"; when x"A44" => DATA <= x"20"; when x"A45" => DATA <= x"C9"; when x"A46" => DATA <= x"FB"; when x"A47" => DATA <= x"20"; when x"A48" => DATA <= x"E2"; when x"A49" => DATA <= x"FB"; when x"A4A" => DATA <= x"20"; when x"A4B" => DATA <= x"92"; when x"A4C" => DATA <= x"F9"; when x"A4D" => DATA <= x"20"; when x"A4E" => DATA <= x"EC"; when x"A4F" => DATA <= x"F7"; when x"A50" => DATA <= x"26"; when x"A51" => DATA <= x"DB"; when x"A52" => DATA <= x"10"; when x"A53" => DATA <= x"09"; when x"A54" => DATA <= x"E8"; when x"A55" => DATA <= x"20"; when x"A56" => DATA <= x"F1"; when x"A57" => DATA <= x"F7"; when x"A58" => DATA <= x"B5"; when x"A59" => DATA <= x"FD"; when x"A5A" => DATA <= x"20"; when x"A5B" => DATA <= x"02"; when x"A5C" => DATA <= x"F8"; when x"A5D" => DATA <= x"20"; when x"A5E" => DATA <= x"ED"; when x"A5F" => DATA <= x"FF"; when x"A60" => DATA <= x"D0"; when x"A61" => DATA <= x"CF"; when x"A62" => DATA <= x"4C"; when x"A63" => DATA <= x"ED"; when x"A64" => DATA <= x"FF"; when x"A65" => DATA <= x"20"; when x"A66" => DATA <= x"93"; when x"A67" => DATA <= x"F8"; when x"A68" => DATA <= x"F0"; when x"A69" => DATA <= x"13"; when x"A6A" => DATA <= x"60"; when x"A6B" => DATA <= x"A2"; when x"A6C" => DATA <= x"CB"; when x"A6D" => DATA <= x"20"; when x"A6E" => DATA <= x"65"; when x"A6F" => DATA <= x"FA"; when x"A70" => DATA <= x"20"; when x"A71" => DATA <= x"76"; when x"A72" => DATA <= x"FA"; when x"A73" => DATA <= x"6C"; when x"A74" => DATA <= x"CB"; when x"A75" => DATA <= x"00"; when x"A76" => DATA <= x"20"; when x"A77" => DATA <= x"76"; when x"A78" => DATA <= x"F8"; when x"A79" => DATA <= x"C9"; when x"A7A" => DATA <= x"0D"; when x"A7B" => DATA <= x"F0"; when x"A7C" => DATA <= x"A2"; when x"A7D" => DATA <= x"20"; when x"A7E" => DATA <= x"D1"; when x"A7F" => DATA <= x"F7"; when x"A80" => DATA <= x"53"; when x"A81" => DATA <= x"59"; when x"A82" => DATA <= x"4E"; when x"A83" => DATA <= x"3F"; when x"A84" => DATA <= x"EA"; when x"A85" => DATA <= x"00"; when x"A86" => DATA <= x"38"; when x"A87" => DATA <= x"A5"; when x"A88" => DATA <= x"D1"; when x"A89" => DATA <= x"E5"; when x"A8A" => DATA <= x"CF"; when x"A8B" => DATA <= x"48"; when x"A8C" => DATA <= x"A5"; when x"A8D" => DATA <= x"D2"; when x"A8E" => DATA <= x"E5"; when x"A8F" => DATA <= x"D0"; when x"A90" => DATA <= x"A8"; when x"A91" => DATA <= x"68"; when x"A92" => DATA <= x"18"; when x"A93" => DATA <= x"65"; when x"A94" => DATA <= x"CB"; when x"A95" => DATA <= x"85"; when x"A96" => DATA <= x"CD"; when x"A97" => DATA <= x"98"; when x"A98" => DATA <= x"65"; when x"A99" => DATA <= x"CC"; when x"A9A" => DATA <= x"85"; when x"A9B" => DATA <= x"CE"; when x"A9C" => DATA <= x"A0"; when x"A9D" => DATA <= x"04"; when x"A9E" => DATA <= x"B9"; when x"A9F" => DATA <= x"CA"; when x"AA0" => DATA <= x"00"; when x"AA1" => DATA <= x"20"; when x"AA2" => DATA <= x"D1"; when x"AA3" => DATA <= x"FF"; when x"AA4" => DATA <= x"88"; when x"AA5" => DATA <= x"D0"; when x"AA6" => DATA <= x"F7"; when x"AA7" => DATA <= x"B1"; when x"AA8" => DATA <= x"CF"; when x"AA9" => DATA <= x"20"; when x"AAA" => DATA <= x"D1"; when x"AAB" => DATA <= x"FF"; when x"AAC" => DATA <= x"E6"; when x"AAD" => DATA <= x"CF"; when x"AAE" => DATA <= x"D0"; when x"AAF" => DATA <= x"02"; when x"AB0" => DATA <= x"E6"; when x"AB1" => DATA <= x"D0"; when x"AB2" => DATA <= x"A2"; when x"AB3" => DATA <= x"CB"; when x"AB4" => DATA <= x"20"; when x"AB5" => DATA <= x"08"; when x"AB6" => DATA <= x"FA"; when x"AB7" => DATA <= x"D0"; when x"AB8" => DATA <= x"EE"; when x"AB9" => DATA <= x"28"; when x"ABA" => DATA <= x"60"; when x"ABB" => DATA <= x"20"; when x"ABC" => DATA <= x"18"; when x"ABD" => DATA <= x"F8"; when x"ABE" => DATA <= x"A2"; when x"ABF" => DATA <= x"CB"; when x"AC0" => DATA <= x"20"; when x"AC1" => DATA <= x"65"; when x"AC2" => DATA <= x"FA"; when x"AC3" => DATA <= x"A2"; when x"AC4" => DATA <= x"D1"; when x"AC5" => DATA <= x"20"; when x"AC6" => DATA <= x"65"; when x"AC7" => DATA <= x"FA"; when x"AC8" => DATA <= x"A2"; when x"AC9" => DATA <= x"CD"; when x"ACA" => DATA <= x"20"; when x"ACB" => DATA <= x"93"; when x"ACC" => DATA <= x"F8"; when x"ACD" => DATA <= x"08"; when x"ACE" => DATA <= x"A5"; when x"ACF" => DATA <= x"CB"; when x"AD0" => DATA <= x"A6"; when x"AD1" => DATA <= x"CC"; when x"AD2" => DATA <= x"28"; when x"AD3" => DATA <= x"D0"; when x"AD4" => DATA <= x"04"; when x"AD5" => DATA <= x"85"; when x"AD6" => DATA <= x"CD"; when x"AD7" => DATA <= x"86"; when x"AD8" => DATA <= x"CE"; when x"AD9" => DATA <= x"85"; when x"ADA" => DATA <= x"CF"; when x"ADB" => DATA <= x"86"; when x"ADC" => DATA <= x"D0"; when x"ADD" => DATA <= x"20"; when x"ADE" => DATA <= x"76"; when x"ADF" => DATA <= x"FA"; when x"AE0" => DATA <= x"A2"; when x"AE1" => DATA <= x"C9"; when x"AE2" => DATA <= x"6C"; when x"AE3" => DATA <= x"0E"; when x"AE4" => DATA <= x"02"; when x"AE5" => DATA <= x"08"; when x"AE6" => DATA <= x"78"; when x"AE7" => DATA <= x"20"; when x"AE8" => DATA <= x"4F"; when x"AE9" => DATA <= x"F8"; when x"AEA" => DATA <= x"08"; when x"AEB" => DATA <= x"A9"; when x"AEC" => DATA <= x"06"; when x"AED" => DATA <= x"20"; when x"AEE" => DATA <= x"40"; when x"AEF" => DATA <= x"FC"; when x"AF0" => DATA <= x"A2"; when x"AF1" => DATA <= x"07"; when x"AF2" => DATA <= x"20"; when x"AF3" => DATA <= x"7A"; when x"AF4" => DATA <= x"FB"; when x"AF5" => DATA <= x"28"; when x"AF6" => DATA <= x"F0"; when x"AF7" => DATA <= x"8E"; when x"AF8" => DATA <= x"A2"; when x"AF9" => DATA <= x"04"; when x"AFA" => DATA <= x"B5"; when x"AFB" => DATA <= x"CE"; when x"AFC" => DATA <= x"95"; when x"AFD" => DATA <= x"D2"; when x"AFE" => DATA <= x"CA"; when x"AFF" => DATA <= x"D0"; when x"B00" => DATA <= x"F9"; when x"B01" => DATA <= x"86"; when x"B02" => DATA <= x"D0"; when x"B03" => DATA <= x"86"; when x"B04" => DATA <= x"D1"; when x"B05" => DATA <= x"A5"; when x"B06" => DATA <= x"D5"; when x"B07" => DATA <= x"D0"; when x"B08" => DATA <= x"02"; when x"B09" => DATA <= x"C6"; when x"B0A" => DATA <= x"D6"; when x"B0B" => DATA <= x"C6"; when x"B0C" => DATA <= x"D5"; when x"B0D" => DATA <= x"18"; when x"B0E" => DATA <= x"66"; when x"B0F" => DATA <= x"D2"; when x"B10" => DATA <= x"38"; when x"B11" => DATA <= x"A2"; when x"B12" => DATA <= x"FF"; when x"B13" => DATA <= x"A5"; when x"B14" => DATA <= x"D5"; when x"B15" => DATA <= x"E5"; when x"B16" => DATA <= x"D3"; when x"B17" => DATA <= x"85"; when x"B18" => DATA <= x"CF"; when x"B19" => DATA <= x"A5"; when x"B1A" => DATA <= x"D6"; when x"B1B" => DATA <= x"E5"; when x"B1C" => DATA <= x"D4"; when x"B1D" => DATA <= x"08"; when x"B1E" => DATA <= x"66"; when x"B1F" => DATA <= x"D2"; when x"B20" => DATA <= x"28"; when x"B21" => DATA <= x"90"; when x"B22" => DATA <= x"06"; when x"B23" => DATA <= x"18"; when x"B24" => DATA <= x"F0"; when x"B25" => DATA <= x"03"; when x"B26" => DATA <= x"86"; when x"B27" => DATA <= x"CF"; when x"B28" => DATA <= x"38"; when x"B29" => DATA <= x"66"; when x"B2A" => DATA <= x"D2"; when x"B2B" => DATA <= x"E8"; when x"B2C" => DATA <= x"20"; when x"B2D" => DATA <= x"3B"; when x"B2E" => DATA <= x"FB"; when x"B2F" => DATA <= x"E6"; when x"B30" => DATA <= x"D0"; when x"B31" => DATA <= x"E6"; when x"B32" => DATA <= x"D4"; when x"B33" => DATA <= x"E6"; when x"B34" => DATA <= x"CC"; when x"B35" => DATA <= x"26"; when x"B36" => DATA <= x"D2"; when x"B37" => DATA <= x"B0"; when x"B38" => DATA <= x"D5"; when x"B39" => DATA <= x"28"; when x"B3A" => DATA <= x"60"; when x"B3B" => DATA <= x"A2"; when x"B3C" => DATA <= x"07"; when x"B3D" => DATA <= x"20"; when x"B3E" => DATA <= x"7A"; when x"B3F" => DATA <= x"FB"; when x"B40" => DATA <= x"86"; when x"B41" => DATA <= x"DC"; when x"B42" => DATA <= x"A0"; when x"B43" => DATA <= x"04"; when x"B44" => DATA <= x"A9"; when x"B45" => DATA <= x"2A"; when x"B46" => DATA <= x"20"; when x"B47" => DATA <= x"D1"; when x"B48" => DATA <= x"FF"; when x"B49" => DATA <= x"88"; when x"B4A" => DATA <= x"D0"; when x"B4B" => DATA <= x"F8"; when x"B4C" => DATA <= x"B1"; when x"B4D" => DATA <= x"C9"; when x"B4E" => DATA <= x"20"; when x"B4F" => DATA <= x"D1"; when x"B50" => DATA <= x"FF"; when x"B51" => DATA <= x"C8"; when x"B52" => DATA <= x"C9"; when x"B53" => DATA <= x"0D"; when x"B54" => DATA <= x"D0"; when x"B55" => DATA <= x"F6"; when x"B56" => DATA <= x"A0"; when x"B57" => DATA <= x"08"; when x"B58" => DATA <= x"B9"; when x"B59" => DATA <= x"CA"; when x"B5A" => DATA <= x"00"; when x"B5B" => DATA <= x"20"; when x"B5C" => DATA <= x"D1"; when x"B5D" => DATA <= x"FF"; when x"B5E" => DATA <= x"88"; when x"B5F" => DATA <= x"D0"; when x"B60" => DATA <= x"F7"; when x"B61" => DATA <= x"20"; when x"B62" => DATA <= x"81"; when x"B63" => DATA <= x"FB"; when x"B64" => DATA <= x"24"; when x"B65" => DATA <= x"D2"; when x"B66" => DATA <= x"50"; when x"B67" => DATA <= x"0B"; when x"B68" => DATA <= x"88"; when x"B69" => DATA <= x"C8"; when x"B6A" => DATA <= x"B1"; when x"B6B" => DATA <= x"D3"; when x"B6C" => DATA <= x"20"; when x"B6D" => DATA <= x"D1"; when x"B6E" => DATA <= x"FF"; when x"B6F" => DATA <= x"C4"; when x"B70" => DATA <= x"CF"; when x"B71" => DATA <= x"D0"; when x"B72" => DATA <= x"F6"; when x"B73" => DATA <= x"A5"; when x"B74" => DATA <= x"DC"; when x"B75" => DATA <= x"20"; when x"B76" => DATA <= x"D1"; when x"B77" => DATA <= x"FF"; when x"B78" => DATA <= x"A2"; when x"B79" => DATA <= x"04"; when x"B7A" => DATA <= x"8E"; when x"B7B" => DATA <= x"02"; when x"B7C" => DATA <= x"B0"; when x"B7D" => DATA <= x"A2"; when x"B7E" => DATA <= x"78"; when x"B7F" => DATA <= x"D0"; when x"B80" => DATA <= x"02"; when x"B81" => DATA <= x"A2"; when x"B82" => DATA <= x"1E"; when x"B83" => DATA <= x"20"; when x"B84" => DATA <= x"66"; when x"B85" => DATA <= x"FE"; when x"B86" => DATA <= x"CA"; when x"B87" => DATA <= x"D0"; when x"B88" => DATA <= x"FA"; when x"B89" => DATA <= x"60"; when x"B8A" => DATA <= x"A2"; when x"B8B" => DATA <= x"06"; when x"B8C" => DATA <= x"D0"; when x"B8D" => DATA <= x"F5"; when x"B8E" => DATA <= x"2C"; when x"B8F" => DATA <= x"01"; when x"B90" => DATA <= x"B0"; when x"B91" => DATA <= x"10"; when x"B92" => DATA <= x"FB"; when x"B93" => DATA <= x"50"; when x"B94" => DATA <= x"F9"; when x"B95" => DATA <= x"A0"; when x"B96" => DATA <= x"00"; when x"B97" => DATA <= x"85"; when x"B98" => DATA <= x"C3"; when x"B99" => DATA <= x"A9"; when x"B9A" => DATA <= x"10"; when x"B9B" => DATA <= x"85"; when x"B9C" => DATA <= x"C2"; when x"B9D" => DATA <= x"2C"; when x"B9E" => DATA <= x"01"; when x"B9F" => DATA <= x"B0"; when x"BA0" => DATA <= x"10"; when x"BA1" => DATA <= x"0F"; when x"BA2" => DATA <= x"50"; when x"BA3" => DATA <= x"0D"; when x"BA4" => DATA <= x"20"; when x"BA5" => DATA <= x"BD"; when x"BA6" => DATA <= x"FC"; when x"BA7" => DATA <= x"B0"; when x"BA8" => DATA <= x"EC"; when x"BA9" => DATA <= x"C6"; when x"BAA" => DATA <= x"C3"; when x"BAB" => DATA <= x"D0"; when x"BAC" => DATA <= x"F0"; when x"BAD" => DATA <= x"C6"; when x"BAE" => DATA <= x"C2"; when x"BAF" => DATA <= x"D0"; when x"BB0" => DATA <= x"EC"; when x"BB1" => DATA <= x"70"; when x"BB2" => DATA <= x"01"; when x"BB3" => DATA <= x"60"; when x"BB4" => DATA <= x"A0"; when x"BB5" => DATA <= x"04"; when x"BB6" => DATA <= x"08"; when x"BB7" => DATA <= x"20"; when x"BB8" => DATA <= x"E4"; when x"BB9" => DATA <= x"FB"; when x"BBA" => DATA <= x"28"; when x"BBB" => DATA <= x"A0"; when x"BBC" => DATA <= x"04"; when x"BBD" => DATA <= x"A9"; when x"BBE" => DATA <= x"2A"; when x"BBF" => DATA <= x"D9"; when x"BC0" => DATA <= x"D3"; when x"BC1" => DATA <= x"00"; when x"BC2" => DATA <= x"D0"; when x"BC3" => DATA <= x"03"; when x"BC4" => DATA <= x"88"; when x"BC5" => DATA <= x"D0"; when x"BC6" => DATA <= x"F8"; when x"BC7" => DATA <= x"60"; when x"BC8" => DATA <= x"C8"; when x"BC9" => DATA <= x"20"; when x"BCA" => DATA <= x"D4"; when x"BCB" => DATA <= x"FF"; when x"BCC" => DATA <= x"99"; when x"BCD" => DATA <= x"ED"; when x"BCE" => DATA <= x"00"; when x"BCF" => DATA <= x"C9"; when x"BD0" => DATA <= x"0D"; when x"BD1" => DATA <= x"D0"; when x"BD2" => DATA <= x"F5"; when x"BD3" => DATA <= x"A0"; when x"BD4" => DATA <= x"FF"; when x"BD5" => DATA <= x"C8"; when x"BD6" => DATA <= x"B1"; when x"BD7" => DATA <= x"C9"; when x"BD8" => DATA <= x"D9"; when x"BD9" => DATA <= x"ED"; when x"BDA" => DATA <= x"00"; when x"BDB" => DATA <= x"D0"; when x"BDC" => DATA <= x"EA"; when x"BDD" => DATA <= x"C9"; when x"BDE" => DATA <= x"0D"; when x"BDF" => DATA <= x"D0"; when x"BE0" => DATA <= x"F4"; when x"BE1" => DATA <= x"60"; when x"BE2" => DATA <= x"A0"; when x"BE3" => DATA <= x"08"; when x"BE4" => DATA <= x"20"; when x"BE5" => DATA <= x"D4"; when x"BE6" => DATA <= x"FF"; when x"BE7" => DATA <= x"99"; when x"BE8" => DATA <= x"D3"; when x"BE9" => DATA <= x"00"; when x"BEA" => DATA <= x"88"; when x"BEB" => DATA <= x"D0"; when x"BEC" => DATA <= x"F7"; when x"BED" => DATA <= x"60"; when x"BEE" => DATA <= x"86"; when x"BEF" => DATA <= x"EC"; when x"BF0" => DATA <= x"84"; when x"BF1" => DATA <= x"C3"; when x"BF2" => DATA <= x"08"; when x"BF3" => DATA <= x"78"; when x"BF4" => DATA <= x"A9"; when x"BF5" => DATA <= x"78"; when x"BF6" => DATA <= x"85"; when x"BF7" => DATA <= x"C0"; when x"BF8" => DATA <= x"20"; when x"BF9" => DATA <= x"BD"; when x"BFA" => DATA <= x"FC"; when x"BFB" => DATA <= x"90"; when x"BFC" => DATA <= x"F7"; when x"BFD" => DATA <= x"E6"; when x"BFE" => DATA <= x"C0"; when x"BFF" => DATA <= x"10"; when x"C00" => DATA <= x"F7"; when x"C01" => DATA <= x"A9"; when x"C02" => DATA <= x"53"; when x"C03" => DATA <= x"85"; when x"C04" => DATA <= x"C4"; when x"C05" => DATA <= x"A2"; when x"C06" => DATA <= x"00"; when x"C07" => DATA <= x"AC"; when x"C08" => DATA <= x"02"; when x"C09" => DATA <= x"B0"; when x"C0A" => DATA <= x"20"; when x"C0B" => DATA <= x"CD"; when x"C0C" => DATA <= x"FC"; when x"C0D" => DATA <= x"F0"; when x"C0E" => DATA <= x"00"; when x"C0F" => DATA <= x"F0"; when x"C10" => DATA <= x"01"; when x"C11" => DATA <= x"E8"; when x"C12" => DATA <= x"C6"; when x"C13" => DATA <= x"C4"; when x"C14" => DATA <= x"D0"; when x"C15" => DATA <= x"F4"; when x"C16" => DATA <= x"E0"; when x"C17" => DATA <= x"0C"; when x"C18" => DATA <= x"66"; when x"C19" => DATA <= x"C0"; when x"C1A" => DATA <= x"90"; when x"C1B" => DATA <= x"E5"; when x"C1C" => DATA <= x"A5"; when x"C1D" => DATA <= x"C0"; when x"C1E" => DATA <= x"28"; when x"C1F" => DATA <= x"A4"; when x"C20" => DATA <= x"C3"; when x"C21" => DATA <= x"A6"; when x"C22" => DATA <= x"EC"; when x"C23" => DATA <= x"48"; when x"C24" => DATA <= x"18"; when x"C25" => DATA <= x"65"; when x"C26" => DATA <= x"DC"; when x"C27" => DATA <= x"85"; when x"C28" => DATA <= x"DC"; when x"C29" => DATA <= x"68"; when x"C2A" => DATA <= x"60"; when x"C2B" => DATA <= x"A5"; when x"C2C" => DATA <= x"CD"; when x"C2D" => DATA <= x"30"; when x"C2E" => DATA <= x"08"; when x"C2F" => DATA <= x"A5"; when x"C30" => DATA <= x"D4"; when x"C31" => DATA <= x"85"; when x"C32" => DATA <= x"CB"; when x"C33" => DATA <= x"A5"; when x"C34" => DATA <= x"D5"; when x"C35" => DATA <= x"85"; when x"C36" => DATA <= x"CC"; when x"C37" => DATA <= x"60"; when x"C38" => DATA <= x"B0"; when x"C39" => DATA <= x"04"; when x"C3A" => DATA <= x"A9"; when x"C3B" => DATA <= x"06"; when x"C3C" => DATA <= x"D0"; when x"C3D" => DATA <= x"02"; when x"C3E" => DATA <= x"A9"; when x"C3F" => DATA <= x"04"; when x"C40" => DATA <= x"A2"; when x"C41" => DATA <= x"07"; when x"C42" => DATA <= x"8E"; when x"C43" => DATA <= x"02"; when x"C44" => DATA <= x"B0"; when x"C45" => DATA <= x"24"; when x"C46" => DATA <= x"EA"; when x"C47" => DATA <= x"D0"; when x"C48" => DATA <= x"2D"; when x"C49" => DATA <= x"C9"; when x"C4A" => DATA <= x"05"; when x"C4B" => DATA <= x"F0"; when x"C4C" => DATA <= x"16"; when x"C4D" => DATA <= x"B0"; when x"C4E" => DATA <= x"09"; when x"C4F" => DATA <= x"20"; when x"C50" => DATA <= x"D1"; when x"C51" => DATA <= x"F7"; when x"C52" => DATA <= x"50"; when x"C53" => DATA <= x"4C"; when x"C54" => DATA <= x"41"; when x"C55" => DATA <= x"59"; when x"C56" => DATA <= x"D0"; when x"C57" => DATA <= x"15"; when x"C58" => DATA <= x"20"; when x"C59" => DATA <= x"D1"; when x"C5A" => DATA <= x"F7"; when x"C5B" => DATA <= x"52"; when x"C5C" => DATA <= x"45"; when x"C5D" => DATA <= x"43"; when x"C5E" => DATA <= x"4F"; when x"C5F" => DATA <= x"52"; when x"C60" => DATA <= x"44"; when x"C61" => DATA <= x"D0"; when x"C62" => DATA <= x"0A"; when x"C63" => DATA <= x"20"; when x"C64" => DATA <= x"D1"; when x"C65" => DATA <= x"F7"; when x"C66" => DATA <= x"52"; when x"C67" => DATA <= x"45"; when x"C68" => DATA <= x"57"; when x"C69" => DATA <= x"49"; when x"C6A" => DATA <= x"4E"; when x"C6B" => DATA <= x"44"; when x"C6C" => DATA <= x"EA"; when x"C6D" => DATA <= x"20"; when x"C6E" => DATA <= x"D1"; when x"C6F" => DATA <= x"F7"; when x"C70" => DATA <= x"20"; when x"C71" => DATA <= x"54"; when x"C72" => DATA <= x"41"; when x"C73" => DATA <= x"50"; when x"C74" => DATA <= x"45"; when x"C75" => DATA <= x"EA"; when x"C76" => DATA <= x"20"; when x"C77" => DATA <= x"E3"; when x"C78" => DATA <= x"FF"; when x"C79" => DATA <= x"4C"; when x"C7A" => DATA <= x"ED"; when x"C7B" => DATA <= x"FF"; when x"C7C" => DATA <= x"86"; when x"C7D" => DATA <= x"EC"; when x"C7E" => DATA <= x"84"; when x"C7F" => DATA <= x"C3"; when x"C80" => DATA <= x"08"; when x"C81" => DATA <= x"78"; when x"C82" => DATA <= x"48"; when x"C83" => DATA <= x"20"; when x"C84" => DATA <= x"23"; when x"C85" => DATA <= x"FC"; when x"C86" => DATA <= x"85"; when x"C87" => DATA <= x"C0"; when x"C88" => DATA <= x"20"; when x"C89" => DATA <= x"D8"; when x"C8A" => DATA <= x"FC"; when x"C8B" => DATA <= x"A9"; when x"C8C" => DATA <= x"0A"; when x"C8D" => DATA <= x"85"; when x"C8E" => DATA <= x"C1"; when x"C8F" => DATA <= x"18"; when x"C90" => DATA <= x"90"; when x"C91" => DATA <= x"0A"; when x"C92" => DATA <= x"A2"; when x"C93" => DATA <= x"07"; when x"C94" => DATA <= x"8E"; when x"C95" => DATA <= x"02"; when x"C96" => DATA <= x"B0"; when x"C97" => DATA <= x"20"; when x"C98" => DATA <= x"DA"; when x"C99" => DATA <= x"FC"; when x"C9A" => DATA <= x"30"; when x"C9B" => DATA <= x"13"; when x"C9C" => DATA <= x"A0"; when x"C9D" => DATA <= x"04"; when x"C9E" => DATA <= x"A9"; when x"C9F" => DATA <= x"04"; when x"CA0" => DATA <= x"8D"; when x"CA1" => DATA <= x"02"; when x"CA2" => DATA <= x"B0"; when x"CA3" => DATA <= x"20"; when x"CA4" => DATA <= x"D8"; when x"CA5" => DATA <= x"FC"; when x"CA6" => DATA <= x"EE"; when x"CA7" => DATA <= x"02"; when x"CA8" => DATA <= x"B0"; when x"CA9" => DATA <= x"20"; when x"CAA" => DATA <= x"D8"; when x"CAB" => DATA <= x"FC"; when x"CAC" => DATA <= x"88"; when x"CAD" => DATA <= x"D0"; when x"CAE" => DATA <= x"EF"; when x"CAF" => DATA <= x"38"; when x"CB0" => DATA <= x"66"; when x"CB1" => DATA <= x"C0"; when x"CB2" => DATA <= x"C6"; when x"CB3" => DATA <= x"C1"; when x"CB4" => DATA <= x"D0"; when x"CB5" => DATA <= x"DA"; when x"CB6" => DATA <= x"A4"; when x"CB7" => DATA <= x"C3"; when x"CB8" => DATA <= x"A6"; when x"CB9" => DATA <= x"EC"; when x"CBA" => DATA <= x"68"; when x"CBB" => DATA <= x"28"; when x"CBC" => DATA <= x"60"; when x"CBD" => DATA <= x"A2"; when x"CBE" => DATA <= x"00"; when x"CBF" => DATA <= x"AC"; when x"CC0" => DATA <= x"02"; when x"CC1" => DATA <= x"B0"; when x"CC2" => DATA <= x"E8"; when x"CC3" => DATA <= x"F0"; when x"CC4" => DATA <= x"07"; when x"CC5" => DATA <= x"20"; when x"CC6" => DATA <= x"CD"; when x"CC7" => DATA <= x"FC"; when x"CC8" => DATA <= x"F0"; when x"CC9" => DATA <= x"F8"; when x"CCA" => DATA <= x"E0"; when x"CCB" => DATA <= x"08"; when x"CCC" => DATA <= x"60"; when x"CCD" => DATA <= x"84"; when x"CCE" => DATA <= x"C5"; when x"CCF" => DATA <= x"AD"; when x"CD0" => DATA <= x"02"; when x"CD1" => DATA <= x"B0"; when x"CD2" => DATA <= x"A8"; when x"CD3" => DATA <= x"45"; when x"CD4" => DATA <= x"C5"; when x"CD5" => DATA <= x"29"; when x"CD6" => DATA <= x"20"; when x"CD7" => DATA <= x"60"; when x"CD8" => DATA <= x"A2"; when x"CD9" => DATA <= x"00"; when x"CDA" => DATA <= x"A9"; when x"CDB" => DATA <= x"10"; when x"CDC" => DATA <= x"2C"; when x"CDD" => DATA <= x"02"; when x"CDE" => DATA <= x"B0"; when x"CDF" => DATA <= x"F0"; when x"CE0" => DATA <= x"FB"; when x"CE1" => DATA <= x"2C"; when x"CE2" => DATA <= x"02"; when x"CE3" => DATA <= x"B0"; when x"CE4" => DATA <= x"D0"; when x"CE5" => DATA <= x"FB"; when x"CE6" => DATA <= x"CA"; when x"CE7" => DATA <= x"10"; when x"CE8" => DATA <= x"F3"; when x"CE9" => DATA <= x"60"; when x"CEA" => DATA <= x"C9"; when x"CEB" => DATA <= x"06"; when x"CEC" => DATA <= x"F0"; when x"CED" => DATA <= x"1D"; when x"CEE" => DATA <= x"C9"; when x"CEF" => DATA <= x"15"; when x"CF0" => DATA <= x"F0"; when x"CF1" => DATA <= x"1F"; when x"CF2" => DATA <= x"A4"; when x"CF3" => DATA <= x"E0"; when x"CF4" => DATA <= x"30"; when x"CF5" => DATA <= x"23"; when x"CF6" => DATA <= x"C9"; when x"CF7" => DATA <= x"1B"; when x"CF8" => DATA <= x"F0"; when x"CF9" => DATA <= x"11"; when x"CFA" => DATA <= x"C9"; when x"CFB" => DATA <= x"07"; when x"CFC" => DATA <= x"F0"; when x"CFD" => DATA <= x"1C"; when x"CFE" => DATA <= x"20"; when x"CFF" => DATA <= x"44"; when x"D00" => DATA <= x"FD"; when x"D01" => DATA <= x"A2"; when x"D02" => DATA <= x"0A"; when x"D03" => DATA <= x"20"; when x"D04" => DATA <= x"C5"; when x"D05" => DATA <= x"FE"; when x"D06" => DATA <= x"D0"; when x"D07" => DATA <= x"21"; when x"D08" => DATA <= x"4C"; when x"D09" => DATA <= x"B7"; when x"D0A" => DATA <= x"FE"; when x"D0B" => DATA <= x"18"; when x"D0C" => DATA <= x"A2"; when x"D0D" => DATA <= x"00"; when x"D0E" => DATA <= x"8E"; when x"D0F" => DATA <= x"00"; when x"D10" => DATA <= x"B0"; when x"D11" => DATA <= x"A2"; when x"D12" => DATA <= x"02"; when x"D13" => DATA <= x"08"; when x"D14" => DATA <= x"16"; when x"D15" => DATA <= x"DE"; when x"D16" => DATA <= x"28"; when x"D17" => DATA <= x"76"; when x"D18" => DATA <= x"DE"; when x"D19" => DATA <= x"60"; when x"D1A" => DATA <= x"A9"; when x"D1B" => DATA <= x"05"; when x"D1C" => DATA <= x"A8"; when x"D1D" => DATA <= x"8D"; when x"D1E" => DATA <= x"03"; when x"D1F" => DATA <= x"B0"; when x"D20" => DATA <= x"CA"; when x"D21" => DATA <= x"D0"; when x"D22" => DATA <= x"FD"; when x"D23" => DATA <= x"49"; when x"D24" => DATA <= x"01"; when x"D25" => DATA <= x"C8"; when x"D26" => DATA <= x"10"; when x"D27" => DATA <= x"F5"; when x"D28" => DATA <= x"60"; when x"D29" => DATA <= x"C9"; when x"D2A" => DATA <= x"20"; when x"D2B" => DATA <= x"90"; when x"D2C" => DATA <= x"17"; when x"D2D" => DATA <= x"69"; when x"D2E" => DATA <= x"1F"; when x"D2F" => DATA <= x"30"; when x"D30" => DATA <= x"02"; when x"D31" => DATA <= x"49"; when x"D32" => DATA <= x"60"; when x"D33" => DATA <= x"20"; when x"D34" => DATA <= x"6B"; when x"D35" => DATA <= x"FE"; when x"D36" => DATA <= x"91"; when x"D37" => DATA <= x"DE"; when x"D38" => DATA <= x"C8"; when x"D39" => DATA <= x"C0"; when x"D3A" => DATA <= x"20"; when x"D3B" => DATA <= x"90"; when x"D3C" => DATA <= x"05"; when x"D3D" => DATA <= x"20"; when x"D3E" => DATA <= x"EC"; when x"D3F" => DATA <= x"FD"; when x"D40" => DATA <= x"A0"; when x"D41" => DATA <= x"00"; when x"D42" => DATA <= x"84"; when x"D43" => DATA <= x"E0"; when x"D44" => DATA <= x"48"; when x"D45" => DATA <= x"20"; when x"D46" => DATA <= x"6B"; when x"D47" => DATA <= x"FE"; when x"D48" => DATA <= x"B1"; when x"D49" => DATA <= x"DE"; when x"D4A" => DATA <= x"45"; when x"D4B" => DATA <= x"E1"; when x"D4C" => DATA <= x"91"; when x"D4D" => DATA <= x"DE"; when x"D4E" => DATA <= x"68"; when x"D4F" => DATA <= x"60"; when x"D50" => DATA <= x"20"; when x"D51" => DATA <= x"35"; when x"D52" => DATA <= x"FE"; when x"D53" => DATA <= x"A9"; when x"D54" => DATA <= x"20"; when x"D55" => DATA <= x"20"; when x"D56" => DATA <= x"6B"; when x"D57" => DATA <= x"FE"; when x"D58" => DATA <= x"91"; when x"D59" => DATA <= x"DE"; when x"D5A" => DATA <= x"10"; when x"D5B" => DATA <= x"E6"; when x"D5C" => DATA <= x"20"; when x"D5D" => DATA <= x"35"; when x"D5E" => DATA <= x"FE"; when x"D5F" => DATA <= x"4C"; when x"D60" => DATA <= x"42"; when x"D61" => DATA <= x"FD"; when x"D62" => DATA <= x"20"; when x"D63" => DATA <= x"EC"; when x"D64" => DATA <= x"FD"; when x"D65" => DATA <= x"A4"; when x"D66" => DATA <= x"E0"; when x"D67" => DATA <= x"10"; when x"D68" => DATA <= x"D9"; when x"D69" => DATA <= x"A0"; when x"D6A" => DATA <= x"80"; when x"D6B" => DATA <= x"84"; when x"D6C" => DATA <= x"E1"; when x"D6D" => DATA <= x"A0"; when x"D6E" => DATA <= x"00"; when x"D6F" => DATA <= x"8C"; when x"D70" => DATA <= x"00"; when x"D71" => DATA <= x"B0"; when x"D72" => DATA <= x"A9"; when x"D73" => DATA <= x"20"; when x"D74" => DATA <= x"99"; when x"D75" => DATA <= x"00"; when x"D76" => DATA <= x"80"; when x"D77" => DATA <= x"99"; when x"D78" => DATA <= x"00"; when x"D79" => DATA <= x"81"; when x"D7A" => DATA <= x"C8"; when x"D7B" => DATA <= x"D0"; when x"D7C" => DATA <= x"F7"; when x"D7D" => DATA <= x"A9"; when x"D7E" => DATA <= x"80"; when x"D7F" => DATA <= x"A0"; when x"D80" => DATA <= x"00"; when x"D81" => DATA <= x"85"; when x"D82" => DATA <= x"DF"; when x"D83" => DATA <= x"84"; when x"D84" => DATA <= x"DE"; when x"D85" => DATA <= x"F0"; when x"D86" => DATA <= x"BB"; when x"D87" => DATA <= x"20"; when x"D88" => DATA <= x"3A"; when x"D89" => DATA <= x"FE"; when x"D8A" => DATA <= x"4C"; when x"D8B" => DATA <= x"42"; when x"D8C" => DATA <= x"FD"; when x"D8D" => DATA <= x"18"; when x"D8E" => DATA <= x"A9"; when x"D8F" => DATA <= x"10"; when x"D90" => DATA <= x"85"; when x"D91" => DATA <= x"E6"; when x"D92" => DATA <= x"A2"; when x"D93" => DATA <= x"08"; when x"D94" => DATA <= x"20"; when x"D95" => DATA <= x"13"; when x"D96" => DATA <= x"FD"; when x"D97" => DATA <= x"4C"; when x"D98" => DATA <= x"44"; when x"D99" => DATA <= x"FD"; when x"D9A" => DATA <= x"A5"; when x"D9B" => DATA <= x"E7"; when x"D9C" => DATA <= x"49"; when x"D9D" => DATA <= x"60"; when x"D9E" => DATA <= x"85"; when x"D9F" => DATA <= x"E7"; when x"DA0" => DATA <= x"B0"; when x"DA1" => DATA <= x"09"; when x"DA2" => DATA <= x"29"; when x"DA3" => DATA <= x"05"; when x"DA4" => DATA <= x"2E"; when x"DA5" => DATA <= x"01"; when x"DA6" => DATA <= x"B0"; when x"DA7" => DATA <= x"2A"; when x"DA8" => DATA <= x"20"; when x"DA9" => DATA <= x"EA"; when x"DAA" => DATA <= x"FC"; when x"DAB" => DATA <= x"4C"; when x"DAC" => DATA <= x"9A"; when x"DAD" => DATA <= x"FE"; when x"DAE" => DATA <= x"A4"; when x"DAF" => DATA <= x"E0"; when x"DB0" => DATA <= x"20"; when x"DB1" => DATA <= x"6B"; when x"DB2" => DATA <= x"FE"; when x"DB3" => DATA <= x"B1"; when x"DB4" => DATA <= x"DE"; when x"DB5" => DATA <= x"45"; when x"DB6" => DATA <= x"E1"; when x"DB7" => DATA <= x"30"; when x"DB8" => DATA <= x"02"; when x"DB9" => DATA <= x"49"; when x"DBA" => DATA <= x"60"; when x"DBB" => DATA <= x"E9"; when x"DBC" => DATA <= x"20"; when x"DBD" => DATA <= x"4C"; when x"DBE" => DATA <= x"E9"; when x"DBF" => DATA <= x"FD"; when x"DC0" => DATA <= x"A9"; when x"DC1" => DATA <= x"5F"; when x"DC2" => DATA <= x"49"; when x"DC3" => DATA <= x"20"; when x"DC4" => DATA <= x"D0"; when x"DC5" => DATA <= x"23"; when x"DC6" => DATA <= x"45"; when x"DC7" => DATA <= x"E7"; when x"DC8" => DATA <= x"2C"; when x"DC9" => DATA <= x"01"; when x"DCA" => DATA <= x"B0"; when x"DCB" => DATA <= x"30"; when x"DCC" => DATA <= x"02"; when x"DCD" => DATA <= x"49"; when x"DCE" => DATA <= x"60"; when x"DCF" => DATA <= x"4C"; when x"DD0" => DATA <= x"DF"; when x"DD1" => DATA <= x"FD"; when x"DD2" => DATA <= x"69"; when x"DD3" => DATA <= x"39"; when x"DD4" => DATA <= x"90"; when x"DD5" => DATA <= x"F2"; when x"DD6" => DATA <= x"49"; when x"DD7" => DATA <= x"10"; when x"DD8" => DATA <= x"2C"; when x"DD9" => DATA <= x"01"; when x"DDA" => DATA <= x"B0"; when x"DDB" => DATA <= x"30"; when x"DDC" => DATA <= x"02"; when x"DDD" => DATA <= x"49"; when x"DDE" => DATA <= x"10"; when x"DDF" => DATA <= x"18"; when x"DE0" => DATA <= x"69"; when x"DE1" => DATA <= x"20"; when x"DE2" => DATA <= x"2C"; when x"DE3" => DATA <= x"01"; when x"DE4" => DATA <= x"B0"; when x"DE5" => DATA <= x"70"; when x"DE6" => DATA <= x"02"; when x"DE7" => DATA <= x"29"; when x"DE8" => DATA <= x"1F"; when x"DE9" => DATA <= x"4C"; when x"DEA" => DATA <= x"60"; when x"DEB" => DATA <= x"FE"; when x"DEC" => DATA <= x"A5"; when x"DED" => DATA <= x"DE"; when x"DEE" => DATA <= x"A4"; when x"DEF" => DATA <= x"DF"; when x"DF0" => DATA <= x"C0"; when x"DF1" => DATA <= x"81"; when x"DF2" => DATA <= x"90"; when x"DF3" => DATA <= x"38"; when x"DF4" => DATA <= x"C9"; when x"DF5" => DATA <= x"E0"; when x"DF6" => DATA <= x"90"; when x"DF7" => DATA <= x"34"; when x"DF8" => DATA <= x"A4"; when x"DF9" => DATA <= x"E6"; when x"DFA" => DATA <= x"30"; when x"DFB" => DATA <= x"0C"; when x"DFC" => DATA <= x"88"; when x"DFD" => DATA <= x"D0"; when x"DFE" => DATA <= x"07"; when x"DFF" => DATA <= x"20"; when x"E00" => DATA <= x"71"; when x"E01" => DATA <= x"FE"; when x"E02" => DATA <= x"B0"; when x"E03" => DATA <= x"FB"; when x"E04" => DATA <= x"A0"; when x"E05" => DATA <= x"10"; when x"E06" => DATA <= x"84"; when x"E07" => DATA <= x"E6"; when x"E08" => DATA <= x"A0"; when x"E09" => DATA <= x"20"; when x"E0A" => DATA <= x"20"; when x"E0B" => DATA <= x"66"; when x"E0C" => DATA <= x"FE"; when x"E0D" => DATA <= x"B9"; when x"E0E" => DATA <= x"00"; when x"E0F" => DATA <= x"80"; when x"E10" => DATA <= x"99"; when x"E11" => DATA <= x"E0"; when x"E12" => DATA <= x"7F"; when x"E13" => DATA <= x"C8"; when x"E14" => DATA <= x"D0"; when x"E15" => DATA <= x"F7"; when x"E16" => DATA <= x"20"; when x"E17" => DATA <= x"6B"; when x"E18" => DATA <= x"FE"; when x"E19" => DATA <= x"B9"; when x"E1A" => DATA <= x"00"; when x"E1B" => DATA <= x"81"; when x"E1C" => DATA <= x"99"; when x"E1D" => DATA <= x"E0"; when x"E1E" => DATA <= x"80"; when x"E1F" => DATA <= x"C8"; when x"E20" => DATA <= x"D0"; when x"E21" => DATA <= x"F7"; when x"E22" => DATA <= x"A0"; when x"E23" => DATA <= x"1F"; when x"E24" => DATA <= x"A9"; when x"E25" => DATA <= x"20"; when x"E26" => DATA <= x"91"; when x"E27" => DATA <= x"DE"; when x"E28" => DATA <= x"88"; when x"E29" => DATA <= x"10"; when x"E2A" => DATA <= x"FB"; when x"E2B" => DATA <= x"60"; when x"E2C" => DATA <= x"69"; when x"E2D" => DATA <= x"20"; when x"E2E" => DATA <= x"85"; when x"E2F" => DATA <= x"DE"; when x"E30" => DATA <= x"D0"; when x"E31" => DATA <= x"02"; when x"E32" => DATA <= x"E6"; when x"E33" => DATA <= x"DF"; when x"E34" => DATA <= x"60"; when x"E35" => DATA <= x"88"; when x"E36" => DATA <= x"10"; when x"E37" => DATA <= x"19"; when x"E38" => DATA <= x"A0"; when x"E39" => DATA <= x"1F"; when x"E3A" => DATA <= x"A5"; when x"E3B" => DATA <= x"DE"; when x"E3C" => DATA <= x"D0"; when x"E3D" => DATA <= x"0B"; when x"E3E" => DATA <= x"A6"; when x"E3F" => DATA <= x"DF"; when x"E40" => DATA <= x"E0"; when x"E41" => DATA <= x"80"; when x"E42" => DATA <= x"D0"; when x"E43" => DATA <= x"05"; when x"E44" => DATA <= x"68"; when x"E45" => DATA <= x"68"; when x"E46" => DATA <= x"4C"; when x"E47" => DATA <= x"65"; when x"E48" => DATA <= x"FD"; when x"E49" => DATA <= x"E9"; when x"E4A" => DATA <= x"20"; when x"E4B" => DATA <= x"85"; when x"E4C" => DATA <= x"DE"; when x"E4D" => DATA <= x"B0"; when x"E4E" => DATA <= x"02"; when x"E4F" => DATA <= x"C6"; when x"E50" => DATA <= x"DF"; when x"E51" => DATA <= x"60"; when x"E52" => DATA <= x"20"; when x"E53" => DATA <= x"FB"; when x"E54" => DATA <= x"FE"; when x"E55" => DATA <= x"08"; when x"E56" => DATA <= x"48"; when x"E57" => DATA <= x"D8"; when x"E58" => DATA <= x"84"; when x"E59" => DATA <= x"E5"; when x"E5A" => DATA <= x"86"; when x"E5B" => DATA <= x"E4"; when x"E5C" => DATA <= x"20"; when x"E5D" => DATA <= x"EA"; when x"E5E" => DATA <= x"FC"; when x"E5F" => DATA <= x"68"; when x"E60" => DATA <= x"A6"; when x"E61" => DATA <= x"E4"; when x"E62" => DATA <= x"A4"; when x"E63" => DATA <= x"E5"; when x"E64" => DATA <= x"28"; when x"E65" => DATA <= x"60"; when x"E66" => DATA <= x"2C"; when x"E67" => DATA <= x"02"; when x"E68" => DATA <= x"B0"; when x"E69" => DATA <= x"10"; when x"E6A" => DATA <= x"FB"; when x"E6B" => DATA <= x"2C"; when x"E6C" => DATA <= x"02"; when x"E6D" => DATA <= x"B0"; when x"E6E" => DATA <= x"30"; when x"E6F" => DATA <= x"FB"; when x"E70" => DATA <= x"60"; when x"E71" => DATA <= x"A0"; when x"E72" => DATA <= x"3B"; when x"E73" => DATA <= x"18"; when x"E74" => DATA <= x"A9"; when x"E75" => DATA <= x"20"; when x"E76" => DATA <= x"A2"; when x"E77" => DATA <= x"0A"; when x"E78" => DATA <= x"2C"; when x"E79" => DATA <= x"01"; when x"E7A" => DATA <= x"B0"; when x"E7B" => DATA <= x"F0"; when x"E7C" => DATA <= x"08"; when x"E7D" => DATA <= x"EE"; when x"E7E" => DATA <= x"00"; when x"E7F" => DATA <= x"B0"; when x"E80" => DATA <= x"88"; when x"E81" => DATA <= x"CA"; when x"E82" => DATA <= x"D0"; when x"E83" => DATA <= x"F4"; when x"E84" => DATA <= x"4A"; when x"E85" => DATA <= x"08"; when x"E86" => DATA <= x"48"; when x"E87" => DATA <= x"AD"; when x"E88" => DATA <= x"00"; when x"E89" => DATA <= x"B0"; when x"E8A" => DATA <= x"29"; when x"E8B" => DATA <= x"F0"; when x"E8C" => DATA <= x"8D"; when x"E8D" => DATA <= x"00"; when x"E8E" => DATA <= x"B0"; when x"E8F" => DATA <= x"68"; when x"E90" => DATA <= x"28"; when x"E91" => DATA <= x"D0"; when x"E92" => DATA <= x"E3"; when x"E93" => DATA <= x"60"; when x"E94" => DATA <= x"08"; when x"E95" => DATA <= x"D8"; when x"E96" => DATA <= x"86"; when x"E97" => DATA <= x"E4"; when x"E98" => DATA <= x"84"; when x"E99" => DATA <= x"E5"; when x"E9A" => DATA <= x"2C"; when x"E9B" => DATA <= x"02"; when x"E9C" => DATA <= x"B0"; when x"E9D" => DATA <= x"50"; when x"E9E" => DATA <= x"05"; when x"E9F" => DATA <= x"20"; when x"EA0" => DATA <= x"71"; when x"EA1" => DATA <= x"FE"; when x"EA2" => DATA <= x"90"; when x"EA3" => DATA <= x"F6"; when x"EA4" => DATA <= x"20"; when x"EA5" => DATA <= x"8A"; when x"EA6" => DATA <= x"FB"; when x"EA7" => DATA <= x"20"; when x"EA8" => DATA <= x"71"; when x"EA9" => DATA <= x"FE"; when x"EAA" => DATA <= x"B0"; when x"EAB" => DATA <= x"FB"; when x"EAC" => DATA <= x"20"; when x"EAD" => DATA <= x"71"; when x"EAE" => DATA <= x"FE"; when x"EAF" => DATA <= x"B0"; when x"EB0" => DATA <= x"F6"; when x"EB1" => DATA <= x"98"; when x"EB2" => DATA <= x"A2"; when x"EB3" => DATA <= x"17"; when x"EB4" => DATA <= x"20"; when x"EB5" => DATA <= x"C5"; when x"EB6" => DATA <= x"FE"; when x"EB7" => DATA <= x"BD"; when x"EB8" => DATA <= x"E3"; when x"EB9" => DATA <= x"FE"; when x"EBA" => DATA <= x"85"; when x"EBB" => DATA <= x"E2"; when x"EBC" => DATA <= x"A9"; when x"EBD" => DATA <= x"FD"; when x"EBE" => DATA <= x"85"; when x"EBF" => DATA <= x"E3"; when x"EC0" => DATA <= x"98"; when x"EC1" => DATA <= x"6C"; when x"EC2" => DATA <= x"E2"; when x"EC3" => DATA <= x"00"; when x"EC4" => DATA <= x"CA"; when x"EC5" => DATA <= x"DD"; when x"EC6" => DATA <= x"CB"; when x"EC7" => DATA <= x"FE"; when x"EC8" => DATA <= x"90"; when x"EC9" => DATA <= x"FA"; when x"ECA" => DATA <= x"60"; when x"ECB" => DATA <= x"00"; when x"ECC" => DATA <= x"08"; when x"ECD" => DATA <= x"09"; when x"ECE" => DATA <= x"0A"; when x"ECF" => DATA <= x"0B"; when x"ED0" => DATA <= x"0C"; when x"ED1" => DATA <= x"0D"; when x"ED2" => DATA <= x"0E"; when x"ED3" => DATA <= x"0F"; when x"ED4" => DATA <= x"1E"; when x"ED5" => DATA <= x"7F"; when x"ED6" => DATA <= x"00"; when x"ED7" => DATA <= x"01"; when x"ED8" => DATA <= x"05"; when x"ED9" => DATA <= x"06"; when x"EDA" => DATA <= x"08"; when x"EDB" => DATA <= x"0E"; when x"EDC" => DATA <= x"0F"; when x"EDD" => DATA <= x"10"; when x"EDE" => DATA <= x"11"; when x"EDF" => DATA <= x"1C"; when x"EE0" => DATA <= x"20"; when x"EE1" => DATA <= x"21"; when x"EE2" => DATA <= x"3B"; when x"EE3" => DATA <= x"44"; when x"EE4" => DATA <= x"5C"; when x"EE5" => DATA <= x"38"; when x"EE6" => DATA <= x"62"; when x"EE7" => DATA <= x"87"; when x"EE8" => DATA <= x"69"; when x"EE9" => DATA <= x"40"; when x"EEA" => DATA <= x"8D"; when x"EEB" => DATA <= x"92"; when x"EEC" => DATA <= x"7D"; when x"EED" => DATA <= x"50"; when x"EEE" => DATA <= x"DF"; when x"EEF" => DATA <= x"D2"; when x"EF0" => DATA <= x"9A"; when x"EF1" => DATA <= x"A2"; when x"EF2" => DATA <= x"E2"; when x"EF3" => DATA <= x"AE"; when x"EF4" => DATA <= x"C0"; when x"EF5" => DATA <= x"DF"; when x"EF6" => DATA <= x"D8"; when x"EF7" => DATA <= x"D6"; when x"EF8" => DATA <= x"C8"; when x"EF9" => DATA <= x"C6"; when x"EFA" => DATA <= x"C2"; when x"EFB" => DATA <= x"48"; when x"EFC" => DATA <= x"C9"; when x"EFD" => DATA <= x"02"; when x"EFE" => DATA <= x"F0"; when x"EFF" => DATA <= x"27"; when x"F00" => DATA <= x"C9"; when x"F01" => DATA <= x"03"; when x"F02" => DATA <= x"F0"; when x"F03" => DATA <= x"34"; when x"F04" => DATA <= x"C5"; when x"F05" => DATA <= x"FE"; when x"F06" => DATA <= x"F0"; when x"F07" => DATA <= x"2E"; when x"F08" => DATA <= x"AD"; when x"F09" => DATA <= x"0C"; when x"F0A" => DATA <= x"B8"; when x"F0B" => DATA <= x"29"; when x"F0C" => DATA <= x"0E"; when x"F0D" => DATA <= x"F0"; when x"F0E" => DATA <= x"27"; when x"F0F" => DATA <= x"68"; when x"F10" => DATA <= x"2C"; when x"F11" => DATA <= x"01"; when x"F12" => DATA <= x"B8"; when x"F13" => DATA <= x"30"; when x"F14" => DATA <= x"FB"; when x"F15" => DATA <= x"8D"; when x"F16" => DATA <= x"01"; when x"F17" => DATA <= x"B8"; when x"F18" => DATA <= x"48"; when x"F19" => DATA <= x"AD"; when x"F1A" => DATA <= x"0C"; when x"F1B" => DATA <= x"B8"; when x"F1C" => DATA <= x"29"; when x"F1D" => DATA <= x"F0"; when x"F1E" => DATA <= x"09"; when x"F1F" => DATA <= x"0C"; when x"F20" => DATA <= x"8D"; when x"F21" => DATA <= x"0C"; when x"F22" => DATA <= x"B8"; when x"F23" => DATA <= x"09"; when x"F24" => DATA <= x"02"; when x"F25" => DATA <= x"D0"; when x"F26" => DATA <= x"0C"; when x"F27" => DATA <= x"A9"; when x"F28" => DATA <= x"7F"; when x"F29" => DATA <= x"8D"; when x"F2A" => DATA <= x"03"; when x"F2B" => DATA <= x"B8"; when x"F2C" => DATA <= x"AD"; when x"F2D" => DATA <= x"0C"; when x"F2E" => DATA <= x"B8"; when x"F2F" => DATA <= x"29"; when x"F30" => DATA <= x"F0"; when x"F31" => DATA <= x"09"; when x"F32" => DATA <= x"0E"; when x"F33" => DATA <= x"8D"; when x"F34" => DATA <= x"0C"; when x"F35" => DATA <= x"B8"; when x"F36" => DATA <= x"68"; when x"F37" => DATA <= x"60"; when x"F38" => DATA <= x"AD"; when x"F39" => DATA <= x"0C"; when x"F3A" => DATA <= x"B8"; when x"F3B" => DATA <= x"29"; when x"F3C" => DATA <= x"F0"; when x"F3D" => DATA <= x"B0"; when x"F3E" => DATA <= x"F4"; when x"F3F" => DATA <= x"A2"; when x"F40" => DATA <= x"17"; when x"F41" => DATA <= x"BD"; when x"F42" => DATA <= x"9A"; when x"F43" => DATA <= x"FF"; when x"F44" => DATA <= x"9D"; when x"F45" => DATA <= x"04"; when x"F46" => DATA <= x"02"; when x"F47" => DATA <= x"CA"; when x"F48" => DATA <= x"10"; when x"F49" => DATA <= x"F7"; when x"F4A" => DATA <= x"9A"; when x"F4B" => DATA <= x"8A"; when x"F4C" => DATA <= x"E8"; when x"F4D" => DATA <= x"86"; when x"F4E" => DATA <= x"EA"; when x"F4F" => DATA <= x"86"; when x"F50" => DATA <= x"E1"; when x"F51" => DATA <= x"86"; when x"F52" => DATA <= x"E7"; when x"F53" => DATA <= x"A2"; when x"F54" => DATA <= x"33"; when x"F55" => DATA <= x"9D"; when x"F56" => DATA <= x"EB"; when x"F57" => DATA <= x"02"; when x"F58" => DATA <= x"CA"; when x"F59" => DATA <= x"10"; when x"F5A" => DATA <= x"FA"; when x"F5B" => DATA <= x"A9"; when x"F5C" => DATA <= x"0A"; when x"F5D" => DATA <= x"85"; when x"F5E" => DATA <= x"FE"; when x"F5F" => DATA <= x"A9"; when x"F60" => DATA <= x"8A"; when x"F61" => DATA <= x"8D"; when x"F62" => DATA <= x"03"; when x"F63" => DATA <= x"B0"; when x"F64" => DATA <= x"A9"; when x"F65" => DATA <= x"07"; when x"F66" => DATA <= x"8D"; when x"F67" => DATA <= x"02"; when x"F68" => DATA <= x"B0"; when x"F69" => DATA <= x"20"; when x"F6A" => DATA <= x"D1"; when x"F6B" => DATA <= x"F7"; when x"F6C" => DATA <= x"06"; when x"F6D" => DATA <= x"0C"; when x"F6E" => DATA <= x"0F"; when x"F6F" => DATA <= x"41"; when x"F70" => DATA <= x"43"; when x"F71" => DATA <= x"4F"; when x"F72" => DATA <= x"52"; when x"F73" => DATA <= x"4E"; when x"F74" => DATA <= x"20"; when x"F75" => DATA <= x"41"; when x"F76" => DATA <= x"54"; when x"F77" => DATA <= x"4F"; when x"F78" => DATA <= x"4D"; when x"F79" => DATA <= x"0A"; when x"F7A" => DATA <= x"0A"; when x"F7B" => DATA <= x"0D"; when x"F7C" => DATA <= x"A9"; when x"F7D" => DATA <= x"82"; when x"F7E" => DATA <= x"85"; when x"F7F" => DATA <= x"12"; when x"F80" => DATA <= x"58"; when x"F81" => DATA <= x"A9"; when x"F82" => DATA <= x"55"; when x"F83" => DATA <= x"8D"; when x"F84" => DATA <= x"01"; when x"F85" => DATA <= x"29"; when x"F86" => DATA <= x"CD"; when x"F87" => DATA <= x"01"; when x"F88" => DATA <= x"29"; when x"F89" => DATA <= x"D0"; when x"F8A" => DATA <= x"0C"; when x"F8B" => DATA <= x"0A"; when x"F8C" => DATA <= x"8D"; when x"F8D" => DATA <= x"01"; when x"F8E" => DATA <= x"29"; when x"F8F" => DATA <= x"CD"; when x"F90" => DATA <= x"01"; when x"F91" => DATA <= x"29"; when x"F92" => DATA <= x"D0"; when x"F93" => DATA <= x"03"; when x"F94" => DATA <= x"4C"; when x"F95" => DATA <= x"00"; when x"F96" => DATA <= x"E0"; when x"F97" => DATA <= x"4C"; when x"F98" => DATA <= x"B6"; when x"F99" => DATA <= x"C2"; when x"F9A" => DATA <= x"00"; when x"F9B" => DATA <= x"A0"; when x"F9C" => DATA <= x"EF"; when x"F9D" => DATA <= x"F8"; when x"F9E" => DATA <= x"52"; when x"F9F" => DATA <= x"FE"; when x"FA0" => DATA <= x"94"; when x"FA1" => DATA <= x"FE"; when x"FA2" => DATA <= x"6E"; when x"FA3" => DATA <= x"F9"; when x"FA4" => DATA <= x"E5"; when x"FA5" => DATA <= x"FA"; when x"FA6" => DATA <= x"AC"; when x"FA7" => DATA <= x"C2"; when x"FA8" => DATA <= x"AC"; when x"FA9" => DATA <= x"C2"; when x"FAA" => DATA <= x"EE"; when x"FAB" => DATA <= x"FB"; when x"FAC" => DATA <= x"7C"; when x"FAD" => DATA <= x"FC"; when x"FAE" => DATA <= x"38"; when x"FAF" => DATA <= x"FC"; when x"FB0" => DATA <= x"78"; when x"FB1" => DATA <= x"C2"; when x"FB2" => DATA <= x"85"; when x"FB3" => DATA <= x"FF"; when x"FB4" => DATA <= x"68"; when x"FB5" => DATA <= x"48"; when x"FB6" => DATA <= x"29"; when x"FB7" => DATA <= x"10"; when x"FB8" => DATA <= x"D0"; when x"FB9" => DATA <= x"06"; when x"FBA" => DATA <= x"A5"; when x"FBB" => DATA <= x"FF"; when x"FBC" => DATA <= x"48"; when x"FBD" => DATA <= x"6C"; when x"FBE" => DATA <= x"04"; when x"FBF" => DATA <= x"02"; when x"FC0" => DATA <= x"A5"; when x"FC1" => DATA <= x"FF"; when x"FC2" => DATA <= x"28"; when x"FC3" => DATA <= x"08"; when x"FC4" => DATA <= x"6C"; when x"FC5" => DATA <= x"02"; when x"FC6" => DATA <= x"02"; when x"FC7" => DATA <= x"48"; when x"FC8" => DATA <= x"6C"; when x"FC9" => DATA <= x"00"; when x"FCA" => DATA <= x"02"; when x"FCB" => DATA <= x"6C"; when x"FCC" => DATA <= x"1A"; when x"FCD" => DATA <= x"02"; when x"FCE" => DATA <= x"6C"; when x"FCF" => DATA <= x"18"; when x"FD0" => DATA <= x"02"; when x"FD1" => DATA <= x"6C"; when x"FD2" => DATA <= x"16"; when x"FD3" => DATA <= x"02"; when x"FD4" => DATA <= x"6C"; when x"FD5" => DATA <= x"14"; when x"FD6" => DATA <= x"02"; when x"FD7" => DATA <= x"6C"; when x"FD8" => DATA <= x"12"; when x"FD9" => DATA <= x"02"; when x"FDA" => DATA <= x"6C"; when x"FDB" => DATA <= x"10"; when x"FDC" => DATA <= x"02"; when x"FDD" => DATA <= x"6C"; when x"FDE" => DATA <= x"0E"; when x"FDF" => DATA <= x"02"; when x"FE0" => DATA <= x"6C"; when x"FE1" => DATA <= x"0C"; when x"FE2" => DATA <= x"02"; when x"FE3" => DATA <= x"6C"; when x"FE4" => DATA <= x"0A"; when x"FE5" => DATA <= x"02"; when x"FE6" => DATA <= x"20"; when x"FE7" => DATA <= x"E3"; when x"FE8" => DATA <= x"FF"; when x"FE9" => DATA <= x"C9"; when x"FEA" => DATA <= x"0D"; when x"FEB" => DATA <= x"D0"; when x"FEC" => DATA <= x"07"; when x"FED" => DATA <= x"A9"; when x"FEE" => DATA <= x"0A"; when x"FEF" => DATA <= x"20"; when x"FF0" => DATA <= x"F4"; when x"FF1" => DATA <= x"FF"; when x"FF2" => DATA <= x"A9"; when x"FF3" => DATA <= x"0D"; when x"FF4" => DATA <= x"6C"; when x"FF5" => DATA <= x"08"; when x"FF6" => DATA <= x"02"; when x"FF7" => DATA <= x"6C"; when x"FF8" => DATA <= x"06"; when x"FF9" => DATA <= x"02"; when x"FFA" => DATA <= x"C7"; when x"FFB" => DATA <= x"FF"; when x"FFC" => DATA <= x"3F"; when x"FFD" => DATA <= x"FF"; when x"FFE" => DATA <= x"B2"; when x"FFF" => DATA <= x"FF"; when others => DATA <= (others => '0'); end case; end process; end RTL;
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003, Gaisler Research -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: dcom_uart -- File: dcom_uart.vhd -- Author: Jiri Gaisler - Gaisler Research -- Description: Asynchronous UART with baud-rate detection. ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.amba.all; use grlib.stdlib.all; use grlib.devices.all; library gaisler; use gaisler.libdcom.all; use gaisler.uart.all; --pragma translate_off use std.textio.all; --pragma translate_on entity dcom_uart is generic ( pindex : integer := 0; paddr : integer := 0; pmask : integer := 16#fff# ); port ( rst : in std_ulogic; clk : in std_ulogic; ui : in uart_in_type; uo : out uart_out_type; apbi : in apb_slv_in_type; apbo : out apb_slv_out_type; uarti : in dcom_uart_in_type; uarto : out dcom_uart_out_type ); end; architecture rtl of dcom_uart is constant REVISION : integer := 0; constant pconfig : apb_config_type := ( 0 => ahb_device_reg ( VENDOR_GAISLER, GAISLER_AHBUART, 0, REVISION, 0), 1 => apb_iobar(paddr, pmask)); type rxfsmtype is (idle, startbit, data, stopbit); type txfsmtype is (idle, data, stopbit); type uartregs is record rxen : std_ulogic; -- receiver enabled dready : std_ulogic; -- data ready rsempty : std_ulogic; -- receiver shift register empty (internal) tsempty : std_ulogic; -- transmitter shift register empty thempty : std_ulogic; -- transmitter hold register empty break : std_ulogic; -- break detected ovf : std_ulogic; -- receiver overflow frame : std_ulogic; -- framing error rhold : std_logic_vector(7 downto 0); rshift : std_logic_vector(7 downto 0); tshift : std_logic_vector(10 downto 0); thold : std_logic_vector(7 downto 0); txstate : txfsmtype; txclk : std_logic_vector(2 downto 0); -- tx clock divider txtick : std_ulogic; -- tx clock (internal) rxstate : rxfsmtype; rxclk : std_logic_vector(2 downto 0); -- rx clock divider rxdb : std_ulogic; -- rx data filtering buffer rxtick : std_ulogic; -- rx clock (internal) tick : std_ulogic; -- rx clock (internal) scaler : std_logic_vector(17 downto 0); brate : std_logic_vector(17 downto 0); tcnt : std_logic_vector(1 downto 0); -- autobaud counter rxdb2 : std_ulogic; -- delayed rx data rxf : std_logic_vector(7 downto 0); -- rx data filtering buffer fedge : std_ulogic; -- rx falling edge end record; signal r, rin : uartregs; begin uartop : process(rst, r, apbi, uarti, ui ) variable rdata : std_logic_vector(31 downto 0); variable scaler : std_logic_vector(17 downto 0); variable rxclk, txclk : std_logic_vector(2 downto 0); variable irxd : std_ulogic; variable v : uartregs; begin v := r; v.txtick := '0'; v.rxtick := '0'; v.tick := '0'; rdata := (others => '0'); -- scaler if r.tcnt = "11" then scaler := r.scaler - 1; else scaler := r.scaler + 1; end if; v.rxdb2 := r.rxdb; if r.tcnt /= "11" then if (r.rxdb2 and not r.rxdb) = '1' then v.fedge := '1'; end if; if (r.fedge) = '1' then v.scaler := scaler; if (v.scaler(17) and not r.scaler(16)) = '1' then v.scaler := "111111111111111011"; v.fedge := '0'; v.tcnt := "00"; end if; end if; if (r.rxdb2 and r.fedge and not r.rxdb) = '1' then if (r.brate(17 downto 4)> r.scaler(17 downto 4)) then v.brate := r.scaler; v.tcnt := "00"; end if; v.scaler := "111111111111111011"; if (r.brate(17 downto 4) = r.scaler(17 downto 4)) then v.tcnt := r.tcnt + 1; if r.tcnt = "10" then v.brate := "0000" & r.scaler(17 downto 4); v.scaler := v.brate; v.rxen := '1'; end if; end if; end if; else if (r.break and r.rxdb2) = '1' then v.scaler := "111111111111111011"; v.brate := (others => '1'); v.tcnt := "00"; v.break := '0'; v.rxen := '0'; end if; end if; if r.rxen = '1' then v.scaler := scaler; v.tick := scaler(15) and not r.scaler(15); if v.tick = '1' then v.scaler := r.brate; end if; end if; -- read/write registers if uarti.read = '1' then v.dready := '0'; end if; case apbi.paddr(3 downto 2) is when "01" => rdata(6 downto 0) := r.frame & '0' & r.ovf & r.break & r.thempty & r.tsempty & r.dready; when "10" => rdata(1 downto 0) := (r.tcnt(1) or r.tcnt(0)) & r.rxen; when others => rdata(17 downto 0) := r.brate; end case; if (apbi.psel(pindex) and apbi.penable and apbi.pwrite) = '1' then case apbi.paddr(3 downto 2) is when "01" => v.frame := apbi.pwdata(6); v.ovf := apbi.pwdata(4); v.break := apbi.pwdata(3); when "10" => v.tcnt := apbi.pwdata(1) & apbi.pwdata(1); v.rxen := apbi.pwdata(0); when "11" => v.brate := apbi.pwdata(17 downto 0); v.scaler := apbi.pwdata(17 downto 0); when others => end case; end if; -- tx clock txclk := r.txclk + 1; if r.tick = '1' then v.txclk := txclk; v.txtick := r.txclk(2) and not txclk(2); end if; -- rx clock rxclk := r.rxclk + 1; if r.tick = '1' then v.rxclk := rxclk; v.rxtick := r.rxclk(2) and not rxclk(2); end if; -- filter rx data v.rxf := r.rxf(6 downto 0) & ui.rxd; if ((r.rxf(7) & r.rxf(7) & r.rxf(7) & r.rxf(7) & r.rxf(7) & r.rxf(7) & r.rxf(7)) = r.rxf(6 downto 0)) then v.rxdb := r.rxf(7); end if; irxd := r.rxdb; -- transmitter operation case r.txstate is when idle => -- idle state if (r.txtick = '1') then v.tsempty := '1'; end if; if (r.rxen and (not r.thempty) and r.txtick) = '1' then v.tshift := "10" & r.thold & '0'; v.txstate := data; v.thempty := '1'; v.tsempty := '0'; v.txclk := "00" & r.tick; v.txtick := '0'; end if; when data => -- transmitt data frame if r.txtick = '1' then v.tshift := '1' & r.tshift(10 downto 1); if r.tshift(10 downto 1) = "1111111110" then v.tshift(0) := '1'; v.txstate := stopbit; end if; end if; when stopbit => -- transmitt stop bit if r.txtick = '1' then v.tshift := '1' & r.tshift(10 downto 1); v.txstate := idle; end if; end case; -- writing of tx data register must be done after tx fsm to get correct -- operation of thempty flag if uarti.write = '1' then v.thold := uarti.data(7 downto 0); v.thempty := '0'; end if; -- receiver operation case r.rxstate is when idle => -- wait for start bit if ((not r.rsempty) and not r.dready) = '1' then v.rhold := r.rshift; v.rsempty := '1'; v.dready := '1'; end if; if (r.rxen and r.rxdb2 and (not irxd)) = '1' then v.rxstate := startbit; v.rshift := (others => '1'); v.rxclk := "100"; if v.rsempty = '0' then v.ovf := '1'; end if; v.rsempty := '0'; v.rxtick := '0'; end if; when startbit => -- check validity of start bit if r.rxtick = '1' then if irxd = '0' then v.rshift := irxd & r.rshift(7 downto 1); v.rxstate := data; else v.rxstate := idle; end if; end if; when data => -- receive data frame if r.rxtick = '1' then v.rshift := irxd & r.rshift(7 downto 1); if r.rshift(0) = '0' then v.rxstate := stopbit; end if; end if; when stopbit => -- receive stop bit if r.rxtick = '1' then if irxd = '1' then v.rsempty := '0'; if v.dready = '0' then v.rhold := r.rshift; v.rsempty := '1'; v.dready := '1'; end if; else if r.rshift = "00000000" then v.break := '1'; -- break else v.frame := '1'; -- framing error end if; v.rsempty := '1'; end if; v.rxstate := idle; end if; end case; -- reset operation if rst = '0' then v.frame := '0'; v.rsempty := '1'; v.ovf := '0'; v.break := '0'; v.thempty := '1'; v.tsempty := '1'; v.dready := '0'; v.fedge := '0'; v.txstate := idle; v.rxstate := idle; v.tshift(0) := '1'; v.scaler := "111111111111111011"; v.brate := (others => '1'); v.rxen := '0'; v.tcnt := "00"; v.txclk := (others => '0'); v.rxclk := (others => '0'); end if; -- update registers rin <= v; -- drive outputs uo.txd <= r.tshift(0); uo.scaler <= r.brate; uo.rtsn <= '0'; uarto.dready <= r.dready; uarto.tsempty <= r.tsempty; uarto.thempty <= r.thempty; uarto.lock <= r.tcnt(1) and r.tcnt(0); uarto.enable <= r.rxen; uarto.data <= r.rhold; apbo.prdata <= rdata; end process; apbo.pirq <= (others => '0'); apbo.pconfig <= pconfig; apbo.pindex <= pindex; regs : process(clk) begin if rising_edge(clk) then r <= rin; end if; end process; end;
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003, Gaisler Research -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: dcom_uart -- File: dcom_uart.vhd -- Author: Jiri Gaisler - Gaisler Research -- Description: Asynchronous UART with baud-rate detection. ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.amba.all; use grlib.stdlib.all; use grlib.devices.all; library gaisler; use gaisler.libdcom.all; use gaisler.uart.all; --pragma translate_off use std.textio.all; --pragma translate_on entity dcom_uart is generic ( pindex : integer := 0; paddr : integer := 0; pmask : integer := 16#fff# ); port ( rst : in std_ulogic; clk : in std_ulogic; ui : in uart_in_type; uo : out uart_out_type; apbi : in apb_slv_in_type; apbo : out apb_slv_out_type; uarti : in dcom_uart_in_type; uarto : out dcom_uart_out_type ); end; architecture rtl of dcom_uart is constant REVISION : integer := 0; constant pconfig : apb_config_type := ( 0 => ahb_device_reg ( VENDOR_GAISLER, GAISLER_AHBUART, 0, REVISION, 0), 1 => apb_iobar(paddr, pmask)); type rxfsmtype is (idle, startbit, data, stopbit); type txfsmtype is (idle, data, stopbit); type uartregs is record rxen : std_ulogic; -- receiver enabled dready : std_ulogic; -- data ready rsempty : std_ulogic; -- receiver shift register empty (internal) tsempty : std_ulogic; -- transmitter shift register empty thempty : std_ulogic; -- transmitter hold register empty break : std_ulogic; -- break detected ovf : std_ulogic; -- receiver overflow frame : std_ulogic; -- framing error rhold : std_logic_vector(7 downto 0); rshift : std_logic_vector(7 downto 0); tshift : std_logic_vector(10 downto 0); thold : std_logic_vector(7 downto 0); txstate : txfsmtype; txclk : std_logic_vector(2 downto 0); -- tx clock divider txtick : std_ulogic; -- tx clock (internal) rxstate : rxfsmtype; rxclk : std_logic_vector(2 downto 0); -- rx clock divider rxdb : std_ulogic; -- rx data filtering buffer rxtick : std_ulogic; -- rx clock (internal) tick : std_ulogic; -- rx clock (internal) scaler : std_logic_vector(17 downto 0); brate : std_logic_vector(17 downto 0); tcnt : std_logic_vector(1 downto 0); -- autobaud counter rxdb2 : std_ulogic; -- delayed rx data rxf : std_logic_vector(7 downto 0); -- rx data filtering buffer fedge : std_ulogic; -- rx falling edge end record; signal r, rin : uartregs; begin uartop : process(rst, r, apbi, uarti, ui ) variable rdata : std_logic_vector(31 downto 0); variable scaler : std_logic_vector(17 downto 0); variable rxclk, txclk : std_logic_vector(2 downto 0); variable irxd : std_ulogic; variable v : uartregs; begin v := r; v.txtick := '0'; v.rxtick := '0'; v.tick := '0'; rdata := (others => '0'); -- scaler if r.tcnt = "11" then scaler := r.scaler - 1; else scaler := r.scaler + 1; end if; v.rxdb2 := r.rxdb; if r.tcnt /= "11" then if (r.rxdb2 and not r.rxdb) = '1' then v.fedge := '1'; end if; if (r.fedge) = '1' then v.scaler := scaler; if (v.scaler(17) and not r.scaler(16)) = '1' then v.scaler := "111111111111111011"; v.fedge := '0'; v.tcnt := "00"; end if; end if; if (r.rxdb2 and r.fedge and not r.rxdb) = '1' then if (r.brate(17 downto 4)> r.scaler(17 downto 4)) then v.brate := r.scaler; v.tcnt := "00"; end if; v.scaler := "111111111111111011"; if (r.brate(17 downto 4) = r.scaler(17 downto 4)) then v.tcnt := r.tcnt + 1; if r.tcnt = "10" then v.brate := "0000" & r.scaler(17 downto 4); v.scaler := v.brate; v.rxen := '1'; end if; end if; end if; else if (r.break and r.rxdb2) = '1' then v.scaler := "111111111111111011"; v.brate := (others => '1'); v.tcnt := "00"; v.break := '0'; v.rxen := '0'; end if; end if; if r.rxen = '1' then v.scaler := scaler; v.tick := scaler(15) and not r.scaler(15); if v.tick = '1' then v.scaler := r.brate; end if; end if; -- read/write registers if uarti.read = '1' then v.dready := '0'; end if; case apbi.paddr(3 downto 2) is when "01" => rdata(6 downto 0) := r.frame & '0' & r.ovf & r.break & r.thempty & r.tsempty & r.dready; when "10" => rdata(1 downto 0) := (r.tcnt(1) or r.tcnt(0)) & r.rxen; when others => rdata(17 downto 0) := r.brate; end case; if (apbi.psel(pindex) and apbi.penable and apbi.pwrite) = '1' then case apbi.paddr(3 downto 2) is when "01" => v.frame := apbi.pwdata(6); v.ovf := apbi.pwdata(4); v.break := apbi.pwdata(3); when "10" => v.tcnt := apbi.pwdata(1) & apbi.pwdata(1); v.rxen := apbi.pwdata(0); when "11" => v.brate := apbi.pwdata(17 downto 0); v.scaler := apbi.pwdata(17 downto 0); when others => end case; end if; -- tx clock txclk := r.txclk + 1; if r.tick = '1' then v.txclk := txclk; v.txtick := r.txclk(2) and not txclk(2); end if; -- rx clock rxclk := r.rxclk + 1; if r.tick = '1' then v.rxclk := rxclk; v.rxtick := r.rxclk(2) and not rxclk(2); end if; -- filter rx data v.rxf := r.rxf(6 downto 0) & ui.rxd; if ((r.rxf(7) & r.rxf(7) & r.rxf(7) & r.rxf(7) & r.rxf(7) & r.rxf(7) & r.rxf(7)) = r.rxf(6 downto 0)) then v.rxdb := r.rxf(7); end if; irxd := r.rxdb; -- transmitter operation case r.txstate is when idle => -- idle state if (r.txtick = '1') then v.tsempty := '1'; end if; if (r.rxen and (not r.thempty) and r.txtick) = '1' then v.tshift := "10" & r.thold & '0'; v.txstate := data; v.thempty := '1'; v.tsempty := '0'; v.txclk := "00" & r.tick; v.txtick := '0'; end if; when data => -- transmitt data frame if r.txtick = '1' then v.tshift := '1' & r.tshift(10 downto 1); if r.tshift(10 downto 1) = "1111111110" then v.tshift(0) := '1'; v.txstate := stopbit; end if; end if; when stopbit => -- transmitt stop bit if r.txtick = '1' then v.tshift := '1' & r.tshift(10 downto 1); v.txstate := idle; end if; end case; -- writing of tx data register must be done after tx fsm to get correct -- operation of thempty flag if uarti.write = '1' then v.thold := uarti.data(7 downto 0); v.thempty := '0'; end if; -- receiver operation case r.rxstate is when idle => -- wait for start bit if ((not r.rsempty) and not r.dready) = '1' then v.rhold := r.rshift; v.rsempty := '1'; v.dready := '1'; end if; if (r.rxen and r.rxdb2 and (not irxd)) = '1' then v.rxstate := startbit; v.rshift := (others => '1'); v.rxclk := "100"; if v.rsempty = '0' then v.ovf := '1'; end if; v.rsempty := '0'; v.rxtick := '0'; end if; when startbit => -- check validity of start bit if r.rxtick = '1' then if irxd = '0' then v.rshift := irxd & r.rshift(7 downto 1); v.rxstate := data; else v.rxstate := idle; end if; end if; when data => -- receive data frame if r.rxtick = '1' then v.rshift := irxd & r.rshift(7 downto 1); if r.rshift(0) = '0' then v.rxstate := stopbit; end if; end if; when stopbit => -- receive stop bit if r.rxtick = '1' then if irxd = '1' then v.rsempty := '0'; if v.dready = '0' then v.rhold := r.rshift; v.rsempty := '1'; v.dready := '1'; end if; else if r.rshift = "00000000" then v.break := '1'; -- break else v.frame := '1'; -- framing error end if; v.rsempty := '1'; end if; v.rxstate := idle; end if; end case; -- reset operation if rst = '0' then v.frame := '0'; v.rsempty := '1'; v.ovf := '0'; v.break := '0'; v.thempty := '1'; v.tsempty := '1'; v.dready := '0'; v.fedge := '0'; v.txstate := idle; v.rxstate := idle; v.tshift(0) := '1'; v.scaler := "111111111111111011"; v.brate := (others => '1'); v.rxen := '0'; v.tcnt := "00"; v.txclk := (others => '0'); v.rxclk := (others => '0'); end if; -- update registers rin <= v; -- drive outputs uo.txd <= r.tshift(0); uo.scaler <= r.brate; uo.rtsn <= '0'; uarto.dready <= r.dready; uarto.tsempty <= r.tsempty; uarto.thempty <= r.thempty; uarto.lock <= r.tcnt(1) and r.tcnt(0); uarto.enable <= r.rxen; uarto.data <= r.rhold; apbo.prdata <= rdata; end process; apbo.pirq <= (others => '0'); apbo.pconfig <= pconfig; apbo.pindex <= pindex; regs : process(clk) begin if rising_edge(clk) then r <= rin; end if; end process; end;
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block iKFd7ZcTkcNPeuzkTf+Ng2RYnS+Dq8T89ubJOhjcXTlC9FCQx9eTGGRz19ldPv5GDPl3No+2V3Be lavUyX/fgw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block d4vah8CcHBB+1Zsf6JWbiSQJekrjakz9PtUjcIiyXdzqVu/doo2dWa2ifSkHerzxCiamWi3iPmjD QVziHB3/lahs1cDvulHJ2li/SNrVKbd2n9QNRHpV2/dJRo+i9uoyVC56EGkbl01yH9zPKw/aSk25 GftK2H+o8CC17C+LPZM= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block LiWWpkTNbZAqKe8gMj9f3H55CCkZGG76CkyQi/jFemXTNJ3zauUM7qGWTehBqYFIgsfb21vfLAqi MsrpmQmnnnORs2AyStXuc5FQAftIgDcSbk7EcPWmyRbq+8xif4428EItXFALS7GexD4OiivKbITc +mCJKwCvC61KfS8NABMEyAwst2VbuRPB9rWCsXBzu3BLw2cDWqghdirPa4MBvCC+R4z0Y3+zmB7E vo/wO2UWmeVlGh5JWUPfp8j4jar3uns372Im74kShC2tbojzrKQfWXCPnytsvAtwjAdFJyTvbeyq 09uKmZCkJKZHMQiJC9mA5PeGRWRA2JjNZHVgVA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block LREXP/QrdRj071GOp0rLFbxK/0BErpIPTKEvcepkZ461yfjqoKc4dhVuI0MYA4PQjxELlJimAMYe yIiGuFOZofOuEsxhBXhyOSbMhnLIziod6xD5oO39SBo0jEFjMbR6v56bYhMJzyRw3PshwxwHT8Xe oNCN1dbFtQL7A4qUnWg= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block G55oL4UsXjJpg22pzb1Vi1wVGaaxk+CR/Cn/aij52TfULi7twA9pSWM+opn4poSQfLIerGGOOlVl InCzs1j5yFSNNmDKCPUa0T32+smnNtiF8GQ84XVMDWjEsMorgB4aR7RV1ilzOwgIaq4duBSVgZjP CecNFKcSgdKJ4lLDZHRRvTTMcA3sgfaSBJX2LNPmEIwhjGx3FbpX6pnJQefRvYGYJPxpODanVUc/ 95eGwvTqG5CPGgrgYzhLiJw5vWyCIddUEEgp3u6FAMlB2A3XGelGKlRIzBJCDQasSsqXsk9VP5KK ZTLK+N/cHqCgAhAoKFUy9AN5mqHyLRj9VCfEPQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 21520) `protect data_block Cy2OinfzO4fLxcUbyP70eySEzbrpKd61aGB0JcW5p3fCd5pbsIjrCC5IwwoA8sIe9hm9Rx0B9R0W X+ZpsxW+eYu8Abw8Crk9PIYxFqRbBOQla73MlbhgxUe0USiYX/2ndDaDvMAbrEjwOKBMrL0WeMGw gO+C2Hm6FBKS+lmMXqV3xERrhjiecKYJWXMsyd5a5dtC+pIWzgyTq1nJq1S/s76uq65Uxgz32D9y aOEIBp4Q7cSnhjMDPWpbIEK3FcXAwpL2vVIt0nq1zomjLKcc9nAQZfosRWGYZq1Uaj564vyTvjlq 5JCdmB9quCpimb5ZJWEUo0kR9wYiQx2kguI/TjblFgEu71GA53Umt8GYRhFK0enkOmK85odnvb1c uPBLmPamCxrSp4/EaxWKE05yocT0bV1/2VY+V14nNdk2Ym/KF+ehlNijri/JfbgeqoBXwJdMD0fM bLeiiHs42BJ0ONCPmFzVU6YJl9TV45Q0VM6pdTefgvZH6iv9/wltIUQQkHdnUSXVRq/pAqvXicN/ x8fTT5ZeJVYml0MIT5WrKe17TrV4OjkiWGtVd2/zPmA59KnRaayFZDtIhOBYRdKJk3LKGIpgKJdl HW3X8CKHstHzOZohO5j/7R8ZOEIwTuxdtg/qt0tTP1HtXRFnMV1Ol1OTBVv6+u+ZDSC48Dp98eEt DtKpzJGehq9P6qYY6BWAFdmFrOVX6cWBZNpvT9pRrxkIVGlWlPwcNXOeZgZFYU1pIX3/07bPcytg zFNbdA+VvS/xu1gVwoK9RpRR+Zc9cxfAgtnhDfQZp8nX5ORMJfBuC0/YWDQF5OZdJthkQSPiJIHR KzUszLpqGNP9QrBClJa+v3sWp/NHa4msSoHUMoe8OwfH6bd2s4Q/ZlaY0WFOWWAvjCTuZ7LBbsbz RBZH6cRMLOTDFRhD7QN/F1XilgWoaIY018CsizLu5k5frHXqCs19Xi3i7kNhgGdHRSvqbUCEXmvC 550NTKE8fya5Qf2xp3ihRc+IsFQhw6hHmjjGFaZGfvA0Cdic7AMXywVE/I1PPumS9IpWg058QvLs uuTGSMhGHkCKN/FagcV8Fxp28WPPdGQEd7InKVU420nLFI2CdI+SHq/9B9TT3qVRR+LUc78R9zpC ewH2E4WpcpzSwlS2PHasqRwZnhHrclKVnXASgIhTzIPhnC9GZOwXtKIp+bzB95VUpgRZIH7FkF9c R7ZQkP1AO9W+MfwvqqFF8nkDCcH7zCmUuqu+RtPxhbPjLMZPJGm8GIV6M/lqijbQeQM+Piu/Ntxa a7mMMRTeHnvn9sTS4iKTRyPU5iTefm4UyvTXm7sGEr5Bg+CZHwg/zhHpF97XxEsxJCAbtiAXpgUW iFeqklc81v6x/Inho7+oK/Pfm+aztqf6wxsJUpF25Nguq+KfjmFNEDk8COYRsqWp8TOhUE/YLJ1n 42TGgwyMx67cGRcRr84Epf+fB0XCRsrctocCRIaz+vhep40hF7+48wr+o9s28eQJU+FqGnIpdwKD sUfAOMinicm7bbBstaToXUZZXlqnzNFs9F9CbMK8O+zDbXg53VIj7+1alE3aSTw9pgI4XHCFXF1o T5V0Al8qmXvAhTHtXiq8c2/Y6SxuiD94Zrhlg6IV6c/f0CvGfP0nV88FN1Bmbg9yXSXftpmELTqO ullwK7waw6qWyXg8/ngQdFhFDPWOkaNEZmPd+Ati/sZemD+kep20Tn0GSTirCoFl+Y4hmTVyqpUY HELytcXqgyy31cea1vYntFlXJ9DEhNcjI7L9svgQDeRFoGKGfWc64HnoC+0kGPK+hid0l6h4yScV WVGg84Q9okd/7uL9WnPaQi2iwbBEtEfG3eBbjKaowcpKaZ+WWB079gyCtgPLrz79+41RR6ccvezs 8mCUWYnfdlxOXkIaKTlzPcg1q4Ev5nZwuJYFdCo/RL3EfTZ0C9XK49bO6DKJnG4U2Ff+4ENfNSsX z8UWHwOCvJXtzs/56E6AaX+uJcX/Amz3zm7MX37ByXbjnvollC7i2n/nLfiDPD/IAFQ1qZjQu8pQ VMDcsvsHBkAuwaleOip2J4lhNuFHWXWc8mo6zsMN+PLSL0YVR6HwttNgnAXJJYrAFt84L4RxJllD 6AJSBdAYzfxX/iyQWqPfbnLcy8OWNPl0NOOOByHeF2KI8jmkK4P3sLzraMqHcmh+FrC7fFMRadaB tXzUKemAqXiam5xIlU+xAXVM6htwpaCOxPayWTSvSDaukSwHhg2oq938+dQgjZ9Tjhd/O+IwrHIK l3/YRdOoF7KVH3Pl/M1128qRV8w8s0t4/8oVIj7T09Jj6p6Yat/enfE518xzf8di4FHYPn0K6ixu dtrmOteb8NF+O1OKcXBfbCKldQ+Si38kdgAa/t1xFP8J6in00L4Z5ORoeKLmRvk9n+g7wixCOk9W xzRpv2LV2YFX2Aj6toi9Dbas8QOsXYef1mokFoNsjkyTPGs/zGv8E/paIO9EwP2yInoMV8HgjYMq RRAQaQgRskzHidtzwkVjPzojXfirLY05lc8BXCizhKsz1szMyel/fynpYaQXi+sK3l5KRkW+zpkg CBKb8C3IFnGeW3Nj9xcI4fBar+sWYjgMUQLnwW7kcpBt9zCqGjoEt3sD7mHNjyf19gfjyYuGWuFs QCNA32hieN8XwNJ2LlyOec0HkC62HguLI4b8+ldTPyYehd0bZ6RVX0GEhLYtaiSEWpwY74Xw9Og5 NiaTq6KVJi3RkXCs+HF1LKj2RzwcVqPkiVfAzNNwRpR7ptrwIKZgx4PusNNiKzSWqxyMCuQ4ar9T KuggxiqOwTZcZX5tfj0XsiHGEh80S7YC4jRraTUhL9fjOePQ0ROFPPjQJ9lzOf1gGwvH5ksK8rPB Z/ihEq9m5Y2FvG6i35QHR4R51DqIm8+UJfJaJnOF8F93ZleCOP+fLGnjhFzvtucwb8eVNNg7Xh0U 6ZG4nFFt9RhHQfU/mUbt3RjgtWAI+teJtZoDJspfXH4bDOn+ZpxEepOh4Hpdr7Wo26jSM9Jh6/MC 5AZgM0jLes0RmF6qKIVd+giiPYVgti00VaYG/s/Ulx3hpj/4n3iMVh3iTe0Ap7E2r5zMtd0EugzN k2ZOhNRVWLMjSWCHFBqyk8nBVp9Y/UIR2GELrbVXwq5uTs43QT668A5v6iwl5orP8QjVP11KP2wG KDu2tstNdrNZajozZ3bHzfjPfVqzDlhGvJBwBbVRa+HnTLKJ4QtSp3ECOGIi+OAWA7cRGE134oIw bf2Gk+bB95RrFw0QKgfMyVk4cysh7q1O5fCyyvQlDw2zqEULS+fSSLe17eFSgmdUt2MnUrofUun/ 2Nm+xY7hFMsOW1VRWS6LuiS78mQh2gHVcabHnvGDnRyJWhKrfL6YIPbUWaJKVwkmV25ihpDlcLLM QGAuQJ3JjFgu6nrYpEM3zssZha+xbLmOqUc8ZbUck0bhxmlmifD3qX6ozN4gjliv7EnHoznYqKeW a1thSGLrRMGPIarta81nhLQVEY3uzHE/nJIRCpMK8Fghk95X1Tu4t39JdMMF2JN+wAdrtqZwA6u7 e62QiAuGvoT8XsvN3KxzVckIs+EwXEWFZqpMDfZoabw2ebjHZZoY7oi6HXNkcZWcknYieJi3vpCL S61gcmY8ZM+OW3pcHXB4KXL5hKupcrh23GzImAo7mPurxk7WeoAzSjZfJ7mV0yR59jep3ou4sPa2 G4R4kttt5LclatvWiFbbW7pp8FegzerTYBU3pdFr9+EDrkTvxkgdUfbSBwIPoVHTkhAMl/lZMWid 766n0zgDntQ2k7R9LFxLoBBxQmqg5kM8L8NDm/QCP/OmHMh3fUg0wO7jd5RJ7TFGzUlB4FcbiCYP NecsYmIl8m0boQvSU82hpwzGxYUwBpNIxhyF0FzpS9LI0RY2PUVPzz1yiSXtCFU9MWQhlkDg8YFG mo5M3tF24BW+jRxo8xWmnmY9him3W+7NZcKNl3tpM0AWT/vcyzyNcJBcivxJFLoQem1G0KdbNgkO 2Kp4QwccBmB9hAIiZrDPAqbofRD+t+4/KobHo4pAATwqM0w5I54ndLDd6lfUSJD8L3V5o7WxbJB6 r0oWW/U+lBE/n9FWWTdy9xDauqLYaqv9oWkJeZ2SQYBt+gEN6ZM1x+Y8ENrPgA9m+WEpDod1aqKq ls4e7O6VVpVKKtFIrJBqy2hANGPyT6ncRqZuGQTobdU2Zoh4UNFCmMbcY4BtGVaJjPZhM4Eeaex5 mKzMciPy/QQF0Ajd/R9mCQlWP3ti5wJH/nw7EW2M+OI+gKFDI+Tf6gbQQb6WW/BybFnqlm0wj3Td ZeQTysR4nlKBLZ03eUUtwQb87F8GkwukMB2V11Jc+0awYzEcPqRZ8KtP0eX5uXpPV7VEQp+iWvpI y3o/HiRIUWTmXFNepTE+zu0Hp6WOuGO2zpg6GOxl5Tbp8GWQhITisWVr2Z1aJKJnUKe9JE0iDmdz cu+RAJnI1nBdQxXDC4CbLiD+xbgU+md08D574Aejxggn5F3Xb8fTFK58nBZ1md8zWDmX3+lyU/dw wL2gsikqpymVOsE1GUSTAi/YOePQW5JCMkbJsjzMwoQlRu7XP3nKOVISV9qoyjw7DoCPeDFZw7eX teZGij+ZzJamp4UehB/L2f+01iveoyENtw516+WZVNrllTPrqCAasSD1JGItGbkB1vXxuP8sLqM8 eQU2YEWqB+nQxAaF5OWVjR5arPodd7zHgTFlvvFxVDE5KZ0r4JfXqZARWlUcBwWeAuPphZsKYYgg 8kPidPFtKB2NJ/m2Sc61yJjAJzSr124wkpwdJeKDumwDar0FWkCiKRSh3xAOFAAffN6nphrc0KRD Y5siyHi6XtQhJcaIGY7Xr2yG5tlckNCShWJbbXzXLnwXB6pe9b0TzIBqWqVduxioArpBYu3m47x1 zJq3D8BLxxwy1WgOd6w7dApJRTmTyY0wKP7XRYxPeaSfh8hHalFbiCEGHqXhu0O3ds7f5ev5tKIE uk3EHHYE4/8KoSMTBm8JiM8E/92kkjDgWVpE/5BwJy9QKCRVLdSBJaqfeV6JQ5JT3crkKoYGtw2l ELie5Cli7JO4iFvTjjRNccmwkTmZUBRHLRiVDv92151zvcaGTEJzYwzfzpHWecBPJlwEp8FURERU lzBVttcJ6W6gULR8dL99BSHzCwoIt6Sb+Xdugo4gXuHC77nUM8x53ZbaIPFCLfXgMuNLVSZt1/eF LZt4Zg58x7UVQSuEnRgDS7GQ1h7UyM06u9gq9QMndtvnknw9jCes5iadboRI3IyJzGxVYoF47iXa Pgu+PGUKDZCr2RsPLl5y7wYPoPcxn/jspA//O1VkuGSBPSv/4Y9Rh98E1gMtTEXPaUgE1xlM4D+T V1iKBhoFRLKlqyJ7KS7aoYO5ATYcqgtdc9s3vAcfAKi1VCxjFyt3f2OwQgi1Ug5aw6yH+LNp78xX Q5Yu43rRLlW92/no/1glA5ll7X94oeRBmEK1wdo3V0YjMBSUCsYVG1wyLDe9PhPWiy63I9NmB26N NT5VuntbhkD86Sp0nNzGZJPAPUC7e6YV9VUwCq+P5gAQjXPTrsdCeMHCgvArpHsu5TmiRwaRS0ju vZEj79HLlPz2J3+7UJY8g8N1DeEmbMB7pZVIrDxdckIAjdzD0n7TL4gZj+UbBqoAwLS0iWLSnSDH LGcYbj0kPrRK8L7k8mdiU2Eop3cFllU9lUz35hCeG/jLXc+Uklc5OhsMFx2FL7jabRCe2AesiFNW o6o64JEgjQ8/x8guTvDxANli1BsSneeSnhL/m3+SG3SSNAUk5jiswH+xi8KgTadTMKGqX7pMX4Rk jtl2QxqIWc+CCD3CcGkfeNAS6z9ibgL58nvn4JEC+yiJQHzOuOrG3ucXIC4eZ6xu+JBSnCQkugxM NcXXgp43o9ZR/rz59lh56DqeX1SD9YGyy7fQkCa08bwpJUONYs6TZJWCoh27KbOkEdJEnMzd6MFY gJii+7h1Zsi2ouHup1rsAzgqDKixVCyGprkCOiyiaPLhu6U3JB3EKhWG0Y0h1FxehIRYffXya/Y8 nqqSTnupj/sf0TpNqkC1d8Pu9IvqwVnWjX4/LNtodiff2SHlCPOKTJzskafS3bn9UXAyuUKaYw1G RrumcF0tvDI+DJlWjspoxxIJsKKwCxRsDFyL1kNs3kEjmXnpDJ5xf3c4DeozLFXjK0qo8Hj2FmYc mFfb+iejrgP18lmPtw6NwCUL2BdAexX7IWUAOLKjBPF7gySeEuXjKUDBVnOmoPCCG9fipT1BgRQZ uoX8PeAeameO7u5lNLbRB/sre14ynsFaA2qc9re4cOYdjScydwrFO0YELldkpBpeO6DHCEDA60O1 prmdfCEv9pac1dPcE9CpsV044TY9yNubNxuDbc6jd7vKcsvOiP44kIqTvrVgy+fugnFTCNUjslsx l3feIZQxRP7UydnJKioqznczVPbKnHKBI0uO7DSiIjX8omfa90mA5VafulQ+Kspg9A+Tjk75IjyU DvvELn/V5xxLjqI2NaMSHcMFjWgnweD7G8PKDoESRVOJd9OGivfPlXBjgJEzeFWQA5e7X6OBOCTg 30bYUE/wv+LrDJGpnp1+dHQ80l0CnANUhhZMzhYNg/83noE5JMVTaSKz3vOoDFW7dBi6zLnaKNDP MYJLZigO4eBOC23FMTmnTRoALV+lzMW/hfVRliBhhAM4L6/cqBeMadsssb/nyT9YEVs9N6/WrTNT 0HJgyTNz5fTtXTkc6XQQhtzrxN4x5WaNTTvuvxV/PxljZ8snmEM5LWfPxrikhQdkkhD9aZ8Heho3 AqVywF5+dPDtucJipAmlHwN3Xc42kJCvzLTHnPMZMX2cHRtCEDOnDtbI+5DbYwJVj8jMTr+FcQx8 ORHD6GX/ejd1qreBbfNf0eSOTpPkgq5b29StJOVLe7RzWv1RiS/ue2Xkx7rKcW2AcX8cxfeBhnDj iU7LeMAsQhbMUi443ecRo3//vVW2N2Ugs8obV4whjOfmj3G0QnotH23TJ47SBGhnMeplDWp+nC2B pAU7SqpCUb2GLYhpxL8055vBir/h1WenXaWUi5U+6gMel6Tyb66wjCm8AAdLvjhosMXC4e/zH7fi DliP0zw6UJanUTRRzJwMV5F1RWrVeX9NnO5fuXNEZqaRZ82Y4lcc+gzJUdzFPZcu7iT+8/Gz2iQE qt/bPvwb3098s4ygLs5zuisygztJ2x/4Vs7wxt8Gza+26ocdQC/82n2LqCjGQ+cCx2MCbnAes3Vx fBPtR0rr1xNtbGZ3vk7MvSWgh5hPDeVjckadlalp9Z6HPPGZcViWP9s4vC0YseKl5E2du5wFJC9m q5U7GySGyJx7AUGbBiVh+Tsx4+mU+Hjfoj+aeZpyyfrORKYAIim7A7PcLfvUrdBGA04S3G/Yb56N a6O/fZ20KFe+WzgLEXfGqsRVG5ih0ZGfcR5PKIhtIa9arHoDO3WZ0Z4+5xkjhH1eUDI8rUiSdgC6 MX9FpNHbjjgQCrLe5icXZKGwHDGWXg+kcisFVt3pqplF4DTsYNhRX+gAG4SLdP+e2iJu6re2lggP +IdYoCn7yudI4XmKv4caLmnUCenOmnVEbu6mkfkt+vXM/+cWPUBYexoJshm51kRmxDT6iQaz4Mcz PDD6SZHrKjrGIyOAm9VcGayKFuQ7ZDGc+2Lp01US2JxHL7AObyhO2n2Kj13kshQ7tQbwb7XuSPri iOfPvSexFR/DM3p4HN/4t1QUpWGoWuavX88RXT3Y6alLMNjm5p76OEhCmjXD145A65ccuRAHzwKz CYXbMM/U9/N3ZQDo/x4t5kYK55eQrlyHqtrfuvZVUz/Hu3TY70YsdS0h4gkDlqb7kHwa6VVoMu4h D8VIslKOBeA0pVBuYOQnWPEsgiDiLiLiJd3wbrwlCS8zkch3YgkL0AK5DqwqExP6Zy5IvOV+cspa 5kY9rzobNy41I79NYT3sXvTlVye1Vtxpd5CraoSibMnMiS4s3w/CDhBVz8VSmvE70YXIhJBkeN62 4Dv6TyN6+qnVw9ZcyC6+xB3ZZg2lKOtEyHQ9um5BMr/ee/2XEGXWxienIQbdknEzTaAZORaZy3bD NSx4LIp68OSEeNWH5eynW5/1yLXN5toHjYO4sNTN9cw5LBls0TS+N3FBU8Dp6dfQeaU2wc5Yl9pc a3/1FA/sFmGdfayEdp5aecW1g5yU+VCxL/wXP/sy1ZgZFYB8a/oRswXhEfbflqcMcUxOUpHPMd5j ojALDm0E/CW263vPQHUPxEKHpd+0xgzpwQxXiwJbMpnxLoZx8oNcH26F46xRg291bxO8q1HVRqZQ ANFbaKwA4AhHrthyR4JSFr6xXiDWSm5606M2mlOf9PPw7/ahS6JzIM0JXnm+UjYlqekX98iKW9u8 0qDK5vOMMM5f5u58A9lubue9zBajgDn80fNbkQN/jfjGwfmcoja/QgiMdtt9f+PxvHY6YPXy4Y4o fQh2AjhNYmzrkTRbjoalM0W1o6Fcd/+lpmOCmaYJvGYJP5s+u6jNiIfJOyX/IljsicsbL8Bs968C Of0bWZL7oPuM85cVS8i6HuKydv1/mH2EFKS1P1e1okPE1KXz6mSLaz8Af0KbNA/Uy2qhDeMrWEZc HW2sheaZJeOoFJC8wYlTqjxQwyE/7HNlvyEwnp59DQRehMiB/90ETfuBaQ/RZBvMay5/u07zKtmd 3HV7VGw7ZVe3weGmAkoS1NR0KHdpMMyUOTF38xJDDTMHIKq9QonQelPnnagyF6c9isG9mwoO2Q2G xdaYftd1TB0dA0ounxZYUXbd2Vth/iXKY6G0AoadZnHDjyqUbM5pbNcljXVbkKLjqKxhkvrZSRBa VGKrhFmtjf4n2a0u8Kwt04F7P0HmYViWiF0PZX/ouKzBDDSgvDDydrgA712JgmOVenqNO8DzWNFC VDD9E60IAqYAhdlnHbFbNjbq1h+AEfU9SIRGXNPgOSIL8t55KYbBx3mAXnqQDTm5XXw+dhw/RVxH TgzrtDsoGTgGF4hvN9LlRZ2bAIpz+yEz46dgwPitm3EOkWixmciu4m4DGIADoDngsE/t9mAArdYN il/oYWLxVcXJCbGXh/CTxkFFsrgMsvU8umiKpbMM2bLq3CsEZ7EHMyo2NzNtP4poKYDFovCR4wNT Ows8l0tU/a/5q60MuhvdDDvRGpBmIkpOppTy6W4X2fZYHs5DIqQpG5Fdi1eTbL6WX+CDUQTeVtdY aGPO6HdgXAuXMxmQFOTiucUqZ4n+2QNcMezxajhtxrco5bY+M4GgulvHxIqzCZt+G9NTiYZRFeft c6jMLQINnABodL5T18tNgiK9few+2fFXXIXc74Op5MqSjSP+yYQpt3DGJ+7b54Fi8/5kRy53OdTe 1VHGesk78QHKsY7jzhgi6CCxnt45PATSFtcgIS+fqv6cDmnVv5bhB1ZJyzAVnGI4JkfBYdiAzEsY v5ZrvuDec5Ct9ocbcswznhCnFtPgzmF+SIPrzJLUES/XFCEz0TYlc8Rg7T/pZFKnUNlRNUaXRjl5 5sNX9HkLGKiIWM8igSD8VQJ1TVuDLVgSrEYrFNwhgkHdPJ6CaiK52SrsWqT214A0M5UvdqPVr17p wdcOkFCrP7hkvVLC5f8vOCDDsWDTNj3qhaouUL+jJlqeMB3seBh4T/E5KqV3aiqgbti6Kav8nTtT ER30gngDGGPbe+TBmh0UQ0FfvWdK4W5k/1bwP4akpW7dd3KMzyWm92Y85sNHHFnbrBsMl4x64o+i OLOKpxTCqf7SAg8zci28TOCOt1uI7Wl+aOdslS/bLVL/S9mzhvxlRKAQqoir4MptK/zs6TOEb476 LIOvEuE97Mz7gPSz3qVDXSWTjVn+Y7HaYb8rVOWT0gtV43ogH7eNC+jdPIl5VyyS2WwqG6dADJqC C9N0bZtMG/apV5NiE283j6C01dg0IU4TG9NwA1QXRZBNSH3L6Q6wt5WA04nJtiaAKlsMnllvo7mV oAyjiynn0WdizO7QjpVhxb7Iw9o7HuPdFGyaaiIF2xdbHBWw5cpMX3ZtpDouahLaBwBUCrzNioQm ICZKJvFKThnbn0omlFU0PjXSF+ri/6Lh12f8Z3QppzjO5BoDnT82cA8A8Cd7XF++moZd63kRSRVJ ml5T3z+CnchSN6FpOxBF4ICt++poR8rBq9NZVDVwwuHzmvbSaRdQbaXO/wtFS8tUWjLYy2eZHJBP 7CpWXeOnLvBYVtKMvhW5Bpp21WuESCAvSA6wg6ElpK9n/2LRNF+yQTXBmA/UqENx/K5iVAMBVjOD 2fs4YvSjlLKKWh8i9pTmNtmZc/Ida108PyjaZ78yu58KVy8plqUXAZKEt9EkDnYnt93h8ehM9+V3 hOXSj1QUYsF/4tzo0YdYNMH39kniVrPPWJ0UA0bpCIr8Df27mtmf9URA/DJOXh3Wu6KquYrU8KMH fVvW8dDDarbQfI3PP0cIOMBQX7X1XyLsExEg67vNK6Bvo6wk/lE4i7/SoGN0nhKGDxQ8/my8E5PP Q6JahNKLCGu7d//RPlNFJF4/L8kQU7pJP0mFITXMSCEfkn8bioZMhiQKcRTCENSfftUUrr1/Kqep X79rkAVD/TUm1dASVex/a6K+7Q4huvvepFu44aF2GnIS8yMDYNMHUwLDRWl2+XBx1lb/LphqwUmO Z1wBJsM8I9IMt9CCveYkuo1Ie35Y36v0dEqme9oFl9e9XQaCqRrdzcT65OyEfMXhvuVDeJuHYOZ2 3lyqJPM9Z6jK0CJZ9AzaC2RdqW5H9o1Mu+T1s4VAn5qVAAi6/xIrGwqDpbqZ2IoKpovHWdSH4fSX yk0+Rq6EgtheC1VUnHGR7kq3cRFc/wVc/+Tj2nJsiy+m0fZM3JVjx2XEWSU/ZjANhiHAmyPuKpa4 WH3JMa8q+mlTLiJ0UeFTjBpWxosdFDxfg8Q/UWAPhYLCYTbsbFkFo6cl4E4b8qOz/P+x+mHVEpOB zB3L1UfGmYrBaziyWacmDRlfKdQ9PoruW41Xj1pDqp8faSXlcSJGbjBGKZyFc6sE/+B4ms2AsZ5I yNnCjfAjMoc7aSZLarhoToTbGqDxZqJOXIZjqd/vp4cZu3bxm5ksiSyj7NQXKvvcMxZOWCetWaaw czQNfITeN2z5yBD6j8cGOch5al6zkNwsF1qaTnQ7NeJaQTshdcj111eO8aqLnX+tzk7ehLaV54KO s3B1Le9+DD4Qnrrgds0qO7bWWHXWT8vJ2vD0EzT9rnb544CTVnhYMz2jnHZyqHqPXp8N0fqYjLON o9aTDiYZV70Km2PxGh/vKMmmxFSMkszDIilz+ry0VKmd89cT1+3PxvY+8NnTmqxyU2y/nq10JZvG c5h3L7rFmmHhOF3ZFboyBTGAratksU5mQ3ZizD3cl8e7a99N0rBqEMChGDMT0U9xYu7YRI8AFdV5 /btxkBBSc9m9vX8rGiosGlMaeF/4oE4nABGyqGQaBj18spPvZxXcBXSXGIeJoQkad7KJYS4mEq5M 1cAw6jflZUrtkDbIppUiQZ1jp6mPnswxVxfuXbYCS0zQRRfZlvY5cxtpzAy2o/9SmLUT16fNX7xa qT8gHQiQsxggJVg7kYcayUQchXgVETZ9wPsLGknbq5Kf8S2qZIH/BZ0PkqW2mNh1VrfqbH/xQ9CV O/dyDN47Spb9h/X3Lh10kCj1RXpEnlMhp1L2ZuWNXdxRKRfXdgrEhsNEHx4RQfzsdTdwX2zByULU KN3c0f+9l/Et+01oa6RHjcjj3Ja+tXf1vScIYPsT82GYmiAHoHTjtEho8My9mj71m375DMjIWIx3 fGxzxQWY8SitgQiRljD4M9bIqL2n1iLwhTpDHgu38nye3d8+OBZ/K/gZAp4lJfJsrYrdECtG08C7 aOiK9P2w4JW5RHHUGaxpSaBbj/WkagyiZHQtgf28dkMYtdS0zuaemQWRoAxrP+GM0lR+CoxdjeYZ 2E2FWpdEXRimZ59AinqeCChxAHp5KxIOzv4ylX/pmK0P2ae9qeV0s8nwBXVp/8774SwY4hzfsezp j8vA+hjGLJrQsbJ2uufUn4rS0bcrlHbbbQTSACukoBDx76KaxAM7zuCw8USrFg9i51agmGQiwcUh qOci8n04QQ2g2ASsnDSyCHsZa5cnrVvHatn36+Xeq2g6r/m/PH3WTn5cP5Baee86gO6bE8uFjMRX lSHZDLdjJ06fuvFA5oly2KNw2vVVH9JR2CYyLogqqEqwmVxwNQyvVNvI99R16xI2qNSCtHFOTBEB gKqYYoVmm5tW9Fv+cAt9jSvWS8dipsnlQhQFOHF0LOJ5H9XSc4b18EFI9KfBKH/Py1G8e8SbnhGI Nt44foNm9c/ePsQHpWNszn9V3xfGOhArWFdwDfsOBal3bWWKgaIko8tif7XlxrKa8JZuoGhWYWYA YmHFm7sAdakuf5V84mtz2VFE6LQ/2kZ9pk66rZXYxHU0VcqxH93UaBwqfwwAmQtkbvt4Vi04sSvn F29HSYDpznAfBRmEGldxe4ywVvpO2M9hXMmxlo01gHelrF3IETh6/iLT6W+eGiLFy9sXPQPUOChj LFbYAlbCNeNj3XaHSvwkG65iC3IAjBsFqYHFBNZfpqG7WJ8uC2hBU501rCu3uocTBN7V/cYW+vu+ +YnWcZycQTzrHYaS3WmzyRvhHTzZ6IFwZEoGlF5ptzjGqwQqFrgYUTV5Pu+qiaC9Ts7VB+BbMR2M qDyVivHoZxahsxk1ofFRqIXdRvdLt7vAh8o2j5ZOIgpUhED0MFNYRrySTMpPV7MKxPvXYPWZ8emi irCTCSkS1oLYU4GuCjgBzFVgPdq65cF5HtE5UZ/mvIW+113JYEP/T8UBw+qSC9TLpA0v6nmGPBEI 31u7xUzIM96rv2Xv1Y3jTMJMaPh0q8rFurG27rF/+7F8C1rxdXekk4V0UVIM1zjRu0UkN5o3TEd/ +FBpLgEELQCOg235SyMYn5XoOeGlkaXs9bw43aHEysyTJzz0Ko9x8fftqf6vsOUpmSIbkvyUJacD UqQj+pQ5geq+0sseBTCebhnjfYSeIbcM2Z4BJbDDtwkNHt/h2yPiO66+F8+vIJ4QZnLdAnJWNRW9 cMGEcTuappFZmpmBqax/9pO/yV3Z2E1teLom2wSF3cY10Sx06lLJ43qU17RBvu7pmwzwWcb0g8/J X7Y6fhRlm7A7x6L0W7wxVCi+bSnu8ypjULgMSWdDB+vzKms6VoL2+3TJlijEWy3g0iiIO4f4BbXp qYrpg5SPexKh6g7iOgzYqkDcTAhLa2jBeVCyZVcMngzXKqxUOJ2kAqyUWvFVrJ7zyVxk3MYt27RR Va6uefYquSR5DeLq5sOdlpPgtexV/bothOlCp7MvEaHSJI/VoUUuhIgpOmjemjBDc0gz+s82x/jk nGdy+Rs+KEXf7r7WGdDRHp8wsu5pNhlwbbnkwzk2ok/EzC/aNJZ+Sh8PIqG7t3ZtCuHIzcRnN3vC Lv2Nfthv69DOuKddL9n58vvjRUo2tfIeQZ0OeTf0luYOT2WcLHqsbrGHOp8gw1YYB1ZiOLc9WX3S 4bW8GSgfh8ChzpHgwxcMdAPmUilXA7N39krsGCpHvcqi8JEBzaWgYd1RnBPm4mukcItpRDU6+VkZ YFV5BTFsOnr1F976UqkhsozeFN/oFY5k4xAmvquJjBRJrbJv3TiiJNOh8N/N+/cLEDAdaXDnlXqp 1PjNJeZGNFPeTlpeVVvt8pTbXdWHlQMNNyNn0Ka9CmZcgp+dCAJnG1HbUeQbR7CTtW6U9IYm4Lb/ xWDKzsFlZI3wWcoUjz9XSWjBSjIcfWTomYUlFZdkKUsy68F3tyG9Ugw1sQ5h+6UhuJl0LApI8meT s1eLgYQoq1hjokn4DJRPD88tevCsMygXMGQDsJ7oSfHQ105GmQuXhuzrnWvx4tU+WVuHIe84ro7k 3SooJs9Vr28IsL1JipmSfUscbjKwLO6nLSwWsojSCoUgKuZCLAi49e8OT2KoLX+/Ny0V4UWnzB8M d+ThyIIF47uXxIQBFg1vbb4ixcUN2GTnhSIos/LQ5teg0cMJJCBnSu43pXD0Tl0n3xVGHLFxVYlZ 9nO/Zkp3achNX1IBxYgEsNuojTe7XQuy9UM87Mn2xDaSWcm8IV0f7ylGBVCoTRUg9UcF6ecMSDMB /afbCu2ktzHPDoN5jyQ1KSONA/56yudTLRqwxYPG0Kgw2+MkEEgUiddh3oeYKcShI29iTRpmsJ5R JdFxH87VuDP3ThoQWbaHzx9BXTR1TyR6klUaZtXAEoqmvzdoc7wv0tMA4N47+AAlKPcQ38NahJ/H WctG/Rj7v43yRAPMc48URggRD9XwZ0b0giy+H0vQrepTAT9U0xfZHuW654ojMot1FChR+on6stOB xnJw+Bgjf+HUl5LL2fQmULSfjFzPXwDOS3YKAYJbSLFyo1LM7LfW6v7OcQL3TIySi41Lk4UifWXg G3u7YWIy3hjtL3ElwANF+x0LjD8T3qV4iXFozhovaSstiLKmRJqnGGuLINo8xaKcz9uoyjG8e+EC 3O0/Kiq/7V5FJh8amGaEnHvZsIzuFBFNu+qDFWn3h3fKWmMuZlYomiganVj9aEd2nCjUDrqH51tG +LE6je1G9m8U6YmIu8D7uiYVwXcajFXpOtatcLon/SvOmREnEkoNJijluRrP+Ru4P/IkYqIhkHKp Uytso4DlAfupNNropDDIXhwq2RvKSFvSRxxOrdW54Vfd3Ufe1Rh3+TGl8Sn2Ijc73kjCybmkNNV9 7oza4fyyxm4umvmPyx7H9S/BDWX+raOgGgz2iaCkOKxQJOD9XQJhD1STosugCynA1nPJaKk1bcgQ 9pOKEX110V/NE4R3MjMuLe3iUZMhZ47qO6sgJC1H0bOHC7/lWdoDEsatnu8s1sA222uJr2S7vLhI Q/h3g3pHC1Is68A6F9aZMIzxPrbWhqpRVyKyW9ESRu8brZC9Gdir494yAy5IlpTUj/fgc8hPjbTE WB16p209bwXAirlGyARiLquTPeSbcyWQKajfeH7QOPRfJ3z4iT+plmrV5Ylz2yG6jc+yOjhJ4WJE vks53ZwF9r5oJq/Ps/iPkIfDiiJQ/s+7Pd9WWhgOsSFuzETHxnzgvBnOcR47CmKGi7Jg4mgwKa0W p7LCGvz55tQUKhlwqZa8eLOWbrQJMGUtoIeezcXTG4x/4uUWIKwUFMW4g9VDVXAWPolwgizmuljJ O6eMflvLaxd+gnWgWgy0N7R37uCM5tIQCOQWEKCh6DVjpH28q+janocvXzpf70/jo3FxFRGhfiQc QJTpRuvpRh/uhu9VbAyhdyP+LnDa+dNWBbutxyyJ+O7Qkh5tbApQlCeapJuVM4V6E1IbQDxzPLab x7Y1CFf2Nts3CChkI6+ghAALMc4Bsq2suBsNSNe073Hd3txdU1oLBS6/VFQ0PCflbc9UHjykwK1m qktNPkjNIJj2T+f/pjLizhWKeEboiTOmr3Iw/5OUKPPraVZybIQesMQqNn07Eze8FqBHl1jAmQe1 iZ1RnkAHD1GCylpWeoV3GR8S9+xM8bnSe6T0+J9514RJy32vIkzj1vE2F81SYjSbogwbSnvuolQ+ 2mPSMk2lg0GLQVvpxvnu9fz5esGKf9qsAuTQaLv6mr/SCh++xVGG41m8iB6wt0mt1gxQInZgG8xo Z/wbzrqQBF6MgreghwJmx7+ovZEZCRIYsxUoh+kAOTu6jfgbpNZ4mdSYRUy9Y0Sj2bMz0na2tHpx bTRqhIhivwiwaisMoM6SxTbgtQhhvYzAUa6+CgIDbgGln+5p1TWbIPOkW/eXe161yCC17Dkg0Siv T9ATbebJM5dwMX8HfPhJu+gFUodjIW05io8ymsdHi1S7ji3jwp+bOa6UKg5O7rIc7KYSB5w7c17w fBk6AAEgcwkcQmU9PFOZEMlpKpYq3nKedKnX62+swn2etkDmbS2zyd/mMEpeKOWCk4wqatiCJx5u CYeSXiNxakBmavotp0SQBo2jrfA2bVbKE4DAKaDlVhiVjCU62BirCHamzqoT7R1FNxSjU8PvKi0Y yJ54sLCllW5f/8mw1BYooNn6trq/J5cR3HwWLgscDMVY5XyUunmF5j/9JrQNGss2NruuuwUPMdnL eh+Qb4o/g6ASJUF9Z9pGpMKUcrQfp0tAECLrSiF+7DPNo727ssOXbU+tMh7tosublef3uMYzcyCN IpP4hww+YQLjhe/NpFD5lX2UTl8CGu/W7IMxaC5vi8h8aE3j/7cXAiZFOgBpKhU7YSWV/u1woGa5 iHlxbNHYUJ4KLZG22kJ1l5GZ1PmWuTOdpPJLBMSsvF1VZpL+hD2H5olPORzDFt2EqCapXV745JiB lXynIeANHUMdzSxSOnji6X7Lomeeqs50ltEQqNPS8B8pjtQq4URgey0paf83RiR5g6XfExE17ICv Ur8fXaRNYCqxxOuOv4ZDf3GhB+4iEfy8WwClbPOifKDCxmOFwM+IuFAzhjP9k0yTqQeBGF8NLPUX 0GA2bjcW4c6hqDXKWbOg63lSJ/un9VvpvRHToqht4osALVuqrf37ytQL8XGQ9ywtSJ3HeLk2ZbLn 58fp0ayZCHQ8ghm9Xo2WU1EeWSjrseq3M9fkLzD1uM3t84vqGtQFGoyTCguJk/4nuKl4pQ3sVAuS M2S18yrlZSiFiHBx+VIqbitYBdxdlpna9Hi8aMRVkQje269j6nfOTFne0dxQvgrewf00L1VoFkpk FeS7eqpPRlb+BkXJ5YdBKSE5cGAuyVGyiQ/DLkG/3uplT4FpwrqpKx5P2Bg8Wf/viTsPFzLlbydA 8UqT34i1ALtXQOrQBCmcouRZaZjmtys9nYeR8N+UyRufCA0v9aGY6TPreNaw6thIhPTiwHw66gbt dnHyFOPUjS2Gi4MQtXP/5pz79/ee5pebzEJoAyaV4cZm3iY4EKGzpOGODKskjKzp262fYTPOx+ET VWTWdsEyNjdBDRMm6/uMrcdYcX2/oi8KHt841MCF2W01ZkV4oDHMAQ+5v+1YGrnwzYFDfsQCc3h5 CPyvrt5yY7q2Iq0s3JNVuDw8A4em3n7ijqcPppvsDLH8qZO9SmfV4HYqR20Ffak4imdc5vXMbCzZ Ttnvk4278AbAXBFH19LCQCkSELVzQ7FeoDCK7A+jYYLBuhJRl0n6gpgC/9+32eye1+dybip4r12p K+jTGwP9oTJtBLbEjI66fGrhhnIjtSPm1qpffrN3aXBPTZuHnPZ825kjL6ZOVZXoMzWwTXAgPtHM BBfmwbVE7hr8o8Ww8vepjlm3YT9eexk3si90qyLthu2MdaxougOCOq0EQn5fiMapQjfTOlYE26oN fXZaCSO395LBZTTDMuerCSa1dMDj7zZjMwNb0JMn+/RcMJZhavKxQr0iRdDo94ybrpyRIkOxbFwM dnT1L3z5k1xCoN5ZODLOubSnqfresAXOfE0nXCOWUopE8Cu7fnsS/zckO2688KlVWf9cxwLtsP0E 1HsmA9gBB4cs5K+5M9SGtJUdXEbztLYYPyl/8ARZpfrQOYB4e/10KEFdmdF0GnFnVAIDITNrqJWj nBBfZ0SrvCGdE082QTbn0OG4GkOSyDWVcMzMwvJ4EQU4rUTwswZF6YJBz6Q8dR8c9Sc1ca6cn0vy BFX1Gs2qIO8/qB0AfRlJPaIASdWwOhtCfJ/NGmib2isdnNiB4fwzNl+xBJ0KdQ//2jx9jOeh8zn/ KMtvUHCp43a55/3qcvl4gZ+LDHlneAgTXyMKpcu2PYKGFuc0wU/2xjMbXZ7Miz3kr6U63OLiDRln r42OIwHClGoXnvjyvse22kmJehavSqeFs+MH+k7Z0qRa/UrIh5i4T6DLOp0M8nSPSwX225uWr+mT DJGiQVpJKTULB3HiLnyLAgBY3UXlAVZ2XlyP2Oe7Ds6mwpF6wQFvNMRBZ6dDDQSTSlwT7ezjZ7FF Ip6OxrxJP/jxAhDq6lEsdqYuei2r8ZicXn1a8D90jMqeJjKn+tUnAqCRW6lU578t/ncjxMa6XIy2 kQ14/aI5c+0LR24GU8QoO+OTtfSNQXvOPYy4mmEYY8HsdOaPmnvsXt6p6HuCXbI/7PUYJUroGjkM NO5YEjfiMb65ZVz1m9FZNCiAoOae0JW0tHFqRPRUHFVIDZW0JDgae5XJq7t4K2pgjsIb5JapAvhW R0KBUbe6u5eXionLFSEX+2bK157sLtWJiR7ZTvQAhS+aH468onRcj+pY9jFTKikszxRKTThNjHzZ GZj2xCgbUuLhp0iPB717XxLeuVLgwSWatsc1jpZ9bKab/3hccxcaFb4fybn8VoA2zR45EHNK7Nyk YBe+LqUnB7upO8j+6AlqRnSsQKEJWiSE8/72v8IkjDcT7M7bm0AGfasW2aHVdnlPUhG6noqVbVzO VOsdPiFo2EFBM2AEJdlUPMq5qXOXVQx3fgck7X4bd0F2r5Vu3prRdVQph8kmuGio0iYo2GnZdtdm uWhOB2wT89Q0z+zMfTv/0kRowHCojiIQLc7llvvUODtpTP53rN3YTv6yE/70r4ipqCJSyNMNPf3U jr5rA3lWTIhFTuKxWieq+Y8vmLoITgCdXIvy3RJCZRfbJ29AJ1JhN4EAIhUsQrPE/kifpSZyObvK 4hsSBvi2LuJlVi6H4X/iT/6yGRl86MrQq3hejHetLNX2rZAV1dKE9ADe/ZxsXychUIkUijFTeQA/ g1Cf3CUdabNR9yxBP1h1zaY2jCYXQPEFkBf+M7crt51NLMunFTm14T4ukqf4Pz/V7Hl7Yztu9XLB xMZJ1w2nBsT9UhYZxnla7uOCvI6Fx8M26xbH/2kYgLyoug1SlKJGRV3uZzpISQgQ4r+JncxwXHo3 jFuMW2JTc0YumQMboI5IXVs22zQvCRJF/flO4YZ1xSbnYPZh8ZtsE3j2K1YRPJQnEKOaBr7l6t20 p/tN/m33tKgyCzOKsw1usCcXTdXUyAhIbVlPKmu8CRrB+Hf+QPmdnZLCmamXPHEJHv9S5YWwFPCj 9lK3VR9ahJjTsn/1H9Xo8ROywqqsZek1fhPpb36FxAJdKkrD4/KDYzS7t9tmGqqJNT6gBjAqsCDQ ZIfmcBfN22MnKh+FlqL4afhstwd2TGP+lm8zlvB/6IYIoGf2l6RY8PMmWkg39ZrrfGQponXLQxkj Qk1P/w5fYcoPxeLFYZ/kdyjLwcApy+N2xFsFHVT9HMpgIlmhxo3/bTU+Xf6ln2WWfCa1ikEByLHo Wug5/P1YCsZMPf1LDCJctu0EdMtji93+OufnjErtY80joSZ2GR0i+7ExQk8LwMasdWtS2VR1Vuh2 K3Zo/z/Ndt/FZtCQ8KqagT6PbtxPpHea1fB22Pdn85MyE5kQXFBcjsF8NCy/Rt0fP2Pwp+dQFsRI GbxHO+Ioj5+QaRYZfCShx/Rv8d6vbJnb9lQzj03m0knuGx6JkmS3dxN4hVeYlPQEabZzCo4G9FuL j6cKQDBd/xWTBSglVltk+1QrW6rVJC5Xe4Es1voDgeUJ2SYsCxHi39WlYeXMZ7XeZSJNEQtgkqDM Hc8asgR4Vn+MnG+kPZHRwaT+j2Og/k9P5Pahhtohl12XmbhAB8rNVa8orxAKU5myF9/qRuzd8+eH wOIqyARO2UVfcXDfxnnpcWLEj9sQa90/3mlZtiLeSXbYuuVJJAgP67XIWoR3g/VVAal9PzZmoL1U +4d+W4jdGzshtt+rkV4Krp4ikiRTby+eHeCf9k1SPQYfVoDWzzrVbjk7laUc0og/L1Ux93ZFNT/e zdtidz/XrjOhjIhudRK9udWvdWaMkRE00AkFU1ZnaFR7Fcf4lEhANUERPaxRULuAh9tzPI51+ZXp 0plHvOOHxBSDpPGaPBjL686ZaEtYJx45Fxicshn4vZzXefQoAux036KEAHGynF7L9Ptk4xjOD/5j MmS5ctHaNrP25olAwh86Bae/oq5LW1Ytmc31eWSu4Ihn67bJGvQkc7mbKAFjgeqTsxoebGzY0ntW IapCbxkI8JP+xm3B62jcsfulkpL6mGvl7v/AELaOVpcMEhWe4eiOJHI9d7qKocbiP6CI5Zm7Dpk8 yE3PbXOgcIZzr1l/roGOv9T9tqOgWAi6kGDwChAgd56BEC9y0oVBQt+UvsEpEm37puxEg4J7a6RR V2pNHuGUNFmOIogNLGdTnHsNkPmnYcGAhcKerCN1XlmDTIsEIYKGlYt1gsaZ5iAhQY21TBsaOYIj J6uC7/eNMpUvX8LrsWHkmAdPggxgmm9EA6RRapIVAf+IMMyF5Iu6iZFm8wCUmJ/YSPOau71iJzEG W4ZfVEaEHX6SQf4LPefe4rVp0y/0fZv6y3Q5PkI/9BalmoFLoSn4XABqZyWIdXrmguBybWhcX8ed BjQmLgQ2zPBBMp3SG0jUvcrRZV2YvNQbxvFDbXcsk4nXOE/LqbB9tz3Bs+/fSM/2AkaP6R+nG5cm +i889+7l+dv7AEXEr6I7KUpfuvOOjNbHUErD7juknSP0lgqW7+E5B64n7TtLVoaawRtHft5EbyIf XoE/bWCKm5Gd6zAoFVlpdqxZ06rUgQf2I0jw72lgrk4oMBz4qOWyopQFoILmOfSZR85nEp5qZktb uWWQFosjBbSp4cRbg4464Do8xhVXUWCGU/RZl2xUB3d49CYhzPoW3X2Ra3hTr4NXptSGgzBVvSvK cKWCffLp4piaqH5VhemTm26eHUlTJMu6wbEHL9P2lv1b23QboqXXNMJFTS1PA4a6dqUd8mfU7dZQ o163VEAvxk13/IIhV9yIYSyW1b916ZTLr8debtbmHLnjSPZXC3JidU750SFBte05tuBgC8F5KZP6 X8jAWZws/rxZ63N4itJ3B3QcHcIpJ9oj5ZOn3vcrWAWjFL6me2aIOmwVLcFTeJ7h0Sy26RqtvAS6 QAk6ClAtNh5h9fDkjPeORUdktTKvTsHPsql4GKOVTlMO5TTUcF9XqYa3uPL4wSd8+knMPS7vCPJZ 69qhBId4mRWDfCwTIRXAGd6jPWILGq5Ss604wBcW4WppsACgl4PTC9SLMHQfyDZ4A+/zTqYmBNLb UG5F5PRaKxQzQlcYURFPqM4tV2NQwRs75TrgJij1yVa6vds7xAIMpHYPUo0O9j11pi+PAFQ2C0JF 8rwQXdSdj8RLCYil478BQV5fL5eJSGKVHJG4w5R1aJ/9JMa/qRMJdkKVwPV2toe4p78wPxyYS90f Mp3B6LjFYRt/SRwQnDr/4LjycWSfo0ZCED2olqtmqeHwg5b92wxaQ75GAnENClbf72MenprTuuo+ VHxdEy3gUN1nZkz0+JWmbMkZdDkpGP0zEOrGzh0yryGYuRPDAeAgCkCn00HXAeTGiw+ytsyjicFq K4DtAGPiYxUdE6HlBOX6sAJUhrLBskgRHT67FvbsvA8f3M7PcB/DA/S6VwiB7k7l5n1i+m9c7O+2 sdFRawt893Gifr/2GgS0MNVvbNXdU+9jVOfLW3wx6UAkbskL1Js85ZIhqxXaX/5NIB72lrIWQWIy SmhfO7k3ZUm0gLB5zptjNCk5Jyw+I1yHAltuczYypgDzE6Nc5NtVXyald3XExso3iAmbqHVyNWUf JS2cLZzadV28jErLYQWJhHpI23PNOYJdtypvEgI3rlwGhWHjUkstnevdb/YLB80dEktzhw9vETZV msB3N5RSDzkhlvUoRAnMi5VaNiFec++2QXrgI2ASsNykNYMoqLN1HocTzmWDahiAf41OoN66hvaz FOoDnzeQdJmnkD9WtpHuPzxi9eRO8zC79FCnV+hWf+K29kNqyk+lL67FHY+tLfNAfWxO5nVeokT+ X2rifZJXogZ1QEIE5d2nML6y1x1nK4Y6l8/5nP/9UsF827DUDB5OcrjGyM7EZOsYRUYgkoLZd+KO KwN6gmT+Dnb5hxvbnTqQRApgsD2kjYatY/+lJ2vM7zAr/deSnaF+jwkCLruRPX2em8kptxJysNrK z5BiXC64xXkuKw0u0qJD/VndvIOhCBa7sgN1Bb0NZcg6UCUoBtJFx4hkAHcbPfAaiGhsp7yKhfYa O/QmNnAbXXXonxPjsxl9JyR0M+MQvara5uK/SmS0maYoUBz9MafUaba7LlTHkITqTL5BDn2ltbP0 prfQwiHYprxHyC7RUX8ak+cbjSi0QhVRdUebsfwHpOkdGS/txHUuqOaM94JcF9p4T2jHE2N+XHBm NcMIblNEtxodpjIhVvgt8mMIALeXifwMGRin4/WoOHebXTkxnWbOqXtl2AEE57D4si0Bc8f7Gysx kLuxOlYGDpSwE6Y4eNpQWa7isQQFUkJrq/F3MFRMIQfu6LmfGE+5r4AZJS0+myfTmMrgn+7ZKz17 ralJX6IUOnybf+7lM9GVSSWbf09TZsjv/of0hrz9HML9CBVSL1tNsrWEqg5rdaTzdwz7y9Ggvltp T2ZYf8PflWmF+xJIGk+eW4Bq7mBHPQgysDpODiqPkz+3A/q9I0aZ8PoUJFtZYq0Zn8/Hna3ald/k JSHkmqadJttaH/0fxGjYG1yTSrDEOgtE6loWyfGpJovSSnN9AfLD6ogrWlDnIjGcQCiVovNPedty yn4Qu9hIjoYImzW5JHhPp6B3ZxDwsLpGdpxHsrlPZBrmL86ba4nGL01Es6M6j5/X4c+I2poZLtgF 3t6M0KSuUF9JjFCugy7GbsRLH+SsaKJ6H1RV/DXAzn2AYwtF35AHMjq206vn+OEujSfzkBy4Z1Va Qj3sV9nNnMPi9HVvhbG7gFNbw/ndiAaUIgoTK6JSdlHAdhlu9T2RC12uJM2fMno6+q6xUtcDGZZF 77DdZx54hZOfznlCEbb3D5Vs1NhkhXUwH8ogPYbZCj+9d1Ri5FcH9CuU2P6R71NxAjP4nTkV5cWP +uud1OlOv1I5Of8MGIkheCbXWF5+TyUrL7bSxwujjYMAyTpUUSL2MDzpDsJXmkrIKv9znHmNvIrK yJtPsISNU2wZHaSHjkfY0DdPN1z5DwrcJgoqYI8PK33CK2PnyYax0YDXWOj7ctStPVVIROVvBrnA Uu3r5TNB1MtjznJmgyHMPp3scTGZGEFpmEIPdVUCtuGgz2iMg6jGpSAGdZFj2UXdYGjy4Q2eoZNr 6VPLucxSRrdZdJzo34mSSewhCztFw4VTFpy5UQehksg6DmbqYeR1MtZCRx2lAvek1O+6ie1MiboB Sq2Xrr3JtmUgdIx/inBTVcrF/GaJTQiFnrOxTTu7h9GvKHYvjin+CCq7anP3rQ5P5mbs0He0GqDe slHYLASrhYAt7FFwj+kMJ5egm6wWZbBd7Np+3/zleReB+US7kVDAWrcKQouPinNBirphJpPzlgN0 t8ud/mnV8kGu4SPORHYRVl69IfMLvU6w832EbP0d9NpgU94g7VwGYdac8niSlrcjX/8Og6yxQx6z xahqt1dvjqHa6UyXet26ykDhGRg2ga9SnAqOd2b1mM4SMYdRSTBkaEahYVghSIjjJm1sI/GUGgpk eF5T1d3xWEvgONaJWf4+jPfcGgW24ZKyPxH1FAuEWd/SRgYKke5+Dz7HT2XTngFp34l6B/szY6lQ yYVuq1XWQr3rbabiAK9zbXhFkj7/6mZDhCb+2O1uOhDBiZVt2TKA9q3+cXO652BdKabAAKmuio3i Zq+qrhaK+ZSWgYxZDJDxVgJM5SAN0Hue0ww2Hp2+Fb8TAWUldyBvvA4pPxVVIpW+zr6B2UKTstMK LQR1pJJ65QXdz3/wqtY9PvTmByeMMSFts9xkyQmPFCs3l0UN1F296LBDLWfXJsaKeXtVodXAqrb/ 35+Pjy6sEXLrytGtQPxl2BrU9bzjR3OQdN6SOoA5B42FOd+ZABcg/wxPfRPMPzLtlIcofXcXOxLD VWkbxYbEDPkCTsBMHFXttt6iTp/kjQJy//F09E1pAUr3arqVU2EKBuZOXylzykYmRgP6iXe3kpSh zsgfrEUnGRiG2/AMwD4CA3Y1SB/V4dioRcTsJVua2UcpBicZmYudGnRcs7xCm9R1JQ4q0hXToB5n GiQbL1kK21nWxYxKSMKkneKnNVecOsQr05LmTNgXrCRVzSIPg2cCx5wmethOhzPiJeu+ifFFvEFB RdadvB0k3ihP/eNmAiASdM/KvENJI7IvVouU/NHt1m+8Y+U/VaZCLMbfVdoAZW29NX2zeIffQtQw qSYk+r4v7nfs3n424WiDiyjFMA6i4BG30gxopNzJqw/7XbPPUwdZAFEb0UYfN82wHau7JCV62Y8E snHCFgqgT5E5DOWk0vf6j3pGbOiW3IDLs9wqJ/Xe33NwqRsHt8tPWe0Kcdv/7H/jNRlNv8b3/UZQ dTEsFwPNtO7MiOTAt8+6/x641ZHdqMgU6VjqtvCY3JCKkWFmaR3ZAxtzzE5BNuacYRM7vuLIuxV+ keKzNlptSYmcNXBnpXqjnJuIIsby6vhzOJXBR94fgZOmCniXvM53P5xM5OlMEWGaxjsaozm0L0kU WEdiiJv+3lyfVXtH0gXGfwWbkVCVtoEeoTu4Dh4eEq9oN6loPSmVpRDcVNrbzdL6ndLLgz3nVh57 D7stWdVEPnfHB9DID7oBiDbFlDP/ALm4yK/8vf9L/x+PQZQTRgL13Me+EyVbAzIJSk7vyTHqbdMq /uyIYqIm8HpsTsldcucZmo12W6HpaE9EmX3ksxImN+JDo/0kxk96+IqukxzSd7s2QROJjh5WQljV 83ydfXVyJzFrD7/jbqWPy7sTQbSPgbk6z5yVT/vkYedpXTU38dHJsz3wRSAt+75ORwNzUlRF3D8P 2SjNw/XcbpsGgV/fT3ta1AGUoyDC0A1A8mnHDyVYAi6eZcw0UNFx9lJkjw5mi4XOv8/Xh2AMTHpT T51ZTU3kATJsWUS+H83FPPAowcHGk1kxNm/8RF8n9m4lW/8UG4yMMecaLgyjExQd+/J+eHtvqLF1 5WNzGnWmM43qkKFcm//+KOqDEkhI5CFXIUpFZZJWvHCbCMCiduhLvkK3HoS+NVlnYNrCq8B2mqmz 3kDykwAUtQ9QN0DmPT3JZ7pbWCrPJydyaYCXP5LUBDbTLqRRTYyv7mZRO2X0DCDefasxYabwdeA9 eonS1LNWH9ZfKfCfIQeCnLnE1ZqNeUaYzhjSCC1MFU/RJVYDlZWHw7NZKs6QKXZ6sDJlwXFEHDc7 n2stocTT1nCVirW7P5pa6hL1k37u1PMqY5HmTUSxxrFEwdUSrJ+u1Y+02vUROPF9aCopWaZsJEUl U9dqHO2c2Gbtd4ZyEWLlaDiAyKwbkRCG0ln2CXc2YYHMRp4MWSDN5wYdj4OJ1arV43qdShF9TWoE QET2nN/vmqjZi6642y0JI2v6HoZySsrYEdwGeLl/KyG8h1mcfhq5aIhgsENBKAgRdJI4KtFKnCCQ wk0COcahM6hHJvTmsk9QnzV26wJ5NGWUUFmuur6hE9Ir1PHJmNpWgyuAqOZ4T6/lD4XzQK/cZmjZ RW9rcctT4ZtzMQwfHzTeaCrVku6pq2wlbOZKNEt8/hT6+HTkk+thfXlpxxsOQcvQQoI9HpJTrVLB Yx1Qh7quBTUyht+QZWwU2YLdRxaopNN4ZanX9axGwN0TbnKWVhgIz7VReTH3rm+K9B6l4F6Tmn+M HnXgiz5BxZ65+dLMnzR4j2Fh5LXhPpQubUTwD5p+LUHvZU9WBrKrOys3yU8K0i3ZdTXnkCJBEpbx Y3Et8TjqZZ/FwU7L5sYv8s3UMLeFByV8s03RIoOtRAjXBPOyYBmRQkfxJchVcFBcITZ63BRRFBdp X5k49hHTOeD1GKW2zPlT3EFo60buIfv7ukScE/o9rtFFiexm7TAxNFgvlqxnrfmxrp5zq5TQVorh SpE/LFiyExgoZP/JehJTjPpq+l1bOcA46uhDevtH7n/urbPokFVlmZf8F2bYJpZYLV0dBuZ1iECg TuI+DnS0XZFt6VvCoHnsMJod17PBGPBRhRyrFC8KoS13Apb73XFLxcJITJSjvLQHe7qfHS0xynWT 4PsDgvGsuVQiYCTcHwDxkq4UvY/nxAg7H46Q5PNtw3i+KV5Ryqd4+fJHtEQTnsHfESmI1xN/iSle h6yQtjPq/DjdsZfmZ5N5KTwb25U5dl0cTosn13HEXJFKXHsACmaz0AAn9TXhxi6lJWYI/In1Cv83 DegQtUpMxceS0ynpLaE7XITZ8AdBYHFagX7uRahwU3lnNotHKvb2VKH8LPjBo9CNQkfyIYZisUr5 rPWf3IjoNL0/vQs6ec8as4aDRms+3uWjR95VMaRLy7ftIkdgicwMjJX8+C3M4Vycbi9FNtCe0b/h WUW8pVWHKHvH4E6BUsgjZaZfEMRsGT9WDGh22zmAtNuIAUE1oiD2jlkEWxefUiXEqXZBbrtomK4f CL9yIlhlfOO9jBnYNmncuJOARyyJ4MY78yAVOQCSadw+MG4rKYWTyJudJLUkbsnfUAsaEfJgHAAM CHQcNGwihh2R3YQKwnWUHgMYmhFTBJOH47tKhINN/bJeCYhAur6QVVq60fLWeKI/mzs5Yel4d2lD Cx5HotHOLPVbl0WSrbehWbx+YTB3Uf3Aq5m2T5lXf782sL5tPk/YR+9c7zJ18PXq6HyZ1QQHl49R 778HhEpFrOmP1BbPG/L4IIJeZ06O9b3oVSMKM3uThPtUr5nzQYcLy65Wezqvy+2eRJrHhUI5UUOz jrkkpfShYS0jpu8vfVFekWjU7XOq8Ccx5wYh1BHkLRiHDH1uTFLtIB/V57DaKRcFeYUvYu47BwLN mnC8orUpiqWBrncpX41CaWv0chbaoWazERIwyRxhwS9p8uAs93mjGecKB49aJYgWQO6cE2BR3+0w jjUF9cdECuW3+NeGtR3tilX4mGVLFjmNEyj0tNCMwVvewZcnxbEEYRolNV8iegYLOJSnPu/0JGgK ARUmy7x3GfyvN5YZBmqwaBrYoK2W8zLr/zL8HDbh953UxpDrBTnjcWZ1zhzkqzO1XzrHYJAMO3Li KaE5C08YJ7z0uk2SzBN0PB0fdjFtu6qIbNYqeL57hmPzX0jRtyDhUoh/NaHwW576fu706DmnX0NT H0CdFsdUl3zpAed/YyZ0FFmz2XsGJQSvR2/4Oc8dDJqjgP0KCfDkXiyL26Pvh5wW4DpIuoi27TN6 fazKjic9FvSe5PLwTGNu2+IzT9I5/WJNBoiT624jEzT4CK6pr9EuHb885uv4wJnXvp6++XpVUbh7 vsiInbpWwUBCSs/zcna9QfE3GbahEwGrcuFsg8l72ClVhyf/Qu7OxAXiSqWQDoyPKcONncSFHW9f wr/ICwQRp65Q6I+wiCt/wsaSkVRYgBOug3N55+UC/oGb9oqflO1Z+IyeS17RYH1F0MDtHyiP2O9O O/P7TYtcsLL978/qDZMbKcjY9/jVjfPddvkmRTyYgetdd+41EcXEitIVWIT61/gbrJGnEDhbjn3N bnedBLcPNLLE4DSrc9EhoSlH1Z8zpgqrml7BfunvVeoGzVAGehHFNfySN5t2TAEA08/hflvmNN5T z9U3ihg/8f7Ktpb8MSqZyu5JdPzYhWCXaWxhLj/7n5GNRsycPH8JJ3xS43/uE+k/ciQvRbC7KynD +ok+sN0Q+5W4kd3H/EL/FCwy1EFuTvfx/u2RICOQt3+SAhjAGfcJCU0cTkTokI4fG2vwRGB8byz1 mz2LFtVkIcTKTe1ain4gFb5dQ9nkdEWwinljxInd6/+SgbPcJk0Nohjdpopriq9HcTszctzh0A/V +Bbjkbigy10QoVoFdr9mth/VHGqoQRjm8l99Qg037A7ob1QLTM6h0LRhKBUnjisYn8jBJZ5CJIAF 0FyjZqNreY8PD6ePafXocvglHWCerPDwXaiQ0ZSksPElORWDUxuuvEDgcVmihOMumRX5HTYHB0lP /8BVKjS9GQgV+WsyTPSrAVXurmpMASjbw/tk1849VTBTqwMrX5EujIe9syN4doBmS8XS+eX5ej1e jAujcsD3WmMdOAtAz8J+stg0GuOiuIud7Wqdz3kdACG57rMqfUtlctDIdDCNZ9its4kkPn30/jv9 eZugtJwrod4c02hdJJAFS9SPy37/Gou5pfrIlzfQhuMuRA9DCSbPawZ+vDKQGb6U7mCMkCE/KEig JR1ZWi64EW0SgQkyo+VN5bRCMGHxYy501buNZZAiiwb3jXk5sFdQzSefqM24eCucu7mI0mB5+TKj s2Zlh3E6lxxO9ZJ/NIczylaWPDD2y3eFuHX3pFk/kjD98ouEql6TtcmNwpYk/2evJw8tD+KGEsJk AYW1XfMuryNQ+GJdmxiJLWEJrD4+zYIdkQmedK85MLVLJR/idGBMbrB4RUxPxO3n3U+MSTKnG0bU dwhQ3S3KSLjp65IkSsu4EZpXmQlVh/WzWpKcOb4/S9BNcw99ERvLtkiOZqnIQogori1MHGMawSrk ZZABYS9KPBdzyhw3U0WV1GbJupzJ7Vaqegp2lF91JfJiRAWCPxcmpe1Y7hG70ZISL2z8CoAPI8rU uD+FSzgL2g5B9cD6eqo8tePbxYmFzHgaLTiJWtul8HKgjT9eC9Q8Wv9jsiNk7WruGWOxxMA4/+Co r383jn5LpQweuKAPrw/m5q49jKtTHLTrzvMdwyA9aQ== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block iKFd7ZcTkcNPeuzkTf+Ng2RYnS+Dq8T89ubJOhjcXTlC9FCQx9eTGGRz19ldPv5GDPl3No+2V3Be lavUyX/fgw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block d4vah8CcHBB+1Zsf6JWbiSQJekrjakz9PtUjcIiyXdzqVu/doo2dWa2ifSkHerzxCiamWi3iPmjD QVziHB3/lahs1cDvulHJ2li/SNrVKbd2n9QNRHpV2/dJRo+i9uoyVC56EGkbl01yH9zPKw/aSk25 GftK2H+o8CC17C+LPZM= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block LiWWpkTNbZAqKe8gMj9f3H55CCkZGG76CkyQi/jFemXTNJ3zauUM7qGWTehBqYFIgsfb21vfLAqi MsrpmQmnnnORs2AyStXuc5FQAftIgDcSbk7EcPWmyRbq+8xif4428EItXFALS7GexD4OiivKbITc +mCJKwCvC61KfS8NABMEyAwst2VbuRPB9rWCsXBzu3BLw2cDWqghdirPa4MBvCC+R4z0Y3+zmB7E vo/wO2UWmeVlGh5JWUPfp8j4jar3uns372Im74kShC2tbojzrKQfWXCPnytsvAtwjAdFJyTvbeyq 09uKmZCkJKZHMQiJC9mA5PeGRWRA2JjNZHVgVA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block LREXP/QrdRj071GOp0rLFbxK/0BErpIPTKEvcepkZ461yfjqoKc4dhVuI0MYA4PQjxELlJimAMYe yIiGuFOZofOuEsxhBXhyOSbMhnLIziod6xD5oO39SBo0jEFjMbR6v56bYhMJzyRw3PshwxwHT8Xe oNCN1dbFtQL7A4qUnWg= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block G55oL4UsXjJpg22pzb1Vi1wVGaaxk+CR/Cn/aij52TfULi7twA9pSWM+opn4poSQfLIerGGOOlVl InCzs1j5yFSNNmDKCPUa0T32+smnNtiF8GQ84XVMDWjEsMorgB4aR7RV1ilzOwgIaq4duBSVgZjP CecNFKcSgdKJ4lLDZHRRvTTMcA3sgfaSBJX2LNPmEIwhjGx3FbpX6pnJQefRvYGYJPxpODanVUc/ 95eGwvTqG5CPGgrgYzhLiJw5vWyCIddUEEgp3u6FAMlB2A3XGelGKlRIzBJCDQasSsqXsk9VP5KK ZTLK+N/cHqCgAhAoKFUy9AN5mqHyLRj9VCfEPQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 21520) `protect data_block Cy2OinfzO4fLxcUbyP70eySEzbrpKd61aGB0JcW5p3fCd5pbsIjrCC5IwwoA8sIe9hm9Rx0B9R0W X+ZpsxW+eYu8Abw8Crk9PIYxFqRbBOQla73MlbhgxUe0USiYX/2ndDaDvMAbrEjwOKBMrL0WeMGw gO+C2Hm6FBKS+lmMXqV3xERrhjiecKYJWXMsyd5a5dtC+pIWzgyTq1nJq1S/s76uq65Uxgz32D9y aOEIBp4Q7cSnhjMDPWpbIEK3FcXAwpL2vVIt0nq1zomjLKcc9nAQZfosRWGYZq1Uaj564vyTvjlq 5JCdmB9quCpimb5ZJWEUo0kR9wYiQx2kguI/TjblFgEu71GA53Umt8GYRhFK0enkOmK85odnvb1c uPBLmPamCxrSp4/EaxWKE05yocT0bV1/2VY+V14nNdk2Ym/KF+ehlNijri/JfbgeqoBXwJdMD0fM bLeiiHs42BJ0ONCPmFzVU6YJl9TV45Q0VM6pdTefgvZH6iv9/wltIUQQkHdnUSXVRq/pAqvXicN/ x8fTT5ZeJVYml0MIT5WrKe17TrV4OjkiWGtVd2/zPmA59KnRaayFZDtIhOBYRdKJk3LKGIpgKJdl HW3X8CKHstHzOZohO5j/7R8ZOEIwTuxdtg/qt0tTP1HtXRFnMV1Ol1OTBVv6+u+ZDSC48Dp98eEt DtKpzJGehq9P6qYY6BWAFdmFrOVX6cWBZNpvT9pRrxkIVGlWlPwcNXOeZgZFYU1pIX3/07bPcytg zFNbdA+VvS/xu1gVwoK9RpRR+Zc9cxfAgtnhDfQZp8nX5ORMJfBuC0/YWDQF5OZdJthkQSPiJIHR KzUszLpqGNP9QrBClJa+v3sWp/NHa4msSoHUMoe8OwfH6bd2s4Q/ZlaY0WFOWWAvjCTuZ7LBbsbz RBZH6cRMLOTDFRhD7QN/F1XilgWoaIY018CsizLu5k5frHXqCs19Xi3i7kNhgGdHRSvqbUCEXmvC 550NTKE8fya5Qf2xp3ihRc+IsFQhw6hHmjjGFaZGfvA0Cdic7AMXywVE/I1PPumS9IpWg058QvLs uuTGSMhGHkCKN/FagcV8Fxp28WPPdGQEd7InKVU420nLFI2CdI+SHq/9B9TT3qVRR+LUc78R9zpC ewH2E4WpcpzSwlS2PHasqRwZnhHrclKVnXASgIhTzIPhnC9GZOwXtKIp+bzB95VUpgRZIH7FkF9c R7ZQkP1AO9W+MfwvqqFF8nkDCcH7zCmUuqu+RtPxhbPjLMZPJGm8GIV6M/lqijbQeQM+Piu/Ntxa a7mMMRTeHnvn9sTS4iKTRyPU5iTefm4UyvTXm7sGEr5Bg+CZHwg/zhHpF97XxEsxJCAbtiAXpgUW iFeqklc81v6x/Inho7+oK/Pfm+aztqf6wxsJUpF25Nguq+KfjmFNEDk8COYRsqWp8TOhUE/YLJ1n 42TGgwyMx67cGRcRr84Epf+fB0XCRsrctocCRIaz+vhep40hF7+48wr+o9s28eQJU+FqGnIpdwKD sUfAOMinicm7bbBstaToXUZZXlqnzNFs9F9CbMK8O+zDbXg53VIj7+1alE3aSTw9pgI4XHCFXF1o T5V0Al8qmXvAhTHtXiq8c2/Y6SxuiD94Zrhlg6IV6c/f0CvGfP0nV88FN1Bmbg9yXSXftpmELTqO ullwK7waw6qWyXg8/ngQdFhFDPWOkaNEZmPd+Ati/sZemD+kep20Tn0GSTirCoFl+Y4hmTVyqpUY HELytcXqgyy31cea1vYntFlXJ9DEhNcjI7L9svgQDeRFoGKGfWc64HnoC+0kGPK+hid0l6h4yScV WVGg84Q9okd/7uL9WnPaQi2iwbBEtEfG3eBbjKaowcpKaZ+WWB079gyCtgPLrz79+41RR6ccvezs 8mCUWYnfdlxOXkIaKTlzPcg1q4Ev5nZwuJYFdCo/RL3EfTZ0C9XK49bO6DKJnG4U2Ff+4ENfNSsX z8UWHwOCvJXtzs/56E6AaX+uJcX/Amz3zm7MX37ByXbjnvollC7i2n/nLfiDPD/IAFQ1qZjQu8pQ VMDcsvsHBkAuwaleOip2J4lhNuFHWXWc8mo6zsMN+PLSL0YVR6HwttNgnAXJJYrAFt84L4RxJllD 6AJSBdAYzfxX/iyQWqPfbnLcy8OWNPl0NOOOByHeF2KI8jmkK4P3sLzraMqHcmh+FrC7fFMRadaB tXzUKemAqXiam5xIlU+xAXVM6htwpaCOxPayWTSvSDaukSwHhg2oq938+dQgjZ9Tjhd/O+IwrHIK l3/YRdOoF7KVH3Pl/M1128qRV8w8s0t4/8oVIj7T09Jj6p6Yat/enfE518xzf8di4FHYPn0K6ixu dtrmOteb8NF+O1OKcXBfbCKldQ+Si38kdgAa/t1xFP8J6in00L4Z5ORoeKLmRvk9n+g7wixCOk9W xzRpv2LV2YFX2Aj6toi9Dbas8QOsXYef1mokFoNsjkyTPGs/zGv8E/paIO9EwP2yInoMV8HgjYMq RRAQaQgRskzHidtzwkVjPzojXfirLY05lc8BXCizhKsz1szMyel/fynpYaQXi+sK3l5KRkW+zpkg CBKb8C3IFnGeW3Nj9xcI4fBar+sWYjgMUQLnwW7kcpBt9zCqGjoEt3sD7mHNjyf19gfjyYuGWuFs QCNA32hieN8XwNJ2LlyOec0HkC62HguLI4b8+ldTPyYehd0bZ6RVX0GEhLYtaiSEWpwY74Xw9Og5 NiaTq6KVJi3RkXCs+HF1LKj2RzwcVqPkiVfAzNNwRpR7ptrwIKZgx4PusNNiKzSWqxyMCuQ4ar9T KuggxiqOwTZcZX5tfj0XsiHGEh80S7YC4jRraTUhL9fjOePQ0ROFPPjQJ9lzOf1gGwvH5ksK8rPB Z/ihEq9m5Y2FvG6i35QHR4R51DqIm8+UJfJaJnOF8F93ZleCOP+fLGnjhFzvtucwb8eVNNg7Xh0U 6ZG4nFFt9RhHQfU/mUbt3RjgtWAI+teJtZoDJspfXH4bDOn+ZpxEepOh4Hpdr7Wo26jSM9Jh6/MC 5AZgM0jLes0RmF6qKIVd+giiPYVgti00VaYG/s/Ulx3hpj/4n3iMVh3iTe0Ap7E2r5zMtd0EugzN k2ZOhNRVWLMjSWCHFBqyk8nBVp9Y/UIR2GELrbVXwq5uTs43QT668A5v6iwl5orP8QjVP11KP2wG KDu2tstNdrNZajozZ3bHzfjPfVqzDlhGvJBwBbVRa+HnTLKJ4QtSp3ECOGIi+OAWA7cRGE134oIw bf2Gk+bB95RrFw0QKgfMyVk4cysh7q1O5fCyyvQlDw2zqEULS+fSSLe17eFSgmdUt2MnUrofUun/ 2Nm+xY7hFMsOW1VRWS6LuiS78mQh2gHVcabHnvGDnRyJWhKrfL6YIPbUWaJKVwkmV25ihpDlcLLM QGAuQJ3JjFgu6nrYpEM3zssZha+xbLmOqUc8ZbUck0bhxmlmifD3qX6ozN4gjliv7EnHoznYqKeW a1thSGLrRMGPIarta81nhLQVEY3uzHE/nJIRCpMK8Fghk95X1Tu4t39JdMMF2JN+wAdrtqZwA6u7 e62QiAuGvoT8XsvN3KxzVckIs+EwXEWFZqpMDfZoabw2ebjHZZoY7oi6HXNkcZWcknYieJi3vpCL S61gcmY8ZM+OW3pcHXB4KXL5hKupcrh23GzImAo7mPurxk7WeoAzSjZfJ7mV0yR59jep3ou4sPa2 G4R4kttt5LclatvWiFbbW7pp8FegzerTYBU3pdFr9+EDrkTvxkgdUfbSBwIPoVHTkhAMl/lZMWid 766n0zgDntQ2k7R9LFxLoBBxQmqg5kM8L8NDm/QCP/OmHMh3fUg0wO7jd5RJ7TFGzUlB4FcbiCYP NecsYmIl8m0boQvSU82hpwzGxYUwBpNIxhyF0FzpS9LI0RY2PUVPzz1yiSXtCFU9MWQhlkDg8YFG mo5M3tF24BW+jRxo8xWmnmY9him3W+7NZcKNl3tpM0AWT/vcyzyNcJBcivxJFLoQem1G0KdbNgkO 2Kp4QwccBmB9hAIiZrDPAqbofRD+t+4/KobHo4pAATwqM0w5I54ndLDd6lfUSJD8L3V5o7WxbJB6 r0oWW/U+lBE/n9FWWTdy9xDauqLYaqv9oWkJeZ2SQYBt+gEN6ZM1x+Y8ENrPgA9m+WEpDod1aqKq ls4e7O6VVpVKKtFIrJBqy2hANGPyT6ncRqZuGQTobdU2Zoh4UNFCmMbcY4BtGVaJjPZhM4Eeaex5 mKzMciPy/QQF0Ajd/R9mCQlWP3ti5wJH/nw7EW2M+OI+gKFDI+Tf6gbQQb6WW/BybFnqlm0wj3Td ZeQTysR4nlKBLZ03eUUtwQb87F8GkwukMB2V11Jc+0awYzEcPqRZ8KtP0eX5uXpPV7VEQp+iWvpI y3o/HiRIUWTmXFNepTE+zu0Hp6WOuGO2zpg6GOxl5Tbp8GWQhITisWVr2Z1aJKJnUKe9JE0iDmdz cu+RAJnI1nBdQxXDC4CbLiD+xbgU+md08D574Aejxggn5F3Xb8fTFK58nBZ1md8zWDmX3+lyU/dw wL2gsikqpymVOsE1GUSTAi/YOePQW5JCMkbJsjzMwoQlRu7XP3nKOVISV9qoyjw7DoCPeDFZw7eX teZGij+ZzJamp4UehB/L2f+01iveoyENtw516+WZVNrllTPrqCAasSD1JGItGbkB1vXxuP8sLqM8 eQU2YEWqB+nQxAaF5OWVjR5arPodd7zHgTFlvvFxVDE5KZ0r4JfXqZARWlUcBwWeAuPphZsKYYgg 8kPidPFtKB2NJ/m2Sc61yJjAJzSr124wkpwdJeKDumwDar0FWkCiKRSh3xAOFAAffN6nphrc0KRD Y5siyHi6XtQhJcaIGY7Xr2yG5tlckNCShWJbbXzXLnwXB6pe9b0TzIBqWqVduxioArpBYu3m47x1 zJq3D8BLxxwy1WgOd6w7dApJRTmTyY0wKP7XRYxPeaSfh8hHalFbiCEGHqXhu0O3ds7f5ev5tKIE uk3EHHYE4/8KoSMTBm8JiM8E/92kkjDgWVpE/5BwJy9QKCRVLdSBJaqfeV6JQ5JT3crkKoYGtw2l ELie5Cli7JO4iFvTjjRNccmwkTmZUBRHLRiVDv92151zvcaGTEJzYwzfzpHWecBPJlwEp8FURERU lzBVttcJ6W6gULR8dL99BSHzCwoIt6Sb+Xdugo4gXuHC77nUM8x53ZbaIPFCLfXgMuNLVSZt1/eF LZt4Zg58x7UVQSuEnRgDS7GQ1h7UyM06u9gq9QMndtvnknw9jCes5iadboRI3IyJzGxVYoF47iXa Pgu+PGUKDZCr2RsPLl5y7wYPoPcxn/jspA//O1VkuGSBPSv/4Y9Rh98E1gMtTEXPaUgE1xlM4D+T V1iKBhoFRLKlqyJ7KS7aoYO5ATYcqgtdc9s3vAcfAKi1VCxjFyt3f2OwQgi1Ug5aw6yH+LNp78xX Q5Yu43rRLlW92/no/1glA5ll7X94oeRBmEK1wdo3V0YjMBSUCsYVG1wyLDe9PhPWiy63I9NmB26N NT5VuntbhkD86Sp0nNzGZJPAPUC7e6YV9VUwCq+P5gAQjXPTrsdCeMHCgvArpHsu5TmiRwaRS0ju vZEj79HLlPz2J3+7UJY8g8N1DeEmbMB7pZVIrDxdckIAjdzD0n7TL4gZj+UbBqoAwLS0iWLSnSDH LGcYbj0kPrRK8L7k8mdiU2Eop3cFllU9lUz35hCeG/jLXc+Uklc5OhsMFx2FL7jabRCe2AesiFNW o6o64JEgjQ8/x8guTvDxANli1BsSneeSnhL/m3+SG3SSNAUk5jiswH+xi8KgTadTMKGqX7pMX4Rk jtl2QxqIWc+CCD3CcGkfeNAS6z9ibgL58nvn4JEC+yiJQHzOuOrG3ucXIC4eZ6xu+JBSnCQkugxM NcXXgp43o9ZR/rz59lh56DqeX1SD9YGyy7fQkCa08bwpJUONYs6TZJWCoh27KbOkEdJEnMzd6MFY gJii+7h1Zsi2ouHup1rsAzgqDKixVCyGprkCOiyiaPLhu6U3JB3EKhWG0Y0h1FxehIRYffXya/Y8 nqqSTnupj/sf0TpNqkC1d8Pu9IvqwVnWjX4/LNtodiff2SHlCPOKTJzskafS3bn9UXAyuUKaYw1G RrumcF0tvDI+DJlWjspoxxIJsKKwCxRsDFyL1kNs3kEjmXnpDJ5xf3c4DeozLFXjK0qo8Hj2FmYc mFfb+iejrgP18lmPtw6NwCUL2BdAexX7IWUAOLKjBPF7gySeEuXjKUDBVnOmoPCCG9fipT1BgRQZ uoX8PeAeameO7u5lNLbRB/sre14ynsFaA2qc9re4cOYdjScydwrFO0YELldkpBpeO6DHCEDA60O1 prmdfCEv9pac1dPcE9CpsV044TY9yNubNxuDbc6jd7vKcsvOiP44kIqTvrVgy+fugnFTCNUjslsx l3feIZQxRP7UydnJKioqznczVPbKnHKBI0uO7DSiIjX8omfa90mA5VafulQ+Kspg9A+Tjk75IjyU DvvELn/V5xxLjqI2NaMSHcMFjWgnweD7G8PKDoESRVOJd9OGivfPlXBjgJEzeFWQA5e7X6OBOCTg 30bYUE/wv+LrDJGpnp1+dHQ80l0CnANUhhZMzhYNg/83noE5JMVTaSKz3vOoDFW7dBi6zLnaKNDP MYJLZigO4eBOC23FMTmnTRoALV+lzMW/hfVRliBhhAM4L6/cqBeMadsssb/nyT9YEVs9N6/WrTNT 0HJgyTNz5fTtXTkc6XQQhtzrxN4x5WaNTTvuvxV/PxljZ8snmEM5LWfPxrikhQdkkhD9aZ8Heho3 AqVywF5+dPDtucJipAmlHwN3Xc42kJCvzLTHnPMZMX2cHRtCEDOnDtbI+5DbYwJVj8jMTr+FcQx8 ORHD6GX/ejd1qreBbfNf0eSOTpPkgq5b29StJOVLe7RzWv1RiS/ue2Xkx7rKcW2AcX8cxfeBhnDj iU7LeMAsQhbMUi443ecRo3//vVW2N2Ugs8obV4whjOfmj3G0QnotH23TJ47SBGhnMeplDWp+nC2B pAU7SqpCUb2GLYhpxL8055vBir/h1WenXaWUi5U+6gMel6Tyb66wjCm8AAdLvjhosMXC4e/zH7fi DliP0zw6UJanUTRRzJwMV5F1RWrVeX9NnO5fuXNEZqaRZ82Y4lcc+gzJUdzFPZcu7iT+8/Gz2iQE qt/bPvwb3098s4ygLs5zuisygztJ2x/4Vs7wxt8Gza+26ocdQC/82n2LqCjGQ+cCx2MCbnAes3Vx fBPtR0rr1xNtbGZ3vk7MvSWgh5hPDeVjckadlalp9Z6HPPGZcViWP9s4vC0YseKl5E2du5wFJC9m q5U7GySGyJx7AUGbBiVh+Tsx4+mU+Hjfoj+aeZpyyfrORKYAIim7A7PcLfvUrdBGA04S3G/Yb56N a6O/fZ20KFe+WzgLEXfGqsRVG5ih0ZGfcR5PKIhtIa9arHoDO3WZ0Z4+5xkjhH1eUDI8rUiSdgC6 MX9FpNHbjjgQCrLe5icXZKGwHDGWXg+kcisFVt3pqplF4DTsYNhRX+gAG4SLdP+e2iJu6re2lggP +IdYoCn7yudI4XmKv4caLmnUCenOmnVEbu6mkfkt+vXM/+cWPUBYexoJshm51kRmxDT6iQaz4Mcz PDD6SZHrKjrGIyOAm9VcGayKFuQ7ZDGc+2Lp01US2JxHL7AObyhO2n2Kj13kshQ7tQbwb7XuSPri iOfPvSexFR/DM3p4HN/4t1QUpWGoWuavX88RXT3Y6alLMNjm5p76OEhCmjXD145A65ccuRAHzwKz CYXbMM/U9/N3ZQDo/x4t5kYK55eQrlyHqtrfuvZVUz/Hu3TY70YsdS0h4gkDlqb7kHwa6VVoMu4h D8VIslKOBeA0pVBuYOQnWPEsgiDiLiLiJd3wbrwlCS8zkch3YgkL0AK5DqwqExP6Zy5IvOV+cspa 5kY9rzobNy41I79NYT3sXvTlVye1Vtxpd5CraoSibMnMiS4s3w/CDhBVz8VSmvE70YXIhJBkeN62 4Dv6TyN6+qnVw9ZcyC6+xB3ZZg2lKOtEyHQ9um5BMr/ee/2XEGXWxienIQbdknEzTaAZORaZy3bD NSx4LIp68OSEeNWH5eynW5/1yLXN5toHjYO4sNTN9cw5LBls0TS+N3FBU8Dp6dfQeaU2wc5Yl9pc a3/1FA/sFmGdfayEdp5aecW1g5yU+VCxL/wXP/sy1ZgZFYB8a/oRswXhEfbflqcMcUxOUpHPMd5j ojALDm0E/CW263vPQHUPxEKHpd+0xgzpwQxXiwJbMpnxLoZx8oNcH26F46xRg291bxO8q1HVRqZQ ANFbaKwA4AhHrthyR4JSFr6xXiDWSm5606M2mlOf9PPw7/ahS6JzIM0JXnm+UjYlqekX98iKW9u8 0qDK5vOMMM5f5u58A9lubue9zBajgDn80fNbkQN/jfjGwfmcoja/QgiMdtt9f+PxvHY6YPXy4Y4o fQh2AjhNYmzrkTRbjoalM0W1o6Fcd/+lpmOCmaYJvGYJP5s+u6jNiIfJOyX/IljsicsbL8Bs968C Of0bWZL7oPuM85cVS8i6HuKydv1/mH2EFKS1P1e1okPE1KXz6mSLaz8Af0KbNA/Uy2qhDeMrWEZc HW2sheaZJeOoFJC8wYlTqjxQwyE/7HNlvyEwnp59DQRehMiB/90ETfuBaQ/RZBvMay5/u07zKtmd 3HV7VGw7ZVe3weGmAkoS1NR0KHdpMMyUOTF38xJDDTMHIKq9QonQelPnnagyF6c9isG9mwoO2Q2G xdaYftd1TB0dA0ounxZYUXbd2Vth/iXKY6G0AoadZnHDjyqUbM5pbNcljXVbkKLjqKxhkvrZSRBa VGKrhFmtjf4n2a0u8Kwt04F7P0HmYViWiF0PZX/ouKzBDDSgvDDydrgA712JgmOVenqNO8DzWNFC VDD9E60IAqYAhdlnHbFbNjbq1h+AEfU9SIRGXNPgOSIL8t55KYbBx3mAXnqQDTm5XXw+dhw/RVxH TgzrtDsoGTgGF4hvN9LlRZ2bAIpz+yEz46dgwPitm3EOkWixmciu4m4DGIADoDngsE/t9mAArdYN il/oYWLxVcXJCbGXh/CTxkFFsrgMsvU8umiKpbMM2bLq3CsEZ7EHMyo2NzNtP4poKYDFovCR4wNT Ows8l0tU/a/5q60MuhvdDDvRGpBmIkpOppTy6W4X2fZYHs5DIqQpG5Fdi1eTbL6WX+CDUQTeVtdY aGPO6HdgXAuXMxmQFOTiucUqZ4n+2QNcMezxajhtxrco5bY+M4GgulvHxIqzCZt+G9NTiYZRFeft c6jMLQINnABodL5T18tNgiK9few+2fFXXIXc74Op5MqSjSP+yYQpt3DGJ+7b54Fi8/5kRy53OdTe 1VHGesk78QHKsY7jzhgi6CCxnt45PATSFtcgIS+fqv6cDmnVv5bhB1ZJyzAVnGI4JkfBYdiAzEsY v5ZrvuDec5Ct9ocbcswznhCnFtPgzmF+SIPrzJLUES/XFCEz0TYlc8Rg7T/pZFKnUNlRNUaXRjl5 5sNX9HkLGKiIWM8igSD8VQJ1TVuDLVgSrEYrFNwhgkHdPJ6CaiK52SrsWqT214A0M5UvdqPVr17p wdcOkFCrP7hkvVLC5f8vOCDDsWDTNj3qhaouUL+jJlqeMB3seBh4T/E5KqV3aiqgbti6Kav8nTtT ER30gngDGGPbe+TBmh0UQ0FfvWdK4W5k/1bwP4akpW7dd3KMzyWm92Y85sNHHFnbrBsMl4x64o+i OLOKpxTCqf7SAg8zci28TOCOt1uI7Wl+aOdslS/bLVL/S9mzhvxlRKAQqoir4MptK/zs6TOEb476 LIOvEuE97Mz7gPSz3qVDXSWTjVn+Y7HaYb8rVOWT0gtV43ogH7eNC+jdPIl5VyyS2WwqG6dADJqC C9N0bZtMG/apV5NiE283j6C01dg0IU4TG9NwA1QXRZBNSH3L6Q6wt5WA04nJtiaAKlsMnllvo7mV oAyjiynn0WdizO7QjpVhxb7Iw9o7HuPdFGyaaiIF2xdbHBWw5cpMX3ZtpDouahLaBwBUCrzNioQm ICZKJvFKThnbn0omlFU0PjXSF+ri/6Lh12f8Z3QppzjO5BoDnT82cA8A8Cd7XF++moZd63kRSRVJ ml5T3z+CnchSN6FpOxBF4ICt++poR8rBq9NZVDVwwuHzmvbSaRdQbaXO/wtFS8tUWjLYy2eZHJBP 7CpWXeOnLvBYVtKMvhW5Bpp21WuESCAvSA6wg6ElpK9n/2LRNF+yQTXBmA/UqENx/K5iVAMBVjOD 2fs4YvSjlLKKWh8i9pTmNtmZc/Ida108PyjaZ78yu58KVy8plqUXAZKEt9EkDnYnt93h8ehM9+V3 hOXSj1QUYsF/4tzo0YdYNMH39kniVrPPWJ0UA0bpCIr8Df27mtmf9URA/DJOXh3Wu6KquYrU8KMH fVvW8dDDarbQfI3PP0cIOMBQX7X1XyLsExEg67vNK6Bvo6wk/lE4i7/SoGN0nhKGDxQ8/my8E5PP Q6JahNKLCGu7d//RPlNFJF4/L8kQU7pJP0mFITXMSCEfkn8bioZMhiQKcRTCENSfftUUrr1/Kqep X79rkAVD/TUm1dASVex/a6K+7Q4huvvepFu44aF2GnIS8yMDYNMHUwLDRWl2+XBx1lb/LphqwUmO Z1wBJsM8I9IMt9CCveYkuo1Ie35Y36v0dEqme9oFl9e9XQaCqRrdzcT65OyEfMXhvuVDeJuHYOZ2 3lyqJPM9Z6jK0CJZ9AzaC2RdqW5H9o1Mu+T1s4VAn5qVAAi6/xIrGwqDpbqZ2IoKpovHWdSH4fSX yk0+Rq6EgtheC1VUnHGR7kq3cRFc/wVc/+Tj2nJsiy+m0fZM3JVjx2XEWSU/ZjANhiHAmyPuKpa4 WH3JMa8q+mlTLiJ0UeFTjBpWxosdFDxfg8Q/UWAPhYLCYTbsbFkFo6cl4E4b8qOz/P+x+mHVEpOB zB3L1UfGmYrBaziyWacmDRlfKdQ9PoruW41Xj1pDqp8faSXlcSJGbjBGKZyFc6sE/+B4ms2AsZ5I yNnCjfAjMoc7aSZLarhoToTbGqDxZqJOXIZjqd/vp4cZu3bxm5ksiSyj7NQXKvvcMxZOWCetWaaw czQNfITeN2z5yBD6j8cGOch5al6zkNwsF1qaTnQ7NeJaQTshdcj111eO8aqLnX+tzk7ehLaV54KO s3B1Le9+DD4Qnrrgds0qO7bWWHXWT8vJ2vD0EzT9rnb544CTVnhYMz2jnHZyqHqPXp8N0fqYjLON o9aTDiYZV70Km2PxGh/vKMmmxFSMkszDIilz+ry0VKmd89cT1+3PxvY+8NnTmqxyU2y/nq10JZvG c5h3L7rFmmHhOF3ZFboyBTGAratksU5mQ3ZizD3cl8e7a99N0rBqEMChGDMT0U9xYu7YRI8AFdV5 /btxkBBSc9m9vX8rGiosGlMaeF/4oE4nABGyqGQaBj18spPvZxXcBXSXGIeJoQkad7KJYS4mEq5M 1cAw6jflZUrtkDbIppUiQZ1jp6mPnswxVxfuXbYCS0zQRRfZlvY5cxtpzAy2o/9SmLUT16fNX7xa qT8gHQiQsxggJVg7kYcayUQchXgVETZ9wPsLGknbq5Kf8S2qZIH/BZ0PkqW2mNh1VrfqbH/xQ9CV O/dyDN47Spb9h/X3Lh10kCj1RXpEnlMhp1L2ZuWNXdxRKRfXdgrEhsNEHx4RQfzsdTdwX2zByULU KN3c0f+9l/Et+01oa6RHjcjj3Ja+tXf1vScIYPsT82GYmiAHoHTjtEho8My9mj71m375DMjIWIx3 fGxzxQWY8SitgQiRljD4M9bIqL2n1iLwhTpDHgu38nye3d8+OBZ/K/gZAp4lJfJsrYrdECtG08C7 aOiK9P2w4JW5RHHUGaxpSaBbj/WkagyiZHQtgf28dkMYtdS0zuaemQWRoAxrP+GM0lR+CoxdjeYZ 2E2FWpdEXRimZ59AinqeCChxAHp5KxIOzv4ylX/pmK0P2ae9qeV0s8nwBXVp/8774SwY4hzfsezp j8vA+hjGLJrQsbJ2uufUn4rS0bcrlHbbbQTSACukoBDx76KaxAM7zuCw8USrFg9i51agmGQiwcUh qOci8n04QQ2g2ASsnDSyCHsZa5cnrVvHatn36+Xeq2g6r/m/PH3WTn5cP5Baee86gO6bE8uFjMRX lSHZDLdjJ06fuvFA5oly2KNw2vVVH9JR2CYyLogqqEqwmVxwNQyvVNvI99R16xI2qNSCtHFOTBEB gKqYYoVmm5tW9Fv+cAt9jSvWS8dipsnlQhQFOHF0LOJ5H9XSc4b18EFI9KfBKH/Py1G8e8SbnhGI Nt44foNm9c/ePsQHpWNszn9V3xfGOhArWFdwDfsOBal3bWWKgaIko8tif7XlxrKa8JZuoGhWYWYA YmHFm7sAdakuf5V84mtz2VFE6LQ/2kZ9pk66rZXYxHU0VcqxH93UaBwqfwwAmQtkbvt4Vi04sSvn F29HSYDpznAfBRmEGldxe4ywVvpO2M9hXMmxlo01gHelrF3IETh6/iLT6W+eGiLFy9sXPQPUOChj LFbYAlbCNeNj3XaHSvwkG65iC3IAjBsFqYHFBNZfpqG7WJ8uC2hBU501rCu3uocTBN7V/cYW+vu+ +YnWcZycQTzrHYaS3WmzyRvhHTzZ6IFwZEoGlF5ptzjGqwQqFrgYUTV5Pu+qiaC9Ts7VB+BbMR2M qDyVivHoZxahsxk1ofFRqIXdRvdLt7vAh8o2j5ZOIgpUhED0MFNYRrySTMpPV7MKxPvXYPWZ8emi irCTCSkS1oLYU4GuCjgBzFVgPdq65cF5HtE5UZ/mvIW+113JYEP/T8UBw+qSC9TLpA0v6nmGPBEI 31u7xUzIM96rv2Xv1Y3jTMJMaPh0q8rFurG27rF/+7F8C1rxdXekk4V0UVIM1zjRu0UkN5o3TEd/ +FBpLgEELQCOg235SyMYn5XoOeGlkaXs9bw43aHEysyTJzz0Ko9x8fftqf6vsOUpmSIbkvyUJacD UqQj+pQ5geq+0sseBTCebhnjfYSeIbcM2Z4BJbDDtwkNHt/h2yPiO66+F8+vIJ4QZnLdAnJWNRW9 cMGEcTuappFZmpmBqax/9pO/yV3Z2E1teLom2wSF3cY10Sx06lLJ43qU17RBvu7pmwzwWcb0g8/J X7Y6fhRlm7A7x6L0W7wxVCi+bSnu8ypjULgMSWdDB+vzKms6VoL2+3TJlijEWy3g0iiIO4f4BbXp qYrpg5SPexKh6g7iOgzYqkDcTAhLa2jBeVCyZVcMngzXKqxUOJ2kAqyUWvFVrJ7zyVxk3MYt27RR Va6uefYquSR5DeLq5sOdlpPgtexV/bothOlCp7MvEaHSJI/VoUUuhIgpOmjemjBDc0gz+s82x/jk nGdy+Rs+KEXf7r7WGdDRHp8wsu5pNhlwbbnkwzk2ok/EzC/aNJZ+Sh8PIqG7t3ZtCuHIzcRnN3vC Lv2Nfthv69DOuKddL9n58vvjRUo2tfIeQZ0OeTf0luYOT2WcLHqsbrGHOp8gw1YYB1ZiOLc9WX3S 4bW8GSgfh8ChzpHgwxcMdAPmUilXA7N39krsGCpHvcqi8JEBzaWgYd1RnBPm4mukcItpRDU6+VkZ YFV5BTFsOnr1F976UqkhsozeFN/oFY5k4xAmvquJjBRJrbJv3TiiJNOh8N/N+/cLEDAdaXDnlXqp 1PjNJeZGNFPeTlpeVVvt8pTbXdWHlQMNNyNn0Ka9CmZcgp+dCAJnG1HbUeQbR7CTtW6U9IYm4Lb/ xWDKzsFlZI3wWcoUjz9XSWjBSjIcfWTomYUlFZdkKUsy68F3tyG9Ugw1sQ5h+6UhuJl0LApI8meT s1eLgYQoq1hjokn4DJRPD88tevCsMygXMGQDsJ7oSfHQ105GmQuXhuzrnWvx4tU+WVuHIe84ro7k 3SooJs9Vr28IsL1JipmSfUscbjKwLO6nLSwWsojSCoUgKuZCLAi49e8OT2KoLX+/Ny0V4UWnzB8M d+ThyIIF47uXxIQBFg1vbb4ixcUN2GTnhSIos/LQ5teg0cMJJCBnSu43pXD0Tl0n3xVGHLFxVYlZ 9nO/Zkp3achNX1IBxYgEsNuojTe7XQuy9UM87Mn2xDaSWcm8IV0f7ylGBVCoTRUg9UcF6ecMSDMB /afbCu2ktzHPDoN5jyQ1KSONA/56yudTLRqwxYPG0Kgw2+MkEEgUiddh3oeYKcShI29iTRpmsJ5R JdFxH87VuDP3ThoQWbaHzx9BXTR1TyR6klUaZtXAEoqmvzdoc7wv0tMA4N47+AAlKPcQ38NahJ/H WctG/Rj7v43yRAPMc48URggRD9XwZ0b0giy+H0vQrepTAT9U0xfZHuW654ojMot1FChR+on6stOB xnJw+Bgjf+HUl5LL2fQmULSfjFzPXwDOS3YKAYJbSLFyo1LM7LfW6v7OcQL3TIySi41Lk4UifWXg G3u7YWIy3hjtL3ElwANF+x0LjD8T3qV4iXFozhovaSstiLKmRJqnGGuLINo8xaKcz9uoyjG8e+EC 3O0/Kiq/7V5FJh8amGaEnHvZsIzuFBFNu+qDFWn3h3fKWmMuZlYomiganVj9aEd2nCjUDrqH51tG +LE6je1G9m8U6YmIu8D7uiYVwXcajFXpOtatcLon/SvOmREnEkoNJijluRrP+Ru4P/IkYqIhkHKp Uytso4DlAfupNNropDDIXhwq2RvKSFvSRxxOrdW54Vfd3Ufe1Rh3+TGl8Sn2Ijc73kjCybmkNNV9 7oza4fyyxm4umvmPyx7H9S/BDWX+raOgGgz2iaCkOKxQJOD9XQJhD1STosugCynA1nPJaKk1bcgQ 9pOKEX110V/NE4R3MjMuLe3iUZMhZ47qO6sgJC1H0bOHC7/lWdoDEsatnu8s1sA222uJr2S7vLhI Q/h3g3pHC1Is68A6F9aZMIzxPrbWhqpRVyKyW9ESRu8brZC9Gdir494yAy5IlpTUj/fgc8hPjbTE WB16p209bwXAirlGyARiLquTPeSbcyWQKajfeH7QOPRfJ3z4iT+plmrV5Ylz2yG6jc+yOjhJ4WJE vks53ZwF9r5oJq/Ps/iPkIfDiiJQ/s+7Pd9WWhgOsSFuzETHxnzgvBnOcR47CmKGi7Jg4mgwKa0W p7LCGvz55tQUKhlwqZa8eLOWbrQJMGUtoIeezcXTG4x/4uUWIKwUFMW4g9VDVXAWPolwgizmuljJ O6eMflvLaxd+gnWgWgy0N7R37uCM5tIQCOQWEKCh6DVjpH28q+janocvXzpf70/jo3FxFRGhfiQc QJTpRuvpRh/uhu9VbAyhdyP+LnDa+dNWBbutxyyJ+O7Qkh5tbApQlCeapJuVM4V6E1IbQDxzPLab x7Y1CFf2Nts3CChkI6+ghAALMc4Bsq2suBsNSNe073Hd3txdU1oLBS6/VFQ0PCflbc9UHjykwK1m qktNPkjNIJj2T+f/pjLizhWKeEboiTOmr3Iw/5OUKPPraVZybIQesMQqNn07Eze8FqBHl1jAmQe1 iZ1RnkAHD1GCylpWeoV3GR8S9+xM8bnSe6T0+J9514RJy32vIkzj1vE2F81SYjSbogwbSnvuolQ+ 2mPSMk2lg0GLQVvpxvnu9fz5esGKf9qsAuTQaLv6mr/SCh++xVGG41m8iB6wt0mt1gxQInZgG8xo Z/wbzrqQBF6MgreghwJmx7+ovZEZCRIYsxUoh+kAOTu6jfgbpNZ4mdSYRUy9Y0Sj2bMz0na2tHpx bTRqhIhivwiwaisMoM6SxTbgtQhhvYzAUa6+CgIDbgGln+5p1TWbIPOkW/eXe161yCC17Dkg0Siv T9ATbebJM5dwMX8HfPhJu+gFUodjIW05io8ymsdHi1S7ji3jwp+bOa6UKg5O7rIc7KYSB5w7c17w fBk6AAEgcwkcQmU9PFOZEMlpKpYq3nKedKnX62+swn2etkDmbS2zyd/mMEpeKOWCk4wqatiCJx5u CYeSXiNxakBmavotp0SQBo2jrfA2bVbKE4DAKaDlVhiVjCU62BirCHamzqoT7R1FNxSjU8PvKi0Y yJ54sLCllW5f/8mw1BYooNn6trq/J5cR3HwWLgscDMVY5XyUunmF5j/9JrQNGss2NruuuwUPMdnL eh+Qb4o/g6ASJUF9Z9pGpMKUcrQfp0tAECLrSiF+7DPNo727ssOXbU+tMh7tosublef3uMYzcyCN IpP4hww+YQLjhe/NpFD5lX2UTl8CGu/W7IMxaC5vi8h8aE3j/7cXAiZFOgBpKhU7YSWV/u1woGa5 iHlxbNHYUJ4KLZG22kJ1l5GZ1PmWuTOdpPJLBMSsvF1VZpL+hD2H5olPORzDFt2EqCapXV745JiB lXynIeANHUMdzSxSOnji6X7Lomeeqs50ltEQqNPS8B8pjtQq4URgey0paf83RiR5g6XfExE17ICv Ur8fXaRNYCqxxOuOv4ZDf3GhB+4iEfy8WwClbPOifKDCxmOFwM+IuFAzhjP9k0yTqQeBGF8NLPUX 0GA2bjcW4c6hqDXKWbOg63lSJ/un9VvpvRHToqht4osALVuqrf37ytQL8XGQ9ywtSJ3HeLk2ZbLn 58fp0ayZCHQ8ghm9Xo2WU1EeWSjrseq3M9fkLzD1uM3t84vqGtQFGoyTCguJk/4nuKl4pQ3sVAuS M2S18yrlZSiFiHBx+VIqbitYBdxdlpna9Hi8aMRVkQje269j6nfOTFne0dxQvgrewf00L1VoFkpk FeS7eqpPRlb+BkXJ5YdBKSE5cGAuyVGyiQ/DLkG/3uplT4FpwrqpKx5P2Bg8Wf/viTsPFzLlbydA 8UqT34i1ALtXQOrQBCmcouRZaZjmtys9nYeR8N+UyRufCA0v9aGY6TPreNaw6thIhPTiwHw66gbt dnHyFOPUjS2Gi4MQtXP/5pz79/ee5pebzEJoAyaV4cZm3iY4EKGzpOGODKskjKzp262fYTPOx+ET VWTWdsEyNjdBDRMm6/uMrcdYcX2/oi8KHt841MCF2W01ZkV4oDHMAQ+5v+1YGrnwzYFDfsQCc3h5 CPyvrt5yY7q2Iq0s3JNVuDw8A4em3n7ijqcPppvsDLH8qZO9SmfV4HYqR20Ffak4imdc5vXMbCzZ Ttnvk4278AbAXBFH19LCQCkSELVzQ7FeoDCK7A+jYYLBuhJRl0n6gpgC/9+32eye1+dybip4r12p K+jTGwP9oTJtBLbEjI66fGrhhnIjtSPm1qpffrN3aXBPTZuHnPZ825kjL6ZOVZXoMzWwTXAgPtHM BBfmwbVE7hr8o8Ww8vepjlm3YT9eexk3si90qyLthu2MdaxougOCOq0EQn5fiMapQjfTOlYE26oN fXZaCSO395LBZTTDMuerCSa1dMDj7zZjMwNb0JMn+/RcMJZhavKxQr0iRdDo94ybrpyRIkOxbFwM dnT1L3z5k1xCoN5ZODLOubSnqfresAXOfE0nXCOWUopE8Cu7fnsS/zckO2688KlVWf9cxwLtsP0E 1HsmA9gBB4cs5K+5M9SGtJUdXEbztLYYPyl/8ARZpfrQOYB4e/10KEFdmdF0GnFnVAIDITNrqJWj nBBfZ0SrvCGdE082QTbn0OG4GkOSyDWVcMzMwvJ4EQU4rUTwswZF6YJBz6Q8dR8c9Sc1ca6cn0vy BFX1Gs2qIO8/qB0AfRlJPaIASdWwOhtCfJ/NGmib2isdnNiB4fwzNl+xBJ0KdQ//2jx9jOeh8zn/ KMtvUHCp43a55/3qcvl4gZ+LDHlneAgTXyMKpcu2PYKGFuc0wU/2xjMbXZ7Miz3kr6U63OLiDRln r42OIwHClGoXnvjyvse22kmJehavSqeFs+MH+k7Z0qRa/UrIh5i4T6DLOp0M8nSPSwX225uWr+mT DJGiQVpJKTULB3HiLnyLAgBY3UXlAVZ2XlyP2Oe7Ds6mwpF6wQFvNMRBZ6dDDQSTSlwT7ezjZ7FF Ip6OxrxJP/jxAhDq6lEsdqYuei2r8ZicXn1a8D90jMqeJjKn+tUnAqCRW6lU578t/ncjxMa6XIy2 kQ14/aI5c+0LR24GU8QoO+OTtfSNQXvOPYy4mmEYY8HsdOaPmnvsXt6p6HuCXbI/7PUYJUroGjkM NO5YEjfiMb65ZVz1m9FZNCiAoOae0JW0tHFqRPRUHFVIDZW0JDgae5XJq7t4K2pgjsIb5JapAvhW R0KBUbe6u5eXionLFSEX+2bK157sLtWJiR7ZTvQAhS+aH468onRcj+pY9jFTKikszxRKTThNjHzZ GZj2xCgbUuLhp0iPB717XxLeuVLgwSWatsc1jpZ9bKab/3hccxcaFb4fybn8VoA2zR45EHNK7Nyk YBe+LqUnB7upO8j+6AlqRnSsQKEJWiSE8/72v8IkjDcT7M7bm0AGfasW2aHVdnlPUhG6noqVbVzO VOsdPiFo2EFBM2AEJdlUPMq5qXOXVQx3fgck7X4bd0F2r5Vu3prRdVQph8kmuGio0iYo2GnZdtdm uWhOB2wT89Q0z+zMfTv/0kRowHCojiIQLc7llvvUODtpTP53rN3YTv6yE/70r4ipqCJSyNMNPf3U jr5rA3lWTIhFTuKxWieq+Y8vmLoITgCdXIvy3RJCZRfbJ29AJ1JhN4EAIhUsQrPE/kifpSZyObvK 4hsSBvi2LuJlVi6H4X/iT/6yGRl86MrQq3hejHetLNX2rZAV1dKE9ADe/ZxsXychUIkUijFTeQA/ g1Cf3CUdabNR9yxBP1h1zaY2jCYXQPEFkBf+M7crt51NLMunFTm14T4ukqf4Pz/V7Hl7Yztu9XLB xMZJ1w2nBsT9UhYZxnla7uOCvI6Fx8M26xbH/2kYgLyoug1SlKJGRV3uZzpISQgQ4r+JncxwXHo3 jFuMW2JTc0YumQMboI5IXVs22zQvCRJF/flO4YZ1xSbnYPZh8ZtsE3j2K1YRPJQnEKOaBr7l6t20 p/tN/m33tKgyCzOKsw1usCcXTdXUyAhIbVlPKmu8CRrB+Hf+QPmdnZLCmamXPHEJHv9S5YWwFPCj 9lK3VR9ahJjTsn/1H9Xo8ROywqqsZek1fhPpb36FxAJdKkrD4/KDYzS7t9tmGqqJNT6gBjAqsCDQ ZIfmcBfN22MnKh+FlqL4afhstwd2TGP+lm8zlvB/6IYIoGf2l6RY8PMmWkg39ZrrfGQponXLQxkj Qk1P/w5fYcoPxeLFYZ/kdyjLwcApy+N2xFsFHVT9HMpgIlmhxo3/bTU+Xf6ln2WWfCa1ikEByLHo Wug5/P1YCsZMPf1LDCJctu0EdMtji93+OufnjErtY80joSZ2GR0i+7ExQk8LwMasdWtS2VR1Vuh2 K3Zo/z/Ndt/FZtCQ8KqagT6PbtxPpHea1fB22Pdn85MyE5kQXFBcjsF8NCy/Rt0fP2Pwp+dQFsRI GbxHO+Ioj5+QaRYZfCShx/Rv8d6vbJnb9lQzj03m0knuGx6JkmS3dxN4hVeYlPQEabZzCo4G9FuL j6cKQDBd/xWTBSglVltk+1QrW6rVJC5Xe4Es1voDgeUJ2SYsCxHi39WlYeXMZ7XeZSJNEQtgkqDM Hc8asgR4Vn+MnG+kPZHRwaT+j2Og/k9P5Pahhtohl12XmbhAB8rNVa8orxAKU5myF9/qRuzd8+eH wOIqyARO2UVfcXDfxnnpcWLEj9sQa90/3mlZtiLeSXbYuuVJJAgP67XIWoR3g/VVAal9PzZmoL1U +4d+W4jdGzshtt+rkV4Krp4ikiRTby+eHeCf9k1SPQYfVoDWzzrVbjk7laUc0og/L1Ux93ZFNT/e zdtidz/XrjOhjIhudRK9udWvdWaMkRE00AkFU1ZnaFR7Fcf4lEhANUERPaxRULuAh9tzPI51+ZXp 0plHvOOHxBSDpPGaPBjL686ZaEtYJx45Fxicshn4vZzXefQoAux036KEAHGynF7L9Ptk4xjOD/5j MmS5ctHaNrP25olAwh86Bae/oq5LW1Ytmc31eWSu4Ihn67bJGvQkc7mbKAFjgeqTsxoebGzY0ntW IapCbxkI8JP+xm3B62jcsfulkpL6mGvl7v/AELaOVpcMEhWe4eiOJHI9d7qKocbiP6CI5Zm7Dpk8 yE3PbXOgcIZzr1l/roGOv9T9tqOgWAi6kGDwChAgd56BEC9y0oVBQt+UvsEpEm37puxEg4J7a6RR V2pNHuGUNFmOIogNLGdTnHsNkPmnYcGAhcKerCN1XlmDTIsEIYKGlYt1gsaZ5iAhQY21TBsaOYIj J6uC7/eNMpUvX8LrsWHkmAdPggxgmm9EA6RRapIVAf+IMMyF5Iu6iZFm8wCUmJ/YSPOau71iJzEG W4ZfVEaEHX6SQf4LPefe4rVp0y/0fZv6y3Q5PkI/9BalmoFLoSn4XABqZyWIdXrmguBybWhcX8ed BjQmLgQ2zPBBMp3SG0jUvcrRZV2YvNQbxvFDbXcsk4nXOE/LqbB9tz3Bs+/fSM/2AkaP6R+nG5cm +i889+7l+dv7AEXEr6I7KUpfuvOOjNbHUErD7juknSP0lgqW7+E5B64n7TtLVoaawRtHft5EbyIf XoE/bWCKm5Gd6zAoFVlpdqxZ06rUgQf2I0jw72lgrk4oMBz4qOWyopQFoILmOfSZR85nEp5qZktb uWWQFosjBbSp4cRbg4464Do8xhVXUWCGU/RZl2xUB3d49CYhzPoW3X2Ra3hTr4NXptSGgzBVvSvK cKWCffLp4piaqH5VhemTm26eHUlTJMu6wbEHL9P2lv1b23QboqXXNMJFTS1PA4a6dqUd8mfU7dZQ o163VEAvxk13/IIhV9yIYSyW1b916ZTLr8debtbmHLnjSPZXC3JidU750SFBte05tuBgC8F5KZP6 X8jAWZws/rxZ63N4itJ3B3QcHcIpJ9oj5ZOn3vcrWAWjFL6me2aIOmwVLcFTeJ7h0Sy26RqtvAS6 QAk6ClAtNh5h9fDkjPeORUdktTKvTsHPsql4GKOVTlMO5TTUcF9XqYa3uPL4wSd8+knMPS7vCPJZ 69qhBId4mRWDfCwTIRXAGd6jPWILGq5Ss604wBcW4WppsACgl4PTC9SLMHQfyDZ4A+/zTqYmBNLb UG5F5PRaKxQzQlcYURFPqM4tV2NQwRs75TrgJij1yVa6vds7xAIMpHYPUo0O9j11pi+PAFQ2C0JF 8rwQXdSdj8RLCYil478BQV5fL5eJSGKVHJG4w5R1aJ/9JMa/qRMJdkKVwPV2toe4p78wPxyYS90f Mp3B6LjFYRt/SRwQnDr/4LjycWSfo0ZCED2olqtmqeHwg5b92wxaQ75GAnENClbf72MenprTuuo+ VHxdEy3gUN1nZkz0+JWmbMkZdDkpGP0zEOrGzh0yryGYuRPDAeAgCkCn00HXAeTGiw+ytsyjicFq K4DtAGPiYxUdE6HlBOX6sAJUhrLBskgRHT67FvbsvA8f3M7PcB/DA/S6VwiB7k7l5n1i+m9c7O+2 sdFRawt893Gifr/2GgS0MNVvbNXdU+9jVOfLW3wx6UAkbskL1Js85ZIhqxXaX/5NIB72lrIWQWIy SmhfO7k3ZUm0gLB5zptjNCk5Jyw+I1yHAltuczYypgDzE6Nc5NtVXyald3XExso3iAmbqHVyNWUf JS2cLZzadV28jErLYQWJhHpI23PNOYJdtypvEgI3rlwGhWHjUkstnevdb/YLB80dEktzhw9vETZV msB3N5RSDzkhlvUoRAnMi5VaNiFec++2QXrgI2ASsNykNYMoqLN1HocTzmWDahiAf41OoN66hvaz FOoDnzeQdJmnkD9WtpHuPzxi9eRO8zC79FCnV+hWf+K29kNqyk+lL67FHY+tLfNAfWxO5nVeokT+ X2rifZJXogZ1QEIE5d2nML6y1x1nK4Y6l8/5nP/9UsF827DUDB5OcrjGyM7EZOsYRUYgkoLZd+KO KwN6gmT+Dnb5hxvbnTqQRApgsD2kjYatY/+lJ2vM7zAr/deSnaF+jwkCLruRPX2em8kptxJysNrK z5BiXC64xXkuKw0u0qJD/VndvIOhCBa7sgN1Bb0NZcg6UCUoBtJFx4hkAHcbPfAaiGhsp7yKhfYa O/QmNnAbXXXonxPjsxl9JyR0M+MQvara5uK/SmS0maYoUBz9MafUaba7LlTHkITqTL5BDn2ltbP0 prfQwiHYprxHyC7RUX8ak+cbjSi0QhVRdUebsfwHpOkdGS/txHUuqOaM94JcF9p4T2jHE2N+XHBm NcMIblNEtxodpjIhVvgt8mMIALeXifwMGRin4/WoOHebXTkxnWbOqXtl2AEE57D4si0Bc8f7Gysx kLuxOlYGDpSwE6Y4eNpQWa7isQQFUkJrq/F3MFRMIQfu6LmfGE+5r4AZJS0+myfTmMrgn+7ZKz17 ralJX6IUOnybf+7lM9GVSSWbf09TZsjv/of0hrz9HML9CBVSL1tNsrWEqg5rdaTzdwz7y9Ggvltp T2ZYf8PflWmF+xJIGk+eW4Bq7mBHPQgysDpODiqPkz+3A/q9I0aZ8PoUJFtZYq0Zn8/Hna3ald/k JSHkmqadJttaH/0fxGjYG1yTSrDEOgtE6loWyfGpJovSSnN9AfLD6ogrWlDnIjGcQCiVovNPedty yn4Qu9hIjoYImzW5JHhPp6B3ZxDwsLpGdpxHsrlPZBrmL86ba4nGL01Es6M6j5/X4c+I2poZLtgF 3t6M0KSuUF9JjFCugy7GbsRLH+SsaKJ6H1RV/DXAzn2AYwtF35AHMjq206vn+OEujSfzkBy4Z1Va Qj3sV9nNnMPi9HVvhbG7gFNbw/ndiAaUIgoTK6JSdlHAdhlu9T2RC12uJM2fMno6+q6xUtcDGZZF 77DdZx54hZOfznlCEbb3D5Vs1NhkhXUwH8ogPYbZCj+9d1Ri5FcH9CuU2P6R71NxAjP4nTkV5cWP +uud1OlOv1I5Of8MGIkheCbXWF5+TyUrL7bSxwujjYMAyTpUUSL2MDzpDsJXmkrIKv9znHmNvIrK yJtPsISNU2wZHaSHjkfY0DdPN1z5DwrcJgoqYI8PK33CK2PnyYax0YDXWOj7ctStPVVIROVvBrnA Uu3r5TNB1MtjznJmgyHMPp3scTGZGEFpmEIPdVUCtuGgz2iMg6jGpSAGdZFj2UXdYGjy4Q2eoZNr 6VPLucxSRrdZdJzo34mSSewhCztFw4VTFpy5UQehksg6DmbqYeR1MtZCRx2lAvek1O+6ie1MiboB Sq2Xrr3JtmUgdIx/inBTVcrF/GaJTQiFnrOxTTu7h9GvKHYvjin+CCq7anP3rQ5P5mbs0He0GqDe slHYLASrhYAt7FFwj+kMJ5egm6wWZbBd7Np+3/zleReB+US7kVDAWrcKQouPinNBirphJpPzlgN0 t8ud/mnV8kGu4SPORHYRVl69IfMLvU6w832EbP0d9NpgU94g7VwGYdac8niSlrcjX/8Og6yxQx6z xahqt1dvjqHa6UyXet26ykDhGRg2ga9SnAqOd2b1mM4SMYdRSTBkaEahYVghSIjjJm1sI/GUGgpk eF5T1d3xWEvgONaJWf4+jPfcGgW24ZKyPxH1FAuEWd/SRgYKke5+Dz7HT2XTngFp34l6B/szY6lQ yYVuq1XWQr3rbabiAK9zbXhFkj7/6mZDhCb+2O1uOhDBiZVt2TKA9q3+cXO652BdKabAAKmuio3i Zq+qrhaK+ZSWgYxZDJDxVgJM5SAN0Hue0ww2Hp2+Fb8TAWUldyBvvA4pPxVVIpW+zr6B2UKTstMK LQR1pJJ65QXdz3/wqtY9PvTmByeMMSFts9xkyQmPFCs3l0UN1F296LBDLWfXJsaKeXtVodXAqrb/ 35+Pjy6sEXLrytGtQPxl2BrU9bzjR3OQdN6SOoA5B42FOd+ZABcg/wxPfRPMPzLtlIcofXcXOxLD VWkbxYbEDPkCTsBMHFXttt6iTp/kjQJy//F09E1pAUr3arqVU2EKBuZOXylzykYmRgP6iXe3kpSh zsgfrEUnGRiG2/AMwD4CA3Y1SB/V4dioRcTsJVua2UcpBicZmYudGnRcs7xCm9R1JQ4q0hXToB5n GiQbL1kK21nWxYxKSMKkneKnNVecOsQr05LmTNgXrCRVzSIPg2cCx5wmethOhzPiJeu+ifFFvEFB RdadvB0k3ihP/eNmAiASdM/KvENJI7IvVouU/NHt1m+8Y+U/VaZCLMbfVdoAZW29NX2zeIffQtQw qSYk+r4v7nfs3n424WiDiyjFMA6i4BG30gxopNzJqw/7XbPPUwdZAFEb0UYfN82wHau7JCV62Y8E snHCFgqgT5E5DOWk0vf6j3pGbOiW3IDLs9wqJ/Xe33NwqRsHt8tPWe0Kcdv/7H/jNRlNv8b3/UZQ dTEsFwPNtO7MiOTAt8+6/x641ZHdqMgU6VjqtvCY3JCKkWFmaR3ZAxtzzE5BNuacYRM7vuLIuxV+ keKzNlptSYmcNXBnpXqjnJuIIsby6vhzOJXBR94fgZOmCniXvM53P5xM5OlMEWGaxjsaozm0L0kU WEdiiJv+3lyfVXtH0gXGfwWbkVCVtoEeoTu4Dh4eEq9oN6loPSmVpRDcVNrbzdL6ndLLgz3nVh57 D7stWdVEPnfHB9DID7oBiDbFlDP/ALm4yK/8vf9L/x+PQZQTRgL13Me+EyVbAzIJSk7vyTHqbdMq /uyIYqIm8HpsTsldcucZmo12W6HpaE9EmX3ksxImN+JDo/0kxk96+IqukxzSd7s2QROJjh5WQljV 83ydfXVyJzFrD7/jbqWPy7sTQbSPgbk6z5yVT/vkYedpXTU38dHJsz3wRSAt+75ORwNzUlRF3D8P 2SjNw/XcbpsGgV/fT3ta1AGUoyDC0A1A8mnHDyVYAi6eZcw0UNFx9lJkjw5mi4XOv8/Xh2AMTHpT T51ZTU3kATJsWUS+H83FPPAowcHGk1kxNm/8RF8n9m4lW/8UG4yMMecaLgyjExQd+/J+eHtvqLF1 5WNzGnWmM43qkKFcm//+KOqDEkhI5CFXIUpFZZJWvHCbCMCiduhLvkK3HoS+NVlnYNrCq8B2mqmz 3kDykwAUtQ9QN0DmPT3JZ7pbWCrPJydyaYCXP5LUBDbTLqRRTYyv7mZRO2X0DCDefasxYabwdeA9 eonS1LNWH9ZfKfCfIQeCnLnE1ZqNeUaYzhjSCC1MFU/RJVYDlZWHw7NZKs6QKXZ6sDJlwXFEHDc7 n2stocTT1nCVirW7P5pa6hL1k37u1PMqY5HmTUSxxrFEwdUSrJ+u1Y+02vUROPF9aCopWaZsJEUl U9dqHO2c2Gbtd4ZyEWLlaDiAyKwbkRCG0ln2CXc2YYHMRp4MWSDN5wYdj4OJ1arV43qdShF9TWoE QET2nN/vmqjZi6642y0JI2v6HoZySsrYEdwGeLl/KyG8h1mcfhq5aIhgsENBKAgRdJI4KtFKnCCQ wk0COcahM6hHJvTmsk9QnzV26wJ5NGWUUFmuur6hE9Ir1PHJmNpWgyuAqOZ4T6/lD4XzQK/cZmjZ RW9rcctT4ZtzMQwfHzTeaCrVku6pq2wlbOZKNEt8/hT6+HTkk+thfXlpxxsOQcvQQoI9HpJTrVLB Yx1Qh7quBTUyht+QZWwU2YLdRxaopNN4ZanX9axGwN0TbnKWVhgIz7VReTH3rm+K9B6l4F6Tmn+M HnXgiz5BxZ65+dLMnzR4j2Fh5LXhPpQubUTwD5p+LUHvZU9WBrKrOys3yU8K0i3ZdTXnkCJBEpbx Y3Et8TjqZZ/FwU7L5sYv8s3UMLeFByV8s03RIoOtRAjXBPOyYBmRQkfxJchVcFBcITZ63BRRFBdp X5k49hHTOeD1GKW2zPlT3EFo60buIfv7ukScE/o9rtFFiexm7TAxNFgvlqxnrfmxrp5zq5TQVorh SpE/LFiyExgoZP/JehJTjPpq+l1bOcA46uhDevtH7n/urbPokFVlmZf8F2bYJpZYLV0dBuZ1iECg TuI+DnS0XZFt6VvCoHnsMJod17PBGPBRhRyrFC8KoS13Apb73XFLxcJITJSjvLQHe7qfHS0xynWT 4PsDgvGsuVQiYCTcHwDxkq4UvY/nxAg7H46Q5PNtw3i+KV5Ryqd4+fJHtEQTnsHfESmI1xN/iSle h6yQtjPq/DjdsZfmZ5N5KTwb25U5dl0cTosn13HEXJFKXHsACmaz0AAn9TXhxi6lJWYI/In1Cv83 DegQtUpMxceS0ynpLaE7XITZ8AdBYHFagX7uRahwU3lnNotHKvb2VKH8LPjBo9CNQkfyIYZisUr5 rPWf3IjoNL0/vQs6ec8as4aDRms+3uWjR95VMaRLy7ftIkdgicwMjJX8+C3M4Vycbi9FNtCe0b/h WUW8pVWHKHvH4E6BUsgjZaZfEMRsGT9WDGh22zmAtNuIAUE1oiD2jlkEWxefUiXEqXZBbrtomK4f CL9yIlhlfOO9jBnYNmncuJOARyyJ4MY78yAVOQCSadw+MG4rKYWTyJudJLUkbsnfUAsaEfJgHAAM CHQcNGwihh2R3YQKwnWUHgMYmhFTBJOH47tKhINN/bJeCYhAur6QVVq60fLWeKI/mzs5Yel4d2lD Cx5HotHOLPVbl0WSrbehWbx+YTB3Uf3Aq5m2T5lXf782sL5tPk/YR+9c7zJ18PXq6HyZ1QQHl49R 778HhEpFrOmP1BbPG/L4IIJeZ06O9b3oVSMKM3uThPtUr5nzQYcLy65Wezqvy+2eRJrHhUI5UUOz jrkkpfShYS0jpu8vfVFekWjU7XOq8Ccx5wYh1BHkLRiHDH1uTFLtIB/V57DaKRcFeYUvYu47BwLN mnC8orUpiqWBrncpX41CaWv0chbaoWazERIwyRxhwS9p8uAs93mjGecKB49aJYgWQO6cE2BR3+0w jjUF9cdECuW3+NeGtR3tilX4mGVLFjmNEyj0tNCMwVvewZcnxbEEYRolNV8iegYLOJSnPu/0JGgK ARUmy7x3GfyvN5YZBmqwaBrYoK2W8zLr/zL8HDbh953UxpDrBTnjcWZ1zhzkqzO1XzrHYJAMO3Li KaE5C08YJ7z0uk2SzBN0PB0fdjFtu6qIbNYqeL57hmPzX0jRtyDhUoh/NaHwW576fu706DmnX0NT H0CdFsdUl3zpAed/YyZ0FFmz2XsGJQSvR2/4Oc8dDJqjgP0KCfDkXiyL26Pvh5wW4DpIuoi27TN6 fazKjic9FvSe5PLwTGNu2+IzT9I5/WJNBoiT624jEzT4CK6pr9EuHb885uv4wJnXvp6++XpVUbh7 vsiInbpWwUBCSs/zcna9QfE3GbahEwGrcuFsg8l72ClVhyf/Qu7OxAXiSqWQDoyPKcONncSFHW9f wr/ICwQRp65Q6I+wiCt/wsaSkVRYgBOug3N55+UC/oGb9oqflO1Z+IyeS17RYH1F0MDtHyiP2O9O O/P7TYtcsLL978/qDZMbKcjY9/jVjfPddvkmRTyYgetdd+41EcXEitIVWIT61/gbrJGnEDhbjn3N bnedBLcPNLLE4DSrc9EhoSlH1Z8zpgqrml7BfunvVeoGzVAGehHFNfySN5t2TAEA08/hflvmNN5T z9U3ihg/8f7Ktpb8MSqZyu5JdPzYhWCXaWxhLj/7n5GNRsycPH8JJ3xS43/uE+k/ciQvRbC7KynD +ok+sN0Q+5W4kd3H/EL/FCwy1EFuTvfx/u2RICOQt3+SAhjAGfcJCU0cTkTokI4fG2vwRGB8byz1 mz2LFtVkIcTKTe1ain4gFb5dQ9nkdEWwinljxInd6/+SgbPcJk0Nohjdpopriq9HcTszctzh0A/V +Bbjkbigy10QoVoFdr9mth/VHGqoQRjm8l99Qg037A7ob1QLTM6h0LRhKBUnjisYn8jBJZ5CJIAF 0FyjZqNreY8PD6ePafXocvglHWCerPDwXaiQ0ZSksPElORWDUxuuvEDgcVmihOMumRX5HTYHB0lP /8BVKjS9GQgV+WsyTPSrAVXurmpMASjbw/tk1849VTBTqwMrX5EujIe9syN4doBmS8XS+eX5ej1e jAujcsD3WmMdOAtAz8J+stg0GuOiuIud7Wqdz3kdACG57rMqfUtlctDIdDCNZ9its4kkPn30/jv9 eZugtJwrod4c02hdJJAFS9SPy37/Gou5pfrIlzfQhuMuRA9DCSbPawZ+vDKQGb6U7mCMkCE/KEig JR1ZWi64EW0SgQkyo+VN5bRCMGHxYy501buNZZAiiwb3jXk5sFdQzSefqM24eCucu7mI0mB5+TKj s2Zlh3E6lxxO9ZJ/NIczylaWPDD2y3eFuHX3pFk/kjD98ouEql6TtcmNwpYk/2evJw8tD+KGEsJk AYW1XfMuryNQ+GJdmxiJLWEJrD4+zYIdkQmedK85MLVLJR/idGBMbrB4RUxPxO3n3U+MSTKnG0bU dwhQ3S3KSLjp65IkSsu4EZpXmQlVh/WzWpKcOb4/S9BNcw99ERvLtkiOZqnIQogori1MHGMawSrk ZZABYS9KPBdzyhw3U0WV1GbJupzJ7Vaqegp2lF91JfJiRAWCPxcmpe1Y7hG70ZISL2z8CoAPI8rU uD+FSzgL2g5B9cD6eqo8tePbxYmFzHgaLTiJWtul8HKgjT9eC9Q8Wv9jsiNk7WruGWOxxMA4/+Co r383jn5LpQweuKAPrw/m5q49jKtTHLTrzvMdwyA9aQ== `protect end_protected
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; use ieee.math_real.all; entity inter_node is generic( size_x : integer := 9;--12; --20 ; --12; --20 --20 size_y : integer := 9;--12; --20 ; --12; --20 --20 interp_x : integer := 4;--8; --4 ; --8; --2 --4 interp_y : integer := 4;--2; --4 ; --1; --2 --4 sample_size : integer := 8;--8; --8 ; --8; samples_per_wr : integer := 8;--16; --16 ; --8; --4 --16 data_width : integer := 64;--128;--128 ; --64; --32 --128 addr_width : integer := 4;--1; --1 ; --1; vc_sel_width : integer := 1;--1; --1 ; --1; num_vc : integer := 2;--2; --2 ; --2; flit_buff_depth : integer := 8--8 --8 --8 ); port( clk : in std_logic; rst : in std_logic; -- recv interface to network recv_data : in std_logic_vector(data_width-1 downto 0); src_addr : in std_logic_vector(addr_width-1 downto 0); is_tail_flit : in std_logic; data_in_buffer : in std_logic_vector(num_vc-1 downto 0); dequeue : out std_logic_vector(num_vc-1 downto 0); select_vc_read : out std_logic_vector(vc_sel_width-1 downto 0); -- send interface to network send_data : out std_logic_vector(data_width-1 downto 0); dest_addr : out std_logic_vector(addr_width-1 downto 0); set_tail_flit : out std_logic; send_flit : out std_logic; ready_to_send : in std_logic--; ----debug --state_out : out std_logic_vector(7 downto 0); --counter : out std_logic_vector(7 downto 0); --rd_addr_x_out : out std_logic_vector(7 downto 0); --rd_addr_y_out : out std_logic_vector(7 downto 0); --height_out : out std_logic_vector(7 downto 0); --wr_addr_x_out : out std_logic_vector(7 downto 0); --wr_addr_y_out : out std_logic_vector(7 downto 0); --interp_input : out std_logic_vector(9*7*8-1 downto 0) ); end entity inter_node; architecture fsmd of inter_node is --------------------------------------------------------------------------- -- Constants -------------------------------------------------------------- --------------------------------------------------------------------------- --------------------------------------------------------------------------- -- Components ------------------------------------------------------------- --------------------------------------------------------------------------- component inter_core is generic( x_len : integer := 4; y_len : integer := 4; sample_size : integer := 8 ); port( samples : in std_logic_vector((x_len+5)*(y_len+5)*sample_size-1 downto 0); sel : in std_logic_vector(7 downto 0); result : out std_logic_vector(x_len*y_len*sample_size-1 downto 0) ); end component inter_core; component inter_core_reg_file is generic( size_x : integer := 20; size_y : integer := 20; interp_x : integer := 1; interp_y : integer := 1; sample_size : integer := 4; samples_per_wr : integer := 1 ); port( clk : in std_logic; rst : in std_logic; --read interface rd_addr_x : in std_logic_vector(7 downto 0); rd_addr_y : in std_logic_vector(7 downto 0); rd_samples : out std_logic_vector((interp_x+5)*(interp_y+5)*sample_size-1 downto 0); --write interface wr_addr_x : in std_logic_vector(7 downto 0); wr_addr_y : in std_logic_vector(7 downto 0); wr_enable : in std_logic; wr_samples : in std_logic_vector(samples_per_wr*sample_size-1 downto 0) ); end component inter_core_reg_file; component priority_encoder is generic( encoded_word_size : integer := 3 ); Port( input : in std_logic_vector(2**encoded_word_size-1 downto 0); output : out std_logic_vector(encoded_word_size-1 downto 0) ); end component priority_encoder; --------------------------------------------------------------------------- -- Types ------------------------------------------------------------------ --------------------------------------------------------------------------- type inter_states is (idle, sel_vc, init_rx, rx, rx_dequeue, wait_rx, init_tx, gen_resp, wait_tx, tx); --------------------------------------------------------------------------- -- Signals ---------------------------------------------------------------- --------------------------------------------------------------------------- --component interfaces signal rd_addr_x : std_logic_vector(7 downto 0); signal rd_addr_y : std_logic_vector(7 downto 0); signal rd_samples : std_logic_vector((interp_x+5)*(interp_y+5)*sample_size-1 downto 0); signal wr_addr_x : std_logic_vector(7 downto 0); signal wr_addr_y : std_logic_vector(7 downto 0); signal wr_enable : std_logic; signal wr_samples : std_logic_vector(samples_per_wr*sample_size-1 downto 0); signal sel : std_logic_vector(7 downto 0); signal result : std_logic_vector(interp_x*interp_y*sample_size-1 downto 0); -- FSMD registers and signals signal interp_mode_d : std_logic_vector(7 downto 0); signal interp_mode_q : std_logic_vector(7 downto 0); signal cmd_width_d : std_logic_vector(7 downto 0); signal cmd_width_q : std_logic_vector(7 downto 0); signal cmd_height_d : std_logic_vector(7 downto 0); signal cmd_height_q : std_logic_vector(7 downto 0); signal part_width_d : std_logic_vector(7 downto 0); signal part_width_q : std_logic_vector(7 downto 0); signal part_height_d : std_logic_vector(7 downto 0); signal part_height_q : std_logic_vector(7 downto 0); signal ref_num_d : std_logic_vector(31 downto 0); signal ref_num_q : std_logic_vector(31 downto 0); signal counter_d : unsigned(7 downto 0); signal counter_q : unsigned(7 downto 0); signal tx_max_count : unsigned(7 downto 0); signal selected_vc_encoder : std_logic_vector(vc_sel_width-1 downto 0); signal selected_vc_d : std_logic_vector(vc_sel_width-1 downto 0); signal selected_vc_q : std_logic_vector(vc_sel_width-1 downto 0); signal selected_vc_one_hot : std_logic_vector(num_vc-1 downto 0); signal wr_addr_x_int : integer; signal wr_addr_y_int : integer; signal rd_addr_x_int : integer; signal rd_addr_y_int : integer; -- state machine signals signal state : inter_states; signal next_state : inter_states; begin --------------------------------------------------------------------------- -- Datapath --------------------------------------------------------------- --------------------------------------------------------------------------- u0: component inter_core generic map( x_len => interp_x, y_len => interp_y, sample_size => sample_size ) port map( samples => rd_samples, sel => sel, result => result ); u1: component inter_core_reg_file generic map( size_x => size_x, size_y => size_y, interp_x => interp_x, interp_y => interp_y, sample_size => sample_size, samples_per_wr => samples_per_wr ) port map( clk => clk, rst => rst, rd_addr_x => rd_addr_x, rd_addr_y => rd_addr_y, rd_samples => rd_samples, wr_addr_x => wr_addr_x, wr_addr_y => wr_addr_y, wr_enable => wr_enable, wr_samples => wr_samples ); u2: component priority_encoder generic map( encoded_word_size => vc_sel_width ) Port map( input => data_in_buffer, output => selected_vc_encoder ); --datapath registers process(clk, rst) begin if rst = '1' then interp_mode_q <= (others => '0'); cmd_width_q <= (others => '0'); cmd_height_q <= (others => '0'); part_width_q <= (others => '0'); part_height_q <= (others => '0'); ref_num_q <= (others => '0'); counter_q <= (others => '0'); selected_vc_q <= (others => '0'); elsif rising_edge(clk) then interp_mode_q <= interp_mode_d; cmd_width_q <= cmd_width_d; cmd_height_q <= cmd_height_d; part_width_q <= part_width_d; part_height_q <= part_height_d; ref_num_q <= ref_num_d; counter_q <= counter_d; selected_vc_q <= selected_vc_d; end if; end process; --Parser logic ref_num_d <= recv_data(31 downto 0 ) when state = rx and counter_q = x"00" else ref_num_q; interp_mode_d <= recv_data(7 downto 0 ) when state = rx and counter_q = x"01" else interp_mode_q; --cmd_width_d <= recv_data(15 downto 8 ) when state = rx and counter_q = x"01" else cmd_width_q; --cmd_height_d <= recv_data(24 downto 16 ) when state = rx and counter_q = x"01" else cmd_height_q; part_width_d <= recv_data(15 downto 8) when state = rx and counter_q = x"01" else part_width_q; part_height_d <= recv_data(23 downto 16) when state = rx and counter_q = x"01" else part_height_q; sel <= interp_mode_q; wr_samples <= recv_data(sample_size*samples_per_wr-1 downto 0); selected_vc_one_hot <= "10" when selected_vc_d = "1" else "01"; --todo make generic --calculate buffer write addresses -- for now assume all 20x20 samples are sent every time -- TODO: this is an oppurtunity for speed improvement latter on -- time permitting... -- this will require the use of the width/heigth inputs wr_addr_x_int <= to_integer(unsigned(counter_q - to_unsigned(2, 8))) mod (size_x/samples_per_wr); wr_addr_y_int <= to_integer(unsigned(counter_q - to_unsigned(2, 8))) / (size_x/samples_per_wr); wr_addr_x <= std_logic_vector(to_unsigned(wr_addr_x_int, 8)); wr_addr_y <= std_logic_vector(to_unsigned(wr_addr_y_int, 8)); --buffer controls wr_enable <= '1' when state = rx and counter_q >= to_unsigned(2, 8) else '0'; --counter update counter_d <= counter_q + to_unsigned(1, 8) when state = rx_dequeue or state = gen_resp else to_unsigned(0, 8) when state = init_tx or state = sel_vc else counter_q; --select virtual channel selected_vc_d <= selected_vc_encoder when state = sel_vc else selected_vc_q; --packet generation logic assert interp_x*interp_y*sample_size = data_width report "inter_prediction_node: interpolation-block/flit-size mismatch" severity error; send_data <= X"00000000" & ref_num_q when counter_q = x"01" else result; rd_addr_x_int <= 2; -- todo: this really shouldn't be a constant, some parameters will not work... rd_addr_x <= std_logic_vector(to_unsigned(rd_addr_x_int, 8)); rd_addr_y_int <= (to_integer(unsigned(counter_q)) - 2)*2 + 2; rd_addr_y <= std_logic_vector(to_unsigned(rd_addr_y_int, 8)); tx_max_count <= unsigned(part_height_q) + to_unsigned(1, 8); --output logic (Noc control stuff) dequeue <= selected_vc_one_hot when state = rx_dequeue else (others => '0'); select_vc_read <= selected_vc_q; dest_addr <= std_logic_vector(to_unsigned(7, addr_width)); set_tail_flit <= '1' when (state = tx or state = wait_tx) and counter_q >= tx_max_count else '0'; send_flit <= '1' when state = tx else '0'; --------------------------------------------------------------------------- -- State Machine ---------------------------------------------------------- --------------------------------------------------------------------------- process(clk, rst) begin if rst = '1' then state <= idle; elsif rising_edge(clk) then state <= next_state; end if; end process; process(state, data_in_buffer, is_tail_flit, selected_vc_one_hot, ready_to_send, counter_q, tx_max_count) begin --default next_state <= state; if state = idle and or_reduce(data_in_buffer) = '1' then next_state <= sel_vc; end if; if state = sel_vc then next_state <= init_rx; end if; if state = init_rx then next_state <= rx; end if; if state = rx then next_state <= rx_dequeue; end if; if state = rx_dequeue and is_tail_flit = '0' then next_state <= wait_rx; end if; if state = rx_dequeue and is_tail_flit = '1' then next_state <= init_tx; end if; if state = wait_rx and or_reduce(selected_vc_one_hot and data_in_buffer) = '1' then next_state <= rx; end if; if state = init_tx then next_state <= gen_resp; end if; if state = gen_resp then next_state <= wait_tx; end if; if state = wait_tx and ready_to_send = '1' then next_state <= tx; end if; if state = tx and counter_q < tx_max_count then next_state <= gen_resp; end if; if state = tx and counter_q >= tx_max_count then next_state <= idle; end if; end process; --debug --state_out <= x"00" when state = idle else -- x"01" when state = sel_vc else -- x"02" when state = init_rx else -- x"03" when state = rx else -- x"04" when state = rx_dequeue else -- x"05" when state = wait_rx else -- x"06" when state = init_tx else -- x"07" when state = gen_resp else -- x"08" when state = wait_tx else -- x"09" when state = tx else -- x"FF"; --counter <= std_logic_vector(counter_q); --rd_addr_x_out <= rd_addr_x; --rd_addr_y_out <= rd_addr_y; --height_out <= part_height_q; --wr_addr_x_out <= wr_addr_x; --wr_addr_y_out <= wr_addr_y; --interp_input <= rd_samples; end architecture fsmd;
-- ------------------------------------------------------------- -- -- File Name: hdl_prj/hdlsrc/hdl_ofdm_tx/RADIX22FFT_SDNF1_3_block3.vhd -- Created: 2018-02-27 13:25:18 -- -- Generated by MATLAB 9.3 and HDL Coder 3.11 -- -- ------------------------------------------------------------- -- ------------------------------------------------------------- -- -- Module: RADIX22FFT_SDNF1_3_block3 -- Source Path: hdl_ofdm_tx/ifft/RADIX22FFT_SDNF1_3 -- Hierarchy Level: 2 -- -- ------------------------------------------------------------- LIBRARY IEEE; USE IEEE.std_logic_1164.ALL; USE IEEE.numeric_std.ALL; USE work.hdl_ofdm_tx_pkg.ALL; ENTITY RADIX22FFT_SDNF1_3_block3 IS PORT( clk : IN std_logic; reset : IN std_logic; enb_1_16_0 : IN std_logic; twdlXdin_9_re : IN std_logic_vector(18 DOWNTO 0); -- sfix19_En13 twdlXdin_9_im : IN std_logic_vector(18 DOWNTO 0); -- sfix19_En13 twdlXdin_13_re : IN std_logic_vector(18 DOWNTO 0); -- sfix19_En13 twdlXdin_13_im : IN std_logic_vector(18 DOWNTO 0); -- sfix19_En13 twdlXdin_1_vld : IN std_logic; softReset : IN std_logic; dout_9_re : OUT std_logic_vector(18 DOWNTO 0); -- sfix19_En13 dout_9_im : OUT std_logic_vector(18 DOWNTO 0); -- sfix19_En13 dout_10_re : OUT std_logic_vector(18 DOWNTO 0); -- sfix19_En13 dout_10_im : OUT std_logic_vector(18 DOWNTO 0); -- sfix19_En13 dout_9_vld : OUT std_logic ); END RADIX22FFT_SDNF1_3_block3; ARCHITECTURE rtl OF RADIX22FFT_SDNF1_3_block3 IS -- Signals SIGNAL twdlXdin_9_re_signed : signed(18 DOWNTO 0); -- sfix19_En13 SIGNAL twdlXdin_9_im_signed : signed(18 DOWNTO 0); -- sfix19_En13 SIGNAL twdlXdin_13_re_signed : signed(18 DOWNTO 0); -- sfix19_En13 SIGNAL twdlXdin_13_im_signed : signed(18 DOWNTO 0); -- sfix19_En13 SIGNAL Radix22ButterflyG1_NF_btf1_re_reg : signed(19 DOWNTO 0); -- sfix20 SIGNAL Radix22ButterflyG1_NF_btf1_im_reg : signed(19 DOWNTO 0); -- sfix20 SIGNAL Radix22ButterflyG1_NF_btf2_re_reg : signed(19 DOWNTO 0); -- sfix20 SIGNAL Radix22ButterflyG1_NF_btf2_im_reg : signed(19 DOWNTO 0); -- sfix20 SIGNAL Radix22ButterflyG1_NF_dinXtwdl_vld_dly1 : std_logic; SIGNAL Radix22ButterflyG1_NF_btf1_re_reg_next : signed(19 DOWNTO 0); -- sfix20_En13 SIGNAL Radix22ButterflyG1_NF_btf1_im_reg_next : signed(19 DOWNTO 0); -- sfix20_En13 SIGNAL Radix22ButterflyG1_NF_btf2_re_reg_next : signed(19 DOWNTO 0); -- sfix20_En13 SIGNAL Radix22ButterflyG1_NF_btf2_im_reg_next : signed(19 DOWNTO 0); -- sfix20_En13 SIGNAL Radix22ButterflyG1_NF_dinXtwdl_vld_dly1_next : std_logic; SIGNAL dout_9_re_tmp : signed(18 DOWNTO 0); -- sfix19_En13 SIGNAL dout_9_im_tmp : signed(18 DOWNTO 0); -- sfix19_En13 SIGNAL dout_10_re_tmp : signed(18 DOWNTO 0); -- sfix19_En13 SIGNAL dout_10_im_tmp : signed(18 DOWNTO 0); -- sfix19_En13 BEGIN twdlXdin_9_re_signed <= signed(twdlXdin_9_re); twdlXdin_9_im_signed <= signed(twdlXdin_9_im); twdlXdin_13_re_signed <= signed(twdlXdin_13_re); twdlXdin_13_im_signed <= signed(twdlXdin_13_im); -- Radix22ButterflyG1_NF Radix22ButterflyG1_NF_process : PROCESS (clk, reset) BEGIN IF reset = '1' THEN Radix22ButterflyG1_NF_btf1_re_reg <= to_signed(16#00000#, 20); Radix22ButterflyG1_NF_btf1_im_reg <= to_signed(16#00000#, 20); Radix22ButterflyG1_NF_btf2_re_reg <= to_signed(16#00000#, 20); Radix22ButterflyG1_NF_btf2_im_reg <= to_signed(16#00000#, 20); Radix22ButterflyG1_NF_dinXtwdl_vld_dly1 <= '0'; ELSIF clk'EVENT AND clk = '1' THEN IF enb_1_16_0 = '1' THEN Radix22ButterflyG1_NF_btf1_re_reg <= Radix22ButterflyG1_NF_btf1_re_reg_next; Radix22ButterflyG1_NF_btf1_im_reg <= Radix22ButterflyG1_NF_btf1_im_reg_next; Radix22ButterflyG1_NF_btf2_re_reg <= Radix22ButterflyG1_NF_btf2_re_reg_next; Radix22ButterflyG1_NF_btf2_im_reg <= Radix22ButterflyG1_NF_btf2_im_reg_next; Radix22ButterflyG1_NF_dinXtwdl_vld_dly1 <= Radix22ButterflyG1_NF_dinXtwdl_vld_dly1_next; END IF; END IF; END PROCESS Radix22ButterflyG1_NF_process; Radix22ButterflyG1_NF_output : PROCESS (Radix22ButterflyG1_NF_btf1_re_reg, Radix22ButterflyG1_NF_btf1_im_reg, Radix22ButterflyG1_NF_btf2_re_reg, Radix22ButterflyG1_NF_btf2_im_reg, Radix22ButterflyG1_NF_dinXtwdl_vld_dly1, twdlXdin_9_re_signed, twdlXdin_9_im_signed, twdlXdin_13_re_signed, twdlXdin_13_im_signed, twdlXdin_1_vld) VARIABLE add_cast : signed(19 DOWNTO 0); VARIABLE add_cast_0 : signed(19 DOWNTO 0); VARIABLE sub_cast : signed(19 DOWNTO 0); VARIABLE sub_cast_0 : signed(19 DOWNTO 0); VARIABLE add_cast_1 : signed(19 DOWNTO 0); VARIABLE add_cast_2 : signed(19 DOWNTO 0); VARIABLE sub_cast_1 : signed(19 DOWNTO 0); VARIABLE sub_cast_2 : signed(19 DOWNTO 0); BEGIN Radix22ButterflyG1_NF_btf1_re_reg_next <= Radix22ButterflyG1_NF_btf1_re_reg; Radix22ButterflyG1_NF_btf1_im_reg_next <= Radix22ButterflyG1_NF_btf1_im_reg; Radix22ButterflyG1_NF_btf2_re_reg_next <= Radix22ButterflyG1_NF_btf2_re_reg; Radix22ButterflyG1_NF_btf2_im_reg_next <= Radix22ButterflyG1_NF_btf2_im_reg; Radix22ButterflyG1_NF_dinXtwdl_vld_dly1_next <= twdlXdin_1_vld; IF twdlXdin_1_vld = '1' THEN add_cast := resize(twdlXdin_9_re_signed, 20); add_cast_0 := resize(twdlXdin_13_re_signed, 20); Radix22ButterflyG1_NF_btf1_re_reg_next <= add_cast + add_cast_0; sub_cast := resize(twdlXdin_9_re_signed, 20); sub_cast_0 := resize(twdlXdin_13_re_signed, 20); Radix22ButterflyG1_NF_btf2_re_reg_next <= sub_cast - sub_cast_0; add_cast_1 := resize(twdlXdin_9_im_signed, 20); add_cast_2 := resize(twdlXdin_13_im_signed, 20); Radix22ButterflyG1_NF_btf1_im_reg_next <= add_cast_1 + add_cast_2; sub_cast_1 := resize(twdlXdin_9_im_signed, 20); sub_cast_2 := resize(twdlXdin_13_im_signed, 20); Radix22ButterflyG1_NF_btf2_im_reg_next <= sub_cast_1 - sub_cast_2; END IF; dout_9_re_tmp <= Radix22ButterflyG1_NF_btf1_re_reg(18 DOWNTO 0); dout_9_im_tmp <= Radix22ButterflyG1_NF_btf1_im_reg(18 DOWNTO 0); dout_10_re_tmp <= Radix22ButterflyG1_NF_btf2_re_reg(18 DOWNTO 0); dout_10_im_tmp <= Radix22ButterflyG1_NF_btf2_im_reg(18 DOWNTO 0); dout_9_vld <= Radix22ButterflyG1_NF_dinXtwdl_vld_dly1; END PROCESS Radix22ButterflyG1_NF_output; dout_9_re <= std_logic_vector(dout_9_re_tmp); dout_9_im <= std_logic_vector(dout_9_im_tmp); dout_10_re <= std_logic_vector(dout_10_re_tmp); dout_10_im <= std_logic_vector(dout_10_im_tmp); END rtl;
---------------------------------------------------------------------------------- -- Company: -- Engineer: Niklas Aldén -- -- Create Date: 14:02:44 09/16/2014 -- Design Name: -- Module Name: pre_process - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity pre_process is Port ( i_data : in STD_LOGIC_VECTOR (47 downto 0); i_length : in STD_LOGIC_VECTOR (2 downto 0); o_data_0 : out unsigned (31 downto 0); o_data_1 : out unsigned (31 downto 0); o_length : out STD_LOGIC_VECTOR (7 downto 0) ); end pre_process; architecture Behavioral of pre_process is begin data_path : process(i_length, i_data) begin if i_length = "001" then --1 o_data_0 <= x"000080" & unsigned(i_data(7 downto 0)); o_data_1 <= (others => '0'); o_length <= x"08"; elsif i_length = "010" then --2 o_data_0 <= x"0080" & unsigned(i_data(15 downto 0)); o_data_1 <= (others => '0'); o_length <= x"10"; elsif i_length = "011" then --3 o_data_0 <= x"80" & unsigned(i_data(23 downto 0)); o_data_1 <= (others => '0'); o_length <= x"18"; elsif i_length = "100" then --4 o_data_0 <= unsigned(i_data(31 downto 0)); o_data_1 <= x"00000080"; o_length <= x"20"; elsif i_length = "101" then --5 o_data_0 <= unsigned(i_data(31 downto 0)); o_data_1 <= x"000080" & unsigned(i_data(39 downto 32)); o_length <= x"28"; elsif i_length = "110" then --6 o_data_0 <= unsigned(i_data(31 downto 0)); o_data_1 <= x"0080" & unsigned(i_data(47 downto 32)); o_length <= x"30"; else --0 o_data_0 <= x"00000080"; o_data_1 <= (others => '0'); o_length <= x"00"; end if; end process; end Behavioral;
-- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:axi_dma:7.1 -- IP Revision: 8 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY axi_dma_v7_1_8; USE axi_dma_v7_1_8.axi_dma; ENTITY design_TEST_axi_dma_1_0 IS PORT ( s_axi_lite_aclk : IN STD_LOGIC; m_axi_s2mm_aclk : IN STD_LOGIC; axi_resetn : IN STD_LOGIC; s_axi_lite_awvalid : IN STD_LOGIC; s_axi_lite_awready : OUT STD_LOGIC; s_axi_lite_awaddr : IN STD_LOGIC_VECTOR(9 DOWNTO 0); s_axi_lite_wvalid : IN STD_LOGIC; s_axi_lite_wready : OUT STD_LOGIC; s_axi_lite_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_lite_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_lite_bvalid : OUT STD_LOGIC; s_axi_lite_bready : IN STD_LOGIC; s_axi_lite_arvalid : IN STD_LOGIC; s_axi_lite_arready : OUT STD_LOGIC; s_axi_lite_araddr : IN STD_LOGIC_VECTOR(9 DOWNTO 0); s_axi_lite_rvalid : OUT STD_LOGIC; s_axi_lite_rready : IN STD_LOGIC; s_axi_lite_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_lite_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_s2mm_awaddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_s2mm_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_s2mm_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_s2mm_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_s2mm_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_s2mm_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_s2mm_awvalid : OUT STD_LOGIC; m_axi_s2mm_awready : IN STD_LOGIC; m_axi_s2mm_wdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_s2mm_wstrb : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_s2mm_wlast : OUT STD_LOGIC; m_axi_s2mm_wvalid : OUT STD_LOGIC; m_axi_s2mm_wready : IN STD_LOGIC; m_axi_s2mm_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_s2mm_bvalid : IN STD_LOGIC; m_axi_s2mm_bready : OUT STD_LOGIC; s2mm_prmry_reset_out_n : OUT STD_LOGIC; s_axis_s2mm_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_s2mm_tkeep : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axis_s2mm_tvalid : IN STD_LOGIC; s_axis_s2mm_tready : OUT STD_LOGIC; s_axis_s2mm_tlast : IN STD_LOGIC; s2mm_introut : OUT STD_LOGIC; axi_dma_tstvec : OUT STD_LOGIC_VECTOR(31 DOWNTO 0) ); END design_TEST_axi_dma_1_0; ARCHITECTURE design_TEST_axi_dma_1_0_arch OF design_TEST_axi_dma_1_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF design_TEST_axi_dma_1_0_arch: ARCHITECTURE IS "yes"; COMPONENT axi_dma IS GENERIC ( C_S_AXI_LITE_ADDR_WIDTH : INTEGER; C_S_AXI_LITE_DATA_WIDTH : INTEGER; C_DLYTMR_RESOLUTION : INTEGER; C_PRMRY_IS_ACLK_ASYNC : INTEGER; C_ENABLE_MULTI_CHANNEL : INTEGER; C_NUM_MM2S_CHANNELS : INTEGER; C_NUM_S2MM_CHANNELS : INTEGER; C_INCLUDE_SG : INTEGER; C_SG_INCLUDE_STSCNTRL_STRM : INTEGER; C_SG_USE_STSAPP_LENGTH : INTEGER; C_SG_LENGTH_WIDTH : INTEGER; C_M_AXI_SG_ADDR_WIDTH : INTEGER; C_M_AXI_SG_DATA_WIDTH : INTEGER; C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH : INTEGER; C_S_AXIS_S2MM_STS_TDATA_WIDTH : INTEGER; C_MICRO_DMA : INTEGER; C_INCLUDE_MM2S : INTEGER; C_INCLUDE_MM2S_SF : INTEGER; C_MM2S_BURST_SIZE : INTEGER; C_M_AXI_MM2S_ADDR_WIDTH : INTEGER; C_M_AXI_MM2S_DATA_WIDTH : INTEGER; C_M_AXIS_MM2S_TDATA_WIDTH : INTEGER; C_INCLUDE_MM2S_DRE : INTEGER; C_INCLUDE_S2MM : INTEGER; C_INCLUDE_S2MM_SF : INTEGER; C_S2MM_BURST_SIZE : INTEGER; C_M_AXI_S2MM_ADDR_WIDTH : INTEGER; C_M_AXI_S2MM_DATA_WIDTH : INTEGER; C_S_AXIS_S2MM_TDATA_WIDTH : INTEGER; C_INCLUDE_S2MM_DRE : INTEGER; C_FAMILY : STRING ); PORT ( s_axi_lite_aclk : IN STD_LOGIC; m_axi_sg_aclk : IN STD_LOGIC; m_axi_mm2s_aclk : IN STD_LOGIC; m_axi_s2mm_aclk : IN STD_LOGIC; axi_resetn : IN STD_LOGIC; s_axi_lite_awvalid : IN STD_LOGIC; s_axi_lite_awready : OUT STD_LOGIC; s_axi_lite_awaddr : IN STD_LOGIC_VECTOR(9 DOWNTO 0); s_axi_lite_wvalid : IN STD_LOGIC; s_axi_lite_wready : OUT STD_LOGIC; s_axi_lite_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_lite_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_lite_bvalid : OUT STD_LOGIC; s_axi_lite_bready : IN STD_LOGIC; s_axi_lite_arvalid : IN STD_LOGIC; s_axi_lite_arready : OUT STD_LOGIC; s_axi_lite_araddr : IN STD_LOGIC_VECTOR(9 DOWNTO 0); s_axi_lite_rvalid : OUT STD_LOGIC; s_axi_lite_rready : IN STD_LOGIC; s_axi_lite_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_lite_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_sg_awaddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_sg_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_sg_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_sg_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_sg_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_sg_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_sg_awuser : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_sg_awvalid : OUT STD_LOGIC; m_axi_sg_awready : IN STD_LOGIC; m_axi_sg_wdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_sg_wstrb : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_sg_wlast : OUT STD_LOGIC; m_axi_sg_wvalid : OUT STD_LOGIC; m_axi_sg_wready : IN STD_LOGIC; m_axi_sg_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_sg_bvalid : IN STD_LOGIC; m_axi_sg_bready : OUT STD_LOGIC; m_axi_sg_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_sg_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_sg_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_sg_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_sg_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_sg_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_sg_aruser : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_sg_arvalid : OUT STD_LOGIC; m_axi_sg_arready : IN STD_LOGIC; m_axi_sg_rdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_sg_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_sg_rlast : IN STD_LOGIC; m_axi_sg_rvalid : IN STD_LOGIC; m_axi_sg_rready : OUT STD_LOGIC; m_axi_mm2s_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_mm2s_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_mm2s_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_mm2s_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_mm2s_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_mm2s_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_mm2s_aruser : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_mm2s_arvalid : OUT STD_LOGIC; m_axi_mm2s_arready : IN STD_LOGIC; m_axi_mm2s_rdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_mm2s_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_mm2s_rlast : IN STD_LOGIC; m_axi_mm2s_rvalid : IN STD_LOGIC; m_axi_mm2s_rready : OUT STD_LOGIC; mm2s_prmry_reset_out_n : OUT STD_LOGIC; m_axis_mm2s_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axis_mm2s_tkeep : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axis_mm2s_tvalid : OUT STD_LOGIC; m_axis_mm2s_tready : IN STD_LOGIC; m_axis_mm2s_tlast : OUT STD_LOGIC; m_axis_mm2s_tuser : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axis_mm2s_tid : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); m_axis_mm2s_tdest : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); mm2s_cntrl_reset_out_n : OUT STD_LOGIC; m_axis_mm2s_cntrl_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axis_mm2s_cntrl_tkeep : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axis_mm2s_cntrl_tvalid : OUT STD_LOGIC; m_axis_mm2s_cntrl_tready : IN STD_LOGIC; m_axis_mm2s_cntrl_tlast : OUT STD_LOGIC; m_axi_s2mm_awaddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_s2mm_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_s2mm_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_s2mm_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_s2mm_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_s2mm_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_s2mm_awuser : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_s2mm_awvalid : OUT STD_LOGIC; m_axi_s2mm_awready : IN STD_LOGIC; m_axi_s2mm_wdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_s2mm_wstrb : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_s2mm_wlast : OUT STD_LOGIC; m_axi_s2mm_wvalid : OUT STD_LOGIC; m_axi_s2mm_wready : IN STD_LOGIC; m_axi_s2mm_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_s2mm_bvalid : IN STD_LOGIC; m_axi_s2mm_bready : OUT STD_LOGIC; s2mm_prmry_reset_out_n : OUT STD_LOGIC; s_axis_s2mm_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_s2mm_tkeep : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axis_s2mm_tvalid : IN STD_LOGIC; s_axis_s2mm_tready : OUT STD_LOGIC; s_axis_s2mm_tlast : IN STD_LOGIC; s_axis_s2mm_tuser : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axis_s2mm_tid : IN STD_LOGIC_VECTOR(4 DOWNTO 0); s_axis_s2mm_tdest : IN STD_LOGIC_VECTOR(4 DOWNTO 0); s2mm_sts_reset_out_n : OUT STD_LOGIC; s_axis_s2mm_sts_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_s2mm_sts_tkeep : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axis_s2mm_sts_tvalid : IN STD_LOGIC; s_axis_s2mm_sts_tready : OUT STD_LOGIC; s_axis_s2mm_sts_tlast : IN STD_LOGIC; mm2s_introut : OUT STD_LOGIC; s2mm_introut : OUT STD_LOGIC; axi_dma_tstvec : OUT STD_LOGIC_VECTOR(31 DOWNTO 0) ); END COMPONENT axi_dma; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF design_TEST_axi_dma_1_0_arch: ARCHITECTURE IS "axi_dma,Vivado 2015.4.2"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF design_TEST_axi_dma_1_0_arch : ARCHITECTURE IS "design_TEST_axi_dma_1_0,axi_dma,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF design_TEST_axi_dma_1_0_arch: ARCHITECTURE IS "design_TEST_axi_dma_1_0,axi_dma,{x_ipProduct=Vivado 2015.4.2,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=axi_dma,x_ipVersion=7.1,x_ipCoreRevision=8,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,C_S_AXI_LITE_ADDR_WIDTH=10,C_S_AXI_LITE_DATA_WIDTH=32,C_DLYTMR_RESOLUTION=125,C_PRMRY_IS_ACLK_ASYNC=0,C_ENABLE_MULTI_CHANNEL=0,C_NUM_MM2S_CHANNELS=1,C_NUM_S2MM_CHANNELS=1,C_INCLUDE_SG=0,C_SG_INCLUDE_STSCNTRL_STRM=0,C_SG_USE_STSAPP_LENGTH=0,C_SG_LENGTH_WIDTH=14,C_M_AXI_SG_ADDR_WIDTH=32,C_M_AXI_SG_DATA_WIDTH=32,C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH=32,C_S_AXIS_S2MM_STS_TDATA_WIDTH=32,C_MICRO_DMA=0,C_INCLUDE_MM2S=0,C_INCLUDE_MM2S_SF=1,C_MM2S_BURST_SIZE=16,C_M_AXI_MM2S_ADDR_WIDTH=32,C_M_AXI_MM2S_DATA_WIDTH=32,C_M_AXIS_MM2S_TDATA_WIDTH=32,C_INCLUDE_MM2S_DRE=0,C_INCLUDE_S2MM=1,C_INCLUDE_S2MM_SF=1,C_S2MM_BURST_SIZE=256,C_M_AXI_S2MM_ADDR_WIDTH=32,C_M_AXI_S2MM_DATA_WIDTH=32,C_S_AXIS_S2MM_TDATA_WIDTH=32,C_INCLUDE_S2MM_DRE=0,C_FAMILY=zynq}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 S_AXI_LITE_ACLK CLK"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 M_AXI_S2MM_CLK CLK"; ATTRIBUTE X_INTERFACE_INFO OF axi_resetn: SIGNAL IS "xilinx.com:signal:reset:1.0 AXI_RESETN RST"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_awvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE AWVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_awready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE AWREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_awaddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE AWADDR"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_wvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE WVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_wready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE WREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_wdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE WDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_bresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE BRESP"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_bvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE BVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_bready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE BREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_arvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE ARVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_arready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE ARREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_araddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE ARADDR"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_rvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE RVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_rready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE RREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_rdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE RDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_rresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE RRESP"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_awaddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM AWADDR"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_awlen: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM AWLEN"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_awsize: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM AWSIZE"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_awburst: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM AWBURST"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_awprot: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM AWPROT"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_awcache: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM AWCACHE"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_awvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM AWVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_awready: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM AWREADY"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_wdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM WDATA"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_wstrb: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM WSTRB"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_wlast: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM WLAST"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_wvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM WVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_wready: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM WREADY"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_bresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM BRESP"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_bvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM BVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_bready: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM BREADY"; ATTRIBUTE X_INTERFACE_INFO OF s2mm_prmry_reset_out_n: SIGNAL IS "xilinx.com:signal:reset:1.0 S2MM_PRMRY_RESET_OUT_N RST"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_s2mm_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_S2MM TDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_s2mm_tkeep: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_S2MM TKEEP"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_s2mm_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_S2MM TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_s2mm_tready: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_S2MM TREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_s2mm_tlast: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_S2MM TLAST"; ATTRIBUTE X_INTERFACE_INFO OF s2mm_introut: SIGNAL IS "xilinx.com:signal:interrupt:1.0 S2MM_INTROUT INTERRUPT"; BEGIN U0 : axi_dma GENERIC MAP ( C_S_AXI_LITE_ADDR_WIDTH => 10, C_S_AXI_LITE_DATA_WIDTH => 32, C_DLYTMR_RESOLUTION => 125, C_PRMRY_IS_ACLK_ASYNC => 0, C_ENABLE_MULTI_CHANNEL => 0, C_NUM_MM2S_CHANNELS => 1, C_NUM_S2MM_CHANNELS => 1, C_INCLUDE_SG => 0, C_SG_INCLUDE_STSCNTRL_STRM => 0, C_SG_USE_STSAPP_LENGTH => 0, C_SG_LENGTH_WIDTH => 14, C_M_AXI_SG_ADDR_WIDTH => 32, C_M_AXI_SG_DATA_WIDTH => 32, C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH => 32, C_S_AXIS_S2MM_STS_TDATA_WIDTH => 32, C_MICRO_DMA => 0, C_INCLUDE_MM2S => 0, C_INCLUDE_MM2S_SF => 1, C_MM2S_BURST_SIZE => 16, C_M_AXI_MM2S_ADDR_WIDTH => 32, C_M_AXI_MM2S_DATA_WIDTH => 32, C_M_AXIS_MM2S_TDATA_WIDTH => 32, C_INCLUDE_MM2S_DRE => 0, C_INCLUDE_S2MM => 1, C_INCLUDE_S2MM_SF => 1, C_S2MM_BURST_SIZE => 256, C_M_AXI_S2MM_ADDR_WIDTH => 32, C_M_AXI_S2MM_DATA_WIDTH => 32, C_S_AXIS_S2MM_TDATA_WIDTH => 32, C_INCLUDE_S2MM_DRE => 0, C_FAMILY => "zynq" ) PORT MAP ( s_axi_lite_aclk => s_axi_lite_aclk, m_axi_sg_aclk => '0', m_axi_mm2s_aclk => '0', m_axi_s2mm_aclk => m_axi_s2mm_aclk, axi_resetn => axi_resetn, s_axi_lite_awvalid => s_axi_lite_awvalid, s_axi_lite_awready => s_axi_lite_awready, s_axi_lite_awaddr => s_axi_lite_awaddr, s_axi_lite_wvalid => s_axi_lite_wvalid, s_axi_lite_wready => s_axi_lite_wready, s_axi_lite_wdata => s_axi_lite_wdata, s_axi_lite_bresp => s_axi_lite_bresp, s_axi_lite_bvalid => s_axi_lite_bvalid, s_axi_lite_bready => s_axi_lite_bready, s_axi_lite_arvalid => s_axi_lite_arvalid, s_axi_lite_arready => s_axi_lite_arready, s_axi_lite_araddr => s_axi_lite_araddr, s_axi_lite_rvalid => s_axi_lite_rvalid, s_axi_lite_rready => s_axi_lite_rready, s_axi_lite_rdata => s_axi_lite_rdata, s_axi_lite_rresp => s_axi_lite_rresp, m_axi_sg_awready => '0', m_axi_sg_wready => '0', m_axi_sg_bresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), m_axi_sg_bvalid => '0', m_axi_sg_arready => '0', m_axi_sg_rdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), m_axi_sg_rresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), m_axi_sg_rlast => '0', m_axi_sg_rvalid => '0', m_axi_mm2s_arready => '0', m_axi_mm2s_rdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), m_axi_mm2s_rresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), m_axi_mm2s_rlast => '0', m_axi_mm2s_rvalid => '0', m_axis_mm2s_tready => '0', m_axis_mm2s_cntrl_tready => '0', m_axi_s2mm_awaddr => m_axi_s2mm_awaddr, m_axi_s2mm_awlen => m_axi_s2mm_awlen, m_axi_s2mm_awsize => m_axi_s2mm_awsize, m_axi_s2mm_awburst => m_axi_s2mm_awburst, m_axi_s2mm_awprot => m_axi_s2mm_awprot, m_axi_s2mm_awcache => m_axi_s2mm_awcache, m_axi_s2mm_awvalid => m_axi_s2mm_awvalid, m_axi_s2mm_awready => m_axi_s2mm_awready, m_axi_s2mm_wdata => m_axi_s2mm_wdata, m_axi_s2mm_wstrb => m_axi_s2mm_wstrb, m_axi_s2mm_wlast => m_axi_s2mm_wlast, m_axi_s2mm_wvalid => m_axi_s2mm_wvalid, m_axi_s2mm_wready => m_axi_s2mm_wready, m_axi_s2mm_bresp => m_axi_s2mm_bresp, m_axi_s2mm_bvalid => m_axi_s2mm_bvalid, m_axi_s2mm_bready => m_axi_s2mm_bready, s2mm_prmry_reset_out_n => s2mm_prmry_reset_out_n, s_axis_s2mm_tdata => s_axis_s2mm_tdata, s_axis_s2mm_tkeep => s_axis_s2mm_tkeep, s_axis_s2mm_tvalid => s_axis_s2mm_tvalid, s_axis_s2mm_tready => s_axis_s2mm_tready, s_axis_s2mm_tlast => s_axis_s2mm_tlast, s_axis_s2mm_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axis_s2mm_tid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 5)), s_axis_s2mm_tdest => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 5)), s_axis_s2mm_sts_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axis_s2mm_sts_tkeep => X"F", s_axis_s2mm_sts_tvalid => '0', s_axis_s2mm_sts_tlast => '0', s2mm_introut => s2mm_introut, axi_dma_tstvec => axi_dma_tstvec ); END design_TEST_axi_dma_1_0_arch;
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:proc_sys_reset:5.0 -- IP Revision: 11 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY proc_sys_reset_v5_0_11; USE proc_sys_reset_v5_0_11.proc_sys_reset; ENTITY zynq_design_1_rst_ps7_0_100M_1 IS PORT ( slowest_sync_clk : IN STD_LOGIC; ext_reset_in : IN STD_LOGIC; aux_reset_in : IN STD_LOGIC; mb_debug_sys_rst : IN STD_LOGIC; dcm_locked : IN STD_LOGIC; mb_reset : OUT STD_LOGIC; bus_struct_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); interconnect_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0) ); END zynq_design_1_rst_ps7_0_100M_1; ARCHITECTURE zynq_design_1_rst_ps7_0_100M_1_arch OF zynq_design_1_rst_ps7_0_100M_1 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF zynq_design_1_rst_ps7_0_100M_1_arch: ARCHITECTURE IS "yes"; COMPONENT proc_sys_reset IS GENERIC ( C_FAMILY : STRING; C_EXT_RST_WIDTH : INTEGER; C_AUX_RST_WIDTH : INTEGER; C_EXT_RESET_HIGH : STD_LOGIC; C_AUX_RESET_HIGH : STD_LOGIC; C_NUM_BUS_RST : INTEGER; C_NUM_PERP_RST : INTEGER; C_NUM_INTERCONNECT_ARESETN : INTEGER; C_NUM_PERP_ARESETN : INTEGER ); PORT ( slowest_sync_clk : IN STD_LOGIC; ext_reset_in : IN STD_LOGIC; aux_reset_in : IN STD_LOGIC; mb_debug_sys_rst : IN STD_LOGIC; dcm_locked : IN STD_LOGIC; mb_reset : OUT STD_LOGIC; bus_struct_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); interconnect_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0) ); END COMPONENT proc_sys_reset; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF zynq_design_1_rst_ps7_0_100M_1_arch: ARCHITECTURE IS "proc_sys_reset,Vivado 2017.2"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF zynq_design_1_rst_ps7_0_100M_1_arch : ARCHITECTURE IS "zynq_design_1_rst_ps7_0_100M_1,proc_sys_reset,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF zynq_design_1_rst_ps7_0_100M_1_arch: ARCHITECTURE IS "zynq_design_1_rst_ps7_0_100M_1,proc_sys_reset,{x_ipProduct=Vivado 2017.2,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=proc_sys_reset,x_ipVersion=5.0,x_ipCoreRevision=11,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_FAMILY=zynq,C_EXT_RST_WIDTH=4,C_AUX_RST_WIDTH=4,C_EXT_RESET_HIGH=0,C_AUX_RESET_HIGH=0,C_NUM_BUS_RST=1,C_NUM_PERP_RST=1,C_NUM_INTERCONNECT_ARESETN=1,C_NUM_PERP_ARESETN=1}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF slowest_sync_clk: SIGNAL IS "xilinx.com:signal:clock:1.0 clock CLK"; ATTRIBUTE X_INTERFACE_INFO OF ext_reset_in: SIGNAL IS "xilinx.com:signal:reset:1.0 ext_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF aux_reset_in: SIGNAL IS "xilinx.com:signal:reset:1.0 aux_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF mb_debug_sys_rst: SIGNAL IS "xilinx.com:signal:reset:1.0 dbg_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF mb_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 mb_rst RST"; ATTRIBUTE X_INTERFACE_INFO OF bus_struct_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 bus_struct_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF peripheral_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 peripheral_high_rst RST"; ATTRIBUTE X_INTERFACE_INFO OF interconnect_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 interconnect_low_rst RST"; ATTRIBUTE X_INTERFACE_INFO OF peripheral_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 peripheral_low_rst RST"; BEGIN U0 : proc_sys_reset GENERIC MAP ( C_FAMILY => "zynq", C_EXT_RST_WIDTH => 4, C_AUX_RST_WIDTH => 4, C_EXT_RESET_HIGH => '0', C_AUX_RESET_HIGH => '0', C_NUM_BUS_RST => 1, C_NUM_PERP_RST => 1, C_NUM_INTERCONNECT_ARESETN => 1, C_NUM_PERP_ARESETN => 1 ) PORT MAP ( slowest_sync_clk => slowest_sync_clk, ext_reset_in => ext_reset_in, aux_reset_in => aux_reset_in, mb_debug_sys_rst => mb_debug_sys_rst, dcm_locked => dcm_locked, mb_reset => mb_reset, bus_struct_reset => bus_struct_reset, peripheral_reset => peripheral_reset, interconnect_aresetn => interconnect_aresetn, peripheral_aresetn => peripheral_aresetn ); END zynq_design_1_rst_ps7_0_100M_1_arch;
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- Copyright (C) 2015, Cobham Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: pcitrace -- File: pcitrace.vhd -- Author: Jiri Gaisler - Gaisler Research -- Description: PCI trace buffer ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.amba.all; use grlib.stdlib.all; use grlib.devices.all; library techmap; use techmap.gencomp.all; library gaisler; use gaisler.pci.all; entity pcitrace is generic ( depth : integer range 6 to 12 := 8; iregs : integer := 1; memtech : integer := DEFMEMTECH; pindex : integer := 0; paddr : integer := 0; pmask : integer := 16#f00# ); port ( rst : in std_ulogic; clk : in std_ulogic; pciclk : in std_ulogic; pcii : in pci_in_type; apbi : in apb_slv_in_type; apbo : out apb_slv_out_type ); end; architecture rtl of pcitrace is constant REVISION : amba_version_type := 0; constant pconfig : apb_config_type := ( 0 => ahb_device_reg ( VENDOR_GAISLER, GAISLER_PCITRACE, 0, REVISION, 0), 1 => apb_iobar(paddr, pmask)); type reg_type is record sample : std_ulogic; armed : std_ulogic; busy : std_ulogic; timeout : std_logic_vector(depth-1 downto 0); admask : std_logic_vector(31 downto 0); adpattern : std_logic_vector(31 downto 0); sigmask : std_logic_vector(15 downto 0); sigpattern : std_logic_vector(15 downto 0); count : std_logic_vector(7 downto 0); end record; type pci_reg_type is record sample : std_ulogic; armed : std_ulogic; sync : std_ulogic; start : std_ulogic; timeout : std_logic_vector(depth-1 downto 0); baddr : std_logic_vector(depth-1 downto 0); count : std_logic_vector(7 downto 0); end record; signal r, rin : reg_type; signal csad, csctrl : std_ulogic; signal pr, prin : pci_reg_type; signal bufout : std_logic_vector(47 downto 0); signal pciad : std_logic_vector(31 downto 0); signal vcc : std_ulogic; signal pcictrlin, pcictrl : std_logic_vector(15 downto 0); begin vcc <= '1'; comb: process(pcii, apbi, rst, r, pr, bufout) variable v : reg_type; variable rdata : std_logic_vector(31 downto 0); variable paddr : std_logic_vector(3 downto 0); variable vcsad, vcssig : std_ulogic; begin v := r; vcsad := '0'; vcssig := '0'; rdata := (others => '0'); v.sample := r.armed and not pr.armed; v.busy := pr.sample; if (r.sample and pr.armed) = '1' then v.armed := '0'; end if; --registers paddr := apbi.paddr(15) & apbi.paddr(4 downto 2); if apbi.penable = '1' then if (apbi.pwrite and apbi.psel(pindex)) = '1' then case paddr is when "0000" => v.admask := apbi.pwdata; when "0001" => v.sigmask := apbi.pwdata(15 downto 0); when "0010" => v.adpattern := apbi.pwdata; when "0011" => v.sigpattern := apbi.pwdata(15 downto 0); when "0100" => v.timeout := apbi.pwdata(depth-1 downto 0); when "0101" => v.armed := '1'; when "0111" => v.count := apbi.pwdata(7 downto 0); when others => if apbi.paddr(15 downto 14) = "10" then vcsad := '1'; elsif apbi.paddr(15 downto 14) = "11" then vcssig := '1'; end if; end case; end if; case paddr is when "0000" => rdata := r.admask; when "0001" => rdata(15 downto 0) := r.sigmask; when "0010" => rdata := r.adpattern; when "0011" => rdata(15 downto 0) := r.sigpattern; when "0100" => rdata(depth-1 downto 0) := r.timeout; when "0101" => rdata(0) := r.busy; when "0110" => rdata(3 downto 0) := conv_std_logic_vector(depth, 4); when "0111" => rdata(depth-1+16 downto 16) := pr.baddr; rdata(15 downto 0) := pr.count & r.count; when others => if apbi.paddr(15 downto 14) = "10" then vcsad := '1'; rdata := bufout(31 downto 0); elsif apbi.paddr(15 downto 14) = "11" then vcssig := '1'; rdata(15 downto 0) := bufout(47 downto 32); end if; end case; end if; if rst = '0' then v.sample := '0'; v.armed := '0'; v.admask := (others => '0'); v.sigmask := (others => '0'); v.adpattern := (others => '0'); v.sigpattern := (others => '0'); v.timeout := (others => '0'); end if; csad <= vcsad; csctrl <= vcssig; apbo.prdata <= rdata; rin <= v; end process; comb2 : process(r, pr, pciclk, pcii, pcictrl, rst) variable v : pci_reg_type; constant z : std_logic_vector(47 downto 0) := (others => '0'); begin v := pr; v.sync := (r.sample and not pr.armed); if (pr.sample = '1') then v.baddr := pr.baddr + 1; if ((((pcii.ad & pcictrl) xor (r.adpattern & r.sigpattern)) and (r.admask & r.sigmask)) = z) then if pr.count = "00000000" then v.start := '0'; else v.count := pr.count -1; end if; end if; if (pr.start = '0') then v.timeout := pr.timeout - 1; if (v.timeout(depth-1) and not pr.timeout(depth-1)) = '1' then v.sample := '0'; v.armed := '0'; end if; end if; end if; if pr.sync = '1' then v.start := '1'; v.sample := '1'; v.armed := '1'; v.timeout := r.timeout; v.count := r.count; end if; if rst = '0' then v.sample := '0'; v.armed := '0'; v.start := '0'; v.timeout := (others => '0'); v.baddr := (others => '0'); v.count := (others => '0'); end if; prin <= v; end process ; pcictrlin <= pcii.rst & pcii.idsel & pcii.frame & pcii.trdy & pcii.irdy & pcii.devsel & pcii.gnt & pcii.stop & pcii.lock & pcii.perr & pcii.serr & pcii.par & pcii.cbe; apbo.pconfig <= pconfig; apbo.pindex <= pindex; apbo.pirq <= (others => '0'); seq: process (clk) begin if clk'event and clk = '1' then r <= rin; end if; end process seq; pseq: process (pciclk) begin if pciclk'event and pciclk = '1' then pr <= prin; end if; end process ; ir : if iregs = 1 generate pseq: process (pciclk) begin if pciclk'event and pciclk = '1' then pcictrl <= pcictrlin; pciad <= pcii.ad; end if; end process ; end generate; noir : if iregs = 0 generate pcictrl <= pcictrlin; pciad <= pcii.ad; end generate; admem : syncram_2p generic map (tech => memtech, abits => depth, dbits => 32, sepclk => 1) port map (clk, csad, apbi.paddr(depth+1 downto 2), bufout(31 downto 0), pciclk, pr.sample, pr.baddr, pciad); ctrlmem : syncram_2p generic map (tech => memtech, abits => depth, dbits => 16, sepclk => 1) port map (clk, csctrl, apbi.paddr(depth+1 downto 2), bufout(47 downto 32), pciclk, pr.sample, pr.baddr, pcictrl); end;
-- ------------------------------------------------------------- -- -- File Name: hdl_prj\hdlsrc\lms\lms_pcore_dut.vhd -- Created: 2015-06-19 16:39:46 -- -- Generated by MATLAB 8.5 and HDL Coder 3.6 -- -- ------------------------------------------------------------- -- ------------------------------------------------------------- -- -- Module: lms_pcore_dut -- Source Path: lms_pcore/lms_pcore_dut -- Hierarchy Level: 1 -- -- ------------------------------------------------------------- LIBRARY IEEE; USE IEEE.std_logic_1164.ALL; USE IEEE.numeric_std.ALL; ENTITY lms_pcore_dut IS PORT( clk : IN std_logic; reset : IN std_logic; dut_enable : IN std_logic; -- ufix1 x_k : IN std_logic_vector(15 DOWNTO 0); -- sfix16_En14 d_k : IN std_logic_vector(15 DOWNTO 0); -- sfix16_En14 ce_out : OUT std_logic; -- ufix1 e_k : OUT std_logic_vector(15 DOWNTO 0) -- sfix16_En14 ); END lms_pcore_dut; ARCHITECTURE rtl OF lms_pcore_dut IS -- Component Declarations COMPONENT LMS PORT( clk : IN std_logic; clk_enable : IN std_logic; reset : IN std_logic; x_k : IN std_logic_vector(15 DOWNTO 0); -- sfix16_En14 d_k : IN std_logic_vector(15 DOWNTO 0); -- sfix16_En14 ce_out : OUT std_logic; -- ufix1 e_k : OUT std_logic_vector(15 DOWNTO 0) -- sfix16_En14 ); END COMPONENT; -- Component Configuration Statements FOR ALL : LMS USE ENTITY work.LMS(rtl); -- Signals SIGNAL enb : std_logic; SIGNAL ce_out_sig : std_logic; -- ufix1 SIGNAL e_k_sig : std_logic_vector(15 DOWNTO 0); -- ufix16 BEGIN u_LMS : LMS PORT MAP( clk => clk, clk_enable => enb, reset => reset, x_k => x_k, -- sfix16_En14 d_k => d_k, -- sfix16_En14 ce_out => ce_out_sig, -- ufix1 e_k => e_k_sig -- sfix16_En14 ); enb <= dut_enable; ce_out <= ce_out_sig; e_k <= e_k_sig; END rtl;
-- ------------------------------------------------------------- -- -- File Name: hdl_prj\hdlsrc\lms\lms_pcore_dut.vhd -- Created: 2015-06-19 16:39:46 -- -- Generated by MATLAB 8.5 and HDL Coder 3.6 -- -- ------------------------------------------------------------- -- ------------------------------------------------------------- -- -- Module: lms_pcore_dut -- Source Path: lms_pcore/lms_pcore_dut -- Hierarchy Level: 1 -- -- ------------------------------------------------------------- LIBRARY IEEE; USE IEEE.std_logic_1164.ALL; USE IEEE.numeric_std.ALL; ENTITY lms_pcore_dut IS PORT( clk : IN std_logic; reset : IN std_logic; dut_enable : IN std_logic; -- ufix1 x_k : IN std_logic_vector(15 DOWNTO 0); -- sfix16_En14 d_k : IN std_logic_vector(15 DOWNTO 0); -- sfix16_En14 ce_out : OUT std_logic; -- ufix1 e_k : OUT std_logic_vector(15 DOWNTO 0) -- sfix16_En14 ); END lms_pcore_dut; ARCHITECTURE rtl OF lms_pcore_dut IS -- Component Declarations COMPONENT LMS PORT( clk : IN std_logic; clk_enable : IN std_logic; reset : IN std_logic; x_k : IN std_logic_vector(15 DOWNTO 0); -- sfix16_En14 d_k : IN std_logic_vector(15 DOWNTO 0); -- sfix16_En14 ce_out : OUT std_logic; -- ufix1 e_k : OUT std_logic_vector(15 DOWNTO 0) -- sfix16_En14 ); END COMPONENT; -- Component Configuration Statements FOR ALL : LMS USE ENTITY work.LMS(rtl); -- Signals SIGNAL enb : std_logic; SIGNAL ce_out_sig : std_logic; -- ufix1 SIGNAL e_k_sig : std_logic_vector(15 DOWNTO 0); -- ufix16 BEGIN u_LMS : LMS PORT MAP( clk => clk, clk_enable => enb, reset => reset, x_k => x_k, -- sfix16_En14 d_k => d_k, -- sfix16_En14 ce_out => ce_out_sig, -- ufix1 e_k => e_k_sig -- sfix16_En14 ); enb <= dut_enable; ce_out <= ce_out_sig; e_k <= e_k_sig; END rtl;
library ieee; use ieee.std_logic_1164.all; entity b115to1MUX is port( in0,in1,in2,in3,in4: in std_logic_vector(10 downto 0); m: out std_logic_vector(10 downto 0); sel: in std_logic_vector(2 downto 0)); end b115to1MUX; architecture behavior of b115to1MUX is begin process(sel, in0, in1, in2, in3, in4) begin case sel is when "000" => m<=in0; when "001" => m<=in1; when "010" => m<=in2; when "011" => m<=in3; when "100" => m<=in4; when others => m<= (others=> 'Z'); end case; end process; end behavior;
------------------------------------------------------------------------------- --! @project Unrolled (factor 4) hardware implementation of Asconv128128 --! @author Michael Fivez --! @license This project is released under the GNU Public License. --! The license and distribution terms for this file may be --! found in the file LICENSE in this distribution or at --! http://www.gnu.org/licenses/gpl-3.0.txt --! @note This is an hardware implementation made for my graduation thesis --! at the KULeuven, in the COSIC department (year 2015-2016) --! The thesis is titled 'Energy efficient hardware implementations of CAESAR submissions', --! and can be found on the COSIC website (www.esat.kuleuven.be/cosic/publications) ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity DiffusionLayer is generic( SHIFT1 : integer range 0 to 63; SHIFT2 : integer range 0 to 63); port( Input : in std_logic_vector(63 downto 0); Output : out std_logic_vector(63 downto 0)); end entity DiffusionLayer; architecture structural of DiffusionLayer is begin DiffLayer: process(Input) is variable Temp0,Temp1 : std_logic_vector(63 downto 0); begin Temp0(63 downto 64-SHIFT1) := Input(SHIFT1-1 downto 0); Temp0(63-SHIFT1 downto 0) := Input(63 downto SHIFT1); Temp1(63 downto 64-SHIFT2) := Input(SHIFT2-1 downto 0); Temp1(63-SHIFT2 downto 0) := Input(63 downto SHIFT2); Output <= Temp0 xor Temp1 xor Input; end process DiffLayer; end architecture structural;
-- This is an implementation of -*- vhdl -*- ieee.std_logic_1164 based only -- on the specifications. This file is part of GHDL. -- Copyright (C) 2015 Tristan Gingold -- -- GHDL is free software; you can redistribute it and/or modify it under -- the terms of the GNU General Public License as published by the Free -- Software Foundation; either version 2, or (at your option) any later -- version. -- -- GHDL is distributed in the hope that it will be useful, but WITHOUT ANY -- WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- -- You should have received a copy of the GNU General Public License -- along with GCC; see the file COPYING2. If not see -- <http://www.gnu.org/licenses/>. use std.textio.all; package std_logic_1164 is -- Unresolved logic state. type std_ulogic is ( 'U', -- Uninitialized, this is also the default value. 'X', -- Unknown / conflict value (forcing level). '0', -- 0 (forcing level). '1', -- 1 (forcing level). 'Z', -- High impedance. 'W', -- Unknown / conflict (weak level). 'L', -- 0 (weak level). 'H', -- 1 (weak level). '-' -- Don't care. ); -- Vector of logic state. type std_ulogic_vector is array (natural range <>) of std_ulogic; -- Resolution function. -- If S is empty, returns 'Z'. -- If S has one element, return the element. -- Otherwise, 'U' is the strongest. -- then 'X' -- then '0' and '1' -- then 'W' -- then 'H' and 'L' -- then 'Z'. function resolved (s : std_ulogic_vector) return std_ulogic; -- Resolved logic state. subtype std_logic is resolved std_ulogic; -- Vector of std_logic. subtype std_logic_vector is (resolved) std_ulogic_vector; -- Subtypes of std_ulogic. The names give the values. subtype X01 is resolved std_ulogic range 'X' to '1'; subtype X01Z is resolved std_ulogic range 'X' to 'Z'; subtype UX01 is resolved std_ulogic range 'U' to '1'; subtype UX01Z is resolved std_ulogic range 'U' to 'Z'; -- Logical operators. -- For logical operations, the inputs are first normalized to UX01: -- 0 and L are normalized to 0, 1 and 1 are normalized to 1, U isnt changed, -- all other states are normalized to X. -- Then the classical electric rules are followed. function "and" (l : std_ulogic; r : std_ulogic) return UX01; function "nand" (l : std_ulogic; r : std_ulogic) return UX01; function "or" (l : std_ulogic; r : std_ulogic) return UX01; function "nor" (l : std_ulogic; r : std_ulogic) return UX01; function "xor" (l : std_ulogic; r : std_ulogic) return UX01; function "xnor" (l : std_ulogic; r : std_ulogic) return UX01; function "not" (l : std_ulogic) return UX01; -- Logical operators for vectors. -- An assertion of severity failure fails if the length of L and R aren't -- equal. The result range is 1 to L'Length. function "and" (l, r : std_ulogic_vector) return std_ulogic_vector; function "nand" (l, r : std_ulogic_vector) return std_ulogic_vector; function "or" (l, r : std_ulogic_vector) return std_ulogic_vector; function "nor" (l, r : std_ulogic_vector) return std_ulogic_vector; function "xor" (l, r : std_ulogic_vector) return std_ulogic_vector; function "xnor" (l, r : std_ulogic_vector) return std_ulogic_vector; function "not" (l : std_ulogic_vector) return std_ulogic_vector; function "and" (l : std_ulogic_vector; r : std_ulogic ) return std_ulogic_vector; function "and" (l : std_ulogic; r : std_ulogic_vector) return std_ulogic_vector; function "nand" (l : std_ulogic_vector; r : std_ulogic ) return std_ulogic_vector; function "nand" (l : std_ulogic; r : std_ulogic_vector) return std_ulogic_vector; function "or" (l : std_ulogic_vector; r : std_ulogic ) return std_ulogic_vector; function "or" (l : std_ulogic; r : std_ulogic_vector) return std_ulogic_vector; function "nor" (l : std_ulogic_vector; r : std_ulogic ) return std_ulogic_vector; function "nor" (l : std_ulogic; r : std_ulogic_vector) return std_ulogic_vector; function "xor" (l : std_ulogic_vector; r : std_ulogic ) return std_ulogic_vector; function "xor" (l : std_ulogic; r : std_ulogic_vector) return std_ulogic_vector; function "xnor" (l : std_ulogic_vector; r : std_ulogic ) return std_ulogic_vector; function "xnor" (l : std_ulogic; r : std_ulogic_vector) return std_ulogic_vector; function "and" (l : std_ulogic_vector) return std_ulogic; function "nand" (l : std_ulogic_vector) return std_ulogic; function "or" (l : std_ulogic_vector) return std_ulogic; function "nor" (l : std_ulogic_vector) return std_ulogic; function "xor" (l : std_ulogic_vector) return std_ulogic; function "xnor" (l : std_ulogic_vector) return std_ulogic; function "sll" (l : std_ulogic_vector; r : integer) return std_ulogic_vector; function "srl" (l : std_ulogic_vector; r : integer) return std_ulogic_vector; function "rol" (l : std_ulogic_vector; r : integer) return std_ulogic_vector; function "ror" (l : std_ulogic_vector; r : integer) return std_ulogic_vector; -- Conversion functions. -- The result range (for vectors) is S'Length - 1 downto 0. -- XMAP is return for values not in '0', '1', 'L', 'H'. function to_bit (s : std_ulogic; xmap : bit := '0') return bit; function to_bitvector (s : std_ulogic_vector; xmap : bit := '0') return bit_vector; function to_stdulogic (b : bit) return std_ulogic; function to_stdlogicvector (b : bit_vector) return std_logic_vector; function to_stdlogicvector (s : std_ulogic_vector) return std_logic_vector; function to_stdulogicvector (b : bit_vector) return std_ulogic_vector; function to_stdulogicvector (s : std_logic_vector) return std_ulogic_vector; alias to_bit_vector is to_bitvector[std_ulogic_vector, bit return bit_vector]; alias to_bv is to_bitvector[std_ulogic_vector, bit return bit_vector]; alias to_std_logic_vector is to_stdlogicvector[bit_vector return std_logic_vector]; alias to_slv is to_stdlogicvector[bit_vector return std_logic_vector]; alias to_std_logic_vector is to_stdlogicvector[std_ulogic_vector return std_logic_vector]; alias to_slv is to_stdlogicvector[std_ulogic_vector return std_logic_vector]; alias to_std_ulogic_vector is to_stdulogicvector[bit_vector return std_ulogic_vector]; alias to_sulv is to_stdulogicvector[bit_vector return std_ulogic_vector]; alias to_std_ulogic_vector is to_stdulogicvector[std_logic_vector return std_ulogic_vector]; alias to_sulv is to_stdulogicvector[std_logic_vector return std_ulogic_vector]; -- Normalization. -- The result range (for vectors) is 1 to S'Length. function to_01 (s : std_ulogic_vector; xmap : std_ulogic := '0') return std_ulogic_vector; function to_01 (s : std_ulogic; xmap : std_ulogic := '0') return std_ulogic; function to_01 (s : bit_vector; xmap : std_ulogic := '0') return std_ulogic_vector; function to_01 (s : bit; xmap : std_ulogic := '0') return std_ulogic; function to_X01 (s : std_ulogic_vector) return std_ulogic_vector; function to_X01 (s : std_ulogic) return X01; function to_X01 (b : bit_vector) return std_ulogic_vector; function to_X01 (b : bit) return X01; function to_X01Z (s : std_ulogic_vector) return std_ulogic_vector; function to_X01Z (s : std_ulogic) return X01Z; function to_X01Z (b : bit_vector) return std_ulogic_vector; function to_X01Z (b : bit) return X01Z; function to_UX01 (s : std_ulogic_vector) return std_ulogic_vector; function to_UX01 (s : std_ulogic) return UX01; function to_UX01 (b : bit_vector) return std_ulogic_vector; function to_UX01 (b : bit) return UX01; function "??" (l : std_ulogic) return boolean; -- Edge detection. -- An edge is detected in case of event on s, and X01 normalized value -- rises from 0 to 1 or falls from 1 to 0. function rising_edge (signal s : std_ulogic) return boolean; function falling_edge (signal s : std_ulogic) return boolean; -- Test for unknown. Only 0, 1, L and H are known values. function is_X (s : std_ulogic_vector) return boolean; function is_X (s : std_ulogic) return boolean; -- String conversion alias to_bstring is to_string [std_ulogic_vector return string]; alias to_binary_string is to_string [std_ulogic_vector return string]; function to_ostring (value : std_ulogic_vector) return string; alias to_octal_string is to_ostring [std_ulogic_vector return string]; function to_hstring (value : std_ulogic_vector) return string; alias to_hex_string is to_hstring [std_ulogic_vector return string]; -- Input/output procedure write (l : inout line; value : std_ulogic; justified : side := right; field : width := 0); procedure write (l : inout line; value : std_ulogic_vector; justified : side := right; field : width := 0); alias bwrite is write [line, std_ulogic_vector, side, width]; alias binary_write is write [line, std_ulogic_vector, side, width]; procedure owrite (l : inout line; value : std_ulogic_vector; justified : side := right; field : width := 0); alias octal_write is owrite [line, std_ulogic_vector, side, width]; procedure hwrite (l : inout line; value : std_ulogic_vector; justified : side := right; field : width := 0); alias hex_write is hwrite [line, std_ulogic_vector, side, width]; procedure read (l : inout line; value : out std_ulogic; good : out boolean); procedure read (l : inout line; value : out std_ulogic); procedure read (l : inout line; value : out std_ulogic_vector; good : out boolean); procedure read (l : inout line; value : out std_ulogic_vector); alias bread is read [line, std_ulogic_vector, boolean]; alias bread is read [line, std_ulogic_vector]; alias binary_read is read [line, std_ulogic_vector, boolean]; alias binary_read is read [line, std_ulogic_vector]; procedure hread (l : inout line; value : out std_ulogic_vector; good : out boolean); procedure hread (l : inout line; value : out std_ulogic_vector); alias hex_read is read [line, std_ulogic_vector, boolean]; alias hex_read is read [line, std_ulogic_vector]; procedure oread (l : inout line; value : out std_ulogic_vector; good : out boolean); procedure oread (l : inout line; value : out std_ulogic_vector); alias octal_read is read [line, std_ulogic_vector, boolean]; alias octal_read is read [line, std_ulogic_vector]; end std_logic_1164;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; entity memory is port( address : in std_logic_vector(7 downto 0); data : out std_logic_vector(7 downto 0) ); end entity memory; architecture RTL of memory is type mem_arr is array (integer range <>) of std_logic_vector(7 downto 0); signal mem : mem_arr(0 to (2**address'length)-1) := %% begin data <= mem(to_integer(unsigned(address))); end architecture RTL;
---------------------------------------------------------------------- ---- ---- ---- WISHBONE SPDIF IP Core ---- ---- ---- ---- This file is part of the SPDIF project ---- ---- http://www.opencores.org/cores/spdif_interface/ ---- ---- ---- ---- Description ---- ---- SPDIF transmitter signal encoder. Reads out samples from the ---- ---- sample buffer, assembles frames and subframes and encodes ---- ---- serial data as bi-phase mark code. ---- ---- ---- ---- To Do: ---- ---- - ---- ---- ---- ---- Author(s): ---- ---- - Geir Drange, [email protected] ---- ---- ---- ---------------------------------------------------------------------- ---- ---- ---- Copyright (C) 2004 Authors and OPENCORES.ORG ---- ---- ---- ---- This source file may be used and distributed without ---- ---- restriction provided that this copyright statement is not ---- ---- removed from the file and that any derivative work contains ---- ---- the original copyright notice and the associated disclaimer. ---- ---- ---- ---- This source file is free software; you can redistribute it ---- ---- and/or modify it under the terms of the GNU Lesser General ---- ---- Public License as published by the Free Software Foundation; ---- ---- either version 2.1 of the License, or (at your option) any ---- ---- later version. ---- ---- ---- ---- This source is distributed in the hope that it will be ---- ---- useful, but WITHOUT ANY WARRANTY; without even the implied ---- ---- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ---- ---- PURPOSE. See the GNU Lesser General Public License for more ---- ---- details. ---- ---- ---- ---- You should have received a copy of the GNU Lesser General ---- ---- Public License along with this source; if not, download it ---- ---- from http://www.opencores.org/lgpl.shtml ---- ---- ---- ---------------------------------------------------------------------- -- -- CVS Revision History -- -- $Log: not supported by cvs2svn $ -- -- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity tx_encoder is generic (DATA_WIDTH: integer range 16 to 32 := 32); port ( up_clk: in std_logic; -- clock data_clk : in std_logic; -- data clock resetn : in std_logic; -- resetn conf_mode: in std_logic_vector(3 downto 0); -- sample format conf_ratio: in std_logic_vector(7 downto 0); -- clock divider conf_txdata: in std_logic; -- sample data enable conf_txen: in std_logic; -- spdif signal enable chstat_freq: in std_logic_vector(1 downto 0); -- sample freq. chstat_gstat: in std_logic; -- generation status chstat_preem: in std_logic; -- preemphasis status chstat_copy: in std_logic; -- copyright bit chstat_audio: in std_logic; -- data format sample_data: in std_logic_vector(DATA_WIDTH - 1 downto 0); -- audio data sample_data_ack: out std_logic; -- sample buffer read channel: out std_logic; spdif_tx_o: out std_logic); end tx_encoder; architecture rtl of tx_encoder is signal spdif_clk_en, spdif_out : std_logic; signal clk_cnt : integer range 0 to 511; type buf_states is (IDLE, READ_CHA, READ_CHB, CHA_RDY, CHB_RDY); signal bufctrl : buf_states; signal cha_samp_ack, chb_samp_ack : std_logic; type frame_states is (IDLE, BLOCK_START, CHANNEL_A, CHANNEL_B); signal framest : frame_states; signal frame_cnt : integer range 0 to 191; signal bit_cnt, par_cnt : integer range 0 to 31; signal inv_preamble, toggle, valid : std_logic; signal def_user_data, def_ch_status : std_logic_vector(191 downto 0); signal active_user_data, active_ch_status : std_logic_vector(191 downto 0); signal audio : std_logic_vector(23 downto 0); signal par_vector : std_logic_vector(26 downto 0); signal send_audio : std_logic; signal tick_counter : std_logic; signal tick_counter_d1 : std_logic; signal tick_counter_d2 : std_logic; constant X_PREAMBLE : std_logic_vector(0 to 7) := "11100010"; constant Y_PREAMBLE : std_logic_vector(0 to 7) := "11100100"; constant Z_PREAMBLE : std_logic_vector(0 to 7) := "11101000"; function encode_bit ( signal bit_cnt : integer; -- sub-frame bit position signal valid : std_logic; -- validity bit signal frame_cnt : integer; -- frame counter signal par_cnt : integer; -- parity counter signal user_data : std_logic_vector(191 downto 0); signal ch_status : std_logic_vector(191 downto 0); signal audio : std_logic_vector(23 downto 0); signal toggle : std_logic; signal prev_spdif : std_logic) -- prev. value of spdif signal return std_logic is variable spdif, next_bit : std_logic; begin if bit_cnt > 3 and bit_cnt < 28 then -- audio part next_bit := audio(bit_cnt - 4); elsif bit_cnt = 28 then -- validity bit next_bit := valid; elsif bit_cnt = 29 then -- user data next_bit := user_data(frame_cnt); elsif bit_cnt = 30 then next_bit := ch_status(frame_cnt); -- channel status elsif bit_cnt = 31 then if par_cnt mod 2 = 1 then next_bit := '1'; else next_bit := '0'; end if; end if; -- bi-phase mark encoding: if next_bit = '0' then if toggle = '0' then spdif := not prev_spdif; else spdif := prev_spdif; end if; else spdif := not prev_spdif; end if; return(spdif); end encode_bit; begin -- SPDIF clock enable generation. The clock is a fraction of the data clock, -- determined by the conf_ratio value. DCLK : process (data_clk) begin if rising_edge(data_clk) then tick_counter <= not tick_counter; end if; end process DCLK; CGEN: process (up_clk) begin if rising_edge(up_clk) then if resetn = '0' or conf_txen = '0' then clk_cnt <= 0; tick_counter_d1 <= '0'; tick_counter_d2 <= '0'; spdif_clk_en <= '0'; else tick_counter_d1 <= tick_counter; tick_counter_d2 <= tick_counter_d1; spdif_clk_en <= '0'; if (tick_counter_d1 xor tick_counter_d2) = '1' then if clk_cnt < to_integer(unsigned(conf_ratio)) then clk_cnt <= clk_cnt + 1; else clk_cnt <= 0; spdif_clk_en <= '1'; end if; end if; end if; end if; end process CGEN; SRD: process (up_clk) begin if rising_edge(up_clk) then if resetn = '0' or conf_txdata = '0' then bufctrl <= IDLE; sample_data_ack <= '0'; channel <= '0'; else case bufctrl is when IDLE => sample_data_ack <= '0'; if conf_txdata = '1' then bufctrl <= READ_CHA; sample_data_ack <='1'; end if; when READ_CHA => channel <= '0'; sample_data_ack <= '0'; bufctrl <= CHA_RDY; when CHA_RDY => if cha_samp_ack = '1' then sample_data_ack <= '1'; bufctrl <= READ_CHB; end if; when READ_CHB => channel <= '1'; sample_data_ack <= '0'; bufctrl <= CHB_RDY; when CHB_RDY => if chb_samp_ack = '1' then sample_data_ack <= '1'; bufctrl <= READ_CHA; end if; when others => bufctrl <= IDLE; end case; end if; end if; end process SRD; TXSYNC: process (data_clk) begin if (rising_edge(data_clk)) then if resetn = '0' then spdif_tx_o <= '0'; else spdif_tx_o <= spdif_out; end if; end if; end process TXSYNC; -- State machine that generates sub-frames and blocks FRST: process (up_clk) begin if rising_edge(up_clk) then if resetn = '0' or conf_txen = '0' then framest <= IDLE; frame_cnt <= 0; bit_cnt <= 0; spdif_out <= '0'; inv_preamble <= '0'; toggle <= '0'; valid <= '1'; send_audio <= '0'; cha_samp_ack <= '0'; chb_samp_ack <= '0'; else if spdif_clk_en = '1' then -- SPDIF clock is twice the bit rate case framest is when IDLE => bit_cnt <= 0; frame_cnt <= 0; inv_preamble <= '0'; toggle <= '0'; framest <= BLOCK_START; when BLOCK_START => -- Start of channels status block/Ch. A chb_samp_ack <= '0'; toggle <= not toggle; -- Each bit uses two clock enables, if toggle = '1' then -- counted by the toggle bit. if bit_cnt < 31 then bit_cnt <= bit_cnt + 1; else bit_cnt <= 0; if send_audio = '1' then cha_samp_ack <= '1'; end if; framest <= CHANNEL_B; end if; end if; -- Block start uses preamble Z. if bit_cnt < 4 then if toggle = '0' then spdif_out <= Z_PREAMBLE(2 * bit_cnt) xor inv_preamble; else spdif_out <= Z_PREAMBLE(2 * bit_cnt + 1) xor inv_preamble; end if; par_cnt <= 0; elsif bit_cnt > 3 and bit_cnt <= 31 then spdif_out <= encode_bit(bit_cnt, valid, frame_cnt, par_cnt, active_user_data, active_ch_status, audio, toggle, spdif_out); if bit_cnt = 31 then inv_preamble <= encode_bit(bit_cnt, valid, frame_cnt, par_cnt, active_user_data, active_ch_status, audio, toggle, spdif_out); end if; if toggle = '0' then if bit_cnt > 3 and bit_cnt < 31 and par_vector(bit_cnt - 4) = '1' then par_cnt <= par_cnt + 1; end if; end if; end if; when CHANNEL_A => -- Sub-frame: channel A. chb_samp_ack <= '0'; toggle <= not toggle; if toggle = '1' then if bit_cnt < 31 then bit_cnt <= bit_cnt + 1; else bit_cnt <= 0; if spdif_out = '1' then inv_preamble <= '1'; else inv_preamble <= '0'; end if; if send_audio = '1' then cha_samp_ack <= '1'; end if; framest <= CHANNEL_B; end if; end if; -- Channel A uses preable X. if bit_cnt < 4 then if toggle = '0' then spdif_out <= X_PREAMBLE(2 * bit_cnt) xor inv_preamble; else spdif_out <= X_PREAMBLE(2 * bit_cnt + 1) xor inv_preamble; end if; par_cnt <= 0; elsif bit_cnt > 3 and bit_cnt <= 31 then spdif_out <= encode_bit(bit_cnt, valid, frame_cnt, par_cnt, active_user_data, active_ch_status, audio, toggle, spdif_out); if bit_cnt = 31 then inv_preamble <= encode_bit(bit_cnt, valid, frame_cnt, par_cnt, active_user_data, active_ch_status, audio, toggle, spdif_out); end if; if toggle = '0' then if bit_cnt > 3 and bit_cnt < 31 and par_vector(bit_cnt - 4) = '1' then par_cnt <= par_cnt + 1; end if; end if; end if; when CHANNEL_B => -- Sub-frame: channel B. cha_samp_ack <= '0'; toggle <= not toggle; if toggle = '1' then if bit_cnt < 31 then bit_cnt <= bit_cnt + 1; else bit_cnt <= 0; valid <= not conf_txdata; if spdif_out = '1' then inv_preamble <= '1'; else inv_preamble <= '0'; end if; send_audio <= conf_txdata; -- 1 if audio samples sohuld be sent if send_audio = '1' then chb_samp_ack <= '1'; end if; if frame_cnt < 191 then -- One block is 192 frames frame_cnt <= frame_cnt + 1; framest <= CHANNEL_A; else frame_cnt <= 0; framest <= BLOCK_START; end if; end if; end if; -- Channel B uses preable Y. if bit_cnt < 4 then if toggle = '0' then spdif_out <= Y_PREAMBLE(2 * bit_cnt) xor inv_preamble; else spdif_out <= Y_PREAMBLE(2 * bit_cnt + 1) xor inv_preamble; end if; par_cnt <= 0; elsif bit_cnt > 3 and bit_cnt <= 31 then spdif_out <= encode_bit(bit_cnt, valid, frame_cnt, par_cnt, active_user_data, active_ch_status, audio, toggle, spdif_out); if bit_cnt = 31 then inv_preamble <= encode_bit(bit_cnt, valid, frame_cnt, par_cnt, active_user_data, active_ch_status, audio, toggle, spdif_out); end if; if toggle = '0' then if bit_cnt > 3 and bit_cnt < 31 and par_vector(bit_cnt - 4) = '1' then par_cnt <= par_cnt + 1; end if; end if; end if; when others => framest <= IDLE; end case; end if; end if; end if; end process FRST; -- Audio data latching DA32: if DATA_WIDTH = 32 generate ALAT: process (up_clk) begin if rising_edge(up_clk) then if send_audio = '0' then audio(23 downto 0) <= (others => '0'); else case to_integer(unsigned(conf_mode)) is when 0 => -- 16 bit audio audio(23 downto 8) <= sample_data(15 downto 0); audio(7 downto 0) <= (others => '0'); when 1 => -- 17 bit audio audio(23 downto 7) <= sample_data(16 downto 0); audio(6 downto 0) <= (others => '0'); when 2 => -- 18 bit audio audio(23 downto 6) <= sample_data(17 downto 0); audio(5 downto 0) <= (others => '0'); when 3 => -- 19 bit audio audio(23 downto 5) <= sample_data(18 downto 0); audio(4 downto 0) <= (others => '0'); when 4 => -- 20 bit audio audio(23 downto 4) <= sample_data(19 downto 0); audio(3 downto 0) <= (others => '0'); when 5 => -- 21 bit audio audio(23 downto 3) <= sample_data(20 downto 0); audio(2 downto 0) <= (others => '0'); when 6 => -- 22 bit audio audio(23 downto 2) <= sample_data(21 downto 0); audio(1 downto 0) <= (others => '0'); when 7 => -- 23 bit audio audio(23 downto 1) <= sample_data(22 downto 0); audio(0) <= '0'; when 8 => -- 24 bit audio audio(23 downto 0) <= sample_data(23 downto 0); when others => -- unsupported modes audio(23 downto 0) <= (others => '0'); end case; end if; end if; end process ALAT; end generate DA32; DA16: if DATA_WIDTH = 16 generate ALAT: process (up_clk) begin if rising_edge(up_clk) then if send_audio = '0' then audio(23 downto 0) <= (others => '0'); else audio(23 downto 8) <= sample_data(15 downto 0); audio(7 downto 0) <= (others => '0'); end if; end if; end process ALAT; end generate DA16; -- Parity vector. These bits are counted to generate even parity par_vector(23 downto 0) <= audio(23 downto 0); par_vector(24) <= valid; par_vector(25) <= active_user_data(frame_cnt); par_vector(26) <= active_ch_status(frame_cnt); -- Channel status and user datat to be used if buffers are disabled. -- User data is then all zero, while channel status bits are taken from -- register TxChStat. def_user_data(191 downto 0) <= (others => '0'); def_ch_status(0) <= '0'; -- consumer mode def_ch_status(1) <= chstat_audio; -- audio bit def_ch_status(2) <= chstat_copy; -- copy right def_ch_status(5 downto 3) <= "000" when chstat_preem = '0' else "001"; -- pre-emphasis def_ch_status(7 downto 6) <= "00"; def_ch_status(14 downto 8) <= (others => '0'); def_ch_status(15) <= chstat_gstat; -- generation status def_ch_status(23 downto 16) <= (others => '0'); def_ch_status(27 downto 24) <= "0000" when chstat_freq = "00" else "0010" when chstat_freq = "01" else "0011" when chstat_freq = "10" else "0001"; def_ch_status(191 downto 28) <= (others => '0'); --191 28 -- Generate channel status vector based on configuration register setting. active_ch_status <= def_ch_status; -- Generate user data vector based on configuration register setting. active_user_data <= def_user_data; end rtl;
------------------------------------------------------------------------------- -- module_1_stub.vhd ------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity module_1_stub is port ( processing_system7_0_MIO : inout std_logic_vector(53 downto 0); processing_system7_0_PS_SRSTB_pin : in std_logic; processing_system7_0_PS_CLK_pin : in std_logic; processing_system7_0_PS_PORB_pin : in std_logic; processing_system7_0_DDR_Clk : inout std_logic; processing_system7_0_DDR_Clk_n : inout std_logic; processing_system7_0_DDR_CKE : inout std_logic; processing_system7_0_DDR_CS_n : inout std_logic; processing_system7_0_DDR_RAS_n : inout std_logic; processing_system7_0_DDR_CAS_n : inout std_logic; processing_system7_0_DDR_WEB_pin : out std_logic; processing_system7_0_DDR_BankAddr : inout std_logic_vector(2 downto 0); processing_system7_0_DDR_Addr : inout std_logic_vector(14 downto 0); processing_system7_0_DDR_ODT : inout std_logic; processing_system7_0_DDR_DRSTB : inout std_logic; processing_system7_0_DDR_DQ : inout std_logic_vector(31 downto 0); processing_system7_0_DDR_DM : inout std_logic_vector(3 downto 0); processing_system7_0_DDR_DQS : inout std_logic_vector(3 downto 0); processing_system7_0_DDR_DQS_n : inout std_logic_vector(3 downto 0); processing_system7_0_DDR_VRN : inout std_logic; processing_system7_0_DDR_VRP : inout std_logic; coprocessor_0_LED_OUT_pin : out std_logic_vector(7 downto 0); coprocessor_0_SW_IN_pin : in std_logic_vector(7 downto 0); coprocessor_0_BTN_IN_pin : in std_logic_vector(4 downto 0) ); end module_1_stub; architecture STRUCTURE of module_1_stub is component module_1 is port ( processing_system7_0_MIO : inout std_logic_vector(53 downto 0); processing_system7_0_PS_SRSTB_pin : in std_logic; processing_system7_0_PS_CLK_pin : in std_logic; processing_system7_0_PS_PORB_pin : in std_logic; processing_system7_0_DDR_Clk : inout std_logic; processing_system7_0_DDR_Clk_n : inout std_logic; processing_system7_0_DDR_CKE : inout std_logic; processing_system7_0_DDR_CS_n : inout std_logic; processing_system7_0_DDR_RAS_n : inout std_logic; processing_system7_0_DDR_CAS_n : inout std_logic; processing_system7_0_DDR_WEB_pin : out std_logic; processing_system7_0_DDR_BankAddr : inout std_logic_vector(2 downto 0); processing_system7_0_DDR_Addr : inout std_logic_vector(14 downto 0); processing_system7_0_DDR_ODT : inout std_logic; processing_system7_0_DDR_DRSTB : inout std_logic; processing_system7_0_DDR_DQ : inout std_logic_vector(31 downto 0); processing_system7_0_DDR_DM : inout std_logic_vector(3 downto 0); processing_system7_0_DDR_DQS : inout std_logic_vector(3 downto 0); processing_system7_0_DDR_DQS_n : inout std_logic_vector(3 downto 0); processing_system7_0_DDR_VRN : inout std_logic; processing_system7_0_DDR_VRP : inout std_logic; coprocessor_0_LED_OUT_pin : out std_logic_vector(7 downto 0); coprocessor_0_SW_IN_pin : in std_logic_vector(7 downto 0); coprocessor_0_BTN_IN_pin : in std_logic_vector(4 downto 0) ); end component; attribute BOX_TYPE : STRING; attribute BOX_TYPE of module_1 : component is "user_black_box"; begin module_1_i : module_1 port map ( processing_system7_0_MIO => processing_system7_0_MIO, processing_system7_0_PS_SRSTB_pin => processing_system7_0_PS_SRSTB_pin, processing_system7_0_PS_CLK_pin => processing_system7_0_PS_CLK_pin, processing_system7_0_PS_PORB_pin => processing_system7_0_PS_PORB_pin, processing_system7_0_DDR_Clk => processing_system7_0_DDR_Clk, processing_system7_0_DDR_Clk_n => processing_system7_0_DDR_Clk_n, processing_system7_0_DDR_CKE => processing_system7_0_DDR_CKE, processing_system7_0_DDR_CS_n => processing_system7_0_DDR_CS_n, processing_system7_0_DDR_RAS_n => processing_system7_0_DDR_RAS_n, processing_system7_0_DDR_CAS_n => processing_system7_0_DDR_CAS_n, processing_system7_0_DDR_WEB_pin => processing_system7_0_DDR_WEB_pin, processing_system7_0_DDR_BankAddr => processing_system7_0_DDR_BankAddr, processing_system7_0_DDR_Addr => processing_system7_0_DDR_Addr, processing_system7_0_DDR_ODT => processing_system7_0_DDR_ODT, processing_system7_0_DDR_DRSTB => processing_system7_0_DDR_DRSTB, processing_system7_0_DDR_DQ => processing_system7_0_DDR_DQ, processing_system7_0_DDR_DM => processing_system7_0_DDR_DM, processing_system7_0_DDR_DQS => processing_system7_0_DDR_DQS, processing_system7_0_DDR_DQS_n => processing_system7_0_DDR_DQS_n, processing_system7_0_DDR_VRN => processing_system7_0_DDR_VRN, processing_system7_0_DDR_VRP => processing_system7_0_DDR_VRP, coprocessor_0_LED_OUT_pin => coprocessor_0_LED_OUT_pin, coprocessor_0_SW_IN_pin => coprocessor_0_SW_IN_pin, coprocessor_0_BTN_IN_pin => coprocessor_0_BTN_IN_pin ); end architecture STRUCTURE;
-- Tri-State driver component LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY tristate IS GENERIC(width : positive); PORT( ENABLE : IN std_logic; INPUT : IN std_logic_vector(width-1 DOWNTO 0); OUTPUT : OUT std_logic_vector(width-1 DOWNTO 0) ); END tristate; ARCHITECTURE primitive OF tristate IS BEGIN OUTPUT <= INPUT WHEN ENABLE='1' ELSE (OTHERS => 'Z'); END primitive;
-- Tri-State driver component LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY tristate IS GENERIC(width : positive); PORT( ENABLE : IN std_logic; INPUT : IN std_logic_vector(width-1 DOWNTO 0); OUTPUT : OUT std_logic_vector(width-1 DOWNTO 0) ); END tristate; ARCHITECTURE primitive OF tristate IS BEGIN OUTPUT <= INPUT WHEN ENABLE='1' ELSE (OTHERS => 'Z'); END primitive;
-- Tri-State driver component LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY tristate IS GENERIC(width : positive); PORT( ENABLE : IN std_logic; INPUT : IN std_logic_vector(width-1 DOWNTO 0); OUTPUT : OUT std_logic_vector(width-1 DOWNTO 0) ); END tristate; ARCHITECTURE primitive OF tristate IS BEGIN OUTPUT <= INPUT WHEN ENABLE='1' ELSE (OTHERS => 'Z'); END primitive;
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c Y2O4fk1xOw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV FIedseAJGSJjdgeT43M= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0 dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc mYqTUQDFFlehrx6Wh0E= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8 SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 12496) `protect data_block PKlpisMKFINH4hoELw81Ae+vpIr0xr/BIQZISQh02QmAYRngfWchi+A+2gXJ0ErM+PWm3fbvLHaf UADT/opvnHMCrmwuOuQX48J/a1y0sztlHgsA7XTu3se9+qgRV1272cuiUbredv+aMKTViShOFEJT +XwvgmUQ6VPvDVe6vx2QuNGjcFHB7syvFFQSeXXRNnpL5imdnbeZtqKRTRPs8lrW0yVMXRHZrkL6 ZpiLjb8wvSw50aybp/4fWVwokrlRrd6pt5REpMNOMTEBQuVdwvl5TSm/YD69x4QfehrRAKZgMwX6 cUJk66e/7pOjxMqzwBK2FferyX1UjPuRyKCDVxpg1+egYTVenjSEoZ+fzvkYMC3O/LvMVZTSfCXs L1BuO9ypLGyE2xm+OP+lKm2B8/PHCQ/loQrqixwN6LiJhG2DHxhNorSQEQNGx2ZBrEaF6bbqorK9 P/hgDt8P3qwpOLI7Opru67OO/mSaDQNfopRUWxH558sF28QVM2at3MzTT4/JZJ5q3EMgFhHy9H9w uyZfdlb8H+Akt6Rq8KgA373+jyN8vmUDKfvo0WPDPIMj1y5HCPUMZPuNxXcg/J3pw4Q9AcBpzFkV eterIqx0kh6DKGWwNk5zan3tv4ET5hWG71HQJZLoUDgSkAvSNLBfrsvRj8P8Kcx0ATCltAIvG4V6 ASXVJ82B3PCYqGNlzlK55qyCCqX0CU5FyCC/XkDKvEJ9J+kw/qdCtMIY+WhUGKAmtfcYC9aKCYmn EpF0MCY/AK29NUh2ertbHFbwE2nu5fCtOko3vVtYDL6hQon3TUe20eRQL8O0KkIcIH2/Jfq3zDlD VxJ6iUQURKSmOpektB+c708yu1lT5qO9AvszCeHfGlD/Xtrk6ueRjy8xEmxptx5F2gb7nkfYQQfg 4hwhWVhHWVYl0GuQJWqEu7sGvlYgfTavJOS5gm9g4lbOYocD8a70UynwZNYLYOzKKPJ++aiDthgz AnaqAZA66BH5134YhsVrjFRUF/D+9aCx56D9TteJXc35bKqbwJpWsVliHfc5eGi+DJZd4Iivc0N0 IkbTwzjUsWZFEA/Zf2xmri5lniBG1ykkKzIA3scc3Aj0l1Q+FfY3KvreNqqV+g0TLj+vRnmnFDfi Hw5u0Ei1tedKakwCLwBkrUP81IIOx9hquI7RWCXw5vWXrrPtrk//JUIVtRkrV4jjUv6cWSC57xvJ CKLsatz/vA8KdW/sOUEjDVKHfyBzIX+MxIUkZvwwPmWoW7u6qqW/aRk44/2GemzVNpoWB8LvGVXv 4YthYMUgdeGsJq1Ih25FdOJ7Tb3gLcfB4eY6H2ufmQdoclWm0MsMwXF5nBe3XM+VheV6K5Bror83 l6PjHzuluTwlrSor0O9dYnzqEl5yL1qubpaCMbtbXqqjOoFD8TX53APe1OUI6TtQCutf4gjCbcGi FZG97TGhqglGj0PGlPgymFbBkbqV/55jXm1THihSArfQHbanQD0FJ/dGTYYGPGD2OuGU33geTl3w w0qUHpwby7r9HbRqq/DLxZR/RjPJtkhucdzv1JhI5/NtGE6eD378ZZUORKku1vSw+07j8fPD3zAF bFta80INrV2y1hf7suVUYb3vN0GJOFKI25l2EtcvVH1wXmmRVrcG+kf3OzPDdmVxEsv90V3UQ8j+ P+rkg+Z1yr7ddaD4uxmB1WRVeRdyK/pgu7Ie7Cn0jVh0pLdUESP+IuHsklMzurZLvreWnWA/trsQ 2Pz6j04sGWpuvkCCtgqi58wq34L82MHJR/IsdodGDMdlUXjW7Myf69MFiwTE2PG3jZQ6cyn8Zyel hwbX0WmOzA4p+1bSWBVbZoR7De2MzUFb9ms2xXff9lsGuPUvRJOIIb5GdmuGsTCkOKkaZzxbCgs6 PQM32etg/IdnF1iUOvHKq5R2v8/HwCdDRjA9GGiawX3TXlqs64fAHGn5doxYv0DeKNIVsIqDMxc0 kGL5MCEgRb5qdnd2yQdgCBq2xKdxdbT5lBb4vhlbAm9QMyMM94Gbtk3+ck8p+Ww3X74I1xgSOvSY LkesB3FQ422GrBizYEih8yL3b8vCYrjc0BN0ziGS4e8Lcj89OaMtxo2ERy2/K93eDzSviMbhxTo2 t4hL5UV+gDvN1LoUP4anNDT7kXCWPd990QjZR4lFejXRIAxXJAJSKIv72hqWi9IaUawYkVIGlAhI BXBz2tlkzsw7bwlzx0uBD06/gyrLqmSeYnimuYvy8y+woQxqSKPBQ1R5LiCoQ058GccW/gu0Ojvn biieZNyxHCflX7FbabnWl8RVDVUTbZHVEtSCim0X1JBvml77QP2QRGEWo0CgWIF1Ob3V/SwWSFpC rjeWCrRkKe+qFGQq09k3gUJTsCRd9gbMkQ8jEF64f49nmSRFf+c46txY8jNFem77P3CLWWHmPmLa RYp8zsY7WPXMpsOKmWLGJd9uz8oo47/Jl36+VKUSL5m6/PwU0AxGi9drf74kYpP8nIrI+b6j7z8H kACHqRIXApbaqA3JK6DEGIQpSRG6RUEvZD5wHVpL/0+7yZd5FORTjRgGwMwyCTUbeg9/2V9E5t4m JF3gCnklOhGXcGoWwbqUji60G6I33k+/zQj7X6xrYTBMw+qGKd+Mz+isy7vi4N1BfXgsRECx/MiZ n1fzja+kP5m9tll5b8scRrI8k4++HsqM6Za/+0MvedGbLokKGt2cTeCabXFiyqgOyk3mj7b9Jkhw qL2Wcv1VZ2vQE/iucOoJBbmGi92o6gxHOqTK8RyaLnXpzrWNLgZJB7BuFx4E+AgXX73RhJLYPo40 FsXfElH0TzCK4vxHz7XTEHqKecNIC95+te1IpOZtgYANSwHNYO9+zRcge6CAovoaMp/Lg6VCNwJp Qaqqwkr4UotM8SK6fYPa7IxaOQtktk3locIfx/meMGmrKrti45PwkahaoQx93X6iGGea8zWXJ4Qb ZjzXj6ugf9jo17Pz0mqwF/XJTg8G1rBqhwwjxvZm+Yiplw4gJ7mCCh7Eei2mBd+a6sFNhh5Jtblw nNtkcqyigGxwgZo9dx3h4bZSWb+hNMKhOVWH027euQIaoNkGgC5XnqR0IOgXBQpM9++yl2W4mtIl m/j/An/FUGGjQuHIEAM+NYe348sKbqAgkfYPVT3qTxzvlQ0I1/LWypObMMEcQqVQ0llSivpSWSHs XhQqUvlBXiVQuT0JH/5W4KWSdSm1Os5X4FqVjFP97zM/BptDSiJRRwI35t1VaHj/QrWampSpW1XO sljUavTYiZAKl/cXbRA/sjvnTf/molfcMhONwoRIfKGOCiFUCI7toKm5MuvRPg4Rcv0u/OoeGLlG mDyQITMY2MhwSad+i2KNV1VmzvUnlfzduKsorWR/4JvhVVVkd3uyBBrj8CeVbamtKDgZr+xkWtr0 mjT3ypBLZSa6R17OFgFKnuCyKd2iynXIzcQb+RqRLUB+1mc9xY6BXSlbgvf0UAnOphYi44DhFciH o0MLm3+KyuyYGPmYwFoB8rvjRIuUqF+4v1ztDF/iqK+vFlN/KJFfpo9DaD9WygBUeKUMTWcBsIAX 1Te19DmIpuH6ZfYw89Ir/Yv5r0D6s1pJJ7ru94OPV0cAg6ANRd7re3hnZ8p4I2I+z61UD+6BlZPo LI/dKL0qx45wTPLvXURxzfyvUtpuV4vnf/L7jSWkbPh76cIuIJFBKIa9VBksL+LVpy23TVpYRkl7 yzgH6sHVUmwETQrzj7E7h6cFavHVl6kT1xZdXNfzgW7UAHwJb7tOVJrAqIY5D2TkFibY90h+ETYD N61rQHY51LbrecGG3GBZOMzbmnx8XTUTpN4a6V6xpWSdQ9mFfTXhSCEZrxxPqDOyBeRrG/LkIXKk jWFW9LpTbGIhS+ESaMsNyDqxKkk7CjSItOJIeZy+K8XDYu7BzqoreRRaquSNRpvdO46srVJXooy/ Y6SfjH4FQL3TH+El+XZMvvT49VLZ8gaYEKzZE0KgZgtBIrv/XpQH97W5+kiZnzDhmkyrtsLR6SwL EbWA2h3qLw6a/bqf1q87wS56F9l0Uzt7U8sVzCNw6xRpzHDEh8042jBYaMb3rIRRUYlnw50eEWy8 1coT3cVnGe0cnUbpWliWh9G63gcB8EM+/5BjNskjaraJS+z5KSPP/VVktHDgheO5CqpnWeuVjcFv b0YhFrl6wdYIVfhB1dI2aNIKvWzck+9o6V1g3w89lSHMupm1KGVfTg5kaPNtMVfwDEDm9XUcdjM2 G0T4r3ihRN8rdpLnWDiiG/L38m+F0gCsufruy6RmoCsRTm+pWPoDn7Ysgh3ECin8EghG+qiL107a Bq0LgXaebhmUGA3uWUKP/uOjEJFBttzxRMeJTbg1VNK98xNVCupB7xczkLbFyVsJsByitVVAbJ7v 0rmzcD09MhwEinfxIoGjGJpOwJ9rBLr+Hyiq3nSGYo8Kw+iGt2X60GIZ3fNyrj6wsUTptwsn0Tmw uBatGxKHAYBpBr26DqzNc7cVNep3/UsLBVjCYoGzOaw0DDsBnj9ksKGgJ6u4VjgxTxq7pENhjJPF bhaXV7ZLdjIacjTj0cMIyUfz4jVOytX63kCCF8jbmCvquE6PmR40Z4LwYcDro5r6S6ErsX5gs54x KUHO2RfW9pnqO7ORG+fAb7xCYQVI46+fsh6TZ+N+gJvSB/sHvFI1Ldq1MBoohX4OwjAE4UO2TrIA S/XSfgJxZKVgUEF//gZdHzk8NCPUITvqqBc+BRN6E+40qgjETovtimcoR+E6jk/icRmPpQRKDzSf 5BH3LSkiynWR3BduelmlRnye/tL/kuGf2fqWrYqRjV8GUF/zs4cKzpmQHBp3bz2WYkHjFbTObez3 z+Le2XbcltXJ/LXUO+Xg8EsRXcbmrsqudShXQpcTwufXCe/bMvJaRcCxgJil64wpfmLbRnhzUoJx 4FrY8GDxAZoU0L+2aSungJNFSIWjQ+h3azXh1ws+cs5QDFe+OZPzDXwR/nZBx8ny8umr+fHO3WYZ 0ChNwN9vUmR0CHQeQT0b6Eu7NZkXbOCiWn9t4SoMms3serrBET0A2h9p0CcWXJJkJdKgzI98SoXK MRqO9cnnu7n+aoDG4IGzd+me8UFJKM2I7dGw2xH///w24OsqTo115AUv0ZeOch3Lfs9fjAuWokno xFmHgCk1IEpkzHukMGvcc+wCE35AzeK1HS34Ase+T667Zesnb0YWcs9i/hVK2b5KJ/gMcAGgUMNB sMOx7RPYJ9raNHu2sZFBzIQ3UJQ+8whj17MKrmDGb0Fas02nM1zhjQjxzBV7s4BWPY7u28aJZntd z8WnoTBLDZSJzdNKc6fh0tEbQu3ABBfOZDeE3C8vdLhT63Q1GHo/HBozpxr3UyKWbbXEiZKlsjFY HtnIMDH+RRR6g+CtrJVtzEGHB9ARzMAxc45YYaJbq01RGFUHZL4yVqEI/kEprpNRTK8Yg/I67wzB NW4DKSUD6Qyb+NFBrfDAkP4faT5lLniBc5RuiDF0A6gD0xvcQEgkk+qjUiOwusclQ0aGuYxtiQmt kQDHgOyQQza3QJOXl1lt3ApzmP7pU7C211tmChja/5jSYqUx3NxjbBEO6Yf6oHzORI6KPCj6z3Oq GUkIxUXc5TWjqwYabu5STRBZF4tdPyWH3KXgNw12w7l09uNP1C7Qi+Jvpn5vLWH6XxJvRY9Ljt1A p0w22PVh0L2Nx7J4f0p3lNP2nD742JjfBg/vvND9MyRLg6D/RtRtdaex2cd9k4dR2G9tN1Ocrmka QKLaUEte2RPjk7Sn8tVFBRokP5DGlDSQbU7EBAGPNymCSZ/ArLnVsu7L/u9N9ueVNIfgunJWQrqF tEm7dY1N1RaliQRo8AH/7cxOD+jEYT5ClcPW6/LhEQ5LPROMxhJfyTrrtG58UnA6sTcNT/UmSpVp F4sd7qEluyVqVyTEUZdgo++d4ydBtIhitlGxoqJbtggxpb/naaJt1QabNLptr26hx4rtYLsFNE9I 4Hh9jw2UADeBWoXiwS+JlUoC0mgSgM0wFi644/yK/I9iE7J5U+CZO1qKZ9csxkU6axL5QabhGlc8 AD2WyzY6rxaok+9DRvb7BQT96GvGtaJfkwgE1L8aWwsgs2RrKU+2R3X3ImSi174O5xv4Qo4H+0TD SrA8rmzFTJc5qFkI7SOt9SYu1uq/EfyBoluieh4oznw+vBO1rnRXPsBWvchfa8C+F6l3KMQbHwrY xdL5X5SbLUZ4o69Y/ktmzGXQqIgZ7vzrzU+IPNjvqJyLMSgquN5M/9CsamTA747EjSfiwJ9MsSS1 efbmAikdbbFvpHsqOYNLiDaeR15g9b73k6oU2iT3AC+FgAq+uwnOqv/YiYDIGA69JCbQvHgCr0gh JGFTD4zomp+Ga6s1CNnEYQQd3PfVXI9rlE6DdbtwQF5+zoohk+GqvwNrG1sVBC57dkAXUYQUMfOq tgZSy+CUvNc+h4+PpoQDphVVVCQBurc7t1PIE8mmReU/rtnfpJH0676jTI1p9R+2U/+cdnbs67pN g7NHUW3UcAf3BD6eKcb/Xi5m/BxCk7ZOBpkR6bfvti6LsXFh0IOeeW59nPCAbS8R8Y2dvCUkO8e/ jf3rdCmBNWigOpJUyTijGwFZu7Blp5oIQ9o/sUJKh5J/IWP2nJmUJmTATUt5ZPjToG/4MxZMmZMN 4ZvB0eO5LfDv1DihGjj0rs+B/hMrD9V42Ud9T+fGbkE/MFkVvGvnRTnGG+KytoebCJqvm3Wbnrow C9jNB0yIiMG9nYU8FmXLaszsSxAOd3DprdIf011N3DYpNncvsolu16+oyyvjmv8M4bZXZBqqXGgI 20UCZWVZOKityvariE2nluDEGEAJoYs7yO8zaDVU7uBl2i5gj8jo/JO8svMpJjQZc9M52R4ARQbz GWCTJfETg92O++pwh+EOmhoc08QOO9pRe5nlNvzf62s3W34zrMzQEgTI/9j9+/Cw+z4uBNcdRo58 i6OOqBIu4T18Y6Tx6d8EUdvZxnqwexeSfesfGB7b9NRPGpYhUfr66m21pWdWtI4c28zHCjv4ca0T rNItRN4qntnx45oKZK/0AWqivBggGLBRytq8VapGGNpp/i5jncXFbXSRKyva2QACw37VQo5s/WnS 5zdoY5oDUZu/GGIqic4H04F+ptYtys6KeHOcwZ6Y1MErAm04nLdbSaZ5zEEDNULn/Ualf4ardcO/ ci1Tonu2CVHwkf+Z6GHLG5CRmHnmh7C62ZHlkymGdj7Si8mMNCo03bU/j9TnyxYXQRu+h4ae0C3q vH3piOFSBjoPrlhG2eE9UknIRurdZ9WoUXD1fMzXa8VuBV/u44ocHMCxKWf/szKnsvlTtnv9nEn1 +xpxSu2RdBUusRdaN8epVKtkLvhLjV954jPcKWWtsGhYZkGj1x2NHUol/JJm/fj7Y1fE3TaiXpLw rByG98Vic+fSnfUCpqp6x30fJKVo36o4Y/d4TudA1Qw4HGuSpH3RGPStTWmioOko/ekJfkIMQ3Ap fOI4Lv94l4DlIuFOqbBeXwzPj3MGrzRvUfcP4x/CNsqqdgf1sr6iJwC126V3sdlAj6Fg2GviDkQN btT5Z5REAzBGvkchd+Vw12Tr6Vl1UYhfIJe3bCd8KAj30lIeHHtdb2gkQ6vkIHQjCFtDlVMWmvI2 b05Zfjle550E25JbJeexXKUNq+qGXpEO8Z8viTHGSYWxdvPCeJdRTfC8rn+qNVFas056zVt3bALk zoMfFQxWJnXtoLHNGLki6CB586bSzl+7FukPXzySs1/wNd3bVaP+Wm5iqUgHJ+gxAiGVoqN0gOoD rozVNWxGHOX0WBH2Y6nhiiXBBORI8HlK5hZw3azobFfGXGZjCtyx5WxQbqssFStvFfMobrV8jfIr 3CzFVDpt3j5zTR8/Nhm+TWuR0VKMEjaq1HERB7bynn6isBh1r0Pv4V7m8+WXVE2SU/6XGtRfoDqO I7PoZa8VLyqEYBONikozyA9WTohXWc/ECwGKgekjCHVgpT7eVBIbDc9DJPL2wWXGZa48rMiqRS/V eSsR0sePQ629W3jOJxneSI04im/wdwkkpVxFfEMYt1iBlYbcyWAqBQnSnrl2CwGKiYTutYbkzpfS qQs5500Ag6DrM2xE16d60Cz2mFY7G6S/0subtRcEPbXEBv+X6oghGx3y53A02VX7cehaEekESxT8 WCdbGq+L8NbkjQjOejdeRoO3nT9yvoKHa7Vl0KHHicKsxeiQRjOQoCAx3nlx/GMarmLO0lr1dLZz q9fJKEIApTLsKyFfZan3BF+IvE+PJFNWZvlooCsnt46XC2xjndMM4v4DBnSRBS8shT67L8I0ndq7 7B5Zy9A/F0B9HvHERh1FrqbfnBBue5cYuvHu9Ad5XpGzhr5+bIBvnq4940Py6LU/UZSteZjcMYJm gbsvGnQbB9OYIEyVnXs0kbvs8SJXuh8IsNnJ3ZgsGI4gM+IJ3RyLxUUQpqA6X+IfJibPg+szUMU2 +HM8G3Ax08emYW/6E8QlpAHdxmjf0kC1RbGKjCW42qzhJ/+sNXjOpZBptUWtTzGh8rAP4zyHm4Bt MlXHlOhDml0OZheUrTH1ffm2zoUsRARUuc2XB2e5yZ1s5G+JBVR8RztL0Qo6MDfjuKIyay1hbB+f NU658dAFuyhceubXcQWwdptu/jiAXfEg11bAolIsXT2HStIQEef0C1SZYYsN+41twR81KtusfvdX zsaEF+BYyJrL+C5jG9ASrvuaPdTc5zexLgb4fVvsI9fw/CP2NJ3mV0+6+XGLXwvpufPZAHWZ2BnF YZfytRpkR+X3mcpkcSGhxIOVUlKQjqoQ70AP9APfxEbWNbCVoVYbEIU7SYRRF6kNCTOGPGH5ssC5 FuV1UZV4ftiQWgkEs1uXStZugWYncB3UsuSppzXKsKA4EbMh2Q22CyqgXJe6ZHnHS1aGINbusb5Z aLD8BFZR+V1rDq4NYxMxNm15lqsmHvPygqPNUrUqqst+qs0vyhTzIgcm1T7oBG5e3si1m5+kYD0Y jCHJNx1gW5xhZgyxHWzOadE6pJz7zT44Z/pYeRt1HDBVIfllZlLOVICYhPuoBXNB6SO3E0dE3Xou Om44z2GFFSuZuhCNL4Ob4idSmfkSfvBrTLJGzHqQL/RCdA8svENI7YJHvzw9r9XN9yu8j02nIT5X YxP5iJ1efHmvLbijKhu5o4QifnjrpsHSvam6b+4ChoJgiB46E1g0WS7t8NTtl814nTcsVFudZDbG Tq5G//Kuj0uzfBun9jTRG9vpg7lwQc1rZLvlM8jparsvQnf3SHaLEErnE+c0rTScdE18qW5k+CzM ZWjc9pJIavAQFQXWgEYLdel4bx4rIqkbRqL/71JlAhcuTVmdNTM6H+H8S9WUPOWMGN3qzrmAyD0Q IH/6lX6Vs6GWq+izSve+uqcwX228iENiKLYgLwP3EXMHSdnlzEdvhLy6PcAe7OM/HmziEL9knx7N XxqKwJcVvRFVaQkNp/PTAELbpEL+7B3XQ+3voDeUsxJYuqtri4I9EewlCMrw3peiuTi7ZQfhWMQB QwDrcEOfK7nlzfsxbWdcY4kHMnd4xjenzv7CpPgtA1+bgKm84UmGyZU66fSqOB6Mz4pRTdgBhEMF FDcHY75HRXjkUJZ7BCIotuM1PSZsK3oNrmNVNsYEftOjuiLdn2urFw/YOwUKe1KXKhrPdjQc9TW9 S4BDksAZjVZ6rIyJZ2zRU3/nadmCzXGTQq9b6w6t5Lh0B68qYCCaTnAw90pgWmrqVG3/r4eNHg42 PS6tyoHNqAws5acQIV2PNR0ImMGSrGdv64oo7pghNktAMu2e/WXhHBQI8DnprAXg57gjIU5dac81 upfZWFXTvOPHfrTjsaQWfvqR0dgNPGN/MfZ0dOmWuMi7FI3f5EQ/bRC7f36Mkb6FQlW3imoaztoL vD+XUcTpAXfogpTmMfeh2/29K1vEIiIHfZVkB15Gzc+498Rha9PkS0kQ2z37R6eEdrC6MUP3kib0 LH6vQ9GK4kwo+4TelIeYX2e2AMgNMZOL0w+3qzDreZ65uovzosG1X/z0Dn5q/wp29lPxD7yU7VD/ QD7Otpl7VRe9d6qT18SzNBFvYgJnuWmvRCR3HfXCGltIy/Z8xl48SOPFnzsW8jwYtJ64i/bTx89U S6P4deEYb1HxekzSWSUkdTAXrXqupK/iTbicZ1/D8X36PHp9eIt2Ce3NNnChD3WZ9ANAG45/hLMa VI1yFiIn2WVZtTjT+RE654xpsIH7VP2zgdWaf9icVlR7/W96OUoQOfYBj1IyVAl6cF0RPhnXHNX/ bQCEwwLFEdS4AYnF4AC8cKUZJYw9CEGfuIwAtrRG7amVWedaf3JVcOVQxXZm9IZKBtM11J5DxB0r b1bWp6xwiJ/MhK2U0YqUEYyF+DxdzCBZWMrA/hQATt6G/l+TvqWLgACNKjBCpblQoKqB7jEuCCX0 9573wcZ3u9eZkBHN48ypLR7JMz69uD78/Yr+3eLTVykMgFWp4kW0eTsHP2vwBjZAvlTn2vpxk0eg 8NbUFiXVHa8+Ki6PA7Wkr5NvSqSWpKuGu/vH0hiXLjQj8vgXBr+2LtP8IQ5p3eH5ceohPtl3tDk3 9DTGot2uJH2m4rLBuR3ci8HOLLbWBvbNXL714MyJTKpcyph4CushMQudoSxP6LJbasM7oE8ot/uc jd+rwNXJ0nNYHqEZGU8SpMUn9whAACzvjC0oXS3lrLouee03/exT0ZVYkEug0nUjOzxn1TlkrkfG Pw3aLtnnk/SXMuGXG7zXb7iReGVJch4d+shLRWJzVcKD9r1iY8KllInqLWKo9tnG1nSC6U6j4Ff0 CehFf4OkagdjusYXNcH3xX6lJn52xyLeYS7qSUyTU0ySyYYa3iUHUB3nmuohQYCOJlBRmOmFP6c3 2JH2vSNbqo8mbYt/845JUQgwJeMTi9wR2buJDHw4okcpIxmY6bfvktLfjO/JQ6nCeWXgAUqFCHkm mDYQ65jV7by8eFoUO2eEc//Kl1VrFV1wowEARugw54F2T3kB917x0Ua8bqTJxGuSWJwzjRovic61 f8I7jkwzjzT5T8GyehDZb+UP3ZBsxl5W6OgET/ncOgChl2nO3Wc7FUBXm07xE07s68FT0HNMGuR1 UGFTIsxhJfaJxI58xvo1g6jznQwEGOS5YzZIjU5cyuG73LQT5wbO8496n2JDGwZCKIc+RRyUtj2E 59VKY2BUwRVlaXZR9CaExEF6P7nhQq1DYotBe87OBksqinUeLA+V3twBKT4ve+vqON/aurKjSvG8 q85tQ40NlG90gZUnJFGyWoGPMVnNGywpzhZbwNJ8ID3jSTGO10llY+0mCOTCoRwm+Tfl5w5oMaur oPcXtAi1Uf05s/qwdfRGVbWjg9OK3pXMSgi3hOjqTf3o4dLY/WhrVklkRtET1mL9ZZzN52TFtlgH qQq7Pqa1KJ9VQorWPYvrEqpZYg5iBognd/px/fivtTVGzts1uxxHoKAYPcSgZDIpdvM69qE91hxI ohZKX0LjqcU9cexZk7v3MTCw4hJsuoWA+ClwsRXcSBs8U+7zbVmgDI9JPPNknXOK+Hy2tqpywW3g lH8IHWqUuvw4VMVcY6PlER00decK1MxNTOphMEcFzCxFAqx3ja2nJdtd411vykHgOv2KktJBZB/Z p3r0b/+YMIUWj+CJG/dEalb4aNdUIaTfu8gA6/PFP2cB7dwMu96VonAV5wp6IQGTb7oU0WDYSL1J 7h2p+fWRCnYYua2zOyv9Y3Taqk4lk/mIvn/VpLWS7fH2O5AsQ9IE0s9wJqB7ZQq0AdJLVB+dG38f DSwq3uo0i6dodxLeWzDhlDyMeBqI6OnZpFd37OlpcehW9QcufA4aht2Q0JasmtS3y0xkPJrJa5xe F2uOjJUT3yWczTNili5Xmu+aFH4ancZVMKKZKKbtY+UOD/bwQBWGoKON+aR+NuvgTl7fAY4oLyM+ H62cTPZezZAMiz7R7AoINWosDIoHG1H3LoXSBE0q5UDBJ5fmU2QnfTKS3LmB+gERt5rgYC1JNr8s g9l9EKVPQ+Tng2iWsRNdQtNkc7hux4HRh5SCP+FhjLaKY17TV9kaQHaW0fVT0sSgBi8LZDx5VVnW WVCTeX95eu3d/CEKJ6pziSvxOGz6thw9sxvAR0NBhzRb7I/HZKHPepokPortarrU/awaHQ/Uhp7h 3XbefJTvattDNGAQ0inr53OcEyPwq38KkjiwrFNNK+UsQE6C65Y5dxgKkzmAUNTBhJ8c89vf8b+c qc/Fj44ssdqJMhNxo9xngk/aHcuLVaETa0o5E+LF642TyRJ8EZFGXlljykG8EzNGs0XjMIhOkgQK TbL6w8cxTKLCBiwemCcKKD1OERczs1OJWdH4G+RB/QCVxUjTht1hRod+GaBYdCxdp1fXjKuhbgzu 2lei1gqvd01ilP6SlC3r4QSNOX7hKcMTxfNzQ4GXjmUeF0lqNQBvK5R7LVIPX4Hx7K3K0q7KQI5/ smeaWITcChSddoD4MiB8wku/LK/1BuGoYdtiX4aUWJObU6byg69ah7Fw0NySlIGtq6f7OaU6dKka dB4C6xVNzn6QnasLMAVLH2vKNrWyP7HUcUo9aIJ06Tx0OClg8a897pwgBfogn9oBzO7BH/sGV/Pg n+he9KpeYncJJBYKTZiobkeO7fmD2fe9zxjuH7DK1B2R2Vw2TIbfWivMdQtV4UZINmC4IEhK0iAT CbAOQ5K1l0cNKyECTZnh9mJi79prujl7aWco8mCHfvIpBrUN3KS3MIkmzHXx0uMxgZlFDWBm1dok HG3jNntFOxIwp/3Ed5yAC2lTUZx+B1M11H5X6KEYB3mFlVqgL7xKFYoR3RtkLuoh6Zd4umMdtV0H kWHeuxX1toLIuw4XN/e1vp35SW067plDsKSugwWg0CzBa6PWMOpOdhoM/W+pyteTfLYfd9ZtAPMX g3Q5cfLawRxQsqDUmPVAFpDceDoIBJXDwBkKydRtFEEOtIsJt9468kpW1GcgVktuauNHjGCPQ5Ye /CaJTnPfbsfz4utiUom4e1ErogTxebo8myP4jEEZgjvs+fwRJ0uQM8P3G7/HZni/y7Eor01ctzkl 17B6JCSK9az3wzt0Wm6JPFb9IlMQdN2ND3WQJsg6Kha4l1UiS6DO9Ie1u4ll3/G4Z0srLvE+S6Ko qE2O7gl7bEdAY82n0II8Cq06taiOZXwGghIEqRowB01xx0nrEoKoTf1whvEr+gPR/Gn2znbqU/+/ VT2/NVABXFAZBzKujzSLy3c6g2nKhM2bysgsb6jf3nvUd3+r+8IN1ZFVRRTSqrSm/YJuXn55Pjyc Kr5dUQCAmjla5Sf3gPpR/2qIAA+bna4dTjjj/qDbVAlejDiiE6fsKAdX9dqGjpzpkjEk+48xf7jH PohTNAcUBsbxoxelq2MrcHniRkcjxtE6HVSXuMNCxevqduKiPuvO/5tRv5aciwcsUhki+5NJKQEn GH9odOm5IbdPYzH7W3tt3ILliS94h6gM4cpaTr3uGij8n+gp3FtC0DUtHvtePt3Yh9ZHL5JzUFOj td0orGCJRsd3ZZylnbqm7aHStZc6vrYIioKtQhBHhipqaJYsqMlgNHbVx5EOvq2Wbr+6/koAI/Kl 8YZDVGfyg6OV0tEfHqIS3YFCoeijquuNUA7MxEDUlK27R915a+vcqY4yAaAPBIl5x5QD5LpqmWKT MWx6W3YOdyO2CQgEfAgM4Sn2RJfR80QLKWIasqVyAbiXwyaHBu6v0pO3pQNwsVjS7qVxL5RbpbgF HqYYlZstdL0KPgIuct8sJda7ZhfjPK4WoHojsXmP//ruaR/61mRGEZFfT3/0swGBvL2NPh1uxCo2 8zHv7BFGKsTQZgV3fV/7VM4P70R8Bavsp31Y/EmanLv513V6cZtfz0gh/oWTjmE/RH/Lt9TluWkG 2mA2iGMig1rO9z3OZLei0BApITNob6ZyqAiDSNIBfa+o1w9cncCcCdrsRi737Spqxd6uT3qwBxzE VV5gyx4vRjm3mnffqryJk7qpHU8ooYbbk2cbn/np8bKxMjfUQMJgilO2Ck0mi5/sISwFbt7nGqOr ikZ66E2P9tH4sY9OU6HVglDfCAnEUYSbxQdUzcQ5d1Q5PFOH9LlfkslZ/NuhNd65/0C+PljUViuL qEIxnKdPZ9M23Ikl4T8HKMomJzKGw7ogWJdZwePX4UXDdXLKYi9pmV26foZ+eUdbGeL3o241KlZ3 EJUEE1fNaaRFjkA3W/nnLoPV3iJR1PU7ngjStpW9MHPV53qfpJHeQIw040+xkApRjH/TfpZZiH8h mJdxJ9TzL49Ft6ZrgsqJlBy1GbFWtLrQ4vPXTT8ovhglYMVt1EXcQHiyGrR6GhgEy+t6cbTxZJP+ MmFD8VxpqO1ybotnw13ORMqW62khRbRKD64LGBGno4jnFhIQYMTH2eC4mubp7CT3RVsA5fzXhBkc Nhldof23Orb15eo0HyePW3vGYb3vzGnn58WsNdmjD0EnzpAodKS9cmYi+vGrpeziw8B9BQ0lZiDk Pgni63m/Tvnn+G+snlWv0VJ4XodqwkcN/VcR0MNZ5Irkr0P0FGQS4ZwkUCU4uprR4JYWvmuTDrDY 07hg5EQeCUIZoY0k2x+aXhmpIIzajJWRlaJeun3df8SLA4Vf/IwB8u9hYH3Vf8zMAfOc8mD4RUL0 nnwFgabozK/tuBagmmsIO17KHhy97lMW5xrE0x/6gKrYX7KS+KKN/ysdHi0++nGyoDTa/ueqYDtI V4lDrdvLwP/2vLpmfx8Blnu2RQqX5T4GZuFxQBXcxUhludArRMpWroq9OHea+z+EmL0YbBYebJAz gTzsuXO9EMugknZDaD+/WKg1aZXReO+2rAAHviW75y9f2mSyUhGl30ukZEDdOEdtGQz6BKNwFiPt FDUTARbeCOOvSejs/ndmFSLc1GeFN7jsQpxRuv/nPyurRxofvKXTDGy8t2iCJ7ClNdgyr5HcMNzL QK/ENpzsYBUM3nJn0y6xWz/akMaexW4TjRFZCHL9UwlE3twI876hOhiqMqmp52dep98+9LXke6i1 e+JNpkinuN++QAYZCoNR+AmsfLW6jcfUWG96y5ySM0Yhma4P0JCIpxe5dehjifdO7OBUKsd7lm2K Zg2uSUnLBY7dgt7Xvh+WhI8g+eyYsUoa118MkXPsN26gFpqkCjzjO7ozEM70NwCLS3P7ioJi1HLt lBRRtIag3GAmkHmgXTAZB9o/wgjZxZ5IKlNBUdGRbutT6Bbxu7loFukaFALSwZHz9H/Oy93RWvsU hwPeRiawM5HNstu71Av/fDXQqOoX44LfWPY4ho9rLKaVj2nrA0CsQDjd6oW92JhFjF2chKyNy3ii fh3+WJD7fFeuJBGhQD+JEnvuV8rsWMCV1DNG+On7PEb481xfGTmINZNpRoVYf30SEJrVflKT9W6N kPs/l28TLzUEM12nNA6s1zPHX4qD9JVM5M1RgcRt3pgXFzKRE6s0/SKL9VYdqpO0DtV46+yLGxga Ql+LEwA5nX1jrL051TXEAyKp1ue81StI5IYLmFqj5RnsXj0tmeAJpBbjR2Sc53yhRaVaQiqroSFN M/zDxIvOkhgGIHeotk4EUilahdByoG2ysflxszVQZKMehssSm6i1YA6EZjKhNq8RZzn5U4uunyLR Z0U5ghtmE7tgDyD6WyE6n7FdWbleaIj+/43LQV4NYRYui481fQ1C2T8zvHn8LGm8GGvG98sU0msr Rz2OaTZzvYh6CL0V3XvPUrIbMQQ6h1wsjk3B7XJSCjDmr5YhUu7ZAodYUDcQsQOW8l1oDLaM2oAJ WEe0EpGUhzDnonAE7YtFdFlngaLiet3AtGutDgQHaqe9XPQFA9YZsV55FCcrkUTR/Ol2jUyicsWG UjOthQjKKDFDSe+6TJQjBfwpoAO9y+EhS4GUadOviy0JeQ78GJ1OnHytcx4CJ0KA+r6MIFtg0NhW Onl6Cu9tOT9gOyGgjGPghk+eYhOUb7c45FSNoMhQ2wlDFBfj1++opPUVcdcilikJcfKQ84VCelLT cYAYJ7wbcxsZV4kKNL6mHxi6q+RqtKjtP1WK6cB+Yjk0zSxabiT9B16pKlfarGJCOjzE5G10146c 6vytgLFSRe9chgq0vKhC4JDjjwSlPl4D/Hd1DP3mT6vJalNXiIa64QJPBACvj5hOOEbuUVZIprEg ZFTHeZ0PNNdNl1siZbDzKPVD989ByaojQVOPtAdQCBLDGCmYX1KvZKwlG5TppPktSMPzTZfE1iKw w9dkyoAiqQuF0Ao+enbZhSgQZSIwdN7Se7o/thzg6WSpPpow3gPfHshebHCI4Ap7zlEAITG9r5lf jBpJjdZ814Easv8TTNhMzo/TsEiHbtGZYobMhu5bCkw4DeeEL44dXn9Dsu0oO6NrjV0fB2F3fhOf wmtOtV8UXq0I48/xjuePimKPWbZkE0Esl6KtQRAC8Fjm/TtT+Xdl6aqN31z+XbrR9rHPgvNJWxuz GnFJKqfpsd3N8stenw4vqgou5YtdYlizHOB9bdx+RRULfw5HTM0sAyJhjYzsbsVPPjCESPy4o6wU qlUk2pdksdfxdRLCJg== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c Y2O4fk1xOw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV FIedseAJGSJjdgeT43M= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0 dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc mYqTUQDFFlehrx6Wh0E= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8 SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 12496) `protect data_block PKlpisMKFINH4hoELw81Ae+vpIr0xr/BIQZISQh02QmAYRngfWchi+A+2gXJ0ErM+PWm3fbvLHaf UADT/opvnHMCrmwuOuQX48J/a1y0sztlHgsA7XTu3se9+qgRV1272cuiUbredv+aMKTViShOFEJT +XwvgmUQ6VPvDVe6vx2QuNGjcFHB7syvFFQSeXXRNnpL5imdnbeZtqKRTRPs8lrW0yVMXRHZrkL6 ZpiLjb8wvSw50aybp/4fWVwokrlRrd6pt5REpMNOMTEBQuVdwvl5TSm/YD69x4QfehrRAKZgMwX6 cUJk66e/7pOjxMqzwBK2FferyX1UjPuRyKCDVxpg1+egYTVenjSEoZ+fzvkYMC3O/LvMVZTSfCXs L1BuO9ypLGyE2xm+OP+lKm2B8/PHCQ/loQrqixwN6LiJhG2DHxhNorSQEQNGx2ZBrEaF6bbqorK9 P/hgDt8P3qwpOLI7Opru67OO/mSaDQNfopRUWxH558sF28QVM2at3MzTT4/JZJ5q3EMgFhHy9H9w uyZfdlb8H+Akt6Rq8KgA373+jyN8vmUDKfvo0WPDPIMj1y5HCPUMZPuNxXcg/J3pw4Q9AcBpzFkV eterIqx0kh6DKGWwNk5zan3tv4ET5hWG71HQJZLoUDgSkAvSNLBfrsvRj8P8Kcx0ATCltAIvG4V6 ASXVJ82B3PCYqGNlzlK55qyCCqX0CU5FyCC/XkDKvEJ9J+kw/qdCtMIY+WhUGKAmtfcYC9aKCYmn EpF0MCY/AK29NUh2ertbHFbwE2nu5fCtOko3vVtYDL6hQon3TUe20eRQL8O0KkIcIH2/Jfq3zDlD VxJ6iUQURKSmOpektB+c708yu1lT5qO9AvszCeHfGlD/Xtrk6ueRjy8xEmxptx5F2gb7nkfYQQfg 4hwhWVhHWVYl0GuQJWqEu7sGvlYgfTavJOS5gm9g4lbOYocD8a70UynwZNYLYOzKKPJ++aiDthgz AnaqAZA66BH5134YhsVrjFRUF/D+9aCx56D9TteJXc35bKqbwJpWsVliHfc5eGi+DJZd4Iivc0N0 IkbTwzjUsWZFEA/Zf2xmri5lniBG1ykkKzIA3scc3Aj0l1Q+FfY3KvreNqqV+g0TLj+vRnmnFDfi Hw5u0Ei1tedKakwCLwBkrUP81IIOx9hquI7RWCXw5vWXrrPtrk//JUIVtRkrV4jjUv6cWSC57xvJ CKLsatz/vA8KdW/sOUEjDVKHfyBzIX+MxIUkZvwwPmWoW7u6qqW/aRk44/2GemzVNpoWB8LvGVXv 4YthYMUgdeGsJq1Ih25FdOJ7Tb3gLcfB4eY6H2ufmQdoclWm0MsMwXF5nBe3XM+VheV6K5Bror83 l6PjHzuluTwlrSor0O9dYnzqEl5yL1qubpaCMbtbXqqjOoFD8TX53APe1OUI6TtQCutf4gjCbcGi FZG97TGhqglGj0PGlPgymFbBkbqV/55jXm1THihSArfQHbanQD0FJ/dGTYYGPGD2OuGU33geTl3w w0qUHpwby7r9HbRqq/DLxZR/RjPJtkhucdzv1JhI5/NtGE6eD378ZZUORKku1vSw+07j8fPD3zAF bFta80INrV2y1hf7suVUYb3vN0GJOFKI25l2EtcvVH1wXmmRVrcG+kf3OzPDdmVxEsv90V3UQ8j+ P+rkg+Z1yr7ddaD4uxmB1WRVeRdyK/pgu7Ie7Cn0jVh0pLdUESP+IuHsklMzurZLvreWnWA/trsQ 2Pz6j04sGWpuvkCCtgqi58wq34L82MHJR/IsdodGDMdlUXjW7Myf69MFiwTE2PG3jZQ6cyn8Zyel hwbX0WmOzA4p+1bSWBVbZoR7De2MzUFb9ms2xXff9lsGuPUvRJOIIb5GdmuGsTCkOKkaZzxbCgs6 PQM32etg/IdnF1iUOvHKq5R2v8/HwCdDRjA9GGiawX3TXlqs64fAHGn5doxYv0DeKNIVsIqDMxc0 kGL5MCEgRb5qdnd2yQdgCBq2xKdxdbT5lBb4vhlbAm9QMyMM94Gbtk3+ck8p+Ww3X74I1xgSOvSY LkesB3FQ422GrBizYEih8yL3b8vCYrjc0BN0ziGS4e8Lcj89OaMtxo2ERy2/K93eDzSviMbhxTo2 t4hL5UV+gDvN1LoUP4anNDT7kXCWPd990QjZR4lFejXRIAxXJAJSKIv72hqWi9IaUawYkVIGlAhI BXBz2tlkzsw7bwlzx0uBD06/gyrLqmSeYnimuYvy8y+woQxqSKPBQ1R5LiCoQ058GccW/gu0Ojvn biieZNyxHCflX7FbabnWl8RVDVUTbZHVEtSCim0X1JBvml77QP2QRGEWo0CgWIF1Ob3V/SwWSFpC rjeWCrRkKe+qFGQq09k3gUJTsCRd9gbMkQ8jEF64f49nmSRFf+c46txY8jNFem77P3CLWWHmPmLa RYp8zsY7WPXMpsOKmWLGJd9uz8oo47/Jl36+VKUSL5m6/PwU0AxGi9drf74kYpP8nIrI+b6j7z8H kACHqRIXApbaqA3JK6DEGIQpSRG6RUEvZD5wHVpL/0+7yZd5FORTjRgGwMwyCTUbeg9/2V9E5t4m JF3gCnklOhGXcGoWwbqUji60G6I33k+/zQj7X6xrYTBMw+qGKd+Mz+isy7vi4N1BfXgsRECx/MiZ n1fzja+kP5m9tll5b8scRrI8k4++HsqM6Za/+0MvedGbLokKGt2cTeCabXFiyqgOyk3mj7b9Jkhw qL2Wcv1VZ2vQE/iucOoJBbmGi92o6gxHOqTK8RyaLnXpzrWNLgZJB7BuFx4E+AgXX73RhJLYPo40 FsXfElH0TzCK4vxHz7XTEHqKecNIC95+te1IpOZtgYANSwHNYO9+zRcge6CAovoaMp/Lg6VCNwJp Qaqqwkr4UotM8SK6fYPa7IxaOQtktk3locIfx/meMGmrKrti45PwkahaoQx93X6iGGea8zWXJ4Qb ZjzXj6ugf9jo17Pz0mqwF/XJTg8G1rBqhwwjxvZm+Yiplw4gJ7mCCh7Eei2mBd+a6sFNhh5Jtblw nNtkcqyigGxwgZo9dx3h4bZSWb+hNMKhOVWH027euQIaoNkGgC5XnqR0IOgXBQpM9++yl2W4mtIl m/j/An/FUGGjQuHIEAM+NYe348sKbqAgkfYPVT3qTxzvlQ0I1/LWypObMMEcQqVQ0llSivpSWSHs XhQqUvlBXiVQuT0JH/5W4KWSdSm1Os5X4FqVjFP97zM/BptDSiJRRwI35t1VaHj/QrWampSpW1XO sljUavTYiZAKl/cXbRA/sjvnTf/molfcMhONwoRIfKGOCiFUCI7toKm5MuvRPg4Rcv0u/OoeGLlG mDyQITMY2MhwSad+i2KNV1VmzvUnlfzduKsorWR/4JvhVVVkd3uyBBrj8CeVbamtKDgZr+xkWtr0 mjT3ypBLZSa6R17OFgFKnuCyKd2iynXIzcQb+RqRLUB+1mc9xY6BXSlbgvf0UAnOphYi44DhFciH o0MLm3+KyuyYGPmYwFoB8rvjRIuUqF+4v1ztDF/iqK+vFlN/KJFfpo9DaD9WygBUeKUMTWcBsIAX 1Te19DmIpuH6ZfYw89Ir/Yv5r0D6s1pJJ7ru94OPV0cAg6ANRd7re3hnZ8p4I2I+z61UD+6BlZPo LI/dKL0qx45wTPLvXURxzfyvUtpuV4vnf/L7jSWkbPh76cIuIJFBKIa9VBksL+LVpy23TVpYRkl7 yzgH6sHVUmwETQrzj7E7h6cFavHVl6kT1xZdXNfzgW7UAHwJb7tOVJrAqIY5D2TkFibY90h+ETYD N61rQHY51LbrecGG3GBZOMzbmnx8XTUTpN4a6V6xpWSdQ9mFfTXhSCEZrxxPqDOyBeRrG/LkIXKk jWFW9LpTbGIhS+ESaMsNyDqxKkk7CjSItOJIeZy+K8XDYu7BzqoreRRaquSNRpvdO46srVJXooy/ Y6SfjH4FQL3TH+El+XZMvvT49VLZ8gaYEKzZE0KgZgtBIrv/XpQH97W5+kiZnzDhmkyrtsLR6SwL EbWA2h3qLw6a/bqf1q87wS56F9l0Uzt7U8sVzCNw6xRpzHDEh8042jBYaMb3rIRRUYlnw50eEWy8 1coT3cVnGe0cnUbpWliWh9G63gcB8EM+/5BjNskjaraJS+z5KSPP/VVktHDgheO5CqpnWeuVjcFv b0YhFrl6wdYIVfhB1dI2aNIKvWzck+9o6V1g3w89lSHMupm1KGVfTg5kaPNtMVfwDEDm9XUcdjM2 G0T4r3ihRN8rdpLnWDiiG/L38m+F0gCsufruy6RmoCsRTm+pWPoDn7Ysgh3ECin8EghG+qiL107a Bq0LgXaebhmUGA3uWUKP/uOjEJFBttzxRMeJTbg1VNK98xNVCupB7xczkLbFyVsJsByitVVAbJ7v 0rmzcD09MhwEinfxIoGjGJpOwJ9rBLr+Hyiq3nSGYo8Kw+iGt2X60GIZ3fNyrj6wsUTptwsn0Tmw uBatGxKHAYBpBr26DqzNc7cVNep3/UsLBVjCYoGzOaw0DDsBnj9ksKGgJ6u4VjgxTxq7pENhjJPF bhaXV7ZLdjIacjTj0cMIyUfz4jVOytX63kCCF8jbmCvquE6PmR40Z4LwYcDro5r6S6ErsX5gs54x KUHO2RfW9pnqO7ORG+fAb7xCYQVI46+fsh6TZ+N+gJvSB/sHvFI1Ldq1MBoohX4OwjAE4UO2TrIA S/XSfgJxZKVgUEF//gZdHzk8NCPUITvqqBc+BRN6E+40qgjETovtimcoR+E6jk/icRmPpQRKDzSf 5BH3LSkiynWR3BduelmlRnye/tL/kuGf2fqWrYqRjV8GUF/zs4cKzpmQHBp3bz2WYkHjFbTObez3 z+Le2XbcltXJ/LXUO+Xg8EsRXcbmrsqudShXQpcTwufXCe/bMvJaRcCxgJil64wpfmLbRnhzUoJx 4FrY8GDxAZoU0L+2aSungJNFSIWjQ+h3azXh1ws+cs5QDFe+OZPzDXwR/nZBx8ny8umr+fHO3WYZ 0ChNwN9vUmR0CHQeQT0b6Eu7NZkXbOCiWn9t4SoMms3serrBET0A2h9p0CcWXJJkJdKgzI98SoXK MRqO9cnnu7n+aoDG4IGzd+me8UFJKM2I7dGw2xH///w24OsqTo115AUv0ZeOch3Lfs9fjAuWokno xFmHgCk1IEpkzHukMGvcc+wCE35AzeK1HS34Ase+T667Zesnb0YWcs9i/hVK2b5KJ/gMcAGgUMNB sMOx7RPYJ9raNHu2sZFBzIQ3UJQ+8whj17MKrmDGb0Fas02nM1zhjQjxzBV7s4BWPY7u28aJZntd z8WnoTBLDZSJzdNKc6fh0tEbQu3ABBfOZDeE3C8vdLhT63Q1GHo/HBozpxr3UyKWbbXEiZKlsjFY HtnIMDH+RRR6g+CtrJVtzEGHB9ARzMAxc45YYaJbq01RGFUHZL4yVqEI/kEprpNRTK8Yg/I67wzB NW4DKSUD6Qyb+NFBrfDAkP4faT5lLniBc5RuiDF0A6gD0xvcQEgkk+qjUiOwusclQ0aGuYxtiQmt kQDHgOyQQza3QJOXl1lt3ApzmP7pU7C211tmChja/5jSYqUx3NxjbBEO6Yf6oHzORI6KPCj6z3Oq GUkIxUXc5TWjqwYabu5STRBZF4tdPyWH3KXgNw12w7l09uNP1C7Qi+Jvpn5vLWH6XxJvRY9Ljt1A p0w22PVh0L2Nx7J4f0p3lNP2nD742JjfBg/vvND9MyRLg6D/RtRtdaex2cd9k4dR2G9tN1Ocrmka QKLaUEte2RPjk7Sn8tVFBRokP5DGlDSQbU7EBAGPNymCSZ/ArLnVsu7L/u9N9ueVNIfgunJWQrqF tEm7dY1N1RaliQRo8AH/7cxOD+jEYT5ClcPW6/LhEQ5LPROMxhJfyTrrtG58UnA6sTcNT/UmSpVp F4sd7qEluyVqVyTEUZdgo++d4ydBtIhitlGxoqJbtggxpb/naaJt1QabNLptr26hx4rtYLsFNE9I 4Hh9jw2UADeBWoXiwS+JlUoC0mgSgM0wFi644/yK/I9iE7J5U+CZO1qKZ9csxkU6axL5QabhGlc8 AD2WyzY6rxaok+9DRvb7BQT96GvGtaJfkwgE1L8aWwsgs2RrKU+2R3X3ImSi174O5xv4Qo4H+0TD SrA8rmzFTJc5qFkI7SOt9SYu1uq/EfyBoluieh4oznw+vBO1rnRXPsBWvchfa8C+F6l3KMQbHwrY xdL5X5SbLUZ4o69Y/ktmzGXQqIgZ7vzrzU+IPNjvqJyLMSgquN5M/9CsamTA747EjSfiwJ9MsSS1 efbmAikdbbFvpHsqOYNLiDaeR15g9b73k6oU2iT3AC+FgAq+uwnOqv/YiYDIGA69JCbQvHgCr0gh JGFTD4zomp+Ga6s1CNnEYQQd3PfVXI9rlE6DdbtwQF5+zoohk+GqvwNrG1sVBC57dkAXUYQUMfOq tgZSy+CUvNc+h4+PpoQDphVVVCQBurc7t1PIE8mmReU/rtnfpJH0676jTI1p9R+2U/+cdnbs67pN g7NHUW3UcAf3BD6eKcb/Xi5m/BxCk7ZOBpkR6bfvti6LsXFh0IOeeW59nPCAbS8R8Y2dvCUkO8e/ jf3rdCmBNWigOpJUyTijGwFZu7Blp5oIQ9o/sUJKh5J/IWP2nJmUJmTATUt5ZPjToG/4MxZMmZMN 4ZvB0eO5LfDv1DihGjj0rs+B/hMrD9V42Ud9T+fGbkE/MFkVvGvnRTnGG+KytoebCJqvm3Wbnrow C9jNB0yIiMG9nYU8FmXLaszsSxAOd3DprdIf011N3DYpNncvsolu16+oyyvjmv8M4bZXZBqqXGgI 20UCZWVZOKityvariE2nluDEGEAJoYs7yO8zaDVU7uBl2i5gj8jo/JO8svMpJjQZc9M52R4ARQbz GWCTJfETg92O++pwh+EOmhoc08QOO9pRe5nlNvzf62s3W34zrMzQEgTI/9j9+/Cw+z4uBNcdRo58 i6OOqBIu4T18Y6Tx6d8EUdvZxnqwexeSfesfGB7b9NRPGpYhUfr66m21pWdWtI4c28zHCjv4ca0T rNItRN4qntnx45oKZK/0AWqivBggGLBRytq8VapGGNpp/i5jncXFbXSRKyva2QACw37VQo5s/WnS 5zdoY5oDUZu/GGIqic4H04F+ptYtys6KeHOcwZ6Y1MErAm04nLdbSaZ5zEEDNULn/Ualf4ardcO/ ci1Tonu2CVHwkf+Z6GHLG5CRmHnmh7C62ZHlkymGdj7Si8mMNCo03bU/j9TnyxYXQRu+h4ae0C3q vH3piOFSBjoPrlhG2eE9UknIRurdZ9WoUXD1fMzXa8VuBV/u44ocHMCxKWf/szKnsvlTtnv9nEn1 +xpxSu2RdBUusRdaN8epVKtkLvhLjV954jPcKWWtsGhYZkGj1x2NHUol/JJm/fj7Y1fE3TaiXpLw rByG98Vic+fSnfUCpqp6x30fJKVo36o4Y/d4TudA1Qw4HGuSpH3RGPStTWmioOko/ekJfkIMQ3Ap fOI4Lv94l4DlIuFOqbBeXwzPj3MGrzRvUfcP4x/CNsqqdgf1sr6iJwC126V3sdlAj6Fg2GviDkQN btT5Z5REAzBGvkchd+Vw12Tr6Vl1UYhfIJe3bCd8KAj30lIeHHtdb2gkQ6vkIHQjCFtDlVMWmvI2 b05Zfjle550E25JbJeexXKUNq+qGXpEO8Z8viTHGSYWxdvPCeJdRTfC8rn+qNVFas056zVt3bALk zoMfFQxWJnXtoLHNGLki6CB586bSzl+7FukPXzySs1/wNd3bVaP+Wm5iqUgHJ+gxAiGVoqN0gOoD rozVNWxGHOX0WBH2Y6nhiiXBBORI8HlK5hZw3azobFfGXGZjCtyx5WxQbqssFStvFfMobrV8jfIr 3CzFVDpt3j5zTR8/Nhm+TWuR0VKMEjaq1HERB7bynn6isBh1r0Pv4V7m8+WXVE2SU/6XGtRfoDqO I7PoZa8VLyqEYBONikozyA9WTohXWc/ECwGKgekjCHVgpT7eVBIbDc9DJPL2wWXGZa48rMiqRS/V eSsR0sePQ629W3jOJxneSI04im/wdwkkpVxFfEMYt1iBlYbcyWAqBQnSnrl2CwGKiYTutYbkzpfS qQs5500Ag6DrM2xE16d60Cz2mFY7G6S/0subtRcEPbXEBv+X6oghGx3y53A02VX7cehaEekESxT8 WCdbGq+L8NbkjQjOejdeRoO3nT9yvoKHa7Vl0KHHicKsxeiQRjOQoCAx3nlx/GMarmLO0lr1dLZz q9fJKEIApTLsKyFfZan3BF+IvE+PJFNWZvlooCsnt46XC2xjndMM4v4DBnSRBS8shT67L8I0ndq7 7B5Zy9A/F0B9HvHERh1FrqbfnBBue5cYuvHu9Ad5XpGzhr5+bIBvnq4940Py6LU/UZSteZjcMYJm gbsvGnQbB9OYIEyVnXs0kbvs8SJXuh8IsNnJ3ZgsGI4gM+IJ3RyLxUUQpqA6X+IfJibPg+szUMU2 +HM8G3Ax08emYW/6E8QlpAHdxmjf0kC1RbGKjCW42qzhJ/+sNXjOpZBptUWtTzGh8rAP4zyHm4Bt MlXHlOhDml0OZheUrTH1ffm2zoUsRARUuc2XB2e5yZ1s5G+JBVR8RztL0Qo6MDfjuKIyay1hbB+f NU658dAFuyhceubXcQWwdptu/jiAXfEg11bAolIsXT2HStIQEef0C1SZYYsN+41twR81KtusfvdX zsaEF+BYyJrL+C5jG9ASrvuaPdTc5zexLgb4fVvsI9fw/CP2NJ3mV0+6+XGLXwvpufPZAHWZ2BnF YZfytRpkR+X3mcpkcSGhxIOVUlKQjqoQ70AP9APfxEbWNbCVoVYbEIU7SYRRF6kNCTOGPGH5ssC5 FuV1UZV4ftiQWgkEs1uXStZugWYncB3UsuSppzXKsKA4EbMh2Q22CyqgXJe6ZHnHS1aGINbusb5Z aLD8BFZR+V1rDq4NYxMxNm15lqsmHvPygqPNUrUqqst+qs0vyhTzIgcm1T7oBG5e3si1m5+kYD0Y jCHJNx1gW5xhZgyxHWzOadE6pJz7zT44Z/pYeRt1HDBVIfllZlLOVICYhPuoBXNB6SO3E0dE3Xou Om44z2GFFSuZuhCNL4Ob4idSmfkSfvBrTLJGzHqQL/RCdA8svENI7YJHvzw9r9XN9yu8j02nIT5X YxP5iJ1efHmvLbijKhu5o4QifnjrpsHSvam6b+4ChoJgiB46E1g0WS7t8NTtl814nTcsVFudZDbG Tq5G//Kuj0uzfBun9jTRG9vpg7lwQc1rZLvlM8jparsvQnf3SHaLEErnE+c0rTScdE18qW5k+CzM ZWjc9pJIavAQFQXWgEYLdel4bx4rIqkbRqL/71JlAhcuTVmdNTM6H+H8S9WUPOWMGN3qzrmAyD0Q IH/6lX6Vs6GWq+izSve+uqcwX228iENiKLYgLwP3EXMHSdnlzEdvhLy6PcAe7OM/HmziEL9knx7N XxqKwJcVvRFVaQkNp/PTAELbpEL+7B3XQ+3voDeUsxJYuqtri4I9EewlCMrw3peiuTi7ZQfhWMQB QwDrcEOfK7nlzfsxbWdcY4kHMnd4xjenzv7CpPgtA1+bgKm84UmGyZU66fSqOB6Mz4pRTdgBhEMF FDcHY75HRXjkUJZ7BCIotuM1PSZsK3oNrmNVNsYEftOjuiLdn2urFw/YOwUKe1KXKhrPdjQc9TW9 S4BDksAZjVZ6rIyJZ2zRU3/nadmCzXGTQq9b6w6t5Lh0B68qYCCaTnAw90pgWmrqVG3/r4eNHg42 PS6tyoHNqAws5acQIV2PNR0ImMGSrGdv64oo7pghNktAMu2e/WXhHBQI8DnprAXg57gjIU5dac81 upfZWFXTvOPHfrTjsaQWfvqR0dgNPGN/MfZ0dOmWuMi7FI3f5EQ/bRC7f36Mkb6FQlW3imoaztoL vD+XUcTpAXfogpTmMfeh2/29K1vEIiIHfZVkB15Gzc+498Rha9PkS0kQ2z37R6eEdrC6MUP3kib0 LH6vQ9GK4kwo+4TelIeYX2e2AMgNMZOL0w+3qzDreZ65uovzosG1X/z0Dn5q/wp29lPxD7yU7VD/ QD7Otpl7VRe9d6qT18SzNBFvYgJnuWmvRCR3HfXCGltIy/Z8xl48SOPFnzsW8jwYtJ64i/bTx89U S6P4deEYb1HxekzSWSUkdTAXrXqupK/iTbicZ1/D8X36PHp9eIt2Ce3NNnChD3WZ9ANAG45/hLMa VI1yFiIn2WVZtTjT+RE654xpsIH7VP2zgdWaf9icVlR7/W96OUoQOfYBj1IyVAl6cF0RPhnXHNX/ bQCEwwLFEdS4AYnF4AC8cKUZJYw9CEGfuIwAtrRG7amVWedaf3JVcOVQxXZm9IZKBtM11J5DxB0r b1bWp6xwiJ/MhK2U0YqUEYyF+DxdzCBZWMrA/hQATt6G/l+TvqWLgACNKjBCpblQoKqB7jEuCCX0 9573wcZ3u9eZkBHN48ypLR7JMz69uD78/Yr+3eLTVykMgFWp4kW0eTsHP2vwBjZAvlTn2vpxk0eg 8NbUFiXVHa8+Ki6PA7Wkr5NvSqSWpKuGu/vH0hiXLjQj8vgXBr+2LtP8IQ5p3eH5ceohPtl3tDk3 9DTGot2uJH2m4rLBuR3ci8HOLLbWBvbNXL714MyJTKpcyph4CushMQudoSxP6LJbasM7oE8ot/uc jd+rwNXJ0nNYHqEZGU8SpMUn9whAACzvjC0oXS3lrLouee03/exT0ZVYkEug0nUjOzxn1TlkrkfG Pw3aLtnnk/SXMuGXG7zXb7iReGVJch4d+shLRWJzVcKD9r1iY8KllInqLWKo9tnG1nSC6U6j4Ff0 CehFf4OkagdjusYXNcH3xX6lJn52xyLeYS7qSUyTU0ySyYYa3iUHUB3nmuohQYCOJlBRmOmFP6c3 2JH2vSNbqo8mbYt/845JUQgwJeMTi9wR2buJDHw4okcpIxmY6bfvktLfjO/JQ6nCeWXgAUqFCHkm mDYQ65jV7by8eFoUO2eEc//Kl1VrFV1wowEARugw54F2T3kB917x0Ua8bqTJxGuSWJwzjRovic61 f8I7jkwzjzT5T8GyehDZb+UP3ZBsxl5W6OgET/ncOgChl2nO3Wc7FUBXm07xE07s68FT0HNMGuR1 UGFTIsxhJfaJxI58xvo1g6jznQwEGOS5YzZIjU5cyuG73LQT5wbO8496n2JDGwZCKIc+RRyUtj2E 59VKY2BUwRVlaXZR9CaExEF6P7nhQq1DYotBe87OBksqinUeLA+V3twBKT4ve+vqON/aurKjSvG8 q85tQ40NlG90gZUnJFGyWoGPMVnNGywpzhZbwNJ8ID3jSTGO10llY+0mCOTCoRwm+Tfl5w5oMaur oPcXtAi1Uf05s/qwdfRGVbWjg9OK3pXMSgi3hOjqTf3o4dLY/WhrVklkRtET1mL9ZZzN52TFtlgH qQq7Pqa1KJ9VQorWPYvrEqpZYg5iBognd/px/fivtTVGzts1uxxHoKAYPcSgZDIpdvM69qE91hxI ohZKX0LjqcU9cexZk7v3MTCw4hJsuoWA+ClwsRXcSBs8U+7zbVmgDI9JPPNknXOK+Hy2tqpywW3g lH8IHWqUuvw4VMVcY6PlER00decK1MxNTOphMEcFzCxFAqx3ja2nJdtd411vykHgOv2KktJBZB/Z p3r0b/+YMIUWj+CJG/dEalb4aNdUIaTfu8gA6/PFP2cB7dwMu96VonAV5wp6IQGTb7oU0WDYSL1J 7h2p+fWRCnYYua2zOyv9Y3Taqk4lk/mIvn/VpLWS7fH2O5AsQ9IE0s9wJqB7ZQq0AdJLVB+dG38f DSwq3uo0i6dodxLeWzDhlDyMeBqI6OnZpFd37OlpcehW9QcufA4aht2Q0JasmtS3y0xkPJrJa5xe F2uOjJUT3yWczTNili5Xmu+aFH4ancZVMKKZKKbtY+UOD/bwQBWGoKON+aR+NuvgTl7fAY4oLyM+ H62cTPZezZAMiz7R7AoINWosDIoHG1H3LoXSBE0q5UDBJ5fmU2QnfTKS3LmB+gERt5rgYC1JNr8s g9l9EKVPQ+Tng2iWsRNdQtNkc7hux4HRh5SCP+FhjLaKY17TV9kaQHaW0fVT0sSgBi8LZDx5VVnW WVCTeX95eu3d/CEKJ6pziSvxOGz6thw9sxvAR0NBhzRb7I/HZKHPepokPortarrU/awaHQ/Uhp7h 3XbefJTvattDNGAQ0inr53OcEyPwq38KkjiwrFNNK+UsQE6C65Y5dxgKkzmAUNTBhJ8c89vf8b+c qc/Fj44ssdqJMhNxo9xngk/aHcuLVaETa0o5E+LF642TyRJ8EZFGXlljykG8EzNGs0XjMIhOkgQK TbL6w8cxTKLCBiwemCcKKD1OERczs1OJWdH4G+RB/QCVxUjTht1hRod+GaBYdCxdp1fXjKuhbgzu 2lei1gqvd01ilP6SlC3r4QSNOX7hKcMTxfNzQ4GXjmUeF0lqNQBvK5R7LVIPX4Hx7K3K0q7KQI5/ smeaWITcChSddoD4MiB8wku/LK/1BuGoYdtiX4aUWJObU6byg69ah7Fw0NySlIGtq6f7OaU6dKka dB4C6xVNzn6QnasLMAVLH2vKNrWyP7HUcUo9aIJ06Tx0OClg8a897pwgBfogn9oBzO7BH/sGV/Pg n+he9KpeYncJJBYKTZiobkeO7fmD2fe9zxjuH7DK1B2R2Vw2TIbfWivMdQtV4UZINmC4IEhK0iAT CbAOQ5K1l0cNKyECTZnh9mJi79prujl7aWco8mCHfvIpBrUN3KS3MIkmzHXx0uMxgZlFDWBm1dok HG3jNntFOxIwp/3Ed5yAC2lTUZx+B1M11H5X6KEYB3mFlVqgL7xKFYoR3RtkLuoh6Zd4umMdtV0H kWHeuxX1toLIuw4XN/e1vp35SW067plDsKSugwWg0CzBa6PWMOpOdhoM/W+pyteTfLYfd9ZtAPMX g3Q5cfLawRxQsqDUmPVAFpDceDoIBJXDwBkKydRtFEEOtIsJt9468kpW1GcgVktuauNHjGCPQ5Ye /CaJTnPfbsfz4utiUom4e1ErogTxebo8myP4jEEZgjvs+fwRJ0uQM8P3G7/HZni/y7Eor01ctzkl 17B6JCSK9az3wzt0Wm6JPFb9IlMQdN2ND3WQJsg6Kha4l1UiS6DO9Ie1u4ll3/G4Z0srLvE+S6Ko qE2O7gl7bEdAY82n0II8Cq06taiOZXwGghIEqRowB01xx0nrEoKoTf1whvEr+gPR/Gn2znbqU/+/ VT2/NVABXFAZBzKujzSLy3c6g2nKhM2bysgsb6jf3nvUd3+r+8IN1ZFVRRTSqrSm/YJuXn55Pjyc Kr5dUQCAmjla5Sf3gPpR/2qIAA+bna4dTjjj/qDbVAlejDiiE6fsKAdX9dqGjpzpkjEk+48xf7jH PohTNAcUBsbxoxelq2MrcHniRkcjxtE6HVSXuMNCxevqduKiPuvO/5tRv5aciwcsUhki+5NJKQEn GH9odOm5IbdPYzH7W3tt3ILliS94h6gM4cpaTr3uGij8n+gp3FtC0DUtHvtePt3Yh9ZHL5JzUFOj td0orGCJRsd3ZZylnbqm7aHStZc6vrYIioKtQhBHhipqaJYsqMlgNHbVx5EOvq2Wbr+6/koAI/Kl 8YZDVGfyg6OV0tEfHqIS3YFCoeijquuNUA7MxEDUlK27R915a+vcqY4yAaAPBIl5x5QD5LpqmWKT MWx6W3YOdyO2CQgEfAgM4Sn2RJfR80QLKWIasqVyAbiXwyaHBu6v0pO3pQNwsVjS7qVxL5RbpbgF HqYYlZstdL0KPgIuct8sJda7ZhfjPK4WoHojsXmP//ruaR/61mRGEZFfT3/0swGBvL2NPh1uxCo2 8zHv7BFGKsTQZgV3fV/7VM4P70R8Bavsp31Y/EmanLv513V6cZtfz0gh/oWTjmE/RH/Lt9TluWkG 2mA2iGMig1rO9z3OZLei0BApITNob6ZyqAiDSNIBfa+o1w9cncCcCdrsRi737Spqxd6uT3qwBxzE VV5gyx4vRjm3mnffqryJk7qpHU8ooYbbk2cbn/np8bKxMjfUQMJgilO2Ck0mi5/sISwFbt7nGqOr ikZ66E2P9tH4sY9OU6HVglDfCAnEUYSbxQdUzcQ5d1Q5PFOH9LlfkslZ/NuhNd65/0C+PljUViuL qEIxnKdPZ9M23Ikl4T8HKMomJzKGw7ogWJdZwePX4UXDdXLKYi9pmV26foZ+eUdbGeL3o241KlZ3 EJUEE1fNaaRFjkA3W/nnLoPV3iJR1PU7ngjStpW9MHPV53qfpJHeQIw040+xkApRjH/TfpZZiH8h mJdxJ9TzL49Ft6ZrgsqJlBy1GbFWtLrQ4vPXTT8ovhglYMVt1EXcQHiyGrR6GhgEy+t6cbTxZJP+ MmFD8VxpqO1ybotnw13ORMqW62khRbRKD64LGBGno4jnFhIQYMTH2eC4mubp7CT3RVsA5fzXhBkc Nhldof23Orb15eo0HyePW3vGYb3vzGnn58WsNdmjD0EnzpAodKS9cmYi+vGrpeziw8B9BQ0lZiDk Pgni63m/Tvnn+G+snlWv0VJ4XodqwkcN/VcR0MNZ5Irkr0P0FGQS4ZwkUCU4uprR4JYWvmuTDrDY 07hg5EQeCUIZoY0k2x+aXhmpIIzajJWRlaJeun3df8SLA4Vf/IwB8u9hYH3Vf8zMAfOc8mD4RUL0 nnwFgabozK/tuBagmmsIO17KHhy97lMW5xrE0x/6gKrYX7KS+KKN/ysdHi0++nGyoDTa/ueqYDtI V4lDrdvLwP/2vLpmfx8Blnu2RQqX5T4GZuFxQBXcxUhludArRMpWroq9OHea+z+EmL0YbBYebJAz gTzsuXO9EMugknZDaD+/WKg1aZXReO+2rAAHviW75y9f2mSyUhGl30ukZEDdOEdtGQz6BKNwFiPt FDUTARbeCOOvSejs/ndmFSLc1GeFN7jsQpxRuv/nPyurRxofvKXTDGy8t2iCJ7ClNdgyr5HcMNzL QK/ENpzsYBUM3nJn0y6xWz/akMaexW4TjRFZCHL9UwlE3twI876hOhiqMqmp52dep98+9LXke6i1 e+JNpkinuN++QAYZCoNR+AmsfLW6jcfUWG96y5ySM0Yhma4P0JCIpxe5dehjifdO7OBUKsd7lm2K Zg2uSUnLBY7dgt7Xvh+WhI8g+eyYsUoa118MkXPsN26gFpqkCjzjO7ozEM70NwCLS3P7ioJi1HLt lBRRtIag3GAmkHmgXTAZB9o/wgjZxZ5IKlNBUdGRbutT6Bbxu7loFukaFALSwZHz9H/Oy93RWvsU hwPeRiawM5HNstu71Av/fDXQqOoX44LfWPY4ho9rLKaVj2nrA0CsQDjd6oW92JhFjF2chKyNy3ii fh3+WJD7fFeuJBGhQD+JEnvuV8rsWMCV1DNG+On7PEb481xfGTmINZNpRoVYf30SEJrVflKT9W6N kPs/l28TLzUEM12nNA6s1zPHX4qD9JVM5M1RgcRt3pgXFzKRE6s0/SKL9VYdqpO0DtV46+yLGxga Ql+LEwA5nX1jrL051TXEAyKp1ue81StI5IYLmFqj5RnsXj0tmeAJpBbjR2Sc53yhRaVaQiqroSFN M/zDxIvOkhgGIHeotk4EUilahdByoG2ysflxszVQZKMehssSm6i1YA6EZjKhNq8RZzn5U4uunyLR Z0U5ghtmE7tgDyD6WyE6n7FdWbleaIj+/43LQV4NYRYui481fQ1C2T8zvHn8LGm8GGvG98sU0msr Rz2OaTZzvYh6CL0V3XvPUrIbMQQ6h1wsjk3B7XJSCjDmr5YhUu7ZAodYUDcQsQOW8l1oDLaM2oAJ WEe0EpGUhzDnonAE7YtFdFlngaLiet3AtGutDgQHaqe9XPQFA9YZsV55FCcrkUTR/Ol2jUyicsWG UjOthQjKKDFDSe+6TJQjBfwpoAO9y+EhS4GUadOviy0JeQ78GJ1OnHytcx4CJ0KA+r6MIFtg0NhW Onl6Cu9tOT9gOyGgjGPghk+eYhOUb7c45FSNoMhQ2wlDFBfj1++opPUVcdcilikJcfKQ84VCelLT cYAYJ7wbcxsZV4kKNL6mHxi6q+RqtKjtP1WK6cB+Yjk0zSxabiT9B16pKlfarGJCOjzE5G10146c 6vytgLFSRe9chgq0vKhC4JDjjwSlPl4D/Hd1DP3mT6vJalNXiIa64QJPBACvj5hOOEbuUVZIprEg ZFTHeZ0PNNdNl1siZbDzKPVD989ByaojQVOPtAdQCBLDGCmYX1KvZKwlG5TppPktSMPzTZfE1iKw w9dkyoAiqQuF0Ao+enbZhSgQZSIwdN7Se7o/thzg6WSpPpow3gPfHshebHCI4Ap7zlEAITG9r5lf jBpJjdZ814Easv8TTNhMzo/TsEiHbtGZYobMhu5bCkw4DeeEL44dXn9Dsu0oO6NrjV0fB2F3fhOf wmtOtV8UXq0I48/xjuePimKPWbZkE0Esl6KtQRAC8Fjm/TtT+Xdl6aqN31z+XbrR9rHPgvNJWxuz GnFJKqfpsd3N8stenw4vqgou5YtdYlizHOB9bdx+RRULfw5HTM0sAyJhjYzsbsVPPjCESPy4o6wU qlUk2pdksdfxdRLCJg== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c Y2O4fk1xOw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV FIedseAJGSJjdgeT43M= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0 dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc mYqTUQDFFlehrx6Wh0E= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8 SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 12496) `protect data_block PKlpisMKFINH4hoELw81Ae+vpIr0xr/BIQZISQh02QmAYRngfWchi+A+2gXJ0ErM+PWm3fbvLHaf UADT/opvnHMCrmwuOuQX48J/a1y0sztlHgsA7XTu3se9+qgRV1272cuiUbredv+aMKTViShOFEJT +XwvgmUQ6VPvDVe6vx2QuNGjcFHB7syvFFQSeXXRNnpL5imdnbeZtqKRTRPs8lrW0yVMXRHZrkL6 ZpiLjb8wvSw50aybp/4fWVwokrlRrd6pt5REpMNOMTEBQuVdwvl5TSm/YD69x4QfehrRAKZgMwX6 cUJk66e/7pOjxMqzwBK2FferyX1UjPuRyKCDVxpg1+egYTVenjSEoZ+fzvkYMC3O/LvMVZTSfCXs L1BuO9ypLGyE2xm+OP+lKm2B8/PHCQ/loQrqixwN6LiJhG2DHxhNorSQEQNGx2ZBrEaF6bbqorK9 P/hgDt8P3qwpOLI7Opru67OO/mSaDQNfopRUWxH558sF28QVM2at3MzTT4/JZJ5q3EMgFhHy9H9w uyZfdlb8H+Akt6Rq8KgA373+jyN8vmUDKfvo0WPDPIMj1y5HCPUMZPuNxXcg/J3pw4Q9AcBpzFkV eterIqx0kh6DKGWwNk5zan3tv4ET5hWG71HQJZLoUDgSkAvSNLBfrsvRj8P8Kcx0ATCltAIvG4V6 ASXVJ82B3PCYqGNlzlK55qyCCqX0CU5FyCC/XkDKvEJ9J+kw/qdCtMIY+WhUGKAmtfcYC9aKCYmn EpF0MCY/AK29NUh2ertbHFbwE2nu5fCtOko3vVtYDL6hQon3TUe20eRQL8O0KkIcIH2/Jfq3zDlD VxJ6iUQURKSmOpektB+c708yu1lT5qO9AvszCeHfGlD/Xtrk6ueRjy8xEmxptx5F2gb7nkfYQQfg 4hwhWVhHWVYl0GuQJWqEu7sGvlYgfTavJOS5gm9g4lbOYocD8a70UynwZNYLYOzKKPJ++aiDthgz AnaqAZA66BH5134YhsVrjFRUF/D+9aCx56D9TteJXc35bKqbwJpWsVliHfc5eGi+DJZd4Iivc0N0 IkbTwzjUsWZFEA/Zf2xmri5lniBG1ykkKzIA3scc3Aj0l1Q+FfY3KvreNqqV+g0TLj+vRnmnFDfi Hw5u0Ei1tedKakwCLwBkrUP81IIOx9hquI7RWCXw5vWXrrPtrk//JUIVtRkrV4jjUv6cWSC57xvJ CKLsatz/vA8KdW/sOUEjDVKHfyBzIX+MxIUkZvwwPmWoW7u6qqW/aRk44/2GemzVNpoWB8LvGVXv 4YthYMUgdeGsJq1Ih25FdOJ7Tb3gLcfB4eY6H2ufmQdoclWm0MsMwXF5nBe3XM+VheV6K5Bror83 l6PjHzuluTwlrSor0O9dYnzqEl5yL1qubpaCMbtbXqqjOoFD8TX53APe1OUI6TtQCutf4gjCbcGi FZG97TGhqglGj0PGlPgymFbBkbqV/55jXm1THihSArfQHbanQD0FJ/dGTYYGPGD2OuGU33geTl3w w0qUHpwby7r9HbRqq/DLxZR/RjPJtkhucdzv1JhI5/NtGE6eD378ZZUORKku1vSw+07j8fPD3zAF bFta80INrV2y1hf7suVUYb3vN0GJOFKI25l2EtcvVH1wXmmRVrcG+kf3OzPDdmVxEsv90V3UQ8j+ P+rkg+Z1yr7ddaD4uxmB1WRVeRdyK/pgu7Ie7Cn0jVh0pLdUESP+IuHsklMzurZLvreWnWA/trsQ 2Pz6j04sGWpuvkCCtgqi58wq34L82MHJR/IsdodGDMdlUXjW7Myf69MFiwTE2PG3jZQ6cyn8Zyel hwbX0WmOzA4p+1bSWBVbZoR7De2MzUFb9ms2xXff9lsGuPUvRJOIIb5GdmuGsTCkOKkaZzxbCgs6 PQM32etg/IdnF1iUOvHKq5R2v8/HwCdDRjA9GGiawX3TXlqs64fAHGn5doxYv0DeKNIVsIqDMxc0 kGL5MCEgRb5qdnd2yQdgCBq2xKdxdbT5lBb4vhlbAm9QMyMM94Gbtk3+ck8p+Ww3X74I1xgSOvSY LkesB3FQ422GrBizYEih8yL3b8vCYrjc0BN0ziGS4e8Lcj89OaMtxo2ERy2/K93eDzSviMbhxTo2 t4hL5UV+gDvN1LoUP4anNDT7kXCWPd990QjZR4lFejXRIAxXJAJSKIv72hqWi9IaUawYkVIGlAhI BXBz2tlkzsw7bwlzx0uBD06/gyrLqmSeYnimuYvy8y+woQxqSKPBQ1R5LiCoQ058GccW/gu0Ojvn biieZNyxHCflX7FbabnWl8RVDVUTbZHVEtSCim0X1JBvml77QP2QRGEWo0CgWIF1Ob3V/SwWSFpC rjeWCrRkKe+qFGQq09k3gUJTsCRd9gbMkQ8jEF64f49nmSRFf+c46txY8jNFem77P3CLWWHmPmLa RYp8zsY7WPXMpsOKmWLGJd9uz8oo47/Jl36+VKUSL5m6/PwU0AxGi9drf74kYpP8nIrI+b6j7z8H kACHqRIXApbaqA3JK6DEGIQpSRG6RUEvZD5wHVpL/0+7yZd5FORTjRgGwMwyCTUbeg9/2V9E5t4m JF3gCnklOhGXcGoWwbqUji60G6I33k+/zQj7X6xrYTBMw+qGKd+Mz+isy7vi4N1BfXgsRECx/MiZ n1fzja+kP5m9tll5b8scRrI8k4++HsqM6Za/+0MvedGbLokKGt2cTeCabXFiyqgOyk3mj7b9Jkhw qL2Wcv1VZ2vQE/iucOoJBbmGi92o6gxHOqTK8RyaLnXpzrWNLgZJB7BuFx4E+AgXX73RhJLYPo40 FsXfElH0TzCK4vxHz7XTEHqKecNIC95+te1IpOZtgYANSwHNYO9+zRcge6CAovoaMp/Lg6VCNwJp Qaqqwkr4UotM8SK6fYPa7IxaOQtktk3locIfx/meMGmrKrti45PwkahaoQx93X6iGGea8zWXJ4Qb ZjzXj6ugf9jo17Pz0mqwF/XJTg8G1rBqhwwjxvZm+Yiplw4gJ7mCCh7Eei2mBd+a6sFNhh5Jtblw nNtkcqyigGxwgZo9dx3h4bZSWb+hNMKhOVWH027euQIaoNkGgC5XnqR0IOgXBQpM9++yl2W4mtIl m/j/An/FUGGjQuHIEAM+NYe348sKbqAgkfYPVT3qTxzvlQ0I1/LWypObMMEcQqVQ0llSivpSWSHs XhQqUvlBXiVQuT0JH/5W4KWSdSm1Os5X4FqVjFP97zM/BptDSiJRRwI35t1VaHj/QrWampSpW1XO sljUavTYiZAKl/cXbRA/sjvnTf/molfcMhONwoRIfKGOCiFUCI7toKm5MuvRPg4Rcv0u/OoeGLlG mDyQITMY2MhwSad+i2KNV1VmzvUnlfzduKsorWR/4JvhVVVkd3uyBBrj8CeVbamtKDgZr+xkWtr0 mjT3ypBLZSa6R17OFgFKnuCyKd2iynXIzcQb+RqRLUB+1mc9xY6BXSlbgvf0UAnOphYi44DhFciH o0MLm3+KyuyYGPmYwFoB8rvjRIuUqF+4v1ztDF/iqK+vFlN/KJFfpo9DaD9WygBUeKUMTWcBsIAX 1Te19DmIpuH6ZfYw89Ir/Yv5r0D6s1pJJ7ru94OPV0cAg6ANRd7re3hnZ8p4I2I+z61UD+6BlZPo LI/dKL0qx45wTPLvXURxzfyvUtpuV4vnf/L7jSWkbPh76cIuIJFBKIa9VBksL+LVpy23TVpYRkl7 yzgH6sHVUmwETQrzj7E7h6cFavHVl6kT1xZdXNfzgW7UAHwJb7tOVJrAqIY5D2TkFibY90h+ETYD N61rQHY51LbrecGG3GBZOMzbmnx8XTUTpN4a6V6xpWSdQ9mFfTXhSCEZrxxPqDOyBeRrG/LkIXKk jWFW9LpTbGIhS+ESaMsNyDqxKkk7CjSItOJIeZy+K8XDYu7BzqoreRRaquSNRpvdO46srVJXooy/ Y6SfjH4FQL3TH+El+XZMvvT49VLZ8gaYEKzZE0KgZgtBIrv/XpQH97W5+kiZnzDhmkyrtsLR6SwL EbWA2h3qLw6a/bqf1q87wS56F9l0Uzt7U8sVzCNw6xRpzHDEh8042jBYaMb3rIRRUYlnw50eEWy8 1coT3cVnGe0cnUbpWliWh9G63gcB8EM+/5BjNskjaraJS+z5KSPP/VVktHDgheO5CqpnWeuVjcFv b0YhFrl6wdYIVfhB1dI2aNIKvWzck+9o6V1g3w89lSHMupm1KGVfTg5kaPNtMVfwDEDm9XUcdjM2 G0T4r3ihRN8rdpLnWDiiG/L38m+F0gCsufruy6RmoCsRTm+pWPoDn7Ysgh3ECin8EghG+qiL107a Bq0LgXaebhmUGA3uWUKP/uOjEJFBttzxRMeJTbg1VNK98xNVCupB7xczkLbFyVsJsByitVVAbJ7v 0rmzcD09MhwEinfxIoGjGJpOwJ9rBLr+Hyiq3nSGYo8Kw+iGt2X60GIZ3fNyrj6wsUTptwsn0Tmw uBatGxKHAYBpBr26DqzNc7cVNep3/UsLBVjCYoGzOaw0DDsBnj9ksKGgJ6u4VjgxTxq7pENhjJPF bhaXV7ZLdjIacjTj0cMIyUfz4jVOytX63kCCF8jbmCvquE6PmR40Z4LwYcDro5r6S6ErsX5gs54x KUHO2RfW9pnqO7ORG+fAb7xCYQVI46+fsh6TZ+N+gJvSB/sHvFI1Ldq1MBoohX4OwjAE4UO2TrIA S/XSfgJxZKVgUEF//gZdHzk8NCPUITvqqBc+BRN6E+40qgjETovtimcoR+E6jk/icRmPpQRKDzSf 5BH3LSkiynWR3BduelmlRnye/tL/kuGf2fqWrYqRjV8GUF/zs4cKzpmQHBp3bz2WYkHjFbTObez3 z+Le2XbcltXJ/LXUO+Xg8EsRXcbmrsqudShXQpcTwufXCe/bMvJaRcCxgJil64wpfmLbRnhzUoJx 4FrY8GDxAZoU0L+2aSungJNFSIWjQ+h3azXh1ws+cs5QDFe+OZPzDXwR/nZBx8ny8umr+fHO3WYZ 0ChNwN9vUmR0CHQeQT0b6Eu7NZkXbOCiWn9t4SoMms3serrBET0A2h9p0CcWXJJkJdKgzI98SoXK MRqO9cnnu7n+aoDG4IGzd+me8UFJKM2I7dGw2xH///w24OsqTo115AUv0ZeOch3Lfs9fjAuWokno xFmHgCk1IEpkzHukMGvcc+wCE35AzeK1HS34Ase+T667Zesnb0YWcs9i/hVK2b5KJ/gMcAGgUMNB sMOx7RPYJ9raNHu2sZFBzIQ3UJQ+8whj17MKrmDGb0Fas02nM1zhjQjxzBV7s4BWPY7u28aJZntd z8WnoTBLDZSJzdNKc6fh0tEbQu3ABBfOZDeE3C8vdLhT63Q1GHo/HBozpxr3UyKWbbXEiZKlsjFY HtnIMDH+RRR6g+CtrJVtzEGHB9ARzMAxc45YYaJbq01RGFUHZL4yVqEI/kEprpNRTK8Yg/I67wzB NW4DKSUD6Qyb+NFBrfDAkP4faT5lLniBc5RuiDF0A6gD0xvcQEgkk+qjUiOwusclQ0aGuYxtiQmt kQDHgOyQQza3QJOXl1lt3ApzmP7pU7C211tmChja/5jSYqUx3NxjbBEO6Yf6oHzORI6KPCj6z3Oq GUkIxUXc5TWjqwYabu5STRBZF4tdPyWH3KXgNw12w7l09uNP1C7Qi+Jvpn5vLWH6XxJvRY9Ljt1A p0w22PVh0L2Nx7J4f0p3lNP2nD742JjfBg/vvND9MyRLg6D/RtRtdaex2cd9k4dR2G9tN1Ocrmka QKLaUEte2RPjk7Sn8tVFBRokP5DGlDSQbU7EBAGPNymCSZ/ArLnVsu7L/u9N9ueVNIfgunJWQrqF tEm7dY1N1RaliQRo8AH/7cxOD+jEYT5ClcPW6/LhEQ5LPROMxhJfyTrrtG58UnA6sTcNT/UmSpVp F4sd7qEluyVqVyTEUZdgo++d4ydBtIhitlGxoqJbtggxpb/naaJt1QabNLptr26hx4rtYLsFNE9I 4Hh9jw2UADeBWoXiwS+JlUoC0mgSgM0wFi644/yK/I9iE7J5U+CZO1qKZ9csxkU6axL5QabhGlc8 AD2WyzY6rxaok+9DRvb7BQT96GvGtaJfkwgE1L8aWwsgs2RrKU+2R3X3ImSi174O5xv4Qo4H+0TD SrA8rmzFTJc5qFkI7SOt9SYu1uq/EfyBoluieh4oznw+vBO1rnRXPsBWvchfa8C+F6l3KMQbHwrY xdL5X5SbLUZ4o69Y/ktmzGXQqIgZ7vzrzU+IPNjvqJyLMSgquN5M/9CsamTA747EjSfiwJ9MsSS1 efbmAikdbbFvpHsqOYNLiDaeR15g9b73k6oU2iT3AC+FgAq+uwnOqv/YiYDIGA69JCbQvHgCr0gh JGFTD4zomp+Ga6s1CNnEYQQd3PfVXI9rlE6DdbtwQF5+zoohk+GqvwNrG1sVBC57dkAXUYQUMfOq tgZSy+CUvNc+h4+PpoQDphVVVCQBurc7t1PIE8mmReU/rtnfpJH0676jTI1p9R+2U/+cdnbs67pN g7NHUW3UcAf3BD6eKcb/Xi5m/BxCk7ZOBpkR6bfvti6LsXFh0IOeeW59nPCAbS8R8Y2dvCUkO8e/ jf3rdCmBNWigOpJUyTijGwFZu7Blp5oIQ9o/sUJKh5J/IWP2nJmUJmTATUt5ZPjToG/4MxZMmZMN 4ZvB0eO5LfDv1DihGjj0rs+B/hMrD9V42Ud9T+fGbkE/MFkVvGvnRTnGG+KytoebCJqvm3Wbnrow C9jNB0yIiMG9nYU8FmXLaszsSxAOd3DprdIf011N3DYpNncvsolu16+oyyvjmv8M4bZXZBqqXGgI 20UCZWVZOKityvariE2nluDEGEAJoYs7yO8zaDVU7uBl2i5gj8jo/JO8svMpJjQZc9M52R4ARQbz GWCTJfETg92O++pwh+EOmhoc08QOO9pRe5nlNvzf62s3W34zrMzQEgTI/9j9+/Cw+z4uBNcdRo58 i6OOqBIu4T18Y6Tx6d8EUdvZxnqwexeSfesfGB7b9NRPGpYhUfr66m21pWdWtI4c28zHCjv4ca0T rNItRN4qntnx45oKZK/0AWqivBggGLBRytq8VapGGNpp/i5jncXFbXSRKyva2QACw37VQo5s/WnS 5zdoY5oDUZu/GGIqic4H04F+ptYtys6KeHOcwZ6Y1MErAm04nLdbSaZ5zEEDNULn/Ualf4ardcO/ ci1Tonu2CVHwkf+Z6GHLG5CRmHnmh7C62ZHlkymGdj7Si8mMNCo03bU/j9TnyxYXQRu+h4ae0C3q vH3piOFSBjoPrlhG2eE9UknIRurdZ9WoUXD1fMzXa8VuBV/u44ocHMCxKWf/szKnsvlTtnv9nEn1 +xpxSu2RdBUusRdaN8epVKtkLvhLjV954jPcKWWtsGhYZkGj1x2NHUol/JJm/fj7Y1fE3TaiXpLw rByG98Vic+fSnfUCpqp6x30fJKVo36o4Y/d4TudA1Qw4HGuSpH3RGPStTWmioOko/ekJfkIMQ3Ap fOI4Lv94l4DlIuFOqbBeXwzPj3MGrzRvUfcP4x/CNsqqdgf1sr6iJwC126V3sdlAj6Fg2GviDkQN btT5Z5REAzBGvkchd+Vw12Tr6Vl1UYhfIJe3bCd8KAj30lIeHHtdb2gkQ6vkIHQjCFtDlVMWmvI2 b05Zfjle550E25JbJeexXKUNq+qGXpEO8Z8viTHGSYWxdvPCeJdRTfC8rn+qNVFas056zVt3bALk zoMfFQxWJnXtoLHNGLki6CB586bSzl+7FukPXzySs1/wNd3bVaP+Wm5iqUgHJ+gxAiGVoqN0gOoD rozVNWxGHOX0WBH2Y6nhiiXBBORI8HlK5hZw3azobFfGXGZjCtyx5WxQbqssFStvFfMobrV8jfIr 3CzFVDpt3j5zTR8/Nhm+TWuR0VKMEjaq1HERB7bynn6isBh1r0Pv4V7m8+WXVE2SU/6XGtRfoDqO I7PoZa8VLyqEYBONikozyA9WTohXWc/ECwGKgekjCHVgpT7eVBIbDc9DJPL2wWXGZa48rMiqRS/V eSsR0sePQ629W3jOJxneSI04im/wdwkkpVxFfEMYt1iBlYbcyWAqBQnSnrl2CwGKiYTutYbkzpfS qQs5500Ag6DrM2xE16d60Cz2mFY7G6S/0subtRcEPbXEBv+X6oghGx3y53A02VX7cehaEekESxT8 WCdbGq+L8NbkjQjOejdeRoO3nT9yvoKHa7Vl0KHHicKsxeiQRjOQoCAx3nlx/GMarmLO0lr1dLZz q9fJKEIApTLsKyFfZan3BF+IvE+PJFNWZvlooCsnt46XC2xjndMM4v4DBnSRBS8shT67L8I0ndq7 7B5Zy9A/F0B9HvHERh1FrqbfnBBue5cYuvHu9Ad5XpGzhr5+bIBvnq4940Py6LU/UZSteZjcMYJm gbsvGnQbB9OYIEyVnXs0kbvs8SJXuh8IsNnJ3ZgsGI4gM+IJ3RyLxUUQpqA6X+IfJibPg+szUMU2 +HM8G3Ax08emYW/6E8QlpAHdxmjf0kC1RbGKjCW42qzhJ/+sNXjOpZBptUWtTzGh8rAP4zyHm4Bt MlXHlOhDml0OZheUrTH1ffm2zoUsRARUuc2XB2e5yZ1s5G+JBVR8RztL0Qo6MDfjuKIyay1hbB+f NU658dAFuyhceubXcQWwdptu/jiAXfEg11bAolIsXT2HStIQEef0C1SZYYsN+41twR81KtusfvdX zsaEF+BYyJrL+C5jG9ASrvuaPdTc5zexLgb4fVvsI9fw/CP2NJ3mV0+6+XGLXwvpufPZAHWZ2BnF YZfytRpkR+X3mcpkcSGhxIOVUlKQjqoQ70AP9APfxEbWNbCVoVYbEIU7SYRRF6kNCTOGPGH5ssC5 FuV1UZV4ftiQWgkEs1uXStZugWYncB3UsuSppzXKsKA4EbMh2Q22CyqgXJe6ZHnHS1aGINbusb5Z aLD8BFZR+V1rDq4NYxMxNm15lqsmHvPygqPNUrUqqst+qs0vyhTzIgcm1T7oBG5e3si1m5+kYD0Y jCHJNx1gW5xhZgyxHWzOadE6pJz7zT44Z/pYeRt1HDBVIfllZlLOVICYhPuoBXNB6SO3E0dE3Xou Om44z2GFFSuZuhCNL4Ob4idSmfkSfvBrTLJGzHqQL/RCdA8svENI7YJHvzw9r9XN9yu8j02nIT5X YxP5iJ1efHmvLbijKhu5o4QifnjrpsHSvam6b+4ChoJgiB46E1g0WS7t8NTtl814nTcsVFudZDbG Tq5G//Kuj0uzfBun9jTRG9vpg7lwQc1rZLvlM8jparsvQnf3SHaLEErnE+c0rTScdE18qW5k+CzM ZWjc9pJIavAQFQXWgEYLdel4bx4rIqkbRqL/71JlAhcuTVmdNTM6H+H8S9WUPOWMGN3qzrmAyD0Q IH/6lX6Vs6GWq+izSve+uqcwX228iENiKLYgLwP3EXMHSdnlzEdvhLy6PcAe7OM/HmziEL9knx7N XxqKwJcVvRFVaQkNp/PTAELbpEL+7B3XQ+3voDeUsxJYuqtri4I9EewlCMrw3peiuTi7ZQfhWMQB QwDrcEOfK7nlzfsxbWdcY4kHMnd4xjenzv7CpPgtA1+bgKm84UmGyZU66fSqOB6Mz4pRTdgBhEMF FDcHY75HRXjkUJZ7BCIotuM1PSZsK3oNrmNVNsYEftOjuiLdn2urFw/YOwUKe1KXKhrPdjQc9TW9 S4BDksAZjVZ6rIyJZ2zRU3/nadmCzXGTQq9b6w6t5Lh0B68qYCCaTnAw90pgWmrqVG3/r4eNHg42 PS6tyoHNqAws5acQIV2PNR0ImMGSrGdv64oo7pghNktAMu2e/WXhHBQI8DnprAXg57gjIU5dac81 upfZWFXTvOPHfrTjsaQWfvqR0dgNPGN/MfZ0dOmWuMi7FI3f5EQ/bRC7f36Mkb6FQlW3imoaztoL vD+XUcTpAXfogpTmMfeh2/29K1vEIiIHfZVkB15Gzc+498Rha9PkS0kQ2z37R6eEdrC6MUP3kib0 LH6vQ9GK4kwo+4TelIeYX2e2AMgNMZOL0w+3qzDreZ65uovzosG1X/z0Dn5q/wp29lPxD7yU7VD/ QD7Otpl7VRe9d6qT18SzNBFvYgJnuWmvRCR3HfXCGltIy/Z8xl48SOPFnzsW8jwYtJ64i/bTx89U S6P4deEYb1HxekzSWSUkdTAXrXqupK/iTbicZ1/D8X36PHp9eIt2Ce3NNnChD3WZ9ANAG45/hLMa VI1yFiIn2WVZtTjT+RE654xpsIH7VP2zgdWaf9icVlR7/W96OUoQOfYBj1IyVAl6cF0RPhnXHNX/ bQCEwwLFEdS4AYnF4AC8cKUZJYw9CEGfuIwAtrRG7amVWedaf3JVcOVQxXZm9IZKBtM11J5DxB0r b1bWp6xwiJ/MhK2U0YqUEYyF+DxdzCBZWMrA/hQATt6G/l+TvqWLgACNKjBCpblQoKqB7jEuCCX0 9573wcZ3u9eZkBHN48ypLR7JMz69uD78/Yr+3eLTVykMgFWp4kW0eTsHP2vwBjZAvlTn2vpxk0eg 8NbUFiXVHa8+Ki6PA7Wkr5NvSqSWpKuGu/vH0hiXLjQj8vgXBr+2LtP8IQ5p3eH5ceohPtl3tDk3 9DTGot2uJH2m4rLBuR3ci8HOLLbWBvbNXL714MyJTKpcyph4CushMQudoSxP6LJbasM7oE8ot/uc jd+rwNXJ0nNYHqEZGU8SpMUn9whAACzvjC0oXS3lrLouee03/exT0ZVYkEug0nUjOzxn1TlkrkfG Pw3aLtnnk/SXMuGXG7zXb7iReGVJch4d+shLRWJzVcKD9r1iY8KllInqLWKo9tnG1nSC6U6j4Ff0 CehFf4OkagdjusYXNcH3xX6lJn52xyLeYS7qSUyTU0ySyYYa3iUHUB3nmuohQYCOJlBRmOmFP6c3 2JH2vSNbqo8mbYt/845JUQgwJeMTi9wR2buJDHw4okcpIxmY6bfvktLfjO/JQ6nCeWXgAUqFCHkm mDYQ65jV7by8eFoUO2eEc//Kl1VrFV1wowEARugw54F2T3kB917x0Ua8bqTJxGuSWJwzjRovic61 f8I7jkwzjzT5T8GyehDZb+UP3ZBsxl5W6OgET/ncOgChl2nO3Wc7FUBXm07xE07s68FT0HNMGuR1 UGFTIsxhJfaJxI58xvo1g6jznQwEGOS5YzZIjU5cyuG73LQT5wbO8496n2JDGwZCKIc+RRyUtj2E 59VKY2BUwRVlaXZR9CaExEF6P7nhQq1DYotBe87OBksqinUeLA+V3twBKT4ve+vqON/aurKjSvG8 q85tQ40NlG90gZUnJFGyWoGPMVnNGywpzhZbwNJ8ID3jSTGO10llY+0mCOTCoRwm+Tfl5w5oMaur oPcXtAi1Uf05s/qwdfRGVbWjg9OK3pXMSgi3hOjqTf3o4dLY/WhrVklkRtET1mL9ZZzN52TFtlgH qQq7Pqa1KJ9VQorWPYvrEqpZYg5iBognd/px/fivtTVGzts1uxxHoKAYPcSgZDIpdvM69qE91hxI ohZKX0LjqcU9cexZk7v3MTCw4hJsuoWA+ClwsRXcSBs8U+7zbVmgDI9JPPNknXOK+Hy2tqpywW3g lH8IHWqUuvw4VMVcY6PlER00decK1MxNTOphMEcFzCxFAqx3ja2nJdtd411vykHgOv2KktJBZB/Z p3r0b/+YMIUWj+CJG/dEalb4aNdUIaTfu8gA6/PFP2cB7dwMu96VonAV5wp6IQGTb7oU0WDYSL1J 7h2p+fWRCnYYua2zOyv9Y3Taqk4lk/mIvn/VpLWS7fH2O5AsQ9IE0s9wJqB7ZQq0AdJLVB+dG38f DSwq3uo0i6dodxLeWzDhlDyMeBqI6OnZpFd37OlpcehW9QcufA4aht2Q0JasmtS3y0xkPJrJa5xe F2uOjJUT3yWczTNili5Xmu+aFH4ancZVMKKZKKbtY+UOD/bwQBWGoKON+aR+NuvgTl7fAY4oLyM+ H62cTPZezZAMiz7R7AoINWosDIoHG1H3LoXSBE0q5UDBJ5fmU2QnfTKS3LmB+gERt5rgYC1JNr8s g9l9EKVPQ+Tng2iWsRNdQtNkc7hux4HRh5SCP+FhjLaKY17TV9kaQHaW0fVT0sSgBi8LZDx5VVnW WVCTeX95eu3d/CEKJ6pziSvxOGz6thw9sxvAR0NBhzRb7I/HZKHPepokPortarrU/awaHQ/Uhp7h 3XbefJTvattDNGAQ0inr53OcEyPwq38KkjiwrFNNK+UsQE6C65Y5dxgKkzmAUNTBhJ8c89vf8b+c qc/Fj44ssdqJMhNxo9xngk/aHcuLVaETa0o5E+LF642TyRJ8EZFGXlljykG8EzNGs0XjMIhOkgQK TbL6w8cxTKLCBiwemCcKKD1OERczs1OJWdH4G+RB/QCVxUjTht1hRod+GaBYdCxdp1fXjKuhbgzu 2lei1gqvd01ilP6SlC3r4QSNOX7hKcMTxfNzQ4GXjmUeF0lqNQBvK5R7LVIPX4Hx7K3K0q7KQI5/ smeaWITcChSddoD4MiB8wku/LK/1BuGoYdtiX4aUWJObU6byg69ah7Fw0NySlIGtq6f7OaU6dKka dB4C6xVNzn6QnasLMAVLH2vKNrWyP7HUcUo9aIJ06Tx0OClg8a897pwgBfogn9oBzO7BH/sGV/Pg n+he9KpeYncJJBYKTZiobkeO7fmD2fe9zxjuH7DK1B2R2Vw2TIbfWivMdQtV4UZINmC4IEhK0iAT CbAOQ5K1l0cNKyECTZnh9mJi79prujl7aWco8mCHfvIpBrUN3KS3MIkmzHXx0uMxgZlFDWBm1dok HG3jNntFOxIwp/3Ed5yAC2lTUZx+B1M11H5X6KEYB3mFlVqgL7xKFYoR3RtkLuoh6Zd4umMdtV0H kWHeuxX1toLIuw4XN/e1vp35SW067plDsKSugwWg0CzBa6PWMOpOdhoM/W+pyteTfLYfd9ZtAPMX g3Q5cfLawRxQsqDUmPVAFpDceDoIBJXDwBkKydRtFEEOtIsJt9468kpW1GcgVktuauNHjGCPQ5Ye /CaJTnPfbsfz4utiUom4e1ErogTxebo8myP4jEEZgjvs+fwRJ0uQM8P3G7/HZni/y7Eor01ctzkl 17B6JCSK9az3wzt0Wm6JPFb9IlMQdN2ND3WQJsg6Kha4l1UiS6DO9Ie1u4ll3/G4Z0srLvE+S6Ko qE2O7gl7bEdAY82n0II8Cq06taiOZXwGghIEqRowB01xx0nrEoKoTf1whvEr+gPR/Gn2znbqU/+/ VT2/NVABXFAZBzKujzSLy3c6g2nKhM2bysgsb6jf3nvUd3+r+8IN1ZFVRRTSqrSm/YJuXn55Pjyc Kr5dUQCAmjla5Sf3gPpR/2qIAA+bna4dTjjj/qDbVAlejDiiE6fsKAdX9dqGjpzpkjEk+48xf7jH PohTNAcUBsbxoxelq2MrcHniRkcjxtE6HVSXuMNCxevqduKiPuvO/5tRv5aciwcsUhki+5NJKQEn GH9odOm5IbdPYzH7W3tt3ILliS94h6gM4cpaTr3uGij8n+gp3FtC0DUtHvtePt3Yh9ZHL5JzUFOj td0orGCJRsd3ZZylnbqm7aHStZc6vrYIioKtQhBHhipqaJYsqMlgNHbVx5EOvq2Wbr+6/koAI/Kl 8YZDVGfyg6OV0tEfHqIS3YFCoeijquuNUA7MxEDUlK27R915a+vcqY4yAaAPBIl5x5QD5LpqmWKT MWx6W3YOdyO2CQgEfAgM4Sn2RJfR80QLKWIasqVyAbiXwyaHBu6v0pO3pQNwsVjS7qVxL5RbpbgF HqYYlZstdL0KPgIuct8sJda7ZhfjPK4WoHojsXmP//ruaR/61mRGEZFfT3/0swGBvL2NPh1uxCo2 8zHv7BFGKsTQZgV3fV/7VM4P70R8Bavsp31Y/EmanLv513V6cZtfz0gh/oWTjmE/RH/Lt9TluWkG 2mA2iGMig1rO9z3OZLei0BApITNob6ZyqAiDSNIBfa+o1w9cncCcCdrsRi737Spqxd6uT3qwBxzE VV5gyx4vRjm3mnffqryJk7qpHU8ooYbbk2cbn/np8bKxMjfUQMJgilO2Ck0mi5/sISwFbt7nGqOr ikZ66E2P9tH4sY9OU6HVglDfCAnEUYSbxQdUzcQ5d1Q5PFOH9LlfkslZ/NuhNd65/0C+PljUViuL qEIxnKdPZ9M23Ikl4T8HKMomJzKGw7ogWJdZwePX4UXDdXLKYi9pmV26foZ+eUdbGeL3o241KlZ3 EJUEE1fNaaRFjkA3W/nnLoPV3iJR1PU7ngjStpW9MHPV53qfpJHeQIw040+xkApRjH/TfpZZiH8h mJdxJ9TzL49Ft6ZrgsqJlBy1GbFWtLrQ4vPXTT8ovhglYMVt1EXcQHiyGrR6GhgEy+t6cbTxZJP+ MmFD8VxpqO1ybotnw13ORMqW62khRbRKD64LGBGno4jnFhIQYMTH2eC4mubp7CT3RVsA5fzXhBkc Nhldof23Orb15eo0HyePW3vGYb3vzGnn58WsNdmjD0EnzpAodKS9cmYi+vGrpeziw8B9BQ0lZiDk Pgni63m/Tvnn+G+snlWv0VJ4XodqwkcN/VcR0MNZ5Irkr0P0FGQS4ZwkUCU4uprR4JYWvmuTDrDY 07hg5EQeCUIZoY0k2x+aXhmpIIzajJWRlaJeun3df8SLA4Vf/IwB8u9hYH3Vf8zMAfOc8mD4RUL0 nnwFgabozK/tuBagmmsIO17KHhy97lMW5xrE0x/6gKrYX7KS+KKN/ysdHi0++nGyoDTa/ueqYDtI V4lDrdvLwP/2vLpmfx8Blnu2RQqX5T4GZuFxQBXcxUhludArRMpWroq9OHea+z+EmL0YbBYebJAz gTzsuXO9EMugknZDaD+/WKg1aZXReO+2rAAHviW75y9f2mSyUhGl30ukZEDdOEdtGQz6BKNwFiPt FDUTARbeCOOvSejs/ndmFSLc1GeFN7jsQpxRuv/nPyurRxofvKXTDGy8t2iCJ7ClNdgyr5HcMNzL QK/ENpzsYBUM3nJn0y6xWz/akMaexW4TjRFZCHL9UwlE3twI876hOhiqMqmp52dep98+9LXke6i1 e+JNpkinuN++QAYZCoNR+AmsfLW6jcfUWG96y5ySM0Yhma4P0JCIpxe5dehjifdO7OBUKsd7lm2K Zg2uSUnLBY7dgt7Xvh+WhI8g+eyYsUoa118MkXPsN26gFpqkCjzjO7ozEM70NwCLS3P7ioJi1HLt lBRRtIag3GAmkHmgXTAZB9o/wgjZxZ5IKlNBUdGRbutT6Bbxu7loFukaFALSwZHz9H/Oy93RWvsU hwPeRiawM5HNstu71Av/fDXQqOoX44LfWPY4ho9rLKaVj2nrA0CsQDjd6oW92JhFjF2chKyNy3ii fh3+WJD7fFeuJBGhQD+JEnvuV8rsWMCV1DNG+On7PEb481xfGTmINZNpRoVYf30SEJrVflKT9W6N kPs/l28TLzUEM12nNA6s1zPHX4qD9JVM5M1RgcRt3pgXFzKRE6s0/SKL9VYdqpO0DtV46+yLGxga Ql+LEwA5nX1jrL051TXEAyKp1ue81StI5IYLmFqj5RnsXj0tmeAJpBbjR2Sc53yhRaVaQiqroSFN M/zDxIvOkhgGIHeotk4EUilahdByoG2ysflxszVQZKMehssSm6i1YA6EZjKhNq8RZzn5U4uunyLR Z0U5ghtmE7tgDyD6WyE6n7FdWbleaIj+/43LQV4NYRYui481fQ1C2T8zvHn8LGm8GGvG98sU0msr Rz2OaTZzvYh6CL0V3XvPUrIbMQQ6h1wsjk3B7XJSCjDmr5YhUu7ZAodYUDcQsQOW8l1oDLaM2oAJ WEe0EpGUhzDnonAE7YtFdFlngaLiet3AtGutDgQHaqe9XPQFA9YZsV55FCcrkUTR/Ol2jUyicsWG UjOthQjKKDFDSe+6TJQjBfwpoAO9y+EhS4GUadOviy0JeQ78GJ1OnHytcx4CJ0KA+r6MIFtg0NhW Onl6Cu9tOT9gOyGgjGPghk+eYhOUb7c45FSNoMhQ2wlDFBfj1++opPUVcdcilikJcfKQ84VCelLT cYAYJ7wbcxsZV4kKNL6mHxi6q+RqtKjtP1WK6cB+Yjk0zSxabiT9B16pKlfarGJCOjzE5G10146c 6vytgLFSRe9chgq0vKhC4JDjjwSlPl4D/Hd1DP3mT6vJalNXiIa64QJPBACvj5hOOEbuUVZIprEg ZFTHeZ0PNNdNl1siZbDzKPVD989ByaojQVOPtAdQCBLDGCmYX1KvZKwlG5TppPktSMPzTZfE1iKw w9dkyoAiqQuF0Ao+enbZhSgQZSIwdN7Se7o/thzg6WSpPpow3gPfHshebHCI4Ap7zlEAITG9r5lf jBpJjdZ814Easv8TTNhMzo/TsEiHbtGZYobMhu5bCkw4DeeEL44dXn9Dsu0oO6NrjV0fB2F3fhOf wmtOtV8UXq0I48/xjuePimKPWbZkE0Esl6KtQRAC8Fjm/TtT+Xdl6aqN31z+XbrR9rHPgvNJWxuz GnFJKqfpsd3N8stenw4vqgou5YtdYlizHOB9bdx+RRULfw5HTM0sAyJhjYzsbsVPPjCESPy4o6wU qlUk2pdksdfxdRLCJg== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c Y2O4fk1xOw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV FIedseAJGSJjdgeT43M= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0 dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc mYqTUQDFFlehrx6Wh0E= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8 SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 12496) `protect data_block PKlpisMKFINH4hoELw81Ae+vpIr0xr/BIQZISQh02QmAYRngfWchi+A+2gXJ0ErM+PWm3fbvLHaf UADT/opvnHMCrmwuOuQX48J/a1y0sztlHgsA7XTu3se9+qgRV1272cuiUbredv+aMKTViShOFEJT +XwvgmUQ6VPvDVe6vx2QuNGjcFHB7syvFFQSeXXRNnpL5imdnbeZtqKRTRPs8lrW0yVMXRHZrkL6 ZpiLjb8wvSw50aybp/4fWVwokrlRrd6pt5REpMNOMTEBQuVdwvl5TSm/YD69x4QfehrRAKZgMwX6 cUJk66e/7pOjxMqzwBK2FferyX1UjPuRyKCDVxpg1+egYTVenjSEoZ+fzvkYMC3O/LvMVZTSfCXs L1BuO9ypLGyE2xm+OP+lKm2B8/PHCQ/loQrqixwN6LiJhG2DHxhNorSQEQNGx2ZBrEaF6bbqorK9 P/hgDt8P3qwpOLI7Opru67OO/mSaDQNfopRUWxH558sF28QVM2at3MzTT4/JZJ5q3EMgFhHy9H9w uyZfdlb8H+Akt6Rq8KgA373+jyN8vmUDKfvo0WPDPIMj1y5HCPUMZPuNxXcg/J3pw4Q9AcBpzFkV eterIqx0kh6DKGWwNk5zan3tv4ET5hWG71HQJZLoUDgSkAvSNLBfrsvRj8P8Kcx0ATCltAIvG4V6 ASXVJ82B3PCYqGNlzlK55qyCCqX0CU5FyCC/XkDKvEJ9J+kw/qdCtMIY+WhUGKAmtfcYC9aKCYmn EpF0MCY/AK29NUh2ertbHFbwE2nu5fCtOko3vVtYDL6hQon3TUe20eRQL8O0KkIcIH2/Jfq3zDlD VxJ6iUQURKSmOpektB+c708yu1lT5qO9AvszCeHfGlD/Xtrk6ueRjy8xEmxptx5F2gb7nkfYQQfg 4hwhWVhHWVYl0GuQJWqEu7sGvlYgfTavJOS5gm9g4lbOYocD8a70UynwZNYLYOzKKPJ++aiDthgz AnaqAZA66BH5134YhsVrjFRUF/D+9aCx56D9TteJXc35bKqbwJpWsVliHfc5eGi+DJZd4Iivc0N0 IkbTwzjUsWZFEA/Zf2xmri5lniBG1ykkKzIA3scc3Aj0l1Q+FfY3KvreNqqV+g0TLj+vRnmnFDfi Hw5u0Ei1tedKakwCLwBkrUP81IIOx9hquI7RWCXw5vWXrrPtrk//JUIVtRkrV4jjUv6cWSC57xvJ CKLsatz/vA8KdW/sOUEjDVKHfyBzIX+MxIUkZvwwPmWoW7u6qqW/aRk44/2GemzVNpoWB8LvGVXv 4YthYMUgdeGsJq1Ih25FdOJ7Tb3gLcfB4eY6H2ufmQdoclWm0MsMwXF5nBe3XM+VheV6K5Bror83 l6PjHzuluTwlrSor0O9dYnzqEl5yL1qubpaCMbtbXqqjOoFD8TX53APe1OUI6TtQCutf4gjCbcGi FZG97TGhqglGj0PGlPgymFbBkbqV/55jXm1THihSArfQHbanQD0FJ/dGTYYGPGD2OuGU33geTl3w w0qUHpwby7r9HbRqq/DLxZR/RjPJtkhucdzv1JhI5/NtGE6eD378ZZUORKku1vSw+07j8fPD3zAF bFta80INrV2y1hf7suVUYb3vN0GJOFKI25l2EtcvVH1wXmmRVrcG+kf3OzPDdmVxEsv90V3UQ8j+ P+rkg+Z1yr7ddaD4uxmB1WRVeRdyK/pgu7Ie7Cn0jVh0pLdUESP+IuHsklMzurZLvreWnWA/trsQ 2Pz6j04sGWpuvkCCtgqi58wq34L82MHJR/IsdodGDMdlUXjW7Myf69MFiwTE2PG3jZQ6cyn8Zyel hwbX0WmOzA4p+1bSWBVbZoR7De2MzUFb9ms2xXff9lsGuPUvRJOIIb5GdmuGsTCkOKkaZzxbCgs6 PQM32etg/IdnF1iUOvHKq5R2v8/HwCdDRjA9GGiawX3TXlqs64fAHGn5doxYv0DeKNIVsIqDMxc0 kGL5MCEgRb5qdnd2yQdgCBq2xKdxdbT5lBb4vhlbAm9QMyMM94Gbtk3+ck8p+Ww3X74I1xgSOvSY LkesB3FQ422GrBizYEih8yL3b8vCYrjc0BN0ziGS4e8Lcj89OaMtxo2ERy2/K93eDzSviMbhxTo2 t4hL5UV+gDvN1LoUP4anNDT7kXCWPd990QjZR4lFejXRIAxXJAJSKIv72hqWi9IaUawYkVIGlAhI BXBz2tlkzsw7bwlzx0uBD06/gyrLqmSeYnimuYvy8y+woQxqSKPBQ1R5LiCoQ058GccW/gu0Ojvn biieZNyxHCflX7FbabnWl8RVDVUTbZHVEtSCim0X1JBvml77QP2QRGEWo0CgWIF1Ob3V/SwWSFpC rjeWCrRkKe+qFGQq09k3gUJTsCRd9gbMkQ8jEF64f49nmSRFf+c46txY8jNFem77P3CLWWHmPmLa RYp8zsY7WPXMpsOKmWLGJd9uz8oo47/Jl36+VKUSL5m6/PwU0AxGi9drf74kYpP8nIrI+b6j7z8H kACHqRIXApbaqA3JK6DEGIQpSRG6RUEvZD5wHVpL/0+7yZd5FORTjRgGwMwyCTUbeg9/2V9E5t4m JF3gCnklOhGXcGoWwbqUji60G6I33k+/zQj7X6xrYTBMw+qGKd+Mz+isy7vi4N1BfXgsRECx/MiZ n1fzja+kP5m9tll5b8scRrI8k4++HsqM6Za/+0MvedGbLokKGt2cTeCabXFiyqgOyk3mj7b9Jkhw qL2Wcv1VZ2vQE/iucOoJBbmGi92o6gxHOqTK8RyaLnXpzrWNLgZJB7BuFx4E+AgXX73RhJLYPo40 FsXfElH0TzCK4vxHz7XTEHqKecNIC95+te1IpOZtgYANSwHNYO9+zRcge6CAovoaMp/Lg6VCNwJp Qaqqwkr4UotM8SK6fYPa7IxaOQtktk3locIfx/meMGmrKrti45PwkahaoQx93X6iGGea8zWXJ4Qb ZjzXj6ugf9jo17Pz0mqwF/XJTg8G1rBqhwwjxvZm+Yiplw4gJ7mCCh7Eei2mBd+a6sFNhh5Jtblw nNtkcqyigGxwgZo9dx3h4bZSWb+hNMKhOVWH027euQIaoNkGgC5XnqR0IOgXBQpM9++yl2W4mtIl m/j/An/FUGGjQuHIEAM+NYe348sKbqAgkfYPVT3qTxzvlQ0I1/LWypObMMEcQqVQ0llSivpSWSHs XhQqUvlBXiVQuT0JH/5W4KWSdSm1Os5X4FqVjFP97zM/BptDSiJRRwI35t1VaHj/QrWampSpW1XO sljUavTYiZAKl/cXbRA/sjvnTf/molfcMhONwoRIfKGOCiFUCI7toKm5MuvRPg4Rcv0u/OoeGLlG mDyQITMY2MhwSad+i2KNV1VmzvUnlfzduKsorWR/4JvhVVVkd3uyBBrj8CeVbamtKDgZr+xkWtr0 mjT3ypBLZSa6R17OFgFKnuCyKd2iynXIzcQb+RqRLUB+1mc9xY6BXSlbgvf0UAnOphYi44DhFciH o0MLm3+KyuyYGPmYwFoB8rvjRIuUqF+4v1ztDF/iqK+vFlN/KJFfpo9DaD9WygBUeKUMTWcBsIAX 1Te19DmIpuH6ZfYw89Ir/Yv5r0D6s1pJJ7ru94OPV0cAg6ANRd7re3hnZ8p4I2I+z61UD+6BlZPo LI/dKL0qx45wTPLvXURxzfyvUtpuV4vnf/L7jSWkbPh76cIuIJFBKIa9VBksL+LVpy23TVpYRkl7 yzgH6sHVUmwETQrzj7E7h6cFavHVl6kT1xZdXNfzgW7UAHwJb7tOVJrAqIY5D2TkFibY90h+ETYD N61rQHY51LbrecGG3GBZOMzbmnx8XTUTpN4a6V6xpWSdQ9mFfTXhSCEZrxxPqDOyBeRrG/LkIXKk jWFW9LpTbGIhS+ESaMsNyDqxKkk7CjSItOJIeZy+K8XDYu7BzqoreRRaquSNRpvdO46srVJXooy/ Y6SfjH4FQL3TH+El+XZMvvT49VLZ8gaYEKzZE0KgZgtBIrv/XpQH97W5+kiZnzDhmkyrtsLR6SwL EbWA2h3qLw6a/bqf1q87wS56F9l0Uzt7U8sVzCNw6xRpzHDEh8042jBYaMb3rIRRUYlnw50eEWy8 1coT3cVnGe0cnUbpWliWh9G63gcB8EM+/5BjNskjaraJS+z5KSPP/VVktHDgheO5CqpnWeuVjcFv b0YhFrl6wdYIVfhB1dI2aNIKvWzck+9o6V1g3w89lSHMupm1KGVfTg5kaPNtMVfwDEDm9XUcdjM2 G0T4r3ihRN8rdpLnWDiiG/L38m+F0gCsufruy6RmoCsRTm+pWPoDn7Ysgh3ECin8EghG+qiL107a Bq0LgXaebhmUGA3uWUKP/uOjEJFBttzxRMeJTbg1VNK98xNVCupB7xczkLbFyVsJsByitVVAbJ7v 0rmzcD09MhwEinfxIoGjGJpOwJ9rBLr+Hyiq3nSGYo8Kw+iGt2X60GIZ3fNyrj6wsUTptwsn0Tmw uBatGxKHAYBpBr26DqzNc7cVNep3/UsLBVjCYoGzOaw0DDsBnj9ksKGgJ6u4VjgxTxq7pENhjJPF bhaXV7ZLdjIacjTj0cMIyUfz4jVOytX63kCCF8jbmCvquE6PmR40Z4LwYcDro5r6S6ErsX5gs54x KUHO2RfW9pnqO7ORG+fAb7xCYQVI46+fsh6TZ+N+gJvSB/sHvFI1Ldq1MBoohX4OwjAE4UO2TrIA S/XSfgJxZKVgUEF//gZdHzk8NCPUITvqqBc+BRN6E+40qgjETovtimcoR+E6jk/icRmPpQRKDzSf 5BH3LSkiynWR3BduelmlRnye/tL/kuGf2fqWrYqRjV8GUF/zs4cKzpmQHBp3bz2WYkHjFbTObez3 z+Le2XbcltXJ/LXUO+Xg8EsRXcbmrsqudShXQpcTwufXCe/bMvJaRcCxgJil64wpfmLbRnhzUoJx 4FrY8GDxAZoU0L+2aSungJNFSIWjQ+h3azXh1ws+cs5QDFe+OZPzDXwR/nZBx8ny8umr+fHO3WYZ 0ChNwN9vUmR0CHQeQT0b6Eu7NZkXbOCiWn9t4SoMms3serrBET0A2h9p0CcWXJJkJdKgzI98SoXK MRqO9cnnu7n+aoDG4IGzd+me8UFJKM2I7dGw2xH///w24OsqTo115AUv0ZeOch3Lfs9fjAuWokno xFmHgCk1IEpkzHukMGvcc+wCE35AzeK1HS34Ase+T667Zesnb0YWcs9i/hVK2b5KJ/gMcAGgUMNB sMOx7RPYJ9raNHu2sZFBzIQ3UJQ+8whj17MKrmDGb0Fas02nM1zhjQjxzBV7s4BWPY7u28aJZntd z8WnoTBLDZSJzdNKc6fh0tEbQu3ABBfOZDeE3C8vdLhT63Q1GHo/HBozpxr3UyKWbbXEiZKlsjFY HtnIMDH+RRR6g+CtrJVtzEGHB9ARzMAxc45YYaJbq01RGFUHZL4yVqEI/kEprpNRTK8Yg/I67wzB NW4DKSUD6Qyb+NFBrfDAkP4faT5lLniBc5RuiDF0A6gD0xvcQEgkk+qjUiOwusclQ0aGuYxtiQmt kQDHgOyQQza3QJOXl1lt3ApzmP7pU7C211tmChja/5jSYqUx3NxjbBEO6Yf6oHzORI6KPCj6z3Oq GUkIxUXc5TWjqwYabu5STRBZF4tdPyWH3KXgNw12w7l09uNP1C7Qi+Jvpn5vLWH6XxJvRY9Ljt1A p0w22PVh0L2Nx7J4f0p3lNP2nD742JjfBg/vvND9MyRLg6D/RtRtdaex2cd9k4dR2G9tN1Ocrmka QKLaUEte2RPjk7Sn8tVFBRokP5DGlDSQbU7EBAGPNymCSZ/ArLnVsu7L/u9N9ueVNIfgunJWQrqF tEm7dY1N1RaliQRo8AH/7cxOD+jEYT5ClcPW6/LhEQ5LPROMxhJfyTrrtG58UnA6sTcNT/UmSpVp F4sd7qEluyVqVyTEUZdgo++d4ydBtIhitlGxoqJbtggxpb/naaJt1QabNLptr26hx4rtYLsFNE9I 4Hh9jw2UADeBWoXiwS+JlUoC0mgSgM0wFi644/yK/I9iE7J5U+CZO1qKZ9csxkU6axL5QabhGlc8 AD2WyzY6rxaok+9DRvb7BQT96GvGtaJfkwgE1L8aWwsgs2RrKU+2R3X3ImSi174O5xv4Qo4H+0TD SrA8rmzFTJc5qFkI7SOt9SYu1uq/EfyBoluieh4oznw+vBO1rnRXPsBWvchfa8C+F6l3KMQbHwrY xdL5X5SbLUZ4o69Y/ktmzGXQqIgZ7vzrzU+IPNjvqJyLMSgquN5M/9CsamTA747EjSfiwJ9MsSS1 efbmAikdbbFvpHsqOYNLiDaeR15g9b73k6oU2iT3AC+FgAq+uwnOqv/YiYDIGA69JCbQvHgCr0gh JGFTD4zomp+Ga6s1CNnEYQQd3PfVXI9rlE6DdbtwQF5+zoohk+GqvwNrG1sVBC57dkAXUYQUMfOq tgZSy+CUvNc+h4+PpoQDphVVVCQBurc7t1PIE8mmReU/rtnfpJH0676jTI1p9R+2U/+cdnbs67pN g7NHUW3UcAf3BD6eKcb/Xi5m/BxCk7ZOBpkR6bfvti6LsXFh0IOeeW59nPCAbS8R8Y2dvCUkO8e/ jf3rdCmBNWigOpJUyTijGwFZu7Blp5oIQ9o/sUJKh5J/IWP2nJmUJmTATUt5ZPjToG/4MxZMmZMN 4ZvB0eO5LfDv1DihGjj0rs+B/hMrD9V42Ud9T+fGbkE/MFkVvGvnRTnGG+KytoebCJqvm3Wbnrow C9jNB0yIiMG9nYU8FmXLaszsSxAOd3DprdIf011N3DYpNncvsolu16+oyyvjmv8M4bZXZBqqXGgI 20UCZWVZOKityvariE2nluDEGEAJoYs7yO8zaDVU7uBl2i5gj8jo/JO8svMpJjQZc9M52R4ARQbz GWCTJfETg92O++pwh+EOmhoc08QOO9pRe5nlNvzf62s3W34zrMzQEgTI/9j9+/Cw+z4uBNcdRo58 i6OOqBIu4T18Y6Tx6d8EUdvZxnqwexeSfesfGB7b9NRPGpYhUfr66m21pWdWtI4c28zHCjv4ca0T rNItRN4qntnx45oKZK/0AWqivBggGLBRytq8VapGGNpp/i5jncXFbXSRKyva2QACw37VQo5s/WnS 5zdoY5oDUZu/GGIqic4H04F+ptYtys6KeHOcwZ6Y1MErAm04nLdbSaZ5zEEDNULn/Ualf4ardcO/ ci1Tonu2CVHwkf+Z6GHLG5CRmHnmh7C62ZHlkymGdj7Si8mMNCo03bU/j9TnyxYXQRu+h4ae0C3q vH3piOFSBjoPrlhG2eE9UknIRurdZ9WoUXD1fMzXa8VuBV/u44ocHMCxKWf/szKnsvlTtnv9nEn1 +xpxSu2RdBUusRdaN8epVKtkLvhLjV954jPcKWWtsGhYZkGj1x2NHUol/JJm/fj7Y1fE3TaiXpLw rByG98Vic+fSnfUCpqp6x30fJKVo36o4Y/d4TudA1Qw4HGuSpH3RGPStTWmioOko/ekJfkIMQ3Ap fOI4Lv94l4DlIuFOqbBeXwzPj3MGrzRvUfcP4x/CNsqqdgf1sr6iJwC126V3sdlAj6Fg2GviDkQN btT5Z5REAzBGvkchd+Vw12Tr6Vl1UYhfIJe3bCd8KAj30lIeHHtdb2gkQ6vkIHQjCFtDlVMWmvI2 b05Zfjle550E25JbJeexXKUNq+qGXpEO8Z8viTHGSYWxdvPCeJdRTfC8rn+qNVFas056zVt3bALk zoMfFQxWJnXtoLHNGLki6CB586bSzl+7FukPXzySs1/wNd3bVaP+Wm5iqUgHJ+gxAiGVoqN0gOoD rozVNWxGHOX0WBH2Y6nhiiXBBORI8HlK5hZw3azobFfGXGZjCtyx5WxQbqssFStvFfMobrV8jfIr 3CzFVDpt3j5zTR8/Nhm+TWuR0VKMEjaq1HERB7bynn6isBh1r0Pv4V7m8+WXVE2SU/6XGtRfoDqO I7PoZa8VLyqEYBONikozyA9WTohXWc/ECwGKgekjCHVgpT7eVBIbDc9DJPL2wWXGZa48rMiqRS/V eSsR0sePQ629W3jOJxneSI04im/wdwkkpVxFfEMYt1iBlYbcyWAqBQnSnrl2CwGKiYTutYbkzpfS qQs5500Ag6DrM2xE16d60Cz2mFY7G6S/0subtRcEPbXEBv+X6oghGx3y53A02VX7cehaEekESxT8 WCdbGq+L8NbkjQjOejdeRoO3nT9yvoKHa7Vl0KHHicKsxeiQRjOQoCAx3nlx/GMarmLO0lr1dLZz q9fJKEIApTLsKyFfZan3BF+IvE+PJFNWZvlooCsnt46XC2xjndMM4v4DBnSRBS8shT67L8I0ndq7 7B5Zy9A/F0B9HvHERh1FrqbfnBBue5cYuvHu9Ad5XpGzhr5+bIBvnq4940Py6LU/UZSteZjcMYJm gbsvGnQbB9OYIEyVnXs0kbvs8SJXuh8IsNnJ3ZgsGI4gM+IJ3RyLxUUQpqA6X+IfJibPg+szUMU2 +HM8G3Ax08emYW/6E8QlpAHdxmjf0kC1RbGKjCW42qzhJ/+sNXjOpZBptUWtTzGh8rAP4zyHm4Bt MlXHlOhDml0OZheUrTH1ffm2zoUsRARUuc2XB2e5yZ1s5G+JBVR8RztL0Qo6MDfjuKIyay1hbB+f NU658dAFuyhceubXcQWwdptu/jiAXfEg11bAolIsXT2HStIQEef0C1SZYYsN+41twR81KtusfvdX zsaEF+BYyJrL+C5jG9ASrvuaPdTc5zexLgb4fVvsI9fw/CP2NJ3mV0+6+XGLXwvpufPZAHWZ2BnF YZfytRpkR+X3mcpkcSGhxIOVUlKQjqoQ70AP9APfxEbWNbCVoVYbEIU7SYRRF6kNCTOGPGH5ssC5 FuV1UZV4ftiQWgkEs1uXStZugWYncB3UsuSppzXKsKA4EbMh2Q22CyqgXJe6ZHnHS1aGINbusb5Z aLD8BFZR+V1rDq4NYxMxNm15lqsmHvPygqPNUrUqqst+qs0vyhTzIgcm1T7oBG5e3si1m5+kYD0Y jCHJNx1gW5xhZgyxHWzOadE6pJz7zT44Z/pYeRt1HDBVIfllZlLOVICYhPuoBXNB6SO3E0dE3Xou Om44z2GFFSuZuhCNL4Ob4idSmfkSfvBrTLJGzHqQL/RCdA8svENI7YJHvzw9r9XN9yu8j02nIT5X YxP5iJ1efHmvLbijKhu5o4QifnjrpsHSvam6b+4ChoJgiB46E1g0WS7t8NTtl814nTcsVFudZDbG Tq5G//Kuj0uzfBun9jTRG9vpg7lwQc1rZLvlM8jparsvQnf3SHaLEErnE+c0rTScdE18qW5k+CzM ZWjc9pJIavAQFQXWgEYLdel4bx4rIqkbRqL/71JlAhcuTVmdNTM6H+H8S9WUPOWMGN3qzrmAyD0Q IH/6lX6Vs6GWq+izSve+uqcwX228iENiKLYgLwP3EXMHSdnlzEdvhLy6PcAe7OM/HmziEL9knx7N XxqKwJcVvRFVaQkNp/PTAELbpEL+7B3XQ+3voDeUsxJYuqtri4I9EewlCMrw3peiuTi7ZQfhWMQB QwDrcEOfK7nlzfsxbWdcY4kHMnd4xjenzv7CpPgtA1+bgKm84UmGyZU66fSqOB6Mz4pRTdgBhEMF FDcHY75HRXjkUJZ7BCIotuM1PSZsK3oNrmNVNsYEftOjuiLdn2urFw/YOwUKe1KXKhrPdjQc9TW9 S4BDksAZjVZ6rIyJZ2zRU3/nadmCzXGTQq9b6w6t5Lh0B68qYCCaTnAw90pgWmrqVG3/r4eNHg42 PS6tyoHNqAws5acQIV2PNR0ImMGSrGdv64oo7pghNktAMu2e/WXhHBQI8DnprAXg57gjIU5dac81 upfZWFXTvOPHfrTjsaQWfvqR0dgNPGN/MfZ0dOmWuMi7FI3f5EQ/bRC7f36Mkb6FQlW3imoaztoL vD+XUcTpAXfogpTmMfeh2/29K1vEIiIHfZVkB15Gzc+498Rha9PkS0kQ2z37R6eEdrC6MUP3kib0 LH6vQ9GK4kwo+4TelIeYX2e2AMgNMZOL0w+3qzDreZ65uovzosG1X/z0Dn5q/wp29lPxD7yU7VD/ QD7Otpl7VRe9d6qT18SzNBFvYgJnuWmvRCR3HfXCGltIy/Z8xl48SOPFnzsW8jwYtJ64i/bTx89U S6P4deEYb1HxekzSWSUkdTAXrXqupK/iTbicZ1/D8X36PHp9eIt2Ce3NNnChD3WZ9ANAG45/hLMa VI1yFiIn2WVZtTjT+RE654xpsIH7VP2zgdWaf9icVlR7/W96OUoQOfYBj1IyVAl6cF0RPhnXHNX/ bQCEwwLFEdS4AYnF4AC8cKUZJYw9CEGfuIwAtrRG7amVWedaf3JVcOVQxXZm9IZKBtM11J5DxB0r b1bWp6xwiJ/MhK2U0YqUEYyF+DxdzCBZWMrA/hQATt6G/l+TvqWLgACNKjBCpblQoKqB7jEuCCX0 9573wcZ3u9eZkBHN48ypLR7JMz69uD78/Yr+3eLTVykMgFWp4kW0eTsHP2vwBjZAvlTn2vpxk0eg 8NbUFiXVHa8+Ki6PA7Wkr5NvSqSWpKuGu/vH0hiXLjQj8vgXBr+2LtP8IQ5p3eH5ceohPtl3tDk3 9DTGot2uJH2m4rLBuR3ci8HOLLbWBvbNXL714MyJTKpcyph4CushMQudoSxP6LJbasM7oE8ot/uc jd+rwNXJ0nNYHqEZGU8SpMUn9whAACzvjC0oXS3lrLouee03/exT0ZVYkEug0nUjOzxn1TlkrkfG Pw3aLtnnk/SXMuGXG7zXb7iReGVJch4d+shLRWJzVcKD9r1iY8KllInqLWKo9tnG1nSC6U6j4Ff0 CehFf4OkagdjusYXNcH3xX6lJn52xyLeYS7qSUyTU0ySyYYa3iUHUB3nmuohQYCOJlBRmOmFP6c3 2JH2vSNbqo8mbYt/845JUQgwJeMTi9wR2buJDHw4okcpIxmY6bfvktLfjO/JQ6nCeWXgAUqFCHkm mDYQ65jV7by8eFoUO2eEc//Kl1VrFV1wowEARugw54F2T3kB917x0Ua8bqTJxGuSWJwzjRovic61 f8I7jkwzjzT5T8GyehDZb+UP3ZBsxl5W6OgET/ncOgChl2nO3Wc7FUBXm07xE07s68FT0HNMGuR1 UGFTIsxhJfaJxI58xvo1g6jznQwEGOS5YzZIjU5cyuG73LQT5wbO8496n2JDGwZCKIc+RRyUtj2E 59VKY2BUwRVlaXZR9CaExEF6P7nhQq1DYotBe87OBksqinUeLA+V3twBKT4ve+vqON/aurKjSvG8 q85tQ40NlG90gZUnJFGyWoGPMVnNGywpzhZbwNJ8ID3jSTGO10llY+0mCOTCoRwm+Tfl5w5oMaur oPcXtAi1Uf05s/qwdfRGVbWjg9OK3pXMSgi3hOjqTf3o4dLY/WhrVklkRtET1mL9ZZzN52TFtlgH qQq7Pqa1KJ9VQorWPYvrEqpZYg5iBognd/px/fivtTVGzts1uxxHoKAYPcSgZDIpdvM69qE91hxI ohZKX0LjqcU9cexZk7v3MTCw4hJsuoWA+ClwsRXcSBs8U+7zbVmgDI9JPPNknXOK+Hy2tqpywW3g lH8IHWqUuvw4VMVcY6PlER00decK1MxNTOphMEcFzCxFAqx3ja2nJdtd411vykHgOv2KktJBZB/Z p3r0b/+YMIUWj+CJG/dEalb4aNdUIaTfu8gA6/PFP2cB7dwMu96VonAV5wp6IQGTb7oU0WDYSL1J 7h2p+fWRCnYYua2zOyv9Y3Taqk4lk/mIvn/VpLWS7fH2O5AsQ9IE0s9wJqB7ZQq0AdJLVB+dG38f DSwq3uo0i6dodxLeWzDhlDyMeBqI6OnZpFd37OlpcehW9QcufA4aht2Q0JasmtS3y0xkPJrJa5xe F2uOjJUT3yWczTNili5Xmu+aFH4ancZVMKKZKKbtY+UOD/bwQBWGoKON+aR+NuvgTl7fAY4oLyM+ H62cTPZezZAMiz7R7AoINWosDIoHG1H3LoXSBE0q5UDBJ5fmU2QnfTKS3LmB+gERt5rgYC1JNr8s g9l9EKVPQ+Tng2iWsRNdQtNkc7hux4HRh5SCP+FhjLaKY17TV9kaQHaW0fVT0sSgBi8LZDx5VVnW WVCTeX95eu3d/CEKJ6pziSvxOGz6thw9sxvAR0NBhzRb7I/HZKHPepokPortarrU/awaHQ/Uhp7h 3XbefJTvattDNGAQ0inr53OcEyPwq38KkjiwrFNNK+UsQE6C65Y5dxgKkzmAUNTBhJ8c89vf8b+c qc/Fj44ssdqJMhNxo9xngk/aHcuLVaETa0o5E+LF642TyRJ8EZFGXlljykG8EzNGs0XjMIhOkgQK TbL6w8cxTKLCBiwemCcKKD1OERczs1OJWdH4G+RB/QCVxUjTht1hRod+GaBYdCxdp1fXjKuhbgzu 2lei1gqvd01ilP6SlC3r4QSNOX7hKcMTxfNzQ4GXjmUeF0lqNQBvK5R7LVIPX4Hx7K3K0q7KQI5/ smeaWITcChSddoD4MiB8wku/LK/1BuGoYdtiX4aUWJObU6byg69ah7Fw0NySlIGtq6f7OaU6dKka dB4C6xVNzn6QnasLMAVLH2vKNrWyP7HUcUo9aIJ06Tx0OClg8a897pwgBfogn9oBzO7BH/sGV/Pg n+he9KpeYncJJBYKTZiobkeO7fmD2fe9zxjuH7DK1B2R2Vw2TIbfWivMdQtV4UZINmC4IEhK0iAT CbAOQ5K1l0cNKyECTZnh9mJi79prujl7aWco8mCHfvIpBrUN3KS3MIkmzHXx0uMxgZlFDWBm1dok HG3jNntFOxIwp/3Ed5yAC2lTUZx+B1M11H5X6KEYB3mFlVqgL7xKFYoR3RtkLuoh6Zd4umMdtV0H kWHeuxX1toLIuw4XN/e1vp35SW067plDsKSugwWg0CzBa6PWMOpOdhoM/W+pyteTfLYfd9ZtAPMX g3Q5cfLawRxQsqDUmPVAFpDceDoIBJXDwBkKydRtFEEOtIsJt9468kpW1GcgVktuauNHjGCPQ5Ye /CaJTnPfbsfz4utiUom4e1ErogTxebo8myP4jEEZgjvs+fwRJ0uQM8P3G7/HZni/y7Eor01ctzkl 17B6JCSK9az3wzt0Wm6JPFb9IlMQdN2ND3WQJsg6Kha4l1UiS6DO9Ie1u4ll3/G4Z0srLvE+S6Ko qE2O7gl7bEdAY82n0II8Cq06taiOZXwGghIEqRowB01xx0nrEoKoTf1whvEr+gPR/Gn2znbqU/+/ VT2/NVABXFAZBzKujzSLy3c6g2nKhM2bysgsb6jf3nvUd3+r+8IN1ZFVRRTSqrSm/YJuXn55Pjyc Kr5dUQCAmjla5Sf3gPpR/2qIAA+bna4dTjjj/qDbVAlejDiiE6fsKAdX9dqGjpzpkjEk+48xf7jH PohTNAcUBsbxoxelq2MrcHniRkcjxtE6HVSXuMNCxevqduKiPuvO/5tRv5aciwcsUhki+5NJKQEn GH9odOm5IbdPYzH7W3tt3ILliS94h6gM4cpaTr3uGij8n+gp3FtC0DUtHvtePt3Yh9ZHL5JzUFOj td0orGCJRsd3ZZylnbqm7aHStZc6vrYIioKtQhBHhipqaJYsqMlgNHbVx5EOvq2Wbr+6/koAI/Kl 8YZDVGfyg6OV0tEfHqIS3YFCoeijquuNUA7MxEDUlK27R915a+vcqY4yAaAPBIl5x5QD5LpqmWKT MWx6W3YOdyO2CQgEfAgM4Sn2RJfR80QLKWIasqVyAbiXwyaHBu6v0pO3pQNwsVjS7qVxL5RbpbgF HqYYlZstdL0KPgIuct8sJda7ZhfjPK4WoHojsXmP//ruaR/61mRGEZFfT3/0swGBvL2NPh1uxCo2 8zHv7BFGKsTQZgV3fV/7VM4P70R8Bavsp31Y/EmanLv513V6cZtfz0gh/oWTjmE/RH/Lt9TluWkG 2mA2iGMig1rO9z3OZLei0BApITNob6ZyqAiDSNIBfa+o1w9cncCcCdrsRi737Spqxd6uT3qwBxzE VV5gyx4vRjm3mnffqryJk7qpHU8ooYbbk2cbn/np8bKxMjfUQMJgilO2Ck0mi5/sISwFbt7nGqOr ikZ66E2P9tH4sY9OU6HVglDfCAnEUYSbxQdUzcQ5d1Q5PFOH9LlfkslZ/NuhNd65/0C+PljUViuL qEIxnKdPZ9M23Ikl4T8HKMomJzKGw7ogWJdZwePX4UXDdXLKYi9pmV26foZ+eUdbGeL3o241KlZ3 EJUEE1fNaaRFjkA3W/nnLoPV3iJR1PU7ngjStpW9MHPV53qfpJHeQIw040+xkApRjH/TfpZZiH8h mJdxJ9TzL49Ft6ZrgsqJlBy1GbFWtLrQ4vPXTT8ovhglYMVt1EXcQHiyGrR6GhgEy+t6cbTxZJP+ MmFD8VxpqO1ybotnw13ORMqW62khRbRKD64LGBGno4jnFhIQYMTH2eC4mubp7CT3RVsA5fzXhBkc Nhldof23Orb15eo0HyePW3vGYb3vzGnn58WsNdmjD0EnzpAodKS9cmYi+vGrpeziw8B9BQ0lZiDk Pgni63m/Tvnn+G+snlWv0VJ4XodqwkcN/VcR0MNZ5Irkr0P0FGQS4ZwkUCU4uprR4JYWvmuTDrDY 07hg5EQeCUIZoY0k2x+aXhmpIIzajJWRlaJeun3df8SLA4Vf/IwB8u9hYH3Vf8zMAfOc8mD4RUL0 nnwFgabozK/tuBagmmsIO17KHhy97lMW5xrE0x/6gKrYX7KS+KKN/ysdHi0++nGyoDTa/ueqYDtI V4lDrdvLwP/2vLpmfx8Blnu2RQqX5T4GZuFxQBXcxUhludArRMpWroq9OHea+z+EmL0YbBYebJAz gTzsuXO9EMugknZDaD+/WKg1aZXReO+2rAAHviW75y9f2mSyUhGl30ukZEDdOEdtGQz6BKNwFiPt FDUTARbeCOOvSejs/ndmFSLc1GeFN7jsQpxRuv/nPyurRxofvKXTDGy8t2iCJ7ClNdgyr5HcMNzL QK/ENpzsYBUM3nJn0y6xWz/akMaexW4TjRFZCHL9UwlE3twI876hOhiqMqmp52dep98+9LXke6i1 e+JNpkinuN++QAYZCoNR+AmsfLW6jcfUWG96y5ySM0Yhma4P0JCIpxe5dehjifdO7OBUKsd7lm2K Zg2uSUnLBY7dgt7Xvh+WhI8g+eyYsUoa118MkXPsN26gFpqkCjzjO7ozEM70NwCLS3P7ioJi1HLt lBRRtIag3GAmkHmgXTAZB9o/wgjZxZ5IKlNBUdGRbutT6Bbxu7loFukaFALSwZHz9H/Oy93RWvsU hwPeRiawM5HNstu71Av/fDXQqOoX44LfWPY4ho9rLKaVj2nrA0CsQDjd6oW92JhFjF2chKyNy3ii fh3+WJD7fFeuJBGhQD+JEnvuV8rsWMCV1DNG+On7PEb481xfGTmINZNpRoVYf30SEJrVflKT9W6N kPs/l28TLzUEM12nNA6s1zPHX4qD9JVM5M1RgcRt3pgXFzKRE6s0/SKL9VYdqpO0DtV46+yLGxga Ql+LEwA5nX1jrL051TXEAyKp1ue81StI5IYLmFqj5RnsXj0tmeAJpBbjR2Sc53yhRaVaQiqroSFN M/zDxIvOkhgGIHeotk4EUilahdByoG2ysflxszVQZKMehssSm6i1YA6EZjKhNq8RZzn5U4uunyLR Z0U5ghtmE7tgDyD6WyE6n7FdWbleaIj+/43LQV4NYRYui481fQ1C2T8zvHn8LGm8GGvG98sU0msr Rz2OaTZzvYh6CL0V3XvPUrIbMQQ6h1wsjk3B7XJSCjDmr5YhUu7ZAodYUDcQsQOW8l1oDLaM2oAJ WEe0EpGUhzDnonAE7YtFdFlngaLiet3AtGutDgQHaqe9XPQFA9YZsV55FCcrkUTR/Ol2jUyicsWG UjOthQjKKDFDSe+6TJQjBfwpoAO9y+EhS4GUadOviy0JeQ78GJ1OnHytcx4CJ0KA+r6MIFtg0NhW Onl6Cu9tOT9gOyGgjGPghk+eYhOUb7c45FSNoMhQ2wlDFBfj1++opPUVcdcilikJcfKQ84VCelLT cYAYJ7wbcxsZV4kKNL6mHxi6q+RqtKjtP1WK6cB+Yjk0zSxabiT9B16pKlfarGJCOjzE5G10146c 6vytgLFSRe9chgq0vKhC4JDjjwSlPl4D/Hd1DP3mT6vJalNXiIa64QJPBACvj5hOOEbuUVZIprEg ZFTHeZ0PNNdNl1siZbDzKPVD989ByaojQVOPtAdQCBLDGCmYX1KvZKwlG5TppPktSMPzTZfE1iKw w9dkyoAiqQuF0Ao+enbZhSgQZSIwdN7Se7o/thzg6WSpPpow3gPfHshebHCI4Ap7zlEAITG9r5lf jBpJjdZ814Easv8TTNhMzo/TsEiHbtGZYobMhu5bCkw4DeeEL44dXn9Dsu0oO6NrjV0fB2F3fhOf wmtOtV8UXq0I48/xjuePimKPWbZkE0Esl6KtQRAC8Fjm/TtT+Xdl6aqN31z+XbrR9rHPgvNJWxuz GnFJKqfpsd3N8stenw4vqgou5YtdYlizHOB9bdx+RRULfw5HTM0sAyJhjYzsbsVPPjCESPy4o6wU qlUk2pdksdfxdRLCJg== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c Y2O4fk1xOw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV FIedseAJGSJjdgeT43M= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0 dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc mYqTUQDFFlehrx6Wh0E= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8 SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 12496) `protect data_block PKlpisMKFINH4hoELw81Ae+vpIr0xr/BIQZISQh02QmAYRngfWchi+A+2gXJ0ErM+PWm3fbvLHaf UADT/opvnHMCrmwuOuQX48J/a1y0sztlHgsA7XTu3se9+qgRV1272cuiUbredv+aMKTViShOFEJT +XwvgmUQ6VPvDVe6vx2QuNGjcFHB7syvFFQSeXXRNnpL5imdnbeZtqKRTRPs8lrW0yVMXRHZrkL6 ZpiLjb8wvSw50aybp/4fWVwokrlRrd6pt5REpMNOMTEBQuVdwvl5TSm/YD69x4QfehrRAKZgMwX6 cUJk66e/7pOjxMqzwBK2FferyX1UjPuRyKCDVxpg1+egYTVenjSEoZ+fzvkYMC3O/LvMVZTSfCXs L1BuO9ypLGyE2xm+OP+lKm2B8/PHCQ/loQrqixwN6LiJhG2DHxhNorSQEQNGx2ZBrEaF6bbqorK9 P/hgDt8P3qwpOLI7Opru67OO/mSaDQNfopRUWxH558sF28QVM2at3MzTT4/JZJ5q3EMgFhHy9H9w uyZfdlb8H+Akt6Rq8KgA373+jyN8vmUDKfvo0WPDPIMj1y5HCPUMZPuNxXcg/J3pw4Q9AcBpzFkV eterIqx0kh6DKGWwNk5zan3tv4ET5hWG71HQJZLoUDgSkAvSNLBfrsvRj8P8Kcx0ATCltAIvG4V6 ASXVJ82B3PCYqGNlzlK55qyCCqX0CU5FyCC/XkDKvEJ9J+kw/qdCtMIY+WhUGKAmtfcYC9aKCYmn EpF0MCY/AK29NUh2ertbHFbwE2nu5fCtOko3vVtYDL6hQon3TUe20eRQL8O0KkIcIH2/Jfq3zDlD VxJ6iUQURKSmOpektB+c708yu1lT5qO9AvszCeHfGlD/Xtrk6ueRjy8xEmxptx5F2gb7nkfYQQfg 4hwhWVhHWVYl0GuQJWqEu7sGvlYgfTavJOS5gm9g4lbOYocD8a70UynwZNYLYOzKKPJ++aiDthgz AnaqAZA66BH5134YhsVrjFRUF/D+9aCx56D9TteJXc35bKqbwJpWsVliHfc5eGi+DJZd4Iivc0N0 IkbTwzjUsWZFEA/Zf2xmri5lniBG1ykkKzIA3scc3Aj0l1Q+FfY3KvreNqqV+g0TLj+vRnmnFDfi Hw5u0Ei1tedKakwCLwBkrUP81IIOx9hquI7RWCXw5vWXrrPtrk//JUIVtRkrV4jjUv6cWSC57xvJ CKLsatz/vA8KdW/sOUEjDVKHfyBzIX+MxIUkZvwwPmWoW7u6qqW/aRk44/2GemzVNpoWB8LvGVXv 4YthYMUgdeGsJq1Ih25FdOJ7Tb3gLcfB4eY6H2ufmQdoclWm0MsMwXF5nBe3XM+VheV6K5Bror83 l6PjHzuluTwlrSor0O9dYnzqEl5yL1qubpaCMbtbXqqjOoFD8TX53APe1OUI6TtQCutf4gjCbcGi FZG97TGhqglGj0PGlPgymFbBkbqV/55jXm1THihSArfQHbanQD0FJ/dGTYYGPGD2OuGU33geTl3w w0qUHpwby7r9HbRqq/DLxZR/RjPJtkhucdzv1JhI5/NtGE6eD378ZZUORKku1vSw+07j8fPD3zAF bFta80INrV2y1hf7suVUYb3vN0GJOFKI25l2EtcvVH1wXmmRVrcG+kf3OzPDdmVxEsv90V3UQ8j+ P+rkg+Z1yr7ddaD4uxmB1WRVeRdyK/pgu7Ie7Cn0jVh0pLdUESP+IuHsklMzurZLvreWnWA/trsQ 2Pz6j04sGWpuvkCCtgqi58wq34L82MHJR/IsdodGDMdlUXjW7Myf69MFiwTE2PG3jZQ6cyn8Zyel hwbX0WmOzA4p+1bSWBVbZoR7De2MzUFb9ms2xXff9lsGuPUvRJOIIb5GdmuGsTCkOKkaZzxbCgs6 PQM32etg/IdnF1iUOvHKq5R2v8/HwCdDRjA9GGiawX3TXlqs64fAHGn5doxYv0DeKNIVsIqDMxc0 kGL5MCEgRb5qdnd2yQdgCBq2xKdxdbT5lBb4vhlbAm9QMyMM94Gbtk3+ck8p+Ww3X74I1xgSOvSY LkesB3FQ422GrBizYEih8yL3b8vCYrjc0BN0ziGS4e8Lcj89OaMtxo2ERy2/K93eDzSviMbhxTo2 t4hL5UV+gDvN1LoUP4anNDT7kXCWPd990QjZR4lFejXRIAxXJAJSKIv72hqWi9IaUawYkVIGlAhI BXBz2tlkzsw7bwlzx0uBD06/gyrLqmSeYnimuYvy8y+woQxqSKPBQ1R5LiCoQ058GccW/gu0Ojvn biieZNyxHCflX7FbabnWl8RVDVUTbZHVEtSCim0X1JBvml77QP2QRGEWo0CgWIF1Ob3V/SwWSFpC rjeWCrRkKe+qFGQq09k3gUJTsCRd9gbMkQ8jEF64f49nmSRFf+c46txY8jNFem77P3CLWWHmPmLa RYp8zsY7WPXMpsOKmWLGJd9uz8oo47/Jl36+VKUSL5m6/PwU0AxGi9drf74kYpP8nIrI+b6j7z8H kACHqRIXApbaqA3JK6DEGIQpSRG6RUEvZD5wHVpL/0+7yZd5FORTjRgGwMwyCTUbeg9/2V9E5t4m JF3gCnklOhGXcGoWwbqUji60G6I33k+/zQj7X6xrYTBMw+qGKd+Mz+isy7vi4N1BfXgsRECx/MiZ n1fzja+kP5m9tll5b8scRrI8k4++HsqM6Za/+0MvedGbLokKGt2cTeCabXFiyqgOyk3mj7b9Jkhw qL2Wcv1VZ2vQE/iucOoJBbmGi92o6gxHOqTK8RyaLnXpzrWNLgZJB7BuFx4E+AgXX73RhJLYPo40 FsXfElH0TzCK4vxHz7XTEHqKecNIC95+te1IpOZtgYANSwHNYO9+zRcge6CAovoaMp/Lg6VCNwJp Qaqqwkr4UotM8SK6fYPa7IxaOQtktk3locIfx/meMGmrKrti45PwkahaoQx93X6iGGea8zWXJ4Qb ZjzXj6ugf9jo17Pz0mqwF/XJTg8G1rBqhwwjxvZm+Yiplw4gJ7mCCh7Eei2mBd+a6sFNhh5Jtblw nNtkcqyigGxwgZo9dx3h4bZSWb+hNMKhOVWH027euQIaoNkGgC5XnqR0IOgXBQpM9++yl2W4mtIl m/j/An/FUGGjQuHIEAM+NYe348sKbqAgkfYPVT3qTxzvlQ0I1/LWypObMMEcQqVQ0llSivpSWSHs XhQqUvlBXiVQuT0JH/5W4KWSdSm1Os5X4FqVjFP97zM/BptDSiJRRwI35t1VaHj/QrWampSpW1XO sljUavTYiZAKl/cXbRA/sjvnTf/molfcMhONwoRIfKGOCiFUCI7toKm5MuvRPg4Rcv0u/OoeGLlG mDyQITMY2MhwSad+i2KNV1VmzvUnlfzduKsorWR/4JvhVVVkd3uyBBrj8CeVbamtKDgZr+xkWtr0 mjT3ypBLZSa6R17OFgFKnuCyKd2iynXIzcQb+RqRLUB+1mc9xY6BXSlbgvf0UAnOphYi44DhFciH o0MLm3+KyuyYGPmYwFoB8rvjRIuUqF+4v1ztDF/iqK+vFlN/KJFfpo9DaD9WygBUeKUMTWcBsIAX 1Te19DmIpuH6ZfYw89Ir/Yv5r0D6s1pJJ7ru94OPV0cAg6ANRd7re3hnZ8p4I2I+z61UD+6BlZPo LI/dKL0qx45wTPLvXURxzfyvUtpuV4vnf/L7jSWkbPh76cIuIJFBKIa9VBksL+LVpy23TVpYRkl7 yzgH6sHVUmwETQrzj7E7h6cFavHVl6kT1xZdXNfzgW7UAHwJb7tOVJrAqIY5D2TkFibY90h+ETYD N61rQHY51LbrecGG3GBZOMzbmnx8XTUTpN4a6V6xpWSdQ9mFfTXhSCEZrxxPqDOyBeRrG/LkIXKk jWFW9LpTbGIhS+ESaMsNyDqxKkk7CjSItOJIeZy+K8XDYu7BzqoreRRaquSNRpvdO46srVJXooy/ Y6SfjH4FQL3TH+El+XZMvvT49VLZ8gaYEKzZE0KgZgtBIrv/XpQH97W5+kiZnzDhmkyrtsLR6SwL EbWA2h3qLw6a/bqf1q87wS56F9l0Uzt7U8sVzCNw6xRpzHDEh8042jBYaMb3rIRRUYlnw50eEWy8 1coT3cVnGe0cnUbpWliWh9G63gcB8EM+/5BjNskjaraJS+z5KSPP/VVktHDgheO5CqpnWeuVjcFv b0YhFrl6wdYIVfhB1dI2aNIKvWzck+9o6V1g3w89lSHMupm1KGVfTg5kaPNtMVfwDEDm9XUcdjM2 G0T4r3ihRN8rdpLnWDiiG/L38m+F0gCsufruy6RmoCsRTm+pWPoDn7Ysgh3ECin8EghG+qiL107a Bq0LgXaebhmUGA3uWUKP/uOjEJFBttzxRMeJTbg1VNK98xNVCupB7xczkLbFyVsJsByitVVAbJ7v 0rmzcD09MhwEinfxIoGjGJpOwJ9rBLr+Hyiq3nSGYo8Kw+iGt2X60GIZ3fNyrj6wsUTptwsn0Tmw uBatGxKHAYBpBr26DqzNc7cVNep3/UsLBVjCYoGzOaw0DDsBnj9ksKGgJ6u4VjgxTxq7pENhjJPF bhaXV7ZLdjIacjTj0cMIyUfz4jVOytX63kCCF8jbmCvquE6PmR40Z4LwYcDro5r6S6ErsX5gs54x KUHO2RfW9pnqO7ORG+fAb7xCYQVI46+fsh6TZ+N+gJvSB/sHvFI1Ldq1MBoohX4OwjAE4UO2TrIA S/XSfgJxZKVgUEF//gZdHzk8NCPUITvqqBc+BRN6E+40qgjETovtimcoR+E6jk/icRmPpQRKDzSf 5BH3LSkiynWR3BduelmlRnye/tL/kuGf2fqWrYqRjV8GUF/zs4cKzpmQHBp3bz2WYkHjFbTObez3 z+Le2XbcltXJ/LXUO+Xg8EsRXcbmrsqudShXQpcTwufXCe/bMvJaRcCxgJil64wpfmLbRnhzUoJx 4FrY8GDxAZoU0L+2aSungJNFSIWjQ+h3azXh1ws+cs5QDFe+OZPzDXwR/nZBx8ny8umr+fHO3WYZ 0ChNwN9vUmR0CHQeQT0b6Eu7NZkXbOCiWn9t4SoMms3serrBET0A2h9p0CcWXJJkJdKgzI98SoXK MRqO9cnnu7n+aoDG4IGzd+me8UFJKM2I7dGw2xH///w24OsqTo115AUv0ZeOch3Lfs9fjAuWokno xFmHgCk1IEpkzHukMGvcc+wCE35AzeK1HS34Ase+T667Zesnb0YWcs9i/hVK2b5KJ/gMcAGgUMNB sMOx7RPYJ9raNHu2sZFBzIQ3UJQ+8whj17MKrmDGb0Fas02nM1zhjQjxzBV7s4BWPY7u28aJZntd z8WnoTBLDZSJzdNKc6fh0tEbQu3ABBfOZDeE3C8vdLhT63Q1GHo/HBozpxr3UyKWbbXEiZKlsjFY HtnIMDH+RRR6g+CtrJVtzEGHB9ARzMAxc45YYaJbq01RGFUHZL4yVqEI/kEprpNRTK8Yg/I67wzB NW4DKSUD6Qyb+NFBrfDAkP4faT5lLniBc5RuiDF0A6gD0xvcQEgkk+qjUiOwusclQ0aGuYxtiQmt kQDHgOyQQza3QJOXl1lt3ApzmP7pU7C211tmChja/5jSYqUx3NxjbBEO6Yf6oHzORI6KPCj6z3Oq GUkIxUXc5TWjqwYabu5STRBZF4tdPyWH3KXgNw12w7l09uNP1C7Qi+Jvpn5vLWH6XxJvRY9Ljt1A p0w22PVh0L2Nx7J4f0p3lNP2nD742JjfBg/vvND9MyRLg6D/RtRtdaex2cd9k4dR2G9tN1Ocrmka QKLaUEte2RPjk7Sn8tVFBRokP5DGlDSQbU7EBAGPNymCSZ/ArLnVsu7L/u9N9ueVNIfgunJWQrqF tEm7dY1N1RaliQRo8AH/7cxOD+jEYT5ClcPW6/LhEQ5LPROMxhJfyTrrtG58UnA6sTcNT/UmSpVp F4sd7qEluyVqVyTEUZdgo++d4ydBtIhitlGxoqJbtggxpb/naaJt1QabNLptr26hx4rtYLsFNE9I 4Hh9jw2UADeBWoXiwS+JlUoC0mgSgM0wFi644/yK/I9iE7J5U+CZO1qKZ9csxkU6axL5QabhGlc8 AD2WyzY6rxaok+9DRvb7BQT96GvGtaJfkwgE1L8aWwsgs2RrKU+2R3X3ImSi174O5xv4Qo4H+0TD SrA8rmzFTJc5qFkI7SOt9SYu1uq/EfyBoluieh4oznw+vBO1rnRXPsBWvchfa8C+F6l3KMQbHwrY xdL5X5SbLUZ4o69Y/ktmzGXQqIgZ7vzrzU+IPNjvqJyLMSgquN5M/9CsamTA747EjSfiwJ9MsSS1 efbmAikdbbFvpHsqOYNLiDaeR15g9b73k6oU2iT3AC+FgAq+uwnOqv/YiYDIGA69JCbQvHgCr0gh JGFTD4zomp+Ga6s1CNnEYQQd3PfVXI9rlE6DdbtwQF5+zoohk+GqvwNrG1sVBC57dkAXUYQUMfOq tgZSy+CUvNc+h4+PpoQDphVVVCQBurc7t1PIE8mmReU/rtnfpJH0676jTI1p9R+2U/+cdnbs67pN g7NHUW3UcAf3BD6eKcb/Xi5m/BxCk7ZOBpkR6bfvti6LsXFh0IOeeW59nPCAbS8R8Y2dvCUkO8e/ jf3rdCmBNWigOpJUyTijGwFZu7Blp5oIQ9o/sUJKh5J/IWP2nJmUJmTATUt5ZPjToG/4MxZMmZMN 4ZvB0eO5LfDv1DihGjj0rs+B/hMrD9V42Ud9T+fGbkE/MFkVvGvnRTnGG+KytoebCJqvm3Wbnrow C9jNB0yIiMG9nYU8FmXLaszsSxAOd3DprdIf011N3DYpNncvsolu16+oyyvjmv8M4bZXZBqqXGgI 20UCZWVZOKityvariE2nluDEGEAJoYs7yO8zaDVU7uBl2i5gj8jo/JO8svMpJjQZc9M52R4ARQbz GWCTJfETg92O++pwh+EOmhoc08QOO9pRe5nlNvzf62s3W34zrMzQEgTI/9j9+/Cw+z4uBNcdRo58 i6OOqBIu4T18Y6Tx6d8EUdvZxnqwexeSfesfGB7b9NRPGpYhUfr66m21pWdWtI4c28zHCjv4ca0T rNItRN4qntnx45oKZK/0AWqivBggGLBRytq8VapGGNpp/i5jncXFbXSRKyva2QACw37VQo5s/WnS 5zdoY5oDUZu/GGIqic4H04F+ptYtys6KeHOcwZ6Y1MErAm04nLdbSaZ5zEEDNULn/Ualf4ardcO/ ci1Tonu2CVHwkf+Z6GHLG5CRmHnmh7C62ZHlkymGdj7Si8mMNCo03bU/j9TnyxYXQRu+h4ae0C3q vH3piOFSBjoPrlhG2eE9UknIRurdZ9WoUXD1fMzXa8VuBV/u44ocHMCxKWf/szKnsvlTtnv9nEn1 +xpxSu2RdBUusRdaN8epVKtkLvhLjV954jPcKWWtsGhYZkGj1x2NHUol/JJm/fj7Y1fE3TaiXpLw rByG98Vic+fSnfUCpqp6x30fJKVo36o4Y/d4TudA1Qw4HGuSpH3RGPStTWmioOko/ekJfkIMQ3Ap fOI4Lv94l4DlIuFOqbBeXwzPj3MGrzRvUfcP4x/CNsqqdgf1sr6iJwC126V3sdlAj6Fg2GviDkQN btT5Z5REAzBGvkchd+Vw12Tr6Vl1UYhfIJe3bCd8KAj30lIeHHtdb2gkQ6vkIHQjCFtDlVMWmvI2 b05Zfjle550E25JbJeexXKUNq+qGXpEO8Z8viTHGSYWxdvPCeJdRTfC8rn+qNVFas056zVt3bALk zoMfFQxWJnXtoLHNGLki6CB586bSzl+7FukPXzySs1/wNd3bVaP+Wm5iqUgHJ+gxAiGVoqN0gOoD rozVNWxGHOX0WBH2Y6nhiiXBBORI8HlK5hZw3azobFfGXGZjCtyx5WxQbqssFStvFfMobrV8jfIr 3CzFVDpt3j5zTR8/Nhm+TWuR0VKMEjaq1HERB7bynn6isBh1r0Pv4V7m8+WXVE2SU/6XGtRfoDqO I7PoZa8VLyqEYBONikozyA9WTohXWc/ECwGKgekjCHVgpT7eVBIbDc9DJPL2wWXGZa48rMiqRS/V eSsR0sePQ629W3jOJxneSI04im/wdwkkpVxFfEMYt1iBlYbcyWAqBQnSnrl2CwGKiYTutYbkzpfS qQs5500Ag6DrM2xE16d60Cz2mFY7G6S/0subtRcEPbXEBv+X6oghGx3y53A02VX7cehaEekESxT8 WCdbGq+L8NbkjQjOejdeRoO3nT9yvoKHa7Vl0KHHicKsxeiQRjOQoCAx3nlx/GMarmLO0lr1dLZz q9fJKEIApTLsKyFfZan3BF+IvE+PJFNWZvlooCsnt46XC2xjndMM4v4DBnSRBS8shT67L8I0ndq7 7B5Zy9A/F0B9HvHERh1FrqbfnBBue5cYuvHu9Ad5XpGzhr5+bIBvnq4940Py6LU/UZSteZjcMYJm gbsvGnQbB9OYIEyVnXs0kbvs8SJXuh8IsNnJ3ZgsGI4gM+IJ3RyLxUUQpqA6X+IfJibPg+szUMU2 +HM8G3Ax08emYW/6E8QlpAHdxmjf0kC1RbGKjCW42qzhJ/+sNXjOpZBptUWtTzGh8rAP4zyHm4Bt MlXHlOhDml0OZheUrTH1ffm2zoUsRARUuc2XB2e5yZ1s5G+JBVR8RztL0Qo6MDfjuKIyay1hbB+f NU658dAFuyhceubXcQWwdptu/jiAXfEg11bAolIsXT2HStIQEef0C1SZYYsN+41twR81KtusfvdX zsaEF+BYyJrL+C5jG9ASrvuaPdTc5zexLgb4fVvsI9fw/CP2NJ3mV0+6+XGLXwvpufPZAHWZ2BnF YZfytRpkR+X3mcpkcSGhxIOVUlKQjqoQ70AP9APfxEbWNbCVoVYbEIU7SYRRF6kNCTOGPGH5ssC5 FuV1UZV4ftiQWgkEs1uXStZugWYncB3UsuSppzXKsKA4EbMh2Q22CyqgXJe6ZHnHS1aGINbusb5Z aLD8BFZR+V1rDq4NYxMxNm15lqsmHvPygqPNUrUqqst+qs0vyhTzIgcm1T7oBG5e3si1m5+kYD0Y jCHJNx1gW5xhZgyxHWzOadE6pJz7zT44Z/pYeRt1HDBVIfllZlLOVICYhPuoBXNB6SO3E0dE3Xou Om44z2GFFSuZuhCNL4Ob4idSmfkSfvBrTLJGzHqQL/RCdA8svENI7YJHvzw9r9XN9yu8j02nIT5X YxP5iJ1efHmvLbijKhu5o4QifnjrpsHSvam6b+4ChoJgiB46E1g0WS7t8NTtl814nTcsVFudZDbG Tq5G//Kuj0uzfBun9jTRG9vpg7lwQc1rZLvlM8jparsvQnf3SHaLEErnE+c0rTScdE18qW5k+CzM ZWjc9pJIavAQFQXWgEYLdel4bx4rIqkbRqL/71JlAhcuTVmdNTM6H+H8S9WUPOWMGN3qzrmAyD0Q IH/6lX6Vs6GWq+izSve+uqcwX228iENiKLYgLwP3EXMHSdnlzEdvhLy6PcAe7OM/HmziEL9knx7N XxqKwJcVvRFVaQkNp/PTAELbpEL+7B3XQ+3voDeUsxJYuqtri4I9EewlCMrw3peiuTi7ZQfhWMQB QwDrcEOfK7nlzfsxbWdcY4kHMnd4xjenzv7CpPgtA1+bgKm84UmGyZU66fSqOB6Mz4pRTdgBhEMF FDcHY75HRXjkUJZ7BCIotuM1PSZsK3oNrmNVNsYEftOjuiLdn2urFw/YOwUKe1KXKhrPdjQc9TW9 S4BDksAZjVZ6rIyJZ2zRU3/nadmCzXGTQq9b6w6t5Lh0B68qYCCaTnAw90pgWmrqVG3/r4eNHg42 PS6tyoHNqAws5acQIV2PNR0ImMGSrGdv64oo7pghNktAMu2e/WXhHBQI8DnprAXg57gjIU5dac81 upfZWFXTvOPHfrTjsaQWfvqR0dgNPGN/MfZ0dOmWuMi7FI3f5EQ/bRC7f36Mkb6FQlW3imoaztoL vD+XUcTpAXfogpTmMfeh2/29K1vEIiIHfZVkB15Gzc+498Rha9PkS0kQ2z37R6eEdrC6MUP3kib0 LH6vQ9GK4kwo+4TelIeYX2e2AMgNMZOL0w+3qzDreZ65uovzosG1X/z0Dn5q/wp29lPxD7yU7VD/ QD7Otpl7VRe9d6qT18SzNBFvYgJnuWmvRCR3HfXCGltIy/Z8xl48SOPFnzsW8jwYtJ64i/bTx89U S6P4deEYb1HxekzSWSUkdTAXrXqupK/iTbicZ1/D8X36PHp9eIt2Ce3NNnChD3WZ9ANAG45/hLMa VI1yFiIn2WVZtTjT+RE654xpsIH7VP2zgdWaf9icVlR7/W96OUoQOfYBj1IyVAl6cF0RPhnXHNX/ bQCEwwLFEdS4AYnF4AC8cKUZJYw9CEGfuIwAtrRG7amVWedaf3JVcOVQxXZm9IZKBtM11J5DxB0r b1bWp6xwiJ/MhK2U0YqUEYyF+DxdzCBZWMrA/hQATt6G/l+TvqWLgACNKjBCpblQoKqB7jEuCCX0 9573wcZ3u9eZkBHN48ypLR7JMz69uD78/Yr+3eLTVykMgFWp4kW0eTsHP2vwBjZAvlTn2vpxk0eg 8NbUFiXVHa8+Ki6PA7Wkr5NvSqSWpKuGu/vH0hiXLjQj8vgXBr+2LtP8IQ5p3eH5ceohPtl3tDk3 9DTGot2uJH2m4rLBuR3ci8HOLLbWBvbNXL714MyJTKpcyph4CushMQudoSxP6LJbasM7oE8ot/uc jd+rwNXJ0nNYHqEZGU8SpMUn9whAACzvjC0oXS3lrLouee03/exT0ZVYkEug0nUjOzxn1TlkrkfG Pw3aLtnnk/SXMuGXG7zXb7iReGVJch4d+shLRWJzVcKD9r1iY8KllInqLWKo9tnG1nSC6U6j4Ff0 CehFf4OkagdjusYXNcH3xX6lJn52xyLeYS7qSUyTU0ySyYYa3iUHUB3nmuohQYCOJlBRmOmFP6c3 2JH2vSNbqo8mbYt/845JUQgwJeMTi9wR2buJDHw4okcpIxmY6bfvktLfjO/JQ6nCeWXgAUqFCHkm mDYQ65jV7by8eFoUO2eEc//Kl1VrFV1wowEARugw54F2T3kB917x0Ua8bqTJxGuSWJwzjRovic61 f8I7jkwzjzT5T8GyehDZb+UP3ZBsxl5W6OgET/ncOgChl2nO3Wc7FUBXm07xE07s68FT0HNMGuR1 UGFTIsxhJfaJxI58xvo1g6jznQwEGOS5YzZIjU5cyuG73LQT5wbO8496n2JDGwZCKIc+RRyUtj2E 59VKY2BUwRVlaXZR9CaExEF6P7nhQq1DYotBe87OBksqinUeLA+V3twBKT4ve+vqON/aurKjSvG8 q85tQ40NlG90gZUnJFGyWoGPMVnNGywpzhZbwNJ8ID3jSTGO10llY+0mCOTCoRwm+Tfl5w5oMaur oPcXtAi1Uf05s/qwdfRGVbWjg9OK3pXMSgi3hOjqTf3o4dLY/WhrVklkRtET1mL9ZZzN52TFtlgH qQq7Pqa1KJ9VQorWPYvrEqpZYg5iBognd/px/fivtTVGzts1uxxHoKAYPcSgZDIpdvM69qE91hxI ohZKX0LjqcU9cexZk7v3MTCw4hJsuoWA+ClwsRXcSBs8U+7zbVmgDI9JPPNknXOK+Hy2tqpywW3g lH8IHWqUuvw4VMVcY6PlER00decK1MxNTOphMEcFzCxFAqx3ja2nJdtd411vykHgOv2KktJBZB/Z p3r0b/+YMIUWj+CJG/dEalb4aNdUIaTfu8gA6/PFP2cB7dwMu96VonAV5wp6IQGTb7oU0WDYSL1J 7h2p+fWRCnYYua2zOyv9Y3Taqk4lk/mIvn/VpLWS7fH2O5AsQ9IE0s9wJqB7ZQq0AdJLVB+dG38f DSwq3uo0i6dodxLeWzDhlDyMeBqI6OnZpFd37OlpcehW9QcufA4aht2Q0JasmtS3y0xkPJrJa5xe F2uOjJUT3yWczTNili5Xmu+aFH4ancZVMKKZKKbtY+UOD/bwQBWGoKON+aR+NuvgTl7fAY4oLyM+ H62cTPZezZAMiz7R7AoINWosDIoHG1H3LoXSBE0q5UDBJ5fmU2QnfTKS3LmB+gERt5rgYC1JNr8s g9l9EKVPQ+Tng2iWsRNdQtNkc7hux4HRh5SCP+FhjLaKY17TV9kaQHaW0fVT0sSgBi8LZDx5VVnW WVCTeX95eu3d/CEKJ6pziSvxOGz6thw9sxvAR0NBhzRb7I/HZKHPepokPortarrU/awaHQ/Uhp7h 3XbefJTvattDNGAQ0inr53OcEyPwq38KkjiwrFNNK+UsQE6C65Y5dxgKkzmAUNTBhJ8c89vf8b+c qc/Fj44ssdqJMhNxo9xngk/aHcuLVaETa0o5E+LF642TyRJ8EZFGXlljykG8EzNGs0XjMIhOkgQK TbL6w8cxTKLCBiwemCcKKD1OERczs1OJWdH4G+RB/QCVxUjTht1hRod+GaBYdCxdp1fXjKuhbgzu 2lei1gqvd01ilP6SlC3r4QSNOX7hKcMTxfNzQ4GXjmUeF0lqNQBvK5R7LVIPX4Hx7K3K0q7KQI5/ smeaWITcChSddoD4MiB8wku/LK/1BuGoYdtiX4aUWJObU6byg69ah7Fw0NySlIGtq6f7OaU6dKka dB4C6xVNzn6QnasLMAVLH2vKNrWyP7HUcUo9aIJ06Tx0OClg8a897pwgBfogn9oBzO7BH/sGV/Pg n+he9KpeYncJJBYKTZiobkeO7fmD2fe9zxjuH7DK1B2R2Vw2TIbfWivMdQtV4UZINmC4IEhK0iAT CbAOQ5K1l0cNKyECTZnh9mJi79prujl7aWco8mCHfvIpBrUN3KS3MIkmzHXx0uMxgZlFDWBm1dok HG3jNntFOxIwp/3Ed5yAC2lTUZx+B1M11H5X6KEYB3mFlVqgL7xKFYoR3RtkLuoh6Zd4umMdtV0H kWHeuxX1toLIuw4XN/e1vp35SW067plDsKSugwWg0CzBa6PWMOpOdhoM/W+pyteTfLYfd9ZtAPMX g3Q5cfLawRxQsqDUmPVAFpDceDoIBJXDwBkKydRtFEEOtIsJt9468kpW1GcgVktuauNHjGCPQ5Ye /CaJTnPfbsfz4utiUom4e1ErogTxebo8myP4jEEZgjvs+fwRJ0uQM8P3G7/HZni/y7Eor01ctzkl 17B6JCSK9az3wzt0Wm6JPFb9IlMQdN2ND3WQJsg6Kha4l1UiS6DO9Ie1u4ll3/G4Z0srLvE+S6Ko qE2O7gl7bEdAY82n0II8Cq06taiOZXwGghIEqRowB01xx0nrEoKoTf1whvEr+gPR/Gn2znbqU/+/ VT2/NVABXFAZBzKujzSLy3c6g2nKhM2bysgsb6jf3nvUd3+r+8IN1ZFVRRTSqrSm/YJuXn55Pjyc Kr5dUQCAmjla5Sf3gPpR/2qIAA+bna4dTjjj/qDbVAlejDiiE6fsKAdX9dqGjpzpkjEk+48xf7jH PohTNAcUBsbxoxelq2MrcHniRkcjxtE6HVSXuMNCxevqduKiPuvO/5tRv5aciwcsUhki+5NJKQEn GH9odOm5IbdPYzH7W3tt3ILliS94h6gM4cpaTr3uGij8n+gp3FtC0DUtHvtePt3Yh9ZHL5JzUFOj td0orGCJRsd3ZZylnbqm7aHStZc6vrYIioKtQhBHhipqaJYsqMlgNHbVx5EOvq2Wbr+6/koAI/Kl 8YZDVGfyg6OV0tEfHqIS3YFCoeijquuNUA7MxEDUlK27R915a+vcqY4yAaAPBIl5x5QD5LpqmWKT MWx6W3YOdyO2CQgEfAgM4Sn2RJfR80QLKWIasqVyAbiXwyaHBu6v0pO3pQNwsVjS7qVxL5RbpbgF HqYYlZstdL0KPgIuct8sJda7ZhfjPK4WoHojsXmP//ruaR/61mRGEZFfT3/0swGBvL2NPh1uxCo2 8zHv7BFGKsTQZgV3fV/7VM4P70R8Bavsp31Y/EmanLv513V6cZtfz0gh/oWTjmE/RH/Lt9TluWkG 2mA2iGMig1rO9z3OZLei0BApITNob6ZyqAiDSNIBfa+o1w9cncCcCdrsRi737Spqxd6uT3qwBxzE VV5gyx4vRjm3mnffqryJk7qpHU8ooYbbk2cbn/np8bKxMjfUQMJgilO2Ck0mi5/sISwFbt7nGqOr ikZ66E2P9tH4sY9OU6HVglDfCAnEUYSbxQdUzcQ5d1Q5PFOH9LlfkslZ/NuhNd65/0C+PljUViuL qEIxnKdPZ9M23Ikl4T8HKMomJzKGw7ogWJdZwePX4UXDdXLKYi9pmV26foZ+eUdbGeL3o241KlZ3 EJUEE1fNaaRFjkA3W/nnLoPV3iJR1PU7ngjStpW9MHPV53qfpJHeQIw040+xkApRjH/TfpZZiH8h mJdxJ9TzL49Ft6ZrgsqJlBy1GbFWtLrQ4vPXTT8ovhglYMVt1EXcQHiyGrR6GhgEy+t6cbTxZJP+ MmFD8VxpqO1ybotnw13ORMqW62khRbRKD64LGBGno4jnFhIQYMTH2eC4mubp7CT3RVsA5fzXhBkc Nhldof23Orb15eo0HyePW3vGYb3vzGnn58WsNdmjD0EnzpAodKS9cmYi+vGrpeziw8B9BQ0lZiDk Pgni63m/Tvnn+G+snlWv0VJ4XodqwkcN/VcR0MNZ5Irkr0P0FGQS4ZwkUCU4uprR4JYWvmuTDrDY 07hg5EQeCUIZoY0k2x+aXhmpIIzajJWRlaJeun3df8SLA4Vf/IwB8u9hYH3Vf8zMAfOc8mD4RUL0 nnwFgabozK/tuBagmmsIO17KHhy97lMW5xrE0x/6gKrYX7KS+KKN/ysdHi0++nGyoDTa/ueqYDtI V4lDrdvLwP/2vLpmfx8Blnu2RQqX5T4GZuFxQBXcxUhludArRMpWroq9OHea+z+EmL0YbBYebJAz gTzsuXO9EMugknZDaD+/WKg1aZXReO+2rAAHviW75y9f2mSyUhGl30ukZEDdOEdtGQz6BKNwFiPt FDUTARbeCOOvSejs/ndmFSLc1GeFN7jsQpxRuv/nPyurRxofvKXTDGy8t2iCJ7ClNdgyr5HcMNzL QK/ENpzsYBUM3nJn0y6xWz/akMaexW4TjRFZCHL9UwlE3twI876hOhiqMqmp52dep98+9LXke6i1 e+JNpkinuN++QAYZCoNR+AmsfLW6jcfUWG96y5ySM0Yhma4P0JCIpxe5dehjifdO7OBUKsd7lm2K Zg2uSUnLBY7dgt7Xvh+WhI8g+eyYsUoa118MkXPsN26gFpqkCjzjO7ozEM70NwCLS3P7ioJi1HLt lBRRtIag3GAmkHmgXTAZB9o/wgjZxZ5IKlNBUdGRbutT6Bbxu7loFukaFALSwZHz9H/Oy93RWvsU hwPeRiawM5HNstu71Av/fDXQqOoX44LfWPY4ho9rLKaVj2nrA0CsQDjd6oW92JhFjF2chKyNy3ii fh3+WJD7fFeuJBGhQD+JEnvuV8rsWMCV1DNG+On7PEb481xfGTmINZNpRoVYf30SEJrVflKT9W6N kPs/l28TLzUEM12nNA6s1zPHX4qD9JVM5M1RgcRt3pgXFzKRE6s0/SKL9VYdqpO0DtV46+yLGxga Ql+LEwA5nX1jrL051TXEAyKp1ue81StI5IYLmFqj5RnsXj0tmeAJpBbjR2Sc53yhRaVaQiqroSFN M/zDxIvOkhgGIHeotk4EUilahdByoG2ysflxszVQZKMehssSm6i1YA6EZjKhNq8RZzn5U4uunyLR Z0U5ghtmE7tgDyD6WyE6n7FdWbleaIj+/43LQV4NYRYui481fQ1C2T8zvHn8LGm8GGvG98sU0msr Rz2OaTZzvYh6CL0V3XvPUrIbMQQ6h1wsjk3B7XJSCjDmr5YhUu7ZAodYUDcQsQOW8l1oDLaM2oAJ WEe0EpGUhzDnonAE7YtFdFlngaLiet3AtGutDgQHaqe9XPQFA9YZsV55FCcrkUTR/Ol2jUyicsWG UjOthQjKKDFDSe+6TJQjBfwpoAO9y+EhS4GUadOviy0JeQ78GJ1OnHytcx4CJ0KA+r6MIFtg0NhW Onl6Cu9tOT9gOyGgjGPghk+eYhOUb7c45FSNoMhQ2wlDFBfj1++opPUVcdcilikJcfKQ84VCelLT cYAYJ7wbcxsZV4kKNL6mHxi6q+RqtKjtP1WK6cB+Yjk0zSxabiT9B16pKlfarGJCOjzE5G10146c 6vytgLFSRe9chgq0vKhC4JDjjwSlPl4D/Hd1DP3mT6vJalNXiIa64QJPBACvj5hOOEbuUVZIprEg ZFTHeZ0PNNdNl1siZbDzKPVD989ByaojQVOPtAdQCBLDGCmYX1KvZKwlG5TppPktSMPzTZfE1iKw w9dkyoAiqQuF0Ao+enbZhSgQZSIwdN7Se7o/thzg6WSpPpow3gPfHshebHCI4Ap7zlEAITG9r5lf jBpJjdZ814Easv8TTNhMzo/TsEiHbtGZYobMhu5bCkw4DeeEL44dXn9Dsu0oO6NrjV0fB2F3fhOf wmtOtV8UXq0I48/xjuePimKPWbZkE0Esl6KtQRAC8Fjm/TtT+Xdl6aqN31z+XbrR9rHPgvNJWxuz GnFJKqfpsd3N8stenw4vqgou5YtdYlizHOB9bdx+RRULfw5HTM0sAyJhjYzsbsVPPjCESPy4o6wU qlUk2pdksdfxdRLCJg== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c Y2O4fk1xOw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV FIedseAJGSJjdgeT43M= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0 dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc mYqTUQDFFlehrx6Wh0E= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8 SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 12496) `protect data_block PKlpisMKFINH4hoELw81Ae+vpIr0xr/BIQZISQh02QmAYRngfWchi+A+2gXJ0ErM+PWm3fbvLHaf UADT/opvnHMCrmwuOuQX48J/a1y0sztlHgsA7XTu3se9+qgRV1272cuiUbredv+aMKTViShOFEJT +XwvgmUQ6VPvDVe6vx2QuNGjcFHB7syvFFQSeXXRNnpL5imdnbeZtqKRTRPs8lrW0yVMXRHZrkL6 ZpiLjb8wvSw50aybp/4fWVwokrlRrd6pt5REpMNOMTEBQuVdwvl5TSm/YD69x4QfehrRAKZgMwX6 cUJk66e/7pOjxMqzwBK2FferyX1UjPuRyKCDVxpg1+egYTVenjSEoZ+fzvkYMC3O/LvMVZTSfCXs L1BuO9ypLGyE2xm+OP+lKm2B8/PHCQ/loQrqixwN6LiJhG2DHxhNorSQEQNGx2ZBrEaF6bbqorK9 P/hgDt8P3qwpOLI7Opru67OO/mSaDQNfopRUWxH558sF28QVM2at3MzTT4/JZJ5q3EMgFhHy9H9w uyZfdlb8H+Akt6Rq8KgA373+jyN8vmUDKfvo0WPDPIMj1y5HCPUMZPuNxXcg/J3pw4Q9AcBpzFkV eterIqx0kh6DKGWwNk5zan3tv4ET5hWG71HQJZLoUDgSkAvSNLBfrsvRj8P8Kcx0ATCltAIvG4V6 ASXVJ82B3PCYqGNlzlK55qyCCqX0CU5FyCC/XkDKvEJ9J+kw/qdCtMIY+WhUGKAmtfcYC9aKCYmn EpF0MCY/AK29NUh2ertbHFbwE2nu5fCtOko3vVtYDL6hQon3TUe20eRQL8O0KkIcIH2/Jfq3zDlD VxJ6iUQURKSmOpektB+c708yu1lT5qO9AvszCeHfGlD/Xtrk6ueRjy8xEmxptx5F2gb7nkfYQQfg 4hwhWVhHWVYl0GuQJWqEu7sGvlYgfTavJOS5gm9g4lbOYocD8a70UynwZNYLYOzKKPJ++aiDthgz AnaqAZA66BH5134YhsVrjFRUF/D+9aCx56D9TteJXc35bKqbwJpWsVliHfc5eGi+DJZd4Iivc0N0 IkbTwzjUsWZFEA/Zf2xmri5lniBG1ykkKzIA3scc3Aj0l1Q+FfY3KvreNqqV+g0TLj+vRnmnFDfi Hw5u0Ei1tedKakwCLwBkrUP81IIOx9hquI7RWCXw5vWXrrPtrk//JUIVtRkrV4jjUv6cWSC57xvJ CKLsatz/vA8KdW/sOUEjDVKHfyBzIX+MxIUkZvwwPmWoW7u6qqW/aRk44/2GemzVNpoWB8LvGVXv 4YthYMUgdeGsJq1Ih25FdOJ7Tb3gLcfB4eY6H2ufmQdoclWm0MsMwXF5nBe3XM+VheV6K5Bror83 l6PjHzuluTwlrSor0O9dYnzqEl5yL1qubpaCMbtbXqqjOoFD8TX53APe1OUI6TtQCutf4gjCbcGi FZG97TGhqglGj0PGlPgymFbBkbqV/55jXm1THihSArfQHbanQD0FJ/dGTYYGPGD2OuGU33geTl3w w0qUHpwby7r9HbRqq/DLxZR/RjPJtkhucdzv1JhI5/NtGE6eD378ZZUORKku1vSw+07j8fPD3zAF bFta80INrV2y1hf7suVUYb3vN0GJOFKI25l2EtcvVH1wXmmRVrcG+kf3OzPDdmVxEsv90V3UQ8j+ P+rkg+Z1yr7ddaD4uxmB1WRVeRdyK/pgu7Ie7Cn0jVh0pLdUESP+IuHsklMzurZLvreWnWA/trsQ 2Pz6j04sGWpuvkCCtgqi58wq34L82MHJR/IsdodGDMdlUXjW7Myf69MFiwTE2PG3jZQ6cyn8Zyel hwbX0WmOzA4p+1bSWBVbZoR7De2MzUFb9ms2xXff9lsGuPUvRJOIIb5GdmuGsTCkOKkaZzxbCgs6 PQM32etg/IdnF1iUOvHKq5R2v8/HwCdDRjA9GGiawX3TXlqs64fAHGn5doxYv0DeKNIVsIqDMxc0 kGL5MCEgRb5qdnd2yQdgCBq2xKdxdbT5lBb4vhlbAm9QMyMM94Gbtk3+ck8p+Ww3X74I1xgSOvSY LkesB3FQ422GrBizYEih8yL3b8vCYrjc0BN0ziGS4e8Lcj89OaMtxo2ERy2/K93eDzSviMbhxTo2 t4hL5UV+gDvN1LoUP4anNDT7kXCWPd990QjZR4lFejXRIAxXJAJSKIv72hqWi9IaUawYkVIGlAhI BXBz2tlkzsw7bwlzx0uBD06/gyrLqmSeYnimuYvy8y+woQxqSKPBQ1R5LiCoQ058GccW/gu0Ojvn biieZNyxHCflX7FbabnWl8RVDVUTbZHVEtSCim0X1JBvml77QP2QRGEWo0CgWIF1Ob3V/SwWSFpC rjeWCrRkKe+qFGQq09k3gUJTsCRd9gbMkQ8jEF64f49nmSRFf+c46txY8jNFem77P3CLWWHmPmLa RYp8zsY7WPXMpsOKmWLGJd9uz8oo47/Jl36+VKUSL5m6/PwU0AxGi9drf74kYpP8nIrI+b6j7z8H kACHqRIXApbaqA3JK6DEGIQpSRG6RUEvZD5wHVpL/0+7yZd5FORTjRgGwMwyCTUbeg9/2V9E5t4m JF3gCnklOhGXcGoWwbqUji60G6I33k+/zQj7X6xrYTBMw+qGKd+Mz+isy7vi4N1BfXgsRECx/MiZ n1fzja+kP5m9tll5b8scRrI8k4++HsqM6Za/+0MvedGbLokKGt2cTeCabXFiyqgOyk3mj7b9Jkhw qL2Wcv1VZ2vQE/iucOoJBbmGi92o6gxHOqTK8RyaLnXpzrWNLgZJB7BuFx4E+AgXX73RhJLYPo40 FsXfElH0TzCK4vxHz7XTEHqKecNIC95+te1IpOZtgYANSwHNYO9+zRcge6CAovoaMp/Lg6VCNwJp Qaqqwkr4UotM8SK6fYPa7IxaOQtktk3locIfx/meMGmrKrti45PwkahaoQx93X6iGGea8zWXJ4Qb ZjzXj6ugf9jo17Pz0mqwF/XJTg8G1rBqhwwjxvZm+Yiplw4gJ7mCCh7Eei2mBd+a6sFNhh5Jtblw nNtkcqyigGxwgZo9dx3h4bZSWb+hNMKhOVWH027euQIaoNkGgC5XnqR0IOgXBQpM9++yl2W4mtIl m/j/An/FUGGjQuHIEAM+NYe348sKbqAgkfYPVT3qTxzvlQ0I1/LWypObMMEcQqVQ0llSivpSWSHs XhQqUvlBXiVQuT0JH/5W4KWSdSm1Os5X4FqVjFP97zM/BptDSiJRRwI35t1VaHj/QrWampSpW1XO sljUavTYiZAKl/cXbRA/sjvnTf/molfcMhONwoRIfKGOCiFUCI7toKm5MuvRPg4Rcv0u/OoeGLlG mDyQITMY2MhwSad+i2KNV1VmzvUnlfzduKsorWR/4JvhVVVkd3uyBBrj8CeVbamtKDgZr+xkWtr0 mjT3ypBLZSa6R17OFgFKnuCyKd2iynXIzcQb+RqRLUB+1mc9xY6BXSlbgvf0UAnOphYi44DhFciH o0MLm3+KyuyYGPmYwFoB8rvjRIuUqF+4v1ztDF/iqK+vFlN/KJFfpo9DaD9WygBUeKUMTWcBsIAX 1Te19DmIpuH6ZfYw89Ir/Yv5r0D6s1pJJ7ru94OPV0cAg6ANRd7re3hnZ8p4I2I+z61UD+6BlZPo LI/dKL0qx45wTPLvXURxzfyvUtpuV4vnf/L7jSWkbPh76cIuIJFBKIa9VBksL+LVpy23TVpYRkl7 yzgH6sHVUmwETQrzj7E7h6cFavHVl6kT1xZdXNfzgW7UAHwJb7tOVJrAqIY5D2TkFibY90h+ETYD N61rQHY51LbrecGG3GBZOMzbmnx8XTUTpN4a6V6xpWSdQ9mFfTXhSCEZrxxPqDOyBeRrG/LkIXKk jWFW9LpTbGIhS+ESaMsNyDqxKkk7CjSItOJIeZy+K8XDYu7BzqoreRRaquSNRpvdO46srVJXooy/ Y6SfjH4FQL3TH+El+XZMvvT49VLZ8gaYEKzZE0KgZgtBIrv/XpQH97W5+kiZnzDhmkyrtsLR6SwL EbWA2h3qLw6a/bqf1q87wS56F9l0Uzt7U8sVzCNw6xRpzHDEh8042jBYaMb3rIRRUYlnw50eEWy8 1coT3cVnGe0cnUbpWliWh9G63gcB8EM+/5BjNskjaraJS+z5KSPP/VVktHDgheO5CqpnWeuVjcFv b0YhFrl6wdYIVfhB1dI2aNIKvWzck+9o6V1g3w89lSHMupm1KGVfTg5kaPNtMVfwDEDm9XUcdjM2 G0T4r3ihRN8rdpLnWDiiG/L38m+F0gCsufruy6RmoCsRTm+pWPoDn7Ysgh3ECin8EghG+qiL107a Bq0LgXaebhmUGA3uWUKP/uOjEJFBttzxRMeJTbg1VNK98xNVCupB7xczkLbFyVsJsByitVVAbJ7v 0rmzcD09MhwEinfxIoGjGJpOwJ9rBLr+Hyiq3nSGYo8Kw+iGt2X60GIZ3fNyrj6wsUTptwsn0Tmw uBatGxKHAYBpBr26DqzNc7cVNep3/UsLBVjCYoGzOaw0DDsBnj9ksKGgJ6u4VjgxTxq7pENhjJPF bhaXV7ZLdjIacjTj0cMIyUfz4jVOytX63kCCF8jbmCvquE6PmR40Z4LwYcDro5r6S6ErsX5gs54x KUHO2RfW9pnqO7ORG+fAb7xCYQVI46+fsh6TZ+N+gJvSB/sHvFI1Ldq1MBoohX4OwjAE4UO2TrIA S/XSfgJxZKVgUEF//gZdHzk8NCPUITvqqBc+BRN6E+40qgjETovtimcoR+E6jk/icRmPpQRKDzSf 5BH3LSkiynWR3BduelmlRnye/tL/kuGf2fqWrYqRjV8GUF/zs4cKzpmQHBp3bz2WYkHjFbTObez3 z+Le2XbcltXJ/LXUO+Xg8EsRXcbmrsqudShXQpcTwufXCe/bMvJaRcCxgJil64wpfmLbRnhzUoJx 4FrY8GDxAZoU0L+2aSungJNFSIWjQ+h3azXh1ws+cs5QDFe+OZPzDXwR/nZBx8ny8umr+fHO3WYZ 0ChNwN9vUmR0CHQeQT0b6Eu7NZkXbOCiWn9t4SoMms3serrBET0A2h9p0CcWXJJkJdKgzI98SoXK MRqO9cnnu7n+aoDG4IGzd+me8UFJKM2I7dGw2xH///w24OsqTo115AUv0ZeOch3Lfs9fjAuWokno xFmHgCk1IEpkzHukMGvcc+wCE35AzeK1HS34Ase+T667Zesnb0YWcs9i/hVK2b5KJ/gMcAGgUMNB sMOx7RPYJ9raNHu2sZFBzIQ3UJQ+8whj17MKrmDGb0Fas02nM1zhjQjxzBV7s4BWPY7u28aJZntd z8WnoTBLDZSJzdNKc6fh0tEbQu3ABBfOZDeE3C8vdLhT63Q1GHo/HBozpxr3UyKWbbXEiZKlsjFY HtnIMDH+RRR6g+CtrJVtzEGHB9ARzMAxc45YYaJbq01RGFUHZL4yVqEI/kEprpNRTK8Yg/I67wzB NW4DKSUD6Qyb+NFBrfDAkP4faT5lLniBc5RuiDF0A6gD0xvcQEgkk+qjUiOwusclQ0aGuYxtiQmt kQDHgOyQQza3QJOXl1lt3ApzmP7pU7C211tmChja/5jSYqUx3NxjbBEO6Yf6oHzORI6KPCj6z3Oq GUkIxUXc5TWjqwYabu5STRBZF4tdPyWH3KXgNw12w7l09uNP1C7Qi+Jvpn5vLWH6XxJvRY9Ljt1A p0w22PVh0L2Nx7J4f0p3lNP2nD742JjfBg/vvND9MyRLg6D/RtRtdaex2cd9k4dR2G9tN1Ocrmka QKLaUEte2RPjk7Sn8tVFBRokP5DGlDSQbU7EBAGPNymCSZ/ArLnVsu7L/u9N9ueVNIfgunJWQrqF tEm7dY1N1RaliQRo8AH/7cxOD+jEYT5ClcPW6/LhEQ5LPROMxhJfyTrrtG58UnA6sTcNT/UmSpVp F4sd7qEluyVqVyTEUZdgo++d4ydBtIhitlGxoqJbtggxpb/naaJt1QabNLptr26hx4rtYLsFNE9I 4Hh9jw2UADeBWoXiwS+JlUoC0mgSgM0wFi644/yK/I9iE7J5U+CZO1qKZ9csxkU6axL5QabhGlc8 AD2WyzY6rxaok+9DRvb7BQT96GvGtaJfkwgE1L8aWwsgs2RrKU+2R3X3ImSi174O5xv4Qo4H+0TD SrA8rmzFTJc5qFkI7SOt9SYu1uq/EfyBoluieh4oznw+vBO1rnRXPsBWvchfa8C+F6l3KMQbHwrY xdL5X5SbLUZ4o69Y/ktmzGXQqIgZ7vzrzU+IPNjvqJyLMSgquN5M/9CsamTA747EjSfiwJ9MsSS1 efbmAikdbbFvpHsqOYNLiDaeR15g9b73k6oU2iT3AC+FgAq+uwnOqv/YiYDIGA69JCbQvHgCr0gh JGFTD4zomp+Ga6s1CNnEYQQd3PfVXI9rlE6DdbtwQF5+zoohk+GqvwNrG1sVBC57dkAXUYQUMfOq tgZSy+CUvNc+h4+PpoQDphVVVCQBurc7t1PIE8mmReU/rtnfpJH0676jTI1p9R+2U/+cdnbs67pN g7NHUW3UcAf3BD6eKcb/Xi5m/BxCk7ZOBpkR6bfvti6LsXFh0IOeeW59nPCAbS8R8Y2dvCUkO8e/ jf3rdCmBNWigOpJUyTijGwFZu7Blp5oIQ9o/sUJKh5J/IWP2nJmUJmTATUt5ZPjToG/4MxZMmZMN 4ZvB0eO5LfDv1DihGjj0rs+B/hMrD9V42Ud9T+fGbkE/MFkVvGvnRTnGG+KytoebCJqvm3Wbnrow C9jNB0yIiMG9nYU8FmXLaszsSxAOd3DprdIf011N3DYpNncvsolu16+oyyvjmv8M4bZXZBqqXGgI 20UCZWVZOKityvariE2nluDEGEAJoYs7yO8zaDVU7uBl2i5gj8jo/JO8svMpJjQZc9M52R4ARQbz GWCTJfETg92O++pwh+EOmhoc08QOO9pRe5nlNvzf62s3W34zrMzQEgTI/9j9+/Cw+z4uBNcdRo58 i6OOqBIu4T18Y6Tx6d8EUdvZxnqwexeSfesfGB7b9NRPGpYhUfr66m21pWdWtI4c28zHCjv4ca0T rNItRN4qntnx45oKZK/0AWqivBggGLBRytq8VapGGNpp/i5jncXFbXSRKyva2QACw37VQo5s/WnS 5zdoY5oDUZu/GGIqic4H04F+ptYtys6KeHOcwZ6Y1MErAm04nLdbSaZ5zEEDNULn/Ualf4ardcO/ ci1Tonu2CVHwkf+Z6GHLG5CRmHnmh7C62ZHlkymGdj7Si8mMNCo03bU/j9TnyxYXQRu+h4ae0C3q vH3piOFSBjoPrlhG2eE9UknIRurdZ9WoUXD1fMzXa8VuBV/u44ocHMCxKWf/szKnsvlTtnv9nEn1 +xpxSu2RdBUusRdaN8epVKtkLvhLjV954jPcKWWtsGhYZkGj1x2NHUol/JJm/fj7Y1fE3TaiXpLw rByG98Vic+fSnfUCpqp6x30fJKVo36o4Y/d4TudA1Qw4HGuSpH3RGPStTWmioOko/ekJfkIMQ3Ap fOI4Lv94l4DlIuFOqbBeXwzPj3MGrzRvUfcP4x/CNsqqdgf1sr6iJwC126V3sdlAj6Fg2GviDkQN btT5Z5REAzBGvkchd+Vw12Tr6Vl1UYhfIJe3bCd8KAj30lIeHHtdb2gkQ6vkIHQjCFtDlVMWmvI2 b05Zfjle550E25JbJeexXKUNq+qGXpEO8Z8viTHGSYWxdvPCeJdRTfC8rn+qNVFas056zVt3bALk zoMfFQxWJnXtoLHNGLki6CB586bSzl+7FukPXzySs1/wNd3bVaP+Wm5iqUgHJ+gxAiGVoqN0gOoD rozVNWxGHOX0WBH2Y6nhiiXBBORI8HlK5hZw3azobFfGXGZjCtyx5WxQbqssFStvFfMobrV8jfIr 3CzFVDpt3j5zTR8/Nhm+TWuR0VKMEjaq1HERB7bynn6isBh1r0Pv4V7m8+WXVE2SU/6XGtRfoDqO I7PoZa8VLyqEYBONikozyA9WTohXWc/ECwGKgekjCHVgpT7eVBIbDc9DJPL2wWXGZa48rMiqRS/V eSsR0sePQ629W3jOJxneSI04im/wdwkkpVxFfEMYt1iBlYbcyWAqBQnSnrl2CwGKiYTutYbkzpfS qQs5500Ag6DrM2xE16d60Cz2mFY7G6S/0subtRcEPbXEBv+X6oghGx3y53A02VX7cehaEekESxT8 WCdbGq+L8NbkjQjOejdeRoO3nT9yvoKHa7Vl0KHHicKsxeiQRjOQoCAx3nlx/GMarmLO0lr1dLZz q9fJKEIApTLsKyFfZan3BF+IvE+PJFNWZvlooCsnt46XC2xjndMM4v4DBnSRBS8shT67L8I0ndq7 7B5Zy9A/F0B9HvHERh1FrqbfnBBue5cYuvHu9Ad5XpGzhr5+bIBvnq4940Py6LU/UZSteZjcMYJm gbsvGnQbB9OYIEyVnXs0kbvs8SJXuh8IsNnJ3ZgsGI4gM+IJ3RyLxUUQpqA6X+IfJibPg+szUMU2 +HM8G3Ax08emYW/6E8QlpAHdxmjf0kC1RbGKjCW42qzhJ/+sNXjOpZBptUWtTzGh8rAP4zyHm4Bt MlXHlOhDml0OZheUrTH1ffm2zoUsRARUuc2XB2e5yZ1s5G+JBVR8RztL0Qo6MDfjuKIyay1hbB+f NU658dAFuyhceubXcQWwdptu/jiAXfEg11bAolIsXT2HStIQEef0C1SZYYsN+41twR81KtusfvdX zsaEF+BYyJrL+C5jG9ASrvuaPdTc5zexLgb4fVvsI9fw/CP2NJ3mV0+6+XGLXwvpufPZAHWZ2BnF YZfytRpkR+X3mcpkcSGhxIOVUlKQjqoQ70AP9APfxEbWNbCVoVYbEIU7SYRRF6kNCTOGPGH5ssC5 FuV1UZV4ftiQWgkEs1uXStZugWYncB3UsuSppzXKsKA4EbMh2Q22CyqgXJe6ZHnHS1aGINbusb5Z aLD8BFZR+V1rDq4NYxMxNm15lqsmHvPygqPNUrUqqst+qs0vyhTzIgcm1T7oBG5e3si1m5+kYD0Y jCHJNx1gW5xhZgyxHWzOadE6pJz7zT44Z/pYeRt1HDBVIfllZlLOVICYhPuoBXNB6SO3E0dE3Xou Om44z2GFFSuZuhCNL4Ob4idSmfkSfvBrTLJGzHqQL/RCdA8svENI7YJHvzw9r9XN9yu8j02nIT5X YxP5iJ1efHmvLbijKhu5o4QifnjrpsHSvam6b+4ChoJgiB46E1g0WS7t8NTtl814nTcsVFudZDbG Tq5G//Kuj0uzfBun9jTRG9vpg7lwQc1rZLvlM8jparsvQnf3SHaLEErnE+c0rTScdE18qW5k+CzM ZWjc9pJIavAQFQXWgEYLdel4bx4rIqkbRqL/71JlAhcuTVmdNTM6H+H8S9WUPOWMGN3qzrmAyD0Q IH/6lX6Vs6GWq+izSve+uqcwX228iENiKLYgLwP3EXMHSdnlzEdvhLy6PcAe7OM/HmziEL9knx7N XxqKwJcVvRFVaQkNp/PTAELbpEL+7B3XQ+3voDeUsxJYuqtri4I9EewlCMrw3peiuTi7ZQfhWMQB QwDrcEOfK7nlzfsxbWdcY4kHMnd4xjenzv7CpPgtA1+bgKm84UmGyZU66fSqOB6Mz4pRTdgBhEMF FDcHY75HRXjkUJZ7BCIotuM1PSZsK3oNrmNVNsYEftOjuiLdn2urFw/YOwUKe1KXKhrPdjQc9TW9 S4BDksAZjVZ6rIyJZ2zRU3/nadmCzXGTQq9b6w6t5Lh0B68qYCCaTnAw90pgWmrqVG3/r4eNHg42 PS6tyoHNqAws5acQIV2PNR0ImMGSrGdv64oo7pghNktAMu2e/WXhHBQI8DnprAXg57gjIU5dac81 upfZWFXTvOPHfrTjsaQWfvqR0dgNPGN/MfZ0dOmWuMi7FI3f5EQ/bRC7f36Mkb6FQlW3imoaztoL vD+XUcTpAXfogpTmMfeh2/29K1vEIiIHfZVkB15Gzc+498Rha9PkS0kQ2z37R6eEdrC6MUP3kib0 LH6vQ9GK4kwo+4TelIeYX2e2AMgNMZOL0w+3qzDreZ65uovzosG1X/z0Dn5q/wp29lPxD7yU7VD/ QD7Otpl7VRe9d6qT18SzNBFvYgJnuWmvRCR3HfXCGltIy/Z8xl48SOPFnzsW8jwYtJ64i/bTx89U S6P4deEYb1HxekzSWSUkdTAXrXqupK/iTbicZ1/D8X36PHp9eIt2Ce3NNnChD3WZ9ANAG45/hLMa VI1yFiIn2WVZtTjT+RE654xpsIH7VP2zgdWaf9icVlR7/W96OUoQOfYBj1IyVAl6cF0RPhnXHNX/ bQCEwwLFEdS4AYnF4AC8cKUZJYw9CEGfuIwAtrRG7amVWedaf3JVcOVQxXZm9IZKBtM11J5DxB0r b1bWp6xwiJ/MhK2U0YqUEYyF+DxdzCBZWMrA/hQATt6G/l+TvqWLgACNKjBCpblQoKqB7jEuCCX0 9573wcZ3u9eZkBHN48ypLR7JMz69uD78/Yr+3eLTVykMgFWp4kW0eTsHP2vwBjZAvlTn2vpxk0eg 8NbUFiXVHa8+Ki6PA7Wkr5NvSqSWpKuGu/vH0hiXLjQj8vgXBr+2LtP8IQ5p3eH5ceohPtl3tDk3 9DTGot2uJH2m4rLBuR3ci8HOLLbWBvbNXL714MyJTKpcyph4CushMQudoSxP6LJbasM7oE8ot/uc jd+rwNXJ0nNYHqEZGU8SpMUn9whAACzvjC0oXS3lrLouee03/exT0ZVYkEug0nUjOzxn1TlkrkfG Pw3aLtnnk/SXMuGXG7zXb7iReGVJch4d+shLRWJzVcKD9r1iY8KllInqLWKo9tnG1nSC6U6j4Ff0 CehFf4OkagdjusYXNcH3xX6lJn52xyLeYS7qSUyTU0ySyYYa3iUHUB3nmuohQYCOJlBRmOmFP6c3 2JH2vSNbqo8mbYt/845JUQgwJeMTi9wR2buJDHw4okcpIxmY6bfvktLfjO/JQ6nCeWXgAUqFCHkm mDYQ65jV7by8eFoUO2eEc//Kl1VrFV1wowEARugw54F2T3kB917x0Ua8bqTJxGuSWJwzjRovic61 f8I7jkwzjzT5T8GyehDZb+UP3ZBsxl5W6OgET/ncOgChl2nO3Wc7FUBXm07xE07s68FT0HNMGuR1 UGFTIsxhJfaJxI58xvo1g6jznQwEGOS5YzZIjU5cyuG73LQT5wbO8496n2JDGwZCKIc+RRyUtj2E 59VKY2BUwRVlaXZR9CaExEF6P7nhQq1DYotBe87OBksqinUeLA+V3twBKT4ve+vqON/aurKjSvG8 q85tQ40NlG90gZUnJFGyWoGPMVnNGywpzhZbwNJ8ID3jSTGO10llY+0mCOTCoRwm+Tfl5w5oMaur oPcXtAi1Uf05s/qwdfRGVbWjg9OK3pXMSgi3hOjqTf3o4dLY/WhrVklkRtET1mL9ZZzN52TFtlgH qQq7Pqa1KJ9VQorWPYvrEqpZYg5iBognd/px/fivtTVGzts1uxxHoKAYPcSgZDIpdvM69qE91hxI ohZKX0LjqcU9cexZk7v3MTCw4hJsuoWA+ClwsRXcSBs8U+7zbVmgDI9JPPNknXOK+Hy2tqpywW3g lH8IHWqUuvw4VMVcY6PlER00decK1MxNTOphMEcFzCxFAqx3ja2nJdtd411vykHgOv2KktJBZB/Z p3r0b/+YMIUWj+CJG/dEalb4aNdUIaTfu8gA6/PFP2cB7dwMu96VonAV5wp6IQGTb7oU0WDYSL1J 7h2p+fWRCnYYua2zOyv9Y3Taqk4lk/mIvn/VpLWS7fH2O5AsQ9IE0s9wJqB7ZQq0AdJLVB+dG38f DSwq3uo0i6dodxLeWzDhlDyMeBqI6OnZpFd37OlpcehW9QcufA4aht2Q0JasmtS3y0xkPJrJa5xe F2uOjJUT3yWczTNili5Xmu+aFH4ancZVMKKZKKbtY+UOD/bwQBWGoKON+aR+NuvgTl7fAY4oLyM+ H62cTPZezZAMiz7R7AoINWosDIoHG1H3LoXSBE0q5UDBJ5fmU2QnfTKS3LmB+gERt5rgYC1JNr8s g9l9EKVPQ+Tng2iWsRNdQtNkc7hux4HRh5SCP+FhjLaKY17TV9kaQHaW0fVT0sSgBi8LZDx5VVnW WVCTeX95eu3d/CEKJ6pziSvxOGz6thw9sxvAR0NBhzRb7I/HZKHPepokPortarrU/awaHQ/Uhp7h 3XbefJTvattDNGAQ0inr53OcEyPwq38KkjiwrFNNK+UsQE6C65Y5dxgKkzmAUNTBhJ8c89vf8b+c qc/Fj44ssdqJMhNxo9xngk/aHcuLVaETa0o5E+LF642TyRJ8EZFGXlljykG8EzNGs0XjMIhOkgQK TbL6w8cxTKLCBiwemCcKKD1OERczs1OJWdH4G+RB/QCVxUjTht1hRod+GaBYdCxdp1fXjKuhbgzu 2lei1gqvd01ilP6SlC3r4QSNOX7hKcMTxfNzQ4GXjmUeF0lqNQBvK5R7LVIPX4Hx7K3K0q7KQI5/ smeaWITcChSddoD4MiB8wku/LK/1BuGoYdtiX4aUWJObU6byg69ah7Fw0NySlIGtq6f7OaU6dKka dB4C6xVNzn6QnasLMAVLH2vKNrWyP7HUcUo9aIJ06Tx0OClg8a897pwgBfogn9oBzO7BH/sGV/Pg n+he9KpeYncJJBYKTZiobkeO7fmD2fe9zxjuH7DK1B2R2Vw2TIbfWivMdQtV4UZINmC4IEhK0iAT CbAOQ5K1l0cNKyECTZnh9mJi79prujl7aWco8mCHfvIpBrUN3KS3MIkmzHXx0uMxgZlFDWBm1dok HG3jNntFOxIwp/3Ed5yAC2lTUZx+B1M11H5X6KEYB3mFlVqgL7xKFYoR3RtkLuoh6Zd4umMdtV0H kWHeuxX1toLIuw4XN/e1vp35SW067plDsKSugwWg0CzBa6PWMOpOdhoM/W+pyteTfLYfd9ZtAPMX g3Q5cfLawRxQsqDUmPVAFpDceDoIBJXDwBkKydRtFEEOtIsJt9468kpW1GcgVktuauNHjGCPQ5Ye /CaJTnPfbsfz4utiUom4e1ErogTxebo8myP4jEEZgjvs+fwRJ0uQM8P3G7/HZni/y7Eor01ctzkl 17B6JCSK9az3wzt0Wm6JPFb9IlMQdN2ND3WQJsg6Kha4l1UiS6DO9Ie1u4ll3/G4Z0srLvE+S6Ko qE2O7gl7bEdAY82n0II8Cq06taiOZXwGghIEqRowB01xx0nrEoKoTf1whvEr+gPR/Gn2znbqU/+/ VT2/NVABXFAZBzKujzSLy3c6g2nKhM2bysgsb6jf3nvUd3+r+8IN1ZFVRRTSqrSm/YJuXn55Pjyc Kr5dUQCAmjla5Sf3gPpR/2qIAA+bna4dTjjj/qDbVAlejDiiE6fsKAdX9dqGjpzpkjEk+48xf7jH PohTNAcUBsbxoxelq2MrcHniRkcjxtE6HVSXuMNCxevqduKiPuvO/5tRv5aciwcsUhki+5NJKQEn GH9odOm5IbdPYzH7W3tt3ILliS94h6gM4cpaTr3uGij8n+gp3FtC0DUtHvtePt3Yh9ZHL5JzUFOj td0orGCJRsd3ZZylnbqm7aHStZc6vrYIioKtQhBHhipqaJYsqMlgNHbVx5EOvq2Wbr+6/koAI/Kl 8YZDVGfyg6OV0tEfHqIS3YFCoeijquuNUA7MxEDUlK27R915a+vcqY4yAaAPBIl5x5QD5LpqmWKT MWx6W3YOdyO2CQgEfAgM4Sn2RJfR80QLKWIasqVyAbiXwyaHBu6v0pO3pQNwsVjS7qVxL5RbpbgF HqYYlZstdL0KPgIuct8sJda7ZhfjPK4WoHojsXmP//ruaR/61mRGEZFfT3/0swGBvL2NPh1uxCo2 8zHv7BFGKsTQZgV3fV/7VM4P70R8Bavsp31Y/EmanLv513V6cZtfz0gh/oWTjmE/RH/Lt9TluWkG 2mA2iGMig1rO9z3OZLei0BApITNob6ZyqAiDSNIBfa+o1w9cncCcCdrsRi737Spqxd6uT3qwBxzE VV5gyx4vRjm3mnffqryJk7qpHU8ooYbbk2cbn/np8bKxMjfUQMJgilO2Ck0mi5/sISwFbt7nGqOr ikZ66E2P9tH4sY9OU6HVglDfCAnEUYSbxQdUzcQ5d1Q5PFOH9LlfkslZ/NuhNd65/0C+PljUViuL qEIxnKdPZ9M23Ikl4T8HKMomJzKGw7ogWJdZwePX4UXDdXLKYi9pmV26foZ+eUdbGeL3o241KlZ3 EJUEE1fNaaRFjkA3W/nnLoPV3iJR1PU7ngjStpW9MHPV53qfpJHeQIw040+xkApRjH/TfpZZiH8h mJdxJ9TzL49Ft6ZrgsqJlBy1GbFWtLrQ4vPXTT8ovhglYMVt1EXcQHiyGrR6GhgEy+t6cbTxZJP+ MmFD8VxpqO1ybotnw13ORMqW62khRbRKD64LGBGno4jnFhIQYMTH2eC4mubp7CT3RVsA5fzXhBkc Nhldof23Orb15eo0HyePW3vGYb3vzGnn58WsNdmjD0EnzpAodKS9cmYi+vGrpeziw8B9BQ0lZiDk Pgni63m/Tvnn+G+snlWv0VJ4XodqwkcN/VcR0MNZ5Irkr0P0FGQS4ZwkUCU4uprR4JYWvmuTDrDY 07hg5EQeCUIZoY0k2x+aXhmpIIzajJWRlaJeun3df8SLA4Vf/IwB8u9hYH3Vf8zMAfOc8mD4RUL0 nnwFgabozK/tuBagmmsIO17KHhy97lMW5xrE0x/6gKrYX7KS+KKN/ysdHi0++nGyoDTa/ueqYDtI V4lDrdvLwP/2vLpmfx8Blnu2RQqX5T4GZuFxQBXcxUhludArRMpWroq9OHea+z+EmL0YbBYebJAz gTzsuXO9EMugknZDaD+/WKg1aZXReO+2rAAHviW75y9f2mSyUhGl30ukZEDdOEdtGQz6BKNwFiPt FDUTARbeCOOvSejs/ndmFSLc1GeFN7jsQpxRuv/nPyurRxofvKXTDGy8t2iCJ7ClNdgyr5HcMNzL QK/ENpzsYBUM3nJn0y6xWz/akMaexW4TjRFZCHL9UwlE3twI876hOhiqMqmp52dep98+9LXke6i1 e+JNpkinuN++QAYZCoNR+AmsfLW6jcfUWG96y5ySM0Yhma4P0JCIpxe5dehjifdO7OBUKsd7lm2K Zg2uSUnLBY7dgt7Xvh+WhI8g+eyYsUoa118MkXPsN26gFpqkCjzjO7ozEM70NwCLS3P7ioJi1HLt lBRRtIag3GAmkHmgXTAZB9o/wgjZxZ5IKlNBUdGRbutT6Bbxu7loFukaFALSwZHz9H/Oy93RWvsU hwPeRiawM5HNstu71Av/fDXQqOoX44LfWPY4ho9rLKaVj2nrA0CsQDjd6oW92JhFjF2chKyNy3ii fh3+WJD7fFeuJBGhQD+JEnvuV8rsWMCV1DNG+On7PEb481xfGTmINZNpRoVYf30SEJrVflKT9W6N kPs/l28TLzUEM12nNA6s1zPHX4qD9JVM5M1RgcRt3pgXFzKRE6s0/SKL9VYdqpO0DtV46+yLGxga Ql+LEwA5nX1jrL051TXEAyKp1ue81StI5IYLmFqj5RnsXj0tmeAJpBbjR2Sc53yhRaVaQiqroSFN M/zDxIvOkhgGIHeotk4EUilahdByoG2ysflxszVQZKMehssSm6i1YA6EZjKhNq8RZzn5U4uunyLR Z0U5ghtmE7tgDyD6WyE6n7FdWbleaIj+/43LQV4NYRYui481fQ1C2T8zvHn8LGm8GGvG98sU0msr Rz2OaTZzvYh6CL0V3XvPUrIbMQQ6h1wsjk3B7XJSCjDmr5YhUu7ZAodYUDcQsQOW8l1oDLaM2oAJ WEe0EpGUhzDnonAE7YtFdFlngaLiet3AtGutDgQHaqe9XPQFA9YZsV55FCcrkUTR/Ol2jUyicsWG UjOthQjKKDFDSe+6TJQjBfwpoAO9y+EhS4GUadOviy0JeQ78GJ1OnHytcx4CJ0KA+r6MIFtg0NhW Onl6Cu9tOT9gOyGgjGPghk+eYhOUb7c45FSNoMhQ2wlDFBfj1++opPUVcdcilikJcfKQ84VCelLT cYAYJ7wbcxsZV4kKNL6mHxi6q+RqtKjtP1WK6cB+Yjk0zSxabiT9B16pKlfarGJCOjzE5G10146c 6vytgLFSRe9chgq0vKhC4JDjjwSlPl4D/Hd1DP3mT6vJalNXiIa64QJPBACvj5hOOEbuUVZIprEg ZFTHeZ0PNNdNl1siZbDzKPVD989ByaojQVOPtAdQCBLDGCmYX1KvZKwlG5TppPktSMPzTZfE1iKw w9dkyoAiqQuF0Ao+enbZhSgQZSIwdN7Se7o/thzg6WSpPpow3gPfHshebHCI4Ap7zlEAITG9r5lf jBpJjdZ814Easv8TTNhMzo/TsEiHbtGZYobMhu5bCkw4DeeEL44dXn9Dsu0oO6NrjV0fB2F3fhOf wmtOtV8UXq0I48/xjuePimKPWbZkE0Esl6KtQRAC8Fjm/TtT+Xdl6aqN31z+XbrR9rHPgvNJWxuz GnFJKqfpsd3N8stenw4vqgou5YtdYlizHOB9bdx+RRULfw5HTM0sAyJhjYzsbsVPPjCESPy4o6wU qlUk2pdksdfxdRLCJg== `protect end_protected
------------------------------------------------------------------------------- -- axi_sg_ftchq_if ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010, 2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_sg_updt_queue.vhd -- Description: This entity is the descriptor fetch queue interface -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- axi_sg.vhd -- axi_sg_pkg.vhd -- |- axi_sg_ftch_mngr.vhd -- | |- axi_sg_ftch_sm.vhd -- | |- axi_sg_ftch_pntr.vhd -- | |- axi_sg_ftch_cmdsts_if.vhd -- |- axi_sg_updt_mngr.vhd -- | |- axi_sg_updt_sm.vhd -- | |- axi_sg_updt_cmdsts_if.vhd -- |- axi_sg_ftch_q_mngr.vhd -- | |- axi_sg_ftch_queue.vhd -- | | |- proc_common_v4_0.sync_fifo_fg.vhd -- | | |- proc_common_v4_0.axi_sg_afifo_autord.vhd -- | |- axi_sg_ftch_noqueue.vhd -- |- axi_sg_updt_q_mngr.vhd -- | |- axi_sg_updt_queue.vhd -- | | |- proc_common_v4_0.sync_fifo_fg.vhd -- | |- proc_common_v4_0.axi_sg_afifo_autord.vhd -- | |- axi_sg_updt_noqueue.vhd -- |- axi_sg_intrpt.vhd -- |- axi_datamover_v5_0.axi_datamover.vhd -- ------------------------------------------------------------------------------- -- Author: Gary Burch -- History: -- GAB 3/19/10 v1_00_a -- ^^^^^^ -- - Initial Release -- ~~~~~~ -- GAB 8/26/10 v2_00_a -- ^^^^^^ -- Seperated update queues into two seperate files, no queue and queue to -- simplify maintainance. -- ~~~~~~ -- GAB 10/21/10 v4_03 -- ^^^^^^ -- Rolled version to v4_03 -- ~~~~~~ -- GAB 11/15/10 v2_01_a -- ^^^^^^ -- CR582800 -- Converted all stream paraters ***_DATA_WIDTH to ***_TDATA_WIDTH -- ~~~~~~ -- GAB 6/13/11 v4_03 -- ^^^^^^ -- Update to AXI Datamover v4_03 -- Added aynchronous operation -- ~~~~~~ ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library axi_vdma_v6_2; use axi_vdma_v6_2.axi_sg_pkg.all; library lib_pkg_v1_0; library lib_fifo_v1_0; use lib_fifo_v1_0.sync_fifo_fg; use lib_pkg_v1_0.lib_pkg.all; ------------------------------------------------------------------------------- entity axi_sg_updt_queue is generic ( C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 64 := 32; -- Master AXI Memory Map Address Width for Scatter Gather R/W Port C_M_AXIS_UPDT_DATA_WIDTH : integer range 32 to 32 := 32; -- Master AXI Memory Map Data Width for Scatter Gather R/W Port C_S_AXIS_UPDPTR_TDATA_WIDTH : integer range 32 to 32 := 32; -- 32 Update Status Bits C_S_AXIS_UPDSTS_TDATA_WIDTH : integer range 33 to 33 := 33; -- 1 IOC bit + 32 Update Status Bits C_SG_UPDT_DESC2QUEUE : integer range 0 to 8 := 0; -- Number of descriptors to fetch and queue for each channel. -- A value of zero excludes the fetch queues. C_SG_WORDS_TO_UPDATE : integer range 1 to 16 := 8; -- Number of words to update C_AXIS_IS_ASYNC : integer range 0 to 1 := 0; -- Channel 1 is async to sg_aclk -- 0 = Synchronous to SG ACLK -- 1 = Asynchronous to SG ACLK C_FAMILY : string := "virtex6" -- Device family used for proper BRAM selection ); port ( ----------------------------------------------------------------------- -- AXI Scatter Gather Interface ----------------------------------------------------------------------- m_axi_sg_aclk : in std_logic ; -- m_axi_sg_aresetn : in std_logic ; -- s_axis_updt_aclk : in std_logic ; -- -- --********************************-- -- --** Control and Status **-- -- --********************************-- -- updt_curdesc_wren : out std_logic ; -- updt_curdesc : out std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- updt_active : in std_logic ; -- updt_queue_empty : out std_logic ; -- updt_ioc : out std_logic ; -- updt_ioc_irq_set : in std_logic ; -- -- dma_interr : out std_logic ; -- dma_slverr : out std_logic ; -- dma_decerr : out std_logic ; -- dma_interr_set : in std_logic ; -- dma_slverr_set : in std_logic ; -- dma_decerr_set : in std_logic ; -- -- --********************************-- -- --** Update Interfaces In **-- -- --********************************-- -- -- Update Pointer Stream -- s_axis_updtptr_tdata : in std_logic_vector -- (C_S_AXIS_UPDPTR_TDATA_WIDTH-1 downto 0); -- s_axis_updtptr_tvalid : in std_logic ; -- s_axis_updtptr_tready : out std_logic ; -- s_axis_updtptr_tlast : in std_logic ; -- -- -- Update Status Stream -- s_axis_updtsts_tdata : in std_logic_vector -- (C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0); -- s_axis_updtsts_tvalid : in std_logic ; -- s_axis_updtsts_tready : out std_logic ; -- s_axis_updtsts_tlast : in std_logic ; -- -- --********************************-- -- --** Update Interfaces Out **-- -- --********************************-- -- -- S2MM Stream Out To DataMover -- m_axis_updt_tdata : out std_logic_vector -- (C_M_AXIS_UPDT_DATA_WIDTH-1 downto 0); -- m_axis_updt_tlast : out std_logic ; -- m_axis_updt_tvalid : out std_logic ; -- m_axis_updt_tready : in std_logic -- ); end axi_sg_updt_queue; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_sg_updt_queue is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- constant USE_LOGIC_FIFOS : integer := 0; -- Use Logic FIFOs constant USE_BRAM_FIFOS : integer := 1; -- Use BRAM FIFOs -- Number of words deep fifo needs to be. Depth required to store 2 word -- porters for each descriptor is C_SG_UPDT_DESC2QUEUE x 2 --constant UPDATE_QUEUE_DEPTH : integer := max2(16,C_SG_UPDT_DESC2QUEUE * 2); constant UPDATE_QUEUE_DEPTH : integer := max2(16,pad_power2(C_SG_UPDT_DESC2QUEUE * 2)); -- Width of fifo rd and wr counts - only used for proper fifo operation constant UPDATE_QUEUE_CNT_WIDTH : integer := clog2(UPDATE_QUEUE_DEPTH+1); -- Select between BRAM or LOGIC memory type constant UPD_Q_MEMORY_TYPE : integer := bo2int(UPDATE_QUEUE_DEPTH > 16); -- Number of words deep fifo needs to be. Depth required to store all update -- words is C_SG_UPDT_DESC2QUEUE x C_SG_WORDS_TO_UPDATE constant UPDATE_STS_QUEUE_DEPTH : integer := max2(16,pad_power2(C_SG_UPDT_DESC2QUEUE * C_SG_WORDS_TO_UPDATE)); -- Select between BRAM or LOGIC memory type constant STS_Q_MEMORY_TYPE : integer := bo2int(UPDATE_STS_QUEUE_DEPTH > 16); -- Width of fifo rd and wr counts - only used for proper fifo operation constant UPDATE_STS_QUEUE_CNT_WIDTH : integer := clog2(C_SG_UPDT_DESC2QUEUE+1); ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- -- Channel signals signal write_curdesc_lsb : std_logic := '0'; signal write_curdesc_msb : std_logic := '0'; signal updt_active_d1 : std_logic := '0'; signal updt_active_re : std_logic := '0'; type PNTR_STATE_TYPE is (IDLE, READ_CURDESC_LSB, READ_CURDESC_MSB, WRITE_STATUS ); signal pntr_cs : PNTR_STATE_TYPE; signal pntr_ns : PNTR_STATE_TYPE; -- State Machine Signal signal writing_status : std_logic := '0'; signal dataq_rden : std_logic := '0'; signal stsq_rden : std_logic := '0'; -- Pointer Queue FIFO Signals signal ptr_queue_rden : std_logic := '0'; signal ptr_queue_wren : std_logic := '0'; signal ptr_queue_empty : std_logic := '0'; signal ptr_queue_full : std_logic := '0'; signal ptr_queue_din : std_logic_vector (C_S_AXIS_UPDPTR_TDATA_WIDTH-1 downto 0) := (others => '0'); signal ptr_queue_dout : std_logic_vector (C_S_AXIS_UPDPTR_TDATA_WIDTH-1 downto 0) := (others => '0'); -- Status Queue FIFO Signals signal sts_queue_wren : std_logic := '0'; signal sts_queue_rden : std_logic := '0'; signal sts_queue_din : std_logic_vector (C_S_AXIS_UPDSTS_TDATA_WIDTH downto 0) := (others => '0'); signal sts_queue_dout : std_logic_vector (C_S_AXIS_UPDSTS_TDATA_WIDTH downto 0) := (others => '0'); signal sts_queue_full : std_logic := '0'; signal sts_queue_empty : std_logic := '0'; -- Misc Support Signals signal writing_status_d1 : std_logic := '0'; signal writing_status_re : std_logic := '0'; signal sinit : std_logic := '0'; signal updt_tvalid : std_logic := '0'; signal updt_tlast : std_logic := '0'; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin -- Asset active strobe on rising edge of update active -- asertion. This kicks off the update process for -- channel 1 REG_ACTIVE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' )then updt_active_d1 <= '0'; else updt_active_d1 <= updt_active; end if; end if; end process REG_ACTIVE; updt_active_re <= updt_active and not updt_active_d1; -- Current Descriptor Pointer Fetch. This state machine controls -- reading out the current pointer from the Queue or channel port -- and writing it to the update manager for use in command -- generation to the DataMover for Descriptor update. CURDESC_PNTR_STATE : process(pntr_cs, updt_active_re, ptr_queue_empty, m_axis_updt_tready, updt_tvalid, updt_tlast) begin write_curdesc_lsb <= '0'; write_curdesc_msb <= '0'; writing_status <= '0'; dataq_rden <= '0'; stsq_rden <= '0'; pntr_ns <= pntr_cs; case pntr_cs is when IDLE => if(updt_active_re = '1')then pntr_ns <= READ_CURDESC_LSB; else pntr_ns <= IDLE; end if; --------------------------------------------------------------- -- Get lower current descriptor pointer -- Reads one word from data queue fifo --------------------------------------------------------------- when READ_CURDESC_LSB => -- on tvalid from Queue or channel port then register -- lsb curdesc and setup to register msb curdesc if(ptr_queue_empty = '0')then write_curdesc_lsb <= '1'; dataq_rden <= '1'; pntr_ns <= READ_CURDESC_MSB; else pntr_ns <= READ_CURDESC_LSB; end if; --------------------------------------------------------------- -- Get upper current descriptor -- Reads one word from data queue fifo --------------------------------------------------------------- when READ_CURDESC_MSB => -- On tvalid from Queue or channel port then register -- msb. This will also write curdesc out to update -- manager. if(ptr_queue_empty = '0')then dataq_rden <= '1'; write_curdesc_msb <= '1'; pntr_ns <= WRITE_STATUS; else pntr_ns <= READ_CURDESC_MSB; end if; --------------------------------------------------------------- -- Hold in this state until remainder of descriptor is -- written out. when WRITE_STATUS => -- De-MUX appropriage tvalid/tlast signals writing_status <= '1'; -- Enable reading of Status Queue if datamover can -- accept data stsq_rden <= m_axis_updt_tready; -- Hold in the status state until tlast is pulled -- from status fifo if(updt_tvalid = '1' and m_axis_updt_tready = '1' and updt_tlast = '1')then pntr_ns <= IDLE; else pntr_ns <= WRITE_STATUS; end if; when others => pntr_ns <= IDLE; end case; end process CURDESC_PNTR_STATE; --------------------------------------------------------------------------- -- Register for CURDESC Pointer state machine --------------------------------------------------------------------------- REG_PNTR_STATES : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then pntr_cs <= IDLE; else pntr_cs <= pntr_ns; end if; end if; end process REG_PNTR_STATES; GEN_Q_FOR_SYNC : if C_AXIS_IS_ASYNC = 0 generate begin -- Channel Pointer Queue (Generate Synchronous FIFO) I_UPDT_DATA_FIFO : entity lib_fifo_v1_0.sync_fifo_fg generic map ( C_FAMILY => C_FAMILY , C_MEMORY_TYPE => UPD_Q_MEMORY_TYPE , C_WRITE_DATA_WIDTH => C_S_AXIS_UPDPTR_TDATA_WIDTH , C_WRITE_DEPTH => UPDATE_QUEUE_DEPTH , C_READ_DATA_WIDTH => C_S_AXIS_UPDPTR_TDATA_WIDTH , C_READ_DEPTH => UPDATE_QUEUE_DEPTH , C_PORTS_DIFFER => 0, C_HAS_DCOUNT => 1, --req for proper fifo operation C_DCOUNT_WIDTH => UPDATE_QUEUE_CNT_WIDTH, C_HAS_ALMOST_FULL => 0, C_HAS_RD_ACK => 0, C_HAS_RD_ERR => 0, C_HAS_WR_ACK => 0, C_HAS_WR_ERR => 0, C_RD_ACK_LOW => 0, C_RD_ERR_LOW => 0, C_WR_ACK_LOW => 0, C_WR_ERR_LOW => 0, C_PRELOAD_REGS => 1,-- 1 = first word fall through C_PRELOAD_LATENCY => 0 -- 0 = first word fall through ) port map ( Clk => m_axi_sg_aclk , Sinit => sinit , Din => ptr_queue_din , Wr_en => ptr_queue_wren , Rd_en => ptr_queue_rden , Dout => ptr_queue_dout , Full => ptr_queue_full , Empty => ptr_queue_empty , Almost_full => open , Data_count => open , Rd_ack => open , Rd_err => open , Wr_ack => open , Wr_err => open ); -- Channel Status Queue (Generate Synchronous FIFO) I_UPDT_STS_FIFO : entity lib_fifo_v1_0.sync_fifo_fg generic map ( C_FAMILY => C_FAMILY , C_MEMORY_TYPE => STS_Q_MEMORY_TYPE , C_WRITE_DATA_WIDTH => C_S_AXIS_UPDSTS_TDATA_WIDTH + 1 , --add 1 for tlast storage C_WRITE_DEPTH => UPDATE_STS_QUEUE_DEPTH , C_READ_DATA_WIDTH => C_S_AXIS_UPDSTS_TDATA_WIDTH + 1 , --add 1 for tlast storage C_READ_DEPTH => UPDATE_STS_QUEUE_DEPTH , C_PORTS_DIFFER => 0 , C_HAS_DCOUNT => 1 , --req for proper fifo operation C_DCOUNT_WIDTH => UPDATE_STS_QUEUE_CNT_WIDTH , C_HAS_ALMOST_FULL => 0 , C_HAS_RD_ACK => 0 , C_HAS_RD_ERR => 0 , C_HAS_WR_ACK => 0 , C_HAS_WR_ERR => 0 , C_RD_ACK_LOW => 0 , C_RD_ERR_LOW => 0 , C_WR_ACK_LOW => 0 , C_WR_ERR_LOW => 0 , C_PRELOAD_REGS => 1 ,-- 1 = first word fall through C_PRELOAD_LATENCY => 0 -- 0 = first word fall through ) port map ( Clk => m_axi_sg_aclk , Sinit => sinit , Din => sts_queue_din , Wr_en => sts_queue_wren , Rd_en => sts_queue_rden , Dout => sts_queue_dout , Full => sts_queue_full , Empty => sts_queue_empty , Almost_full => open , Data_count => open , Rd_ack => open , Rd_err => open , Wr_ack => open , Wr_err => open ); end generate GEN_Q_FOR_SYNC; GEN_Q_FOR_ASYNC : if C_AXIS_IS_ASYNC = 1 generate begin -- Generate Asynchronous FIFO I_UPDT_DATA_FIFO : entity axi_vdma_v6_2.axi_sg_afifo_autord generic map( C_DWIDTH => C_S_AXIS_UPDPTR_TDATA_WIDTH , C_DEPTH => UPDATE_QUEUE_DEPTH , C_CNT_WIDTH => UPDATE_QUEUE_CNT_WIDTH , C_USE_BLKMEM => UPD_Q_MEMORY_TYPE , C_FAMILY => C_FAMILY ) port map( -- Inputs AFIFO_Ainit => sinit , AFIFO_Wr_clk => m_axi_sg_aclk , AFIFO_Wr_en => ptr_queue_wren , AFIFO_Din => ptr_queue_din , AFIFO_Rd_clk => s_axis_updt_aclk , AFIFO_Rd_en => ptr_queue_rden , AFIFO_Clr_Rd_Data_Valid => '0' , -- Outputs AFIFO_DValid => open , AFIFO_Dout => ptr_queue_dout , AFIFO_Full => ptr_queue_full , AFIFO_Empty => ptr_queue_empty , AFIFO_Almost_full => open , AFIFO_Almost_empty => open , AFIFO_Wr_count => open , AFIFO_Rd_count => open , AFIFO_Corr_Rd_count => open , AFIFO_Corr_Rd_count_minus1 => open , AFIFO_Rd_ack => open ); -- Generate Asynchronous FIFO I_UPDT_STS_FIFO : entity axi_vdma_v6_2.axi_sg_afifo_autord generic map( C_DWIDTH => C_S_AXIS_UPDSTS_TDATA_WIDTH + 1 , C_DEPTH => UPDATE_STS_QUEUE_DEPTH , C_CNT_WIDTH => UPDATE_STS_QUEUE_CNT_WIDTH , C_USE_BLKMEM => STS_Q_MEMORY_TYPE , C_FAMILY => C_FAMILY ) port map( -- Inputs AFIFO_Ainit => sinit , AFIFO_Wr_clk => s_axis_updt_aclk , AFIFO_Wr_en => sts_queue_wren , AFIFO_Din => sts_queue_din , AFIFO_Rd_clk => m_axi_sg_aclk , AFIFO_Rd_en => sts_queue_rden , AFIFO_Clr_Rd_Data_Valid => '0' , -- Outputs AFIFO_DValid => open , AFIFO_Dout => sts_queue_dout , AFIFO_Full => sts_queue_full , AFIFO_Empty => sts_queue_empty , AFIFO_Almost_full => open , AFIFO_Almost_empty => open , AFIFO_Wr_count => open , AFIFO_Rd_count => open , AFIFO_Corr_Rd_count => open , AFIFO_Corr_Rd_count_minus1 => open , AFIFO_Rd_ack => open ); end generate GEN_Q_FOR_ASYNC; -- FIFO Reset is active high sinit <= not m_axi_sg_aresetn; --***************************************** --** Channel Data Port Side of Queues --***************************************** -- Pointer Queue Update - Descriptor Pointer (32bits) -- i.e. 2 current descriptor pointers and any app fields ptr_queue_din(C_S_AXIS_UPDPTR_TDATA_WIDTH-1 downto 0) <= s_axis_updtptr_tdata( -- DESC DATA C_S_AXIS_UPDPTR_TDATA_WIDTH-1 downto 0); -- Data Queue Write Enable - based on tvalid and queue not full ptr_queue_wren <= s_axis_updtptr_tvalid -- TValid and not ptr_queue_full; -- Data Queue NOT Full -- Drive channel port with ready if room in data queue s_axis_updtptr_tready <= not ptr_queue_full; --***************************************** --** Channel Status Port Side of Queues --***************************************** -- Status Queue Update - TLAST(1bit) & Includes IOC(1bit) & Descriptor Status(32bits) -- Note: Type field is stripped off sts_queue_din(C_S_AXIS_UPDSTS_TDATA_WIDTH) <= s_axis_updtsts_tlast; -- Store with tlast sts_queue_din(C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0) <= s_axis_updtsts_tdata( -- IOC & DESC STS C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0); -- Status Queue Write Enable - based on tvalid and queue not full sts_queue_wren <= s_axis_updtsts_tvalid and not sts_queue_full; -- Drive channel port with ready if room in status queue s_axis_updtsts_tready <= not sts_queue_full; --************************************* --** SG Engine Side of Queues --************************************* -- Indicate NOT empty if both status queue and data queue are not empty updt_queue_empty <= ptr_queue_empty or sts_queue_empty; -- Data queue read enable ptr_queue_rden <= '1' when dataq_rden = '1' -- Cur desc read enable and ptr_queue_empty = '0' -- Data Queue NOT empty else '0'; -- Status queue read enable sts_queue_rden <= '1' when stsq_rden = '1' -- Writing desc status and sts_queue_empty = '0' -- Status fifo NOT empty else '0'; ----------------------------------------------------------------------- -- TVALID - status queue not empty and writing status ----------------------------------------------------------------------- updt_tvalid <= not sts_queue_empty and writing_status; ----------------------------------------------------------------------- -- TLAST - status queue not empty, writing status, and last asserted ----------------------------------------------------------------------- -- Drive last as long as tvalid is asserted and last from fifo -- is asserted updt_tlast <= not sts_queue_empty and writing_status and sts_queue_dout(C_S_AXIS_UPDSTS_TDATA_WIDTH); ----------------------------------------------------------------------- -- TDATA - drive data to datamover from status queue ----------------------------------------------------------------------- m_axis_updt_tdata <= sts_queue_dout(C_S_AXIS_UPDSTS_TDATA_WIDTH-2 downto 0); m_axis_updt_tvalid <= updt_tvalid; m_axis_updt_tlast <= updt_tlast; --********************************************************************* --** POINTER CAPTURE LOGIC --********************************************************************* --------------------------------------------------------------------------- -- Write lower order Next Descriptor Pointer out to pntr_mngr --------------------------------------------------------------------------- REG_LSB_CURPNTR : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' )then updt_curdesc(31 downto 0) <= (others => '0'); -- Capture lower pointer from FIFO or channel port elsif(write_curdesc_lsb = '1')then updt_curdesc(31 downto 0) <= ptr_queue_dout(C_S_AXIS_UPDPTR_TDATA_WIDTH-1 downto 0); end if; end if; end process REG_LSB_CURPNTR; --------------------------------------------------------------------------- -- 64 Bit Scatter Gather addresses enabled --------------------------------------------------------------------------- GEN_UPPER_MSB_CURDESC : if C_M_AXI_SG_ADDR_WIDTH = 64 generate begin --------------------------------------------------------------------------- -- Write upper order Next Descriptor Pointer out to pntr_mngr --------------------------------------------------------------------------- REG_MSB_CURPNTR : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' )then updt_curdesc(63 downto 32) <= (others => '0'); updt_curdesc_wren <= '0'; -- Capture upper pointer from FIFO or channel port -- and also write curdesc out elsif(write_curdesc_msb = '1')then updt_curdesc(63 downto 32) <= ptr_queue_dout(C_S_AXIS_UPDPTR_TDATA_WIDTH-1 downto 0); updt_curdesc_wren <= '1'; -- Assert tready/wren for only 1 clock else updt_curdesc_wren <= '0'; end if; end if; end process REG_MSB_CURPNTR; end generate GEN_UPPER_MSB_CURDESC; --------------------------------------------------------------------------- -- 32 Bit Scatter Gather addresses enabled --------------------------------------------------------------------------- GEN_NO_UPR_MSB_CURDESC : if C_M_AXI_SG_ADDR_WIDTH = 32 generate begin ----------------------------------------------------------------------- -- No upper order therefore dump fetched word and write pntr lower next -- pointer to pntr mngr ----------------------------------------------------------------------- REG_MSB_CURPNTR : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' )then updt_curdesc_wren <= '0'; -- Throw away second word, only write curdesc out with msb -- set to zero elsif(write_curdesc_msb = '1')then updt_curdesc_wren <= '1'; -- Assert for only 1 clock else updt_curdesc_wren <= '0'; end if; end if; end process REG_MSB_CURPNTR; end generate GEN_NO_UPR_MSB_CURDESC; --********************************************************************* --** ERROR CAPTURE LOGIC --********************************************************************* ----------------------------------------------------------------------- -- Generate rising edge pulse on writing status signal. This will -- assert at the beginning of the status write. Coupled with status -- fifo set to first word fall through status will be on dout -- regardless of target ready. ----------------------------------------------------------------------- REG_WRITE_STATUS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then writing_status_d1 <= '0'; else writing_status_d1 <= writing_status; end if; end if; end process REG_WRITE_STATUS; writing_status_re <= writing_status and not writing_status_d1; ----------------------------------------------------------------------- -- Caputure IOC begin set ----------------------------------------------------------------------- REG_IOC_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or updt_ioc_irq_set = '1')then updt_ioc <= '0'; elsif(writing_status_re = '1')then updt_ioc <= sts_queue_dout(DESC_IOC_TAG_BIT); end if; end if; end process REG_IOC_PROCESS; ----------------------------------------------------------------------- -- Capture DMA Internal Errors ----------------------------------------------------------------------- CAPTURE_DMAINT_ERROR: process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or dma_interr_set = '1')then dma_interr <= '0'; elsif(writing_status_re = '1')then dma_interr <= sts_queue_dout(DESC_STS_INTERR_BIT); end if; end if; end process CAPTURE_DMAINT_ERROR; ----------------------------------------------------------------------- -- Capture DMA Slave Errors ----------------------------------------------------------------------- CAPTURE_DMASLV_ERROR: process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or dma_slverr_set = '1')then dma_slverr <= '0'; elsif(writing_status_re = '1')then dma_slverr <= sts_queue_dout(DESC_STS_SLVERR_BIT); end if; end if; end process CAPTURE_DMASLV_ERROR; ----------------------------------------------------------------------- -- Capture DMA Decode Errors ----------------------------------------------------------------------- CAPTURE_DMADEC_ERROR: process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or dma_decerr_set = '1')then dma_decerr <= '0'; elsif(writing_status_re = '1')then dma_decerr <= sts_queue_dout(DESC_STS_DECERR_BIT); end if; end if; end process CAPTURE_DMADEC_ERROR; end implementation;
------------------------------------------------------------------------------- -- axi_sg_ftchq_if ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010, 2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_sg_updt_queue.vhd -- Description: This entity is the descriptor fetch queue interface -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- axi_sg.vhd -- axi_sg_pkg.vhd -- |- axi_sg_ftch_mngr.vhd -- | |- axi_sg_ftch_sm.vhd -- | |- axi_sg_ftch_pntr.vhd -- | |- axi_sg_ftch_cmdsts_if.vhd -- |- axi_sg_updt_mngr.vhd -- | |- axi_sg_updt_sm.vhd -- | |- axi_sg_updt_cmdsts_if.vhd -- |- axi_sg_ftch_q_mngr.vhd -- | |- axi_sg_ftch_queue.vhd -- | | |- proc_common_v4_0.sync_fifo_fg.vhd -- | | |- proc_common_v4_0.axi_sg_afifo_autord.vhd -- | |- axi_sg_ftch_noqueue.vhd -- |- axi_sg_updt_q_mngr.vhd -- | |- axi_sg_updt_queue.vhd -- | | |- proc_common_v4_0.sync_fifo_fg.vhd -- | |- proc_common_v4_0.axi_sg_afifo_autord.vhd -- | |- axi_sg_updt_noqueue.vhd -- |- axi_sg_intrpt.vhd -- |- axi_datamover_v5_0.axi_datamover.vhd -- ------------------------------------------------------------------------------- -- Author: Gary Burch -- History: -- GAB 3/19/10 v1_00_a -- ^^^^^^ -- - Initial Release -- ~~~~~~ -- GAB 8/26/10 v2_00_a -- ^^^^^^ -- Seperated update queues into two seperate files, no queue and queue to -- simplify maintainance. -- ~~~~~~ -- GAB 10/21/10 v4_03 -- ^^^^^^ -- Rolled version to v4_03 -- ~~~~~~ -- GAB 11/15/10 v2_01_a -- ^^^^^^ -- CR582800 -- Converted all stream paraters ***_DATA_WIDTH to ***_TDATA_WIDTH -- ~~~~~~ -- GAB 6/13/11 v4_03 -- ^^^^^^ -- Update to AXI Datamover v4_03 -- Added aynchronous operation -- ~~~~~~ ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library axi_vdma_v6_2; use axi_vdma_v6_2.axi_sg_pkg.all; library lib_pkg_v1_0; library lib_fifo_v1_0; use lib_fifo_v1_0.sync_fifo_fg; use lib_pkg_v1_0.lib_pkg.all; ------------------------------------------------------------------------------- entity axi_sg_updt_queue is generic ( C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 64 := 32; -- Master AXI Memory Map Address Width for Scatter Gather R/W Port C_M_AXIS_UPDT_DATA_WIDTH : integer range 32 to 32 := 32; -- Master AXI Memory Map Data Width for Scatter Gather R/W Port C_S_AXIS_UPDPTR_TDATA_WIDTH : integer range 32 to 32 := 32; -- 32 Update Status Bits C_S_AXIS_UPDSTS_TDATA_WIDTH : integer range 33 to 33 := 33; -- 1 IOC bit + 32 Update Status Bits C_SG_UPDT_DESC2QUEUE : integer range 0 to 8 := 0; -- Number of descriptors to fetch and queue for each channel. -- A value of zero excludes the fetch queues. C_SG_WORDS_TO_UPDATE : integer range 1 to 16 := 8; -- Number of words to update C_AXIS_IS_ASYNC : integer range 0 to 1 := 0; -- Channel 1 is async to sg_aclk -- 0 = Synchronous to SG ACLK -- 1 = Asynchronous to SG ACLK C_FAMILY : string := "virtex6" -- Device family used for proper BRAM selection ); port ( ----------------------------------------------------------------------- -- AXI Scatter Gather Interface ----------------------------------------------------------------------- m_axi_sg_aclk : in std_logic ; -- m_axi_sg_aresetn : in std_logic ; -- s_axis_updt_aclk : in std_logic ; -- -- --********************************-- -- --** Control and Status **-- -- --********************************-- -- updt_curdesc_wren : out std_logic ; -- updt_curdesc : out std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- updt_active : in std_logic ; -- updt_queue_empty : out std_logic ; -- updt_ioc : out std_logic ; -- updt_ioc_irq_set : in std_logic ; -- -- dma_interr : out std_logic ; -- dma_slverr : out std_logic ; -- dma_decerr : out std_logic ; -- dma_interr_set : in std_logic ; -- dma_slverr_set : in std_logic ; -- dma_decerr_set : in std_logic ; -- -- --********************************-- -- --** Update Interfaces In **-- -- --********************************-- -- -- Update Pointer Stream -- s_axis_updtptr_tdata : in std_logic_vector -- (C_S_AXIS_UPDPTR_TDATA_WIDTH-1 downto 0); -- s_axis_updtptr_tvalid : in std_logic ; -- s_axis_updtptr_tready : out std_logic ; -- s_axis_updtptr_tlast : in std_logic ; -- -- -- Update Status Stream -- s_axis_updtsts_tdata : in std_logic_vector -- (C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0); -- s_axis_updtsts_tvalid : in std_logic ; -- s_axis_updtsts_tready : out std_logic ; -- s_axis_updtsts_tlast : in std_logic ; -- -- --********************************-- -- --** Update Interfaces Out **-- -- --********************************-- -- -- S2MM Stream Out To DataMover -- m_axis_updt_tdata : out std_logic_vector -- (C_M_AXIS_UPDT_DATA_WIDTH-1 downto 0); -- m_axis_updt_tlast : out std_logic ; -- m_axis_updt_tvalid : out std_logic ; -- m_axis_updt_tready : in std_logic -- ); end axi_sg_updt_queue; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_sg_updt_queue is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- constant USE_LOGIC_FIFOS : integer := 0; -- Use Logic FIFOs constant USE_BRAM_FIFOS : integer := 1; -- Use BRAM FIFOs -- Number of words deep fifo needs to be. Depth required to store 2 word -- porters for each descriptor is C_SG_UPDT_DESC2QUEUE x 2 --constant UPDATE_QUEUE_DEPTH : integer := max2(16,C_SG_UPDT_DESC2QUEUE * 2); constant UPDATE_QUEUE_DEPTH : integer := max2(16,pad_power2(C_SG_UPDT_DESC2QUEUE * 2)); -- Width of fifo rd and wr counts - only used for proper fifo operation constant UPDATE_QUEUE_CNT_WIDTH : integer := clog2(UPDATE_QUEUE_DEPTH+1); -- Select between BRAM or LOGIC memory type constant UPD_Q_MEMORY_TYPE : integer := bo2int(UPDATE_QUEUE_DEPTH > 16); -- Number of words deep fifo needs to be. Depth required to store all update -- words is C_SG_UPDT_DESC2QUEUE x C_SG_WORDS_TO_UPDATE constant UPDATE_STS_QUEUE_DEPTH : integer := max2(16,pad_power2(C_SG_UPDT_DESC2QUEUE * C_SG_WORDS_TO_UPDATE)); -- Select between BRAM or LOGIC memory type constant STS_Q_MEMORY_TYPE : integer := bo2int(UPDATE_STS_QUEUE_DEPTH > 16); -- Width of fifo rd and wr counts - only used for proper fifo operation constant UPDATE_STS_QUEUE_CNT_WIDTH : integer := clog2(C_SG_UPDT_DESC2QUEUE+1); ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- -- Channel signals signal write_curdesc_lsb : std_logic := '0'; signal write_curdesc_msb : std_logic := '0'; signal updt_active_d1 : std_logic := '0'; signal updt_active_re : std_logic := '0'; type PNTR_STATE_TYPE is (IDLE, READ_CURDESC_LSB, READ_CURDESC_MSB, WRITE_STATUS ); signal pntr_cs : PNTR_STATE_TYPE; signal pntr_ns : PNTR_STATE_TYPE; -- State Machine Signal signal writing_status : std_logic := '0'; signal dataq_rden : std_logic := '0'; signal stsq_rden : std_logic := '0'; -- Pointer Queue FIFO Signals signal ptr_queue_rden : std_logic := '0'; signal ptr_queue_wren : std_logic := '0'; signal ptr_queue_empty : std_logic := '0'; signal ptr_queue_full : std_logic := '0'; signal ptr_queue_din : std_logic_vector (C_S_AXIS_UPDPTR_TDATA_WIDTH-1 downto 0) := (others => '0'); signal ptr_queue_dout : std_logic_vector (C_S_AXIS_UPDPTR_TDATA_WIDTH-1 downto 0) := (others => '0'); -- Status Queue FIFO Signals signal sts_queue_wren : std_logic := '0'; signal sts_queue_rden : std_logic := '0'; signal sts_queue_din : std_logic_vector (C_S_AXIS_UPDSTS_TDATA_WIDTH downto 0) := (others => '0'); signal sts_queue_dout : std_logic_vector (C_S_AXIS_UPDSTS_TDATA_WIDTH downto 0) := (others => '0'); signal sts_queue_full : std_logic := '0'; signal sts_queue_empty : std_logic := '0'; -- Misc Support Signals signal writing_status_d1 : std_logic := '0'; signal writing_status_re : std_logic := '0'; signal sinit : std_logic := '0'; signal updt_tvalid : std_logic := '0'; signal updt_tlast : std_logic := '0'; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin -- Asset active strobe on rising edge of update active -- asertion. This kicks off the update process for -- channel 1 REG_ACTIVE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' )then updt_active_d1 <= '0'; else updt_active_d1 <= updt_active; end if; end if; end process REG_ACTIVE; updt_active_re <= updt_active and not updt_active_d1; -- Current Descriptor Pointer Fetch. This state machine controls -- reading out the current pointer from the Queue or channel port -- and writing it to the update manager for use in command -- generation to the DataMover for Descriptor update. CURDESC_PNTR_STATE : process(pntr_cs, updt_active_re, ptr_queue_empty, m_axis_updt_tready, updt_tvalid, updt_tlast) begin write_curdesc_lsb <= '0'; write_curdesc_msb <= '0'; writing_status <= '0'; dataq_rden <= '0'; stsq_rden <= '0'; pntr_ns <= pntr_cs; case pntr_cs is when IDLE => if(updt_active_re = '1')then pntr_ns <= READ_CURDESC_LSB; else pntr_ns <= IDLE; end if; --------------------------------------------------------------- -- Get lower current descriptor pointer -- Reads one word from data queue fifo --------------------------------------------------------------- when READ_CURDESC_LSB => -- on tvalid from Queue or channel port then register -- lsb curdesc and setup to register msb curdesc if(ptr_queue_empty = '0')then write_curdesc_lsb <= '1'; dataq_rden <= '1'; pntr_ns <= READ_CURDESC_MSB; else pntr_ns <= READ_CURDESC_LSB; end if; --------------------------------------------------------------- -- Get upper current descriptor -- Reads one word from data queue fifo --------------------------------------------------------------- when READ_CURDESC_MSB => -- On tvalid from Queue or channel port then register -- msb. This will also write curdesc out to update -- manager. if(ptr_queue_empty = '0')then dataq_rden <= '1'; write_curdesc_msb <= '1'; pntr_ns <= WRITE_STATUS; else pntr_ns <= READ_CURDESC_MSB; end if; --------------------------------------------------------------- -- Hold in this state until remainder of descriptor is -- written out. when WRITE_STATUS => -- De-MUX appropriage tvalid/tlast signals writing_status <= '1'; -- Enable reading of Status Queue if datamover can -- accept data stsq_rden <= m_axis_updt_tready; -- Hold in the status state until tlast is pulled -- from status fifo if(updt_tvalid = '1' and m_axis_updt_tready = '1' and updt_tlast = '1')then pntr_ns <= IDLE; else pntr_ns <= WRITE_STATUS; end if; when others => pntr_ns <= IDLE; end case; end process CURDESC_PNTR_STATE; --------------------------------------------------------------------------- -- Register for CURDESC Pointer state machine --------------------------------------------------------------------------- REG_PNTR_STATES : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then pntr_cs <= IDLE; else pntr_cs <= pntr_ns; end if; end if; end process REG_PNTR_STATES; GEN_Q_FOR_SYNC : if C_AXIS_IS_ASYNC = 0 generate begin -- Channel Pointer Queue (Generate Synchronous FIFO) I_UPDT_DATA_FIFO : entity lib_fifo_v1_0.sync_fifo_fg generic map ( C_FAMILY => C_FAMILY , C_MEMORY_TYPE => UPD_Q_MEMORY_TYPE , C_WRITE_DATA_WIDTH => C_S_AXIS_UPDPTR_TDATA_WIDTH , C_WRITE_DEPTH => UPDATE_QUEUE_DEPTH , C_READ_DATA_WIDTH => C_S_AXIS_UPDPTR_TDATA_WIDTH , C_READ_DEPTH => UPDATE_QUEUE_DEPTH , C_PORTS_DIFFER => 0, C_HAS_DCOUNT => 1, --req for proper fifo operation C_DCOUNT_WIDTH => UPDATE_QUEUE_CNT_WIDTH, C_HAS_ALMOST_FULL => 0, C_HAS_RD_ACK => 0, C_HAS_RD_ERR => 0, C_HAS_WR_ACK => 0, C_HAS_WR_ERR => 0, C_RD_ACK_LOW => 0, C_RD_ERR_LOW => 0, C_WR_ACK_LOW => 0, C_WR_ERR_LOW => 0, C_PRELOAD_REGS => 1,-- 1 = first word fall through C_PRELOAD_LATENCY => 0 -- 0 = first word fall through ) port map ( Clk => m_axi_sg_aclk , Sinit => sinit , Din => ptr_queue_din , Wr_en => ptr_queue_wren , Rd_en => ptr_queue_rden , Dout => ptr_queue_dout , Full => ptr_queue_full , Empty => ptr_queue_empty , Almost_full => open , Data_count => open , Rd_ack => open , Rd_err => open , Wr_ack => open , Wr_err => open ); -- Channel Status Queue (Generate Synchronous FIFO) I_UPDT_STS_FIFO : entity lib_fifo_v1_0.sync_fifo_fg generic map ( C_FAMILY => C_FAMILY , C_MEMORY_TYPE => STS_Q_MEMORY_TYPE , C_WRITE_DATA_WIDTH => C_S_AXIS_UPDSTS_TDATA_WIDTH + 1 , --add 1 for tlast storage C_WRITE_DEPTH => UPDATE_STS_QUEUE_DEPTH , C_READ_DATA_WIDTH => C_S_AXIS_UPDSTS_TDATA_WIDTH + 1 , --add 1 for tlast storage C_READ_DEPTH => UPDATE_STS_QUEUE_DEPTH , C_PORTS_DIFFER => 0 , C_HAS_DCOUNT => 1 , --req for proper fifo operation C_DCOUNT_WIDTH => UPDATE_STS_QUEUE_CNT_WIDTH , C_HAS_ALMOST_FULL => 0 , C_HAS_RD_ACK => 0 , C_HAS_RD_ERR => 0 , C_HAS_WR_ACK => 0 , C_HAS_WR_ERR => 0 , C_RD_ACK_LOW => 0 , C_RD_ERR_LOW => 0 , C_WR_ACK_LOW => 0 , C_WR_ERR_LOW => 0 , C_PRELOAD_REGS => 1 ,-- 1 = first word fall through C_PRELOAD_LATENCY => 0 -- 0 = first word fall through ) port map ( Clk => m_axi_sg_aclk , Sinit => sinit , Din => sts_queue_din , Wr_en => sts_queue_wren , Rd_en => sts_queue_rden , Dout => sts_queue_dout , Full => sts_queue_full , Empty => sts_queue_empty , Almost_full => open , Data_count => open , Rd_ack => open , Rd_err => open , Wr_ack => open , Wr_err => open ); end generate GEN_Q_FOR_SYNC; GEN_Q_FOR_ASYNC : if C_AXIS_IS_ASYNC = 1 generate begin -- Generate Asynchronous FIFO I_UPDT_DATA_FIFO : entity axi_vdma_v6_2.axi_sg_afifo_autord generic map( C_DWIDTH => C_S_AXIS_UPDPTR_TDATA_WIDTH , C_DEPTH => UPDATE_QUEUE_DEPTH , C_CNT_WIDTH => UPDATE_QUEUE_CNT_WIDTH , C_USE_BLKMEM => UPD_Q_MEMORY_TYPE , C_FAMILY => C_FAMILY ) port map( -- Inputs AFIFO_Ainit => sinit , AFIFO_Wr_clk => m_axi_sg_aclk , AFIFO_Wr_en => ptr_queue_wren , AFIFO_Din => ptr_queue_din , AFIFO_Rd_clk => s_axis_updt_aclk , AFIFO_Rd_en => ptr_queue_rden , AFIFO_Clr_Rd_Data_Valid => '0' , -- Outputs AFIFO_DValid => open , AFIFO_Dout => ptr_queue_dout , AFIFO_Full => ptr_queue_full , AFIFO_Empty => ptr_queue_empty , AFIFO_Almost_full => open , AFIFO_Almost_empty => open , AFIFO_Wr_count => open , AFIFO_Rd_count => open , AFIFO_Corr_Rd_count => open , AFIFO_Corr_Rd_count_minus1 => open , AFIFO_Rd_ack => open ); -- Generate Asynchronous FIFO I_UPDT_STS_FIFO : entity axi_vdma_v6_2.axi_sg_afifo_autord generic map( C_DWIDTH => C_S_AXIS_UPDSTS_TDATA_WIDTH + 1 , C_DEPTH => UPDATE_STS_QUEUE_DEPTH , C_CNT_WIDTH => UPDATE_STS_QUEUE_CNT_WIDTH , C_USE_BLKMEM => STS_Q_MEMORY_TYPE , C_FAMILY => C_FAMILY ) port map( -- Inputs AFIFO_Ainit => sinit , AFIFO_Wr_clk => s_axis_updt_aclk , AFIFO_Wr_en => sts_queue_wren , AFIFO_Din => sts_queue_din , AFIFO_Rd_clk => m_axi_sg_aclk , AFIFO_Rd_en => sts_queue_rden , AFIFO_Clr_Rd_Data_Valid => '0' , -- Outputs AFIFO_DValid => open , AFIFO_Dout => sts_queue_dout , AFIFO_Full => sts_queue_full , AFIFO_Empty => sts_queue_empty , AFIFO_Almost_full => open , AFIFO_Almost_empty => open , AFIFO_Wr_count => open , AFIFO_Rd_count => open , AFIFO_Corr_Rd_count => open , AFIFO_Corr_Rd_count_minus1 => open , AFIFO_Rd_ack => open ); end generate GEN_Q_FOR_ASYNC; -- FIFO Reset is active high sinit <= not m_axi_sg_aresetn; --***************************************** --** Channel Data Port Side of Queues --***************************************** -- Pointer Queue Update - Descriptor Pointer (32bits) -- i.e. 2 current descriptor pointers and any app fields ptr_queue_din(C_S_AXIS_UPDPTR_TDATA_WIDTH-1 downto 0) <= s_axis_updtptr_tdata( -- DESC DATA C_S_AXIS_UPDPTR_TDATA_WIDTH-1 downto 0); -- Data Queue Write Enable - based on tvalid and queue not full ptr_queue_wren <= s_axis_updtptr_tvalid -- TValid and not ptr_queue_full; -- Data Queue NOT Full -- Drive channel port with ready if room in data queue s_axis_updtptr_tready <= not ptr_queue_full; --***************************************** --** Channel Status Port Side of Queues --***************************************** -- Status Queue Update - TLAST(1bit) & Includes IOC(1bit) & Descriptor Status(32bits) -- Note: Type field is stripped off sts_queue_din(C_S_AXIS_UPDSTS_TDATA_WIDTH) <= s_axis_updtsts_tlast; -- Store with tlast sts_queue_din(C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0) <= s_axis_updtsts_tdata( -- IOC & DESC STS C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0); -- Status Queue Write Enable - based on tvalid and queue not full sts_queue_wren <= s_axis_updtsts_tvalid and not sts_queue_full; -- Drive channel port with ready if room in status queue s_axis_updtsts_tready <= not sts_queue_full; --************************************* --** SG Engine Side of Queues --************************************* -- Indicate NOT empty if both status queue and data queue are not empty updt_queue_empty <= ptr_queue_empty or sts_queue_empty; -- Data queue read enable ptr_queue_rden <= '1' when dataq_rden = '1' -- Cur desc read enable and ptr_queue_empty = '0' -- Data Queue NOT empty else '0'; -- Status queue read enable sts_queue_rden <= '1' when stsq_rden = '1' -- Writing desc status and sts_queue_empty = '0' -- Status fifo NOT empty else '0'; ----------------------------------------------------------------------- -- TVALID - status queue not empty and writing status ----------------------------------------------------------------------- updt_tvalid <= not sts_queue_empty and writing_status; ----------------------------------------------------------------------- -- TLAST - status queue not empty, writing status, and last asserted ----------------------------------------------------------------------- -- Drive last as long as tvalid is asserted and last from fifo -- is asserted updt_tlast <= not sts_queue_empty and writing_status and sts_queue_dout(C_S_AXIS_UPDSTS_TDATA_WIDTH); ----------------------------------------------------------------------- -- TDATA - drive data to datamover from status queue ----------------------------------------------------------------------- m_axis_updt_tdata <= sts_queue_dout(C_S_AXIS_UPDSTS_TDATA_WIDTH-2 downto 0); m_axis_updt_tvalid <= updt_tvalid; m_axis_updt_tlast <= updt_tlast; --********************************************************************* --** POINTER CAPTURE LOGIC --********************************************************************* --------------------------------------------------------------------------- -- Write lower order Next Descriptor Pointer out to pntr_mngr --------------------------------------------------------------------------- REG_LSB_CURPNTR : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' )then updt_curdesc(31 downto 0) <= (others => '0'); -- Capture lower pointer from FIFO or channel port elsif(write_curdesc_lsb = '1')then updt_curdesc(31 downto 0) <= ptr_queue_dout(C_S_AXIS_UPDPTR_TDATA_WIDTH-1 downto 0); end if; end if; end process REG_LSB_CURPNTR; --------------------------------------------------------------------------- -- 64 Bit Scatter Gather addresses enabled --------------------------------------------------------------------------- GEN_UPPER_MSB_CURDESC : if C_M_AXI_SG_ADDR_WIDTH = 64 generate begin --------------------------------------------------------------------------- -- Write upper order Next Descriptor Pointer out to pntr_mngr --------------------------------------------------------------------------- REG_MSB_CURPNTR : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' )then updt_curdesc(63 downto 32) <= (others => '0'); updt_curdesc_wren <= '0'; -- Capture upper pointer from FIFO or channel port -- and also write curdesc out elsif(write_curdesc_msb = '1')then updt_curdesc(63 downto 32) <= ptr_queue_dout(C_S_AXIS_UPDPTR_TDATA_WIDTH-1 downto 0); updt_curdesc_wren <= '1'; -- Assert tready/wren for only 1 clock else updt_curdesc_wren <= '0'; end if; end if; end process REG_MSB_CURPNTR; end generate GEN_UPPER_MSB_CURDESC; --------------------------------------------------------------------------- -- 32 Bit Scatter Gather addresses enabled --------------------------------------------------------------------------- GEN_NO_UPR_MSB_CURDESC : if C_M_AXI_SG_ADDR_WIDTH = 32 generate begin ----------------------------------------------------------------------- -- No upper order therefore dump fetched word and write pntr lower next -- pointer to pntr mngr ----------------------------------------------------------------------- REG_MSB_CURPNTR : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' )then updt_curdesc_wren <= '0'; -- Throw away second word, only write curdesc out with msb -- set to zero elsif(write_curdesc_msb = '1')then updt_curdesc_wren <= '1'; -- Assert for only 1 clock else updt_curdesc_wren <= '0'; end if; end if; end process REG_MSB_CURPNTR; end generate GEN_NO_UPR_MSB_CURDESC; --********************************************************************* --** ERROR CAPTURE LOGIC --********************************************************************* ----------------------------------------------------------------------- -- Generate rising edge pulse on writing status signal. This will -- assert at the beginning of the status write. Coupled with status -- fifo set to first word fall through status will be on dout -- regardless of target ready. ----------------------------------------------------------------------- REG_WRITE_STATUS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then writing_status_d1 <= '0'; else writing_status_d1 <= writing_status; end if; end if; end process REG_WRITE_STATUS; writing_status_re <= writing_status and not writing_status_d1; ----------------------------------------------------------------------- -- Caputure IOC begin set ----------------------------------------------------------------------- REG_IOC_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or updt_ioc_irq_set = '1')then updt_ioc <= '0'; elsif(writing_status_re = '1')then updt_ioc <= sts_queue_dout(DESC_IOC_TAG_BIT); end if; end if; end process REG_IOC_PROCESS; ----------------------------------------------------------------------- -- Capture DMA Internal Errors ----------------------------------------------------------------------- CAPTURE_DMAINT_ERROR: process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or dma_interr_set = '1')then dma_interr <= '0'; elsif(writing_status_re = '1')then dma_interr <= sts_queue_dout(DESC_STS_INTERR_BIT); end if; end if; end process CAPTURE_DMAINT_ERROR; ----------------------------------------------------------------------- -- Capture DMA Slave Errors ----------------------------------------------------------------------- CAPTURE_DMASLV_ERROR: process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or dma_slverr_set = '1')then dma_slverr <= '0'; elsif(writing_status_re = '1')then dma_slverr <= sts_queue_dout(DESC_STS_SLVERR_BIT); end if; end if; end process CAPTURE_DMASLV_ERROR; ----------------------------------------------------------------------- -- Capture DMA Decode Errors ----------------------------------------------------------------------- CAPTURE_DMADEC_ERROR: process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or dma_decerr_set = '1')then dma_decerr <= '0'; elsif(writing_status_re = '1')then dma_decerr <= sts_queue_dout(DESC_STS_DECERR_BIT); end if; end if; end process CAPTURE_DMADEC_ERROR; end implementation;
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block AirNegVakR18cVZJyUoJtvjY/hNLfIgSP7oGQg5fC8Ngox2VCUgqpET8MayCDA/ctnIeWQZPChzt xSVMjErObg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block hGe/nARTTHUDR9V/IqMeslHeINWNkb38GDJy+HeZEnl5ZmEYw1z19rXeCG/PgWhNMMc/bPH5xbCA jPhDR4N8v/7/cme9NyHpggXTk6Q+9+lId7mCozke1W1T7eGvKrMunih+0ooNbYBa0sRVxSKwHdCg +a3RfvST7rUodwwXJ2M= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Z1cW3udWWNjWVz6LMwEHCuCYbkux4tJEyuhzbzfzs8eJz5oRGSpmI8lkq2RqlJjG60l8dmfDFuBB HnEyhnn31br7/DlkYdEeolg9KPsNXhRXotiJ2bVURQhA06MrOks4o8MzuKOou6oI3ZH1ceqTzGSD U5Xu+4i1pmpvYkb6qrcJiXH9q/M+DR0DeALXZ4GmtS0Ds+RtZz7GAQZ1RIIMgYNrbm0NY2aoIawT oSQIqc+uZTK+nMd1zxIWmlf1kVzZbXNSLr8pSqf3qLFq1aYDrYgAnczBdd369rZVeadfiXtcR5Ds dGnJ+QaLj288jgLYrRmf4lRKOALrZ+kPI8hZ+w== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block IZgEjj9lrH2ahixiY8NLdiOLzAIQ3eV3q3KbLoKeacZJMRdm8JwRtfJZDtmizSvzQ+zFfMXiIeDf UAXj5dtRgtZosR6lyeWgFo1/Goj/H0hY9+xLbii5apEvCJtEyGO1/L5ACA9YyMUgJgw3mKuIpLuj WkLVd7FJryYmewiWNjY= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block TTYcZMqCzK/1ZZGPlRo18llKJ+f4WQAug4dRpY0zzqLJ4go6qcDRSJB2cGC7gX+ksATyRea5UWaj 4yL4psRoiFGI3l58mHp05/TDQLCitag5lYich04B2FEX4Sral3+uwYMz3XU1GaNxsG8XIz6pxjf6 j3NpJmG+LGla1n1/Q70AyH47jhfMn90o/WH7YXCwSiW1UgoZSP31j4+BS1NRDUSEP+whi+30S1In kZDP+NqY2FG9DQZAoPMWb0Ch5NgVaHVQcjosLKAiB7xa0O/3C55EUfcTJIpA7rO1Dec1udaOOTva 5RCZNjkAbbD0t4jk33PbKURZilYVPiF67rLHRg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 24016) `protect data_block uDZ+ok+/txoaFD5ZgjG7RbANRWf0Xwac0e4DQagySoDbWOOSw/+KlbovSuVyq14YD20vD2PD3CFs +8XvZE+hmSmt0IncWiDn4KXvgb/ENl2zmD2VRvT7brv9Wy3hKrQmYKNwjKZBbbr3imzOxeYOq4QF blYZRxoWAuQtighPibHLBCZM+vBaf9VDz+bOaJ8Cq6Kd9d41WkSByRsdeXl2siSXHAhNsxHXLsmY nIwQ4O/KXjECcuDwV2q9QKa6tYopP7GBMY95actgDF6n/gsWIVaCwae9NEJXSFp97BGnWfdZ3heW uvXTyCIbi9VFBXYMoAU6vhj9HYFtPZ7NAJ9LqKUtxukFwZLL1PNedpjimDbkBGvZDN90HuW7vBjn 0rqnJMhkLuzMvRCdqLE1xwfbM7OgFLXzU8bqmcJO7g9LudjsETvTOERwqAeRUnwmTO0pbQo+jF37 ym4byXY3hH2s3OQWsu3ISAeM0JIxmXecX6FfkSpbg9yF1DRbj8MqVsf+6cjssQQFtOQQth7F8fnQ lT9racNkgdONyk3IB8Y2fPs5af0EqCf21cC1IZ7N62xLfVZXEqfXH+qLOEn7IJQ9ER6LA7yI/POA alcd2KhebxA3dQOm6yrN7LgMZ0Z/nm1HR2t0S0un9hSRXXCRGaxHs4/Rmx6Mby0BNfjGXNru4iuN YisB6cDwp0Ucaoy0SncM8y21TOxeIXIiogGEJ9SScU68vz7MPiNIfsrAZuzpgY43rRM2TC26FKlf DjLX1EgVd0dYig4IgpIaJsJGcb5bScRNUFeIKuzjyRtQTAHoaYNpFcTKteZjSacovdZ2s3BhqqMX SutjqG5d7wBCmW6SSLpsg4A7M/2HYR35P+EKZhyCkmH3FI1JUuC+X5cqGgi5Wzbmb7+UoYCIScUv US7kl9zvG7cLCdHWgRV338bVNIBlEltEgWS/95v3TCc7vxIXDY93A/LX8vApA3f7VGxQu7S+XeS5 j14sFL+hxEMyAa/rOo+dPvCNjsroRWOFiH8M4jncEbHVMm/W0NCsDtszVnGorqwxX+TvWLHLmyj3 NntkW5AJP/FRV2jHc//el6wG2woCCo6aJwcv5iDwG7fb9rBy5pzQ1PeB8FIrTNDg8NvTRhZl8dE/ OtirTm8nxSSAYkZVWl/uh4uGU2hZKmDnfvq57O34ZH9uWlPbD4CuMN1WjtHFBGyvhvOQy3feey4s RsizTPEqmFvvu0xG/SHzEeSAt1/Of/a90YqUW32AE8mM8ZNEGVgN/GkQJq5lWq5tGqCYaMYNHWZd kKoXM+rit6vwOy8BDWTAZ3aKf3F0Lwu4rTBgB7lIBuwRotV87EnRMuDBTGBcb8xKyGGfjJR/BWCh Yd/d6YqaXF7y0Fz2NSS4ekgoe+P3hJLOMWrWiS3oK+x5c0R4F0+9DL2YAPK4BKe8i8bRtedrz7mS zZXKsTQxrYckRdnxz6lba00XOSRMwucY/BaVQMGJeOf+6+am370xfwcep+o6H2L/VU+Ur/hlZ3GP sUkvkKYtQaqTVtrDTO3fziZGSfL/m6j0OQFNZwl5aJOHtJUh4iGdOoXpT1HKZEF8tXGVG4bhMFyM dvgYmuq6Ia3zT4d/NhNuwbY01b9z1OLE5vc42aZ21snaHZW+/O93inP9y47Rq5VIRuCiE+zUrogw ik88N4u8d5Mb1oRsWhmMVfUejwRJWcmMshIgfn79HXNPtXmSfp1A/C4OaB3KhsrpJ/ltkCc+6BZG Cpqm9hloYXj0p5hZXNS3SAwtTYKc2aZCIm9f9dAqUYlQhdQR2XMyLUsIhEHPgnd/TCXf9nHjzlok huG9B+AbqOga0DdW8P6ITgEqwh8+4vyfHHnF66uO904CdqJmktt12TNwtnIzGDvu1rsJ6D427Qbo yB4O2+xhGdBbhid6HlB2Gp6qdfGy28Suj5MYooNFpES1u1ksZR9KrwIy4pxI/RnBY4+NVCCpKDk6 fNiY1nEjiLIzIj8+a2gOzbqkliEcJ1vc3D1JSzb0sWY13DHuPzpZSX1Vjn1wc9wwK1GzuaJRZM/s Pe+TDYJRgcC+yUTUZs2KHiyn1TvuA5DkShqCqFg/c5GxrTYRjAeGqdBslezALy1hzWyU/yPjvKWr JrLoT9NoZezscE92KKCrX8964qvaVjoCxdffhsxiaIGLQIo/0BSpSnwGAJpi2jBJKGKtM5gg6k58 mYH5KRSqzmRW4g9V9xnpt+m9McDSIyswKElxOEGEVJ037lBxsDn56ztwDvdlaGKNU13EjdaM2p3n zY7z+JmLz4yd6/XlY7UhWWvIAs4sAZJ6JitdYTg60L0tAZ6lOWJYhgUzaG5Jnr6XFXItO6sQBKh4 tM/kXSZfJ8cIR2P/4qgqlAkDaTdqqhBnvEKU1rxSziHZ5G+5/zsdzmUy6D/VKsU2p703Fjar0kJ9 D2BVQD85BhcGrTlkPRtEr+ZY/6g0I/9MFpSGJvWgzBhPBL+dRiEaSaZM/qAdm/3Z2i5yn8WPBJlQ XgN0WOdp1PlotWlZaiu8/Tu+BTnVvuXUYajvch+J+tnHweI13G4KfHhS5cofIQxSmqtLJ87uSJXK E2ZaJUB/4y5DQvcu2sCHV0ajD0Xm69SiPad4ea41lNhJo3Z5F9wDt0+w41U/hvTgDwDjQk124Nbm igUunRwno0XTki1YhIHXj7jjfcKIvYYXG7njcyTqm/eJwJ5nTaSebg5Beauvxp0gg5YUhAFlJItt au0f5p0oQrJGW+lp9isqVtBCCAQl42xAgw23Vhh7CRQVwy1mDH6YduWHQeaT5I3evxTSZrYQ0q2y H0k71840RbUl5DxNXBMTZ/Flvhu02V0ZLzaLJmIp6WRBHtVyDZK10TiybrgeO4Arhc3QPx8Lpj3Q YqU6ljuTn2e6kiewbCSzti2phQ3w2kSX/B1ERBlvg8rG565GbGvRlRmbzgj4iRtwxcPlrSf9gNFr 92uc0Nwq9DOMmprO5omOvacKelpkTg7otBjNY/BAiiEH71ldQFoWdhgEHXe93u0JZPEdYz2YA4hm iTTCqGS9SzC+A0PtH0RTIQFaqwDQX987Eluo6fl7Hwzvt2T1u+j9R6caGJNKYJuJB7irTh9Y9L5Y R5VZS0H+bz2GjSShfQDKKlVdlOyvsFsmRh7SYKC/E9fs+9VZYakATUf48nN5zJEPs2jwilD19rRW pVGY9Jzz4IGX31/756OtuoXekHH+Ri+qU3ME4Y8hyuECM9cHwJhUtELwEdlCtF8mTYVFjHeTicNn N2AnS80OKEEbafEcj8Yhrjf779wyT8rKj2QcLa6GOvEyVMo7Un0r3yJqaFJwwnY23Jh4h+r08hYB 9pn9CtyZ12k+eLL+TsF/DNzjRCeOJRmulSzFFNu++IpMjbeov6zzihEduKe8ce+zWq1HUXT8+Qlm 4gMA9824GWs2MWESwzVPrLUoPITG23w4Z5GOfN0OwYKh9Jow66NYxMixkoHYjIJXjaTEvtw7770A j2KZ5Ok5YrX/QnRkT/mqrNwQkvDGSXZOFG8csg99qtR//odacvWaEIdGUoTC/xIx0C86VdIoLWnB Pla7YUXinU5UEpdvtA8+umVbb4HaQMjkbJyB+WIKbtJeUZcpQ50I8bFzD0E88pM35AeFiVPCxcjH H/yipULKkgMaRqOpEto3kH6owASjdgHxRVvyJe144dK+vtf2r+v+o8/r8augD/SVcreIwqKOQJfX BTGZ3jASsJ9HSzoFjpTApPp324MW0xgikmeI/DchgJ2PR0IKKpj261El1n4vmKFrSSUWF/iR71Mf tc6CEiknBfsib0sr1j2pR/OI5ed8uSAfXUw7dcU5wCZAXa0Ne4bWr7t58XBp81YRUrwLCsuGoA3w 5dPj/sKgVT5UiWbuW8RtteLPO6Ws4H6k9pvv6IsZaR/Jq54uEbfNronyIG/lOaXKOipK7OaCYP0w UyWkwayWrw2VyHHvRl4qUVs65LgdWQOYjKJl6VjxUk3zdZ+R1Q4PFUJiImE5fvrn7kfg8rIuFMnb K2MlnIPlKA8OlLTd8MGzR+rxmW2gE1qfntMUzK1lcGn/4CMUfCvToq0Hrbv/w35CAXsCCvTn29/K hWKqWJVE5h9pus2nyt7KEOgCbGPKRsK60mAZsHL14oMTGKf0Mblx50DHmO5UBkzFWUklf7mHzvkd 61GDhftIGLb7ROkAYdFWyhPGeRP+SHJm0O3r+xHmyMFmBuqQZuKdpGZaNV1tkfjLDnu/gl1sqVBY PqMQzT9qYCSScLIASsvhjqKmMfi/VQNuPfbIAObrtPGTRQm/9qGbHegczy+jnx+IhUbfv07FtcWB 3ylZvZIqL70VUe+vK0ZOL6mA0bwMJSaAXymb4rbS+70IlYcoJb+mpbUxLxK8UCVUzAnVAP6fFQls DnWEm6pvfFyfRc89PJJvgXqh3JcFsFzAVULc5+/KkgopWsUDQ1UOwcLoHL0VeVJs1m90wHjI0wEI bUlXlqLwZc4qtmVNLXJpzo3u1BdKun6+7uc5M2ItUn9H2IOhZYNuj/AeNJErTt3KluXU+Ylrzhb5 yijeIP4yfz4Yk0zbIxbdihz3bFtaYrTnuYZXhYv3huprGQi05ewMSD8jpI4iOnk+m3FgniyJ89kp pRTauP2R65AoiX5RqeiAnP8dTeDaQaOcdmXwNAS9wwnb4OI4/LZr5fMR+4EKZfq0GSgxT2qguPle IKHVGzhBiV7Y/TOxdaz61Sd8ojD6eYeyRrWg5wKwQ57wUIEBv5779JSQdVBrNTZ3g67QhIgRT6n0 sjesdUGY3WEWUUNYA7r+NKJv7RoJp+RyuM/GJ6bRusx34QhfpHj3QuBLeDloJbpdyzKiDjst8ddh pRbNPSZ0+sLueYveO9dcgF8ES1tenRt9d2DSxYaPtNx6AinW7NM2KxmkOqZ3bxHIvIun7eSf2qWx rdrV6YmIlaRu9VIxnksR1Jjc0yhE4+5l/PpodBYYIHzM+dnynfBH8ph1S72Ecyuspkxikhx6Ovae NAHHYK50goHPFieQnPn5JCnO9nq/+KS8VW2SpYO9KgkIA8N3b+dJZJC35uDc5edI9YuD9KywJA8r izymB43SfQEmQpef1lPJI18ULDOk4VJ6p1T+o0x2+khv9X7e4p7NODeF6xASXfnVAJU4kyrzyfe/ hXROggc6Fs5YoZSlF64iaNw330mecWZHuhdhKFq7Vb+eRoch0XAbxQZvazd0HQ4oawEcdItrTyGw X6ed8NJKfNeHuv0WCnZzGBv8eUNO2B6hVXBZYW8q26WUJ+6GqSLJtgXt1veqUwmK71ASowVCpIym qsjGzEhdGvFfOLMDidmNLvkXAHTEJyjV99AtnzCIx1CcowckroOBO9MAZ4/rTiBbxmdPL3QwWVCO tV4snb4J0xZHn8xQL6iNBzbaWhhREJNOp65UtHQBM8cCk5ou6pZ07jxBf6DH2kQpCRLve6PVSUP1 91Ohl5TLWY2jY7O3wkWh65xO/5JaoiAspYAKx2qK4gVpndQEwWkwMw64qvKoGq3lIiz0UG/V9F38 tWU8RKQcd0nAQUIYQdd8qLUPRFr8k6Q88eyuvk6KQ4Jm7sVCc15B3VQBXgF0QoBODtAhKOzlf3ih RYWFN/eDxp+bGI3uM6MBBMx+dQmWp6lEKc5EoLH+xQQyr/VvgZfgLZRAltY0Iun2LvhF05VTDF3b dCJQNF6eGB/y+235Avnsp12eVXyC1lnDMkjvadGhqXTu579GmNpYlaTWc0TjfcPAPovXoal1wNDC MIDRxgpq2nncwv6sEYKd6MQ0mU3q/kgKwlOVjNWC78C2r9aejAF3kkAAosulN8brlNXA9WCTKm2e YBKoz7Ni8g+KKbzDcMpIXCsRHdgDePrjPKkYEca3okIpbZtHwMmil9IfivEauodyEwT7fs9cAtdr 0Fk8jnMPQNSqM14dANWHRZ5WM+go8oqCWYEUPxjD6vyjU6LyeWWVfkMdcI+akRAfuwF5LxqdyQ+B bLepNmY5H9b0K6UP+CdFfCfLZiPtLLelrL7m7w9fCeCdrSvcOJFcfkfb1zUC9auSWOwvtm9wTLT1 rEvxY+IJxq4g1agtlxW2B9dm5/rTTRk3baWNPwWvUCirP5e8/lOBxnB5UfjjOqK40XUu0j3Ugs8R Xzk8WZpF2H8/E23Hqt46luHBhiPdLtp6WjO0fc/stPxNOMmiDhSO5lpZ4CndZNl+4e98hHGgHvFo kjwZiFngF7w1mQNw0ttKrt0rW38YpoULS0Jx4wlDXbjP6jKuyT/OrPo9S2YHMdW7kVjwva5p75eX XZrKb8Q/b9X+1BqIKE1sJJ9ozzqzDxUNjocuX5dngNc2D2aCGAiuUYdU6ayAeDbrXHUJWxMKxjdv YoJhZ+GkU6wxu8GfBlu8FSsbs+9d4F6TS29ivRF+J2/x/eEyZH5ZPg44vJr39s4Kjbi/g/3Qoq7S 4Di9cTPBfV6RBObs9dn1UtJaWuwtmFJdGve/dJOOfHBtuqOxlxN36W4Qk5EghZVb6DVKIAoob6LY BaGKiDtyL01BASC2AvhDip8AdOxGL6W18NrZFhJ6PRs+l3DHu7x1n+mi2bQVdMrYPakUvrepxb7u cjJKqmYzFyoT7f4RYgNbG3CZJSidHrhMEnVAgOttVBKJqjot5xQV5AhsOw8InQyuL7KNlvbC/jap TUhCQbT/mCcFVR19cAdNhV2+mynZAOhxHa1YDT2SWL7c6hYW4IIANWj9aSoRhdQ8Maohb6dLJumJ 70/sW9WAMst9aOVVT6RVxhck89yPFPV0uke97RS67v0Wqu1p64FtmEDQIFepx2zMcGgfOSZviFiV daGYtumZRIyKqzDeU0BDzPkeHtvGqRuUnGvI91wpqQm3FQA3wUq7a0MbS8MvVsbvcSbDbGEMgTO2 l/h9JbCyys+UoDWwm6Lr1f3JxzOLrR1lzNZp352hFMtXVpOiCWSv2bO/1yZg0Yh0EpH0MV2UqKrt ln8s5UgvXLCmc87MsUaSRCw8qZUjGOlkOwyclkzTH3XqUYGvnPaAEz3kSKMwBP5eZaYTRKFI6mwt TsN4L/eK/HhmbQkczdoy6QAXCPmlQrTdgNQo+cdDLYhWerz2Ay2q75MapU/iaALaaXVJkN1yWNHU vheEiIZ0tIpwR7CW5vd8dnqVjasL/gC5Z0UNQ1shBlkXucY0nKQkNFVRmhh2nDovyzgfZyjn++jH JzYbnejvrHxZaFD8gvIPxUZTo1HCszlR2frkyPaVwInJ9rwCKpJBrks//lLd0Ke7Upc4ltnwHwZt tdmmscR2cA3xMUezOklu15f7ITnh6NO2oHjI8jHOSKvyVxPKcG198kk+wLFGeZV7QyseTPwOclAj thlijvSCbk6/cXN9Ul4QgYfHXqC5cVgsscd51EzFWoR8uQWiVbr7nHzVILZK19aqgi2tlrsr2KQZ O/vNJ8l93roy5gyJsiDTLByUeF0CQb6uZIMweseWjr0ko8/L3ydyf5aKr+qihzGp7uNp39OQjw+9 +HrkdhDaedi0k6yoK6+m8s/KsN3AP0WFcjvZ4eWJgWHjqU7aA0dm6bDMJAUOwc4Muu9TtSvbcJLO EsnmyzNdwrl75QfCLn0WYlfaVIhUSwCgN8yQFGOi3HUqpUEB1+yvSmfed9mpHZrnV8nq9kJgAAqm EkjQ8VDsMZI++1O0KBP1Ad7v+hzCrRL/GhxAhOmnBzXvYRhmrVSNmUDefzdhInQxn2PjUvWWzyKp EUZEUOEPHNlRkfFcpf+A7wXoX32l9+tGhNTfLPaBLOb4s2djLkJqzpOXRDNXdmfSj2flHOeTJTyR vVJr6o3+KkRquUGmjOjBtz43KIeFVk5tpUdoE3c8C91v5yILoIXsqZYfCN0sVDUrtFts0cM5P3PR NU0Kki3NWDLJXui34I9r0jZ/Tgjkb9bdlZTwRUXN0OjKs1Lycu4bqPl3eccG6fN9/Pj7VbocFyYG R0fq3MDCbAlRE9Qu5iK9FwWgwQKYxZ5QewjH/ssh2m3fSH4x5YOl1J9AMXi6qDlpqO1K4jFEnlfo pv8c4ylS2KZtEBegI8t4uX4qwiJV6aVkPK07Zr1qzDTwNqpFryP0g5akIo4IZmS078xjiJvsP4xh XmY5JWvg93OrKcjC3JRCR0TnA35xAoiD03db7guau8VYpusFmyJnIoH6Fb2c+hd5T8wTfELHUFKs dwOx9EJ1OUyFq81FbSSLhd7lz3fmAQ+PxbxyNoyXIcDpiepJgndFUrV6xvFI/EG06qkXJVxTTGo0 J7BoZiTnoNVjLG/7MVl8g2Akpot8oB4E6yoK3fNzawnuiZvzQcgS7HwpfBP4HHuNh2V/d0nvRRsZ gggY1fjqmY5VIIj5OHUiDZqwEdpJX7wLoY83XBgBNA4bCgAmYg4j7GkHz+LQ2O/pTUSr25jFYgus HzfhqBhALJHVHAP+UPYooT+I9iJlCYAp5w8b7Ob5TZJUk7fYCJwotwckplXVz6RtUnpQ2kMEUQgg UYwn03Aul+KvGSHxqW4OeZs3e+3BQi0CvDiDG7oo2YtGrfBl8tbvQmGu/3MEK7KZEQ7UOvxym64V rfbSTR3Sxh2dNzFjXUVZWeeNA6NbPufz4SuJUTMldxbBbFefDKZAF9xaHbT0MFA2dWsMZFRVA9yH JginiEbXiNfYsUPwc/j3acyPvWSCUZ4K4IrcwLb92BGo9MxTgBw+4G+Gk785m5pPo63byW4QgZqa +H69j/NS1ok+YBjiTWtMVRnixphgcXxwDVGOfQLCt3+MyjilU0nVqNCdrZMD+HlV9IKB9/F0oybC KXRVA4ka5Qy+B2e8hKsxddKY1cATkwwoi5Zp42gkcDMj5v4lBpcyqS7XksRyvmy/jzu4ALM5GaCN lRNW5JPR3dALI/pGmydoEzmQ9uMAXQgYaAqSYKssgKQyQQpsMu73a1fkUAKSiPK+vhUbHga5FrR+ nOx6bvu/Dumc1pTbayrYCdJQ6NYgeERa7S+hLrRqsjNaKOOMFBm1Tnq2BZffKMq0Q0+4oBn4+0xw SitSJYC0EbEKyxHn6LAyjvSYnR/c8xYzHh3FsdbzWNLPp7pIT0F5kEpRhv8p3JWIHAGyWt6lJade lfwDxe1VlHjKCiVZthyN12zRLRuFNrvJYGbjylAOKEVS2eNd/99vUjH1bHW52byZqKekGYKqJuaR XtE6W3kHYukbljJYFYQ/dyig0a/+EaxS2FT+ELyPtNJe8ME8zQrTgrowa431BiFYqFGH6AVjpn2i mL7ZGgG/URns0LAiiPDXXVfEulfWVOaxbhv+F+F4lPw93ICGqz8GRW/VUgDzUzRS49wr5YCI1Amd odjmQ4mwt+jL3IIrtsuFolpnAdbF/LdbW/5zcbMv/cQksWGJCrHOQUVSeuxY/bf3aNKY5NDMGHiZ tpdFS0QD5NYXzOx5cQ1iG122yP1AFSYLtQOilvUnUMd5J8D3GLbMQjC9oyygMMcgSrai1V7mzC7K CPUMB6Nf+xhNFsQPaZKZ9Px1lI/gMbPR9GF1VSq60y+M8j+4HASunYdCCJmOVej3+HrtA9wwkvjf D/cSVKvJm/6bnl9d77vu/kvhgSFReH7I4OAyYFCEsme009zolKgz6LjDyJAQnszBMed4u22nFhXc gect/ASxTmaZTMNIblc2QTzEUnepPlcf8SuTloctVsG9v8hZ5oSYp+CzLEjq9HBYsuMuR2wP0Pi+ pA6YbSDlabzM5TnNAFMsiC9+qUGZ1b2+sA0wvo/ZsJNo2gY1Qo4ezn+1wEijz/EZp/5w+mB8T8J2 TJ4EGCWAQIQ0S5HpBpL4rbJ0B0/wAmvcQEytHL3KBBcWXSTqmcMALEW4kqzoRo+HqfSWzDbxG7uA rapE7JKNSUo9OVrdRI7gTaM6S7v4bMYYLt4BbbMWJmAv13/xcPferbU5FRjR2h+pWWY3vr+keIhK eL90gHW99jLevjJ65a/WCU/arl1a0gqwEr4s2raYKWpuFkZpjWxtbTXhXWLKPAjXi+DG7IFiKlat TZFpP873CGYkuIX/Mh10XKr+He7PR3WRDvSDUGuJaR8trZ3HHWzEOc08ig2QGSKBvvGujjY2NdK6 XrSaC/PMiAI/q2ULQNC9IkX/LplfsAAC8lLf5fpLSJ0dbnODCZVEk8wHzPLdmfyhSmRkbkpDDDhi 8m36r2Gmxw+UttDPLoPaDv0s2z97JnIrWzLJn3b4IpbHFVGVjE90/MMBe9hxUYLyX5wptBLAxX8A 2LKnSWWLkQs0I6fe4JGQUkS4nMDUEvJKVrnpggtjNfrfVQJODjB+CLQHlBYAaO4aqeemthVQZppZ wKJE1mi2CaXAjViSiKqc2+clS5Pvo4yZU4Ml2OQcmAJJ5qqklKduYdzkX6YG2cwGNn4ihLKdeLmy ynh1gn2Mm61YWOau3VDzKo0UjBEuUwqBUkEAiIyFnhCTITgizjwgn6xDRO9/DHrcoRsEfv8e99O2 1DQrXf1/GXHRaA/Px9ufTqNwk6YsUXEEgrBxDwauqeDd3gYJlppkUd0IIj2eWiQrvUTZpeviJm/j qgbU637JWta1n5yow2UOy6UATBnwFpZDxI0Ko/L8VctjDX+w3NqqScrVTpFUSZ86QJZWPSy9o+91 5laZEWsOe6S8b2OPmZuKWlqE/HeXF5JzWpb1x4kUJZtKkZg36o7I2Vp7SkrNZNv9HIsKaq1OTXbr zEcrm/TKj+RC01CPpJaHns9l20Dqy0U9Lz+X8IEo8ywoXZgS7drY6vHd90hqYXYyWHkTT3jrgyXI 9dMsQOXsAGpUYjY/3mITPxp6/vx/H2modtj81CoeMCHfb9sPsnrsJMLlN+W+cdnAU1OHycgN2R8K UZCytrnGBuNTry8GIhtKq2fdjcbGRWv81fK6GmVhRzGYbu22cD5GcQCf8ML+CI4hasPyZ9zh09LD wtoWSccs+PblTlIOY7yDBuQYNT4HpoAJY2v4JTxgIVZmDXwaCI3obEA9HNBFvG6Un2zTp3FbjkZp ckbmvHB+JhhC+pQnPWIcikbg4Kb7yT0N6ew3E1AQ0lxw8xVxi0fy3k1yxf4qPmM0IZS+nasJUC+h mGo05jtptP+MktPysAGP3z2dPpIx9ZHGp8sQ6GWOA6hEQGm8E6ziUzB+LSDyxbUiamMxEPMPA0tE TxcS/mt9h3K0ud4m13045JZyvuVum4Jc2g3Zj/tclYOWF7wbsq45m3TRDi2JHgkHlWvalo/mvuVd N54w/8uevo9QZwxPAByDaNDzC5HnVXnAzusS3RXTVT9dZ8zSm1EVuAvcNTWMlQO54IpoLoXoewF6 5YvicMAIRd2Zn4in/TUe81odDZh4tUpuJzfhpsgrwjaj19/KHso6gOTxOJOn8iJyZzY4CNlzstn9 23KXTfFfEBq1WtobG1n7Td/jik63oFkbAqbpVFp4mzKxqUFhcsi3XtpvRT1ztTPLuwqSvYyc65RZ UMSq7AtA0IbFu20lwNXmRRtWilM+Fxv/T0fJK+m0jMpdNWCxqxc9eV/6MPNxdauyWw9ZHy5G9cnp KrWoNq2pk4nuKm6btXdChJmOQyScOxea8AiPgEgFZVDjjsVztaWf0BWhakhrcWLIXwAjFFtkHEBM W6jcdd3S3HaIo1AFAGv82lP1BwFwUjwTW/IUZz3vfNJmbFIDQllus3Gb2IjB5DUGq4RfHMJskAw3 TKXp84WmAa/Qzjvqe4+X+TF7+UV4YXttu1lA78su40gt2EZcPohwXEyJ9S0MK4hqHsUaeSszGC/0 erhTgTvTUBEsh+3qHW5AxNZl15cUyDRxiRQhl/0GbadjA9/S5tWXeVXKU/C8DjyMNxvX1r9Vrx6L Fs0+HwLOuU7JmZ0iUyz5a3d6HFnwVUkEl3Isp8YwFS/v/r8swGkpbG3YC33eZG93FZPE/asyj2j3 CVqDzO7z4cIqYLY0TgsvNtVWEUmBinAMPZU01hlKozWll1oRyD3xp0Vw++AzM3LvpOfAqGQXieoa Vh0K1FDhyuJ0WpuAVopR4y6Dji1QPW1OF/9+0htgViwnVHRgHlxMjfmfbKui5aqxKp4DfSS2MT4v C5hHy6cPI3QRiFL8+Zpp+0w7wfXbAN2AAgU65NUHV818GtC7TQYbW9TUxPyb2zvzxj/JhjFmjHbT 3j25OZs/eibWZZSMoC3Yz/572yNnlHhDGKqgnSk47mT/ISaZ6r78obmw6dEy488qj7zjL2kWRDZn oK2RAZKUlvcTy9wN3+eSCyKnpeumKQ23+LVYtxs9JyqSjrfn3Zax5rEc/h65EcppJCc3g5dVwe9i YoWBdWLK8SWC6q3fG3eWA1WcDx/u2zByJGOR6jK8VXv350Q6D4RRsVBR3yfChKAb3X2b/DyspsBf P0+iIRHY5MlDDQBT36YHqjEPrEUnAP1PXf7xbHW60L/QZpxOlI+O7sInzW9CaQCgy1v8OTJDr+7a pKePVFt5AEqCgf99S+WcMAf6D1kKRYuPS82B2rvGJ3YAWo72U1AdJbpZqeXiHKWOtAb4SeE34jzf VKAdGxH51wovNGH6yVTvUOBZ1tklg2vVeaqpeKaZN7S1AlkiSaKFRy6d+3ZJrsaSAzbJLV6F6NHE Izy6Ph7kF+6wHiw2AAveu8BriSWomdVfyhCNuUkIZDNW8sdlx7E3+kHHhpD8N5uDfavPahg0Pg++ PthCM7qemojFr+n7nlr21QASf3un1/GzfzpY4SG2PXXUnH39VlzLFFXxHDwBBwH0C7CkRfpFFGOx oiRP09hBOGD9qOORbqQv27KWeKnhMPntt+yuX6RagW+Ova9y2p72yfa47iC02k9at15GHF4uFoJE iPAgUQMnzjYHt6VVpGaf4utYAK/Znz1HicKEHOgyLJ58d4SJPdgN3QA1J21v7o2C3EU5N8LXL1Ri 8Q8ikenHVhnoQVHwX3tiX0xXa8d2P7ufJoASzUUNfYuX4a1ebeL9O1Dqy1usH56gUIlehuADPyad EVkaTURJyAuxjks4fDFrBYl80Lvzxohvs9O6TPty9ibPvPwYwAfhJFeCpWUSOScxGz2bSS54YezH K3K8UKbrF7k+nP6OeGudXFBXZm8Cz1oIbswsZNgqxei1/Ac8aB+f+ZdRNf6XgokmkT+xvcUNQoSb mcRklN28oIrmxvaluExk5Q9Qr7drbaJAOWgn4SyKFIB7qfewTP6VP90lfnqysmxuD7vNTB10svOt 3nKFpJY2ShIedD0/ogU3zG10elEup93teG826D7wtYtNc3Xk3pLN3KziJOVQVocMApESz/GeBd0E 6sEMuQhxrplR+bofZhG6soO4KZzOEmqzggjgfVF/Ldaa5Aywrkh2I81rgo6H8I621hCcdgiNphs9 jOuTyUv0W8ZGh1WdW3vj3WdR61Ez3DGJlcBJRHIvngvLqtxhpWR97Ay84sO6r0vtY8zijmDduZzM lxDvtvMqlCNjSFcFDki7nsWYV0PLSreS9l70NkkNGKKV2MnTRGMdGsJtWPYNb11iksnTa9imSUi/ DRqTcKgV42b8DuQNC4Dq/lsaj24u8DOPy8hDp05tBANxkEDGXdemP2ogit2X4TySEh6G5a0mev96 TNnrPSasyhzKSOpWb6XsBfm7eFh2mtcKlTv9SAoKBRXGL407zFLIW9ONQFA98E+M2YhJ10Dk92J9 2JZiLKzWt9MdJq3ttoxvnmEYML5DMVn8C2UVpYFtl9s+QVSEdDbQ4fA8ux/AWnim8mShcSIxT+qP Fqa+yqSx4Dj8bUS/e9L0mwAqob7T0XWR+QKwWKXz7IaEB73ej2pOXuWYWrxurdKbp8xLEFdaf9XJ EkPm1tc9wYiDDTEff87YbkQTE3k48fBK1l/rZpHEA94NpN/ilsZukTbXOi4OFCn0pUYPRJIag/zy 8fPgz1DdlBjP0jPVJDe05LFTPLNXDQfcoF3kQjrU8e4rKQK2TVLjtfuUvlsDnOjYYYzeX5MLSUqt M/9qEYSySIZwsDHdPtrjR6AD3csxJ/dyz8RZ6lDRJQU1EvM8wfrCISkj6P7kXLid7Q1sKqNMkfzd Vi8CxYilh8JUfdhCKZvPwYSOQfKi1dXTMLvg405JK7DI59sc602h5ujl1cvPTBUSpNyRv27QNUTQ k25uy99HnFayWS0aK/Iiw/Vannzk+NKvoD8cMm3hy5timPzqmEaJ4S3ezwDdYl+ggWbtEl6r69aP 6EiCRl5NnmyHXDt7l9948EyFFYQU2bSPWF4Tots5j5NpMCQ86zzPXyjegmLhPdlQfyWOei1gFhwx pb+K29skFerDXXq4ekpEgvyyIdm2X5Rf+M7qsLXz1ZaYDAKd7z2A2C2w/kDGz8UB1Zlv/WA7IRxk OON/SxjJUePy8tVLDqiC29PbZy0CYFzFfAoJUqAiBgdbcoksT7eRWp/30tVUZmChzR043eyrt+Nx dG6L9GFWvtnRhMctjhlw6RPoqFSpjPuqn81BU7vXGIbdOBXS6qhzSR4m7voUJXrYNFVMy4kox04f fRxXRcdFVVf5PREIIAKWcRu8DC8ewu2/vB5c8HwXWc3L8sJtWSNr3aVVugadVsl1ZIdt5UfC2lfx ooSwqlq6MmevQtHk/7phCE1Izz6szdBWQ4xXADyXwjsByeOLe0BVE+iQCU43T2lg13WrdFAMlfie 6qJKdyjLbwzR424P0P1q+9BjOTQF9K9+ys6FyP6+ifS85MOmY1N1UK651/GSz7yx51LjGMkvVXrX yf2gRWFf++zXpN2ohADQba9JlEhEpEVf8mOCU55c17L6zJlSx8E2YVw8FmBYiqv/8/LqDAVAb1qv KT+UR2v1madcHSJ1N1+NFbneVUzw+SMsd3L4R710vOX1eOZ+qiUCySJ3wCpVbUsp4Qk4xcYNsZ1n rwVBX97YEIgftg0UeSUfOCAvxYV8jCITacZ9WJ5xuWkV1tRLH4PPGlGXSsiJbKMf0PlCGWO/XY81 lHDwyo18/dn6yBd5jDpOFOXOqBovNtBGSXk8xIBxbAAaBnP+G+huUHlrLnuNihdcv1snSjc/9QhB 0x3piv1M9J+hxwY1AACOF3trMPEsBNlwKZVweUTwp14MTTkLNiTNaZlHvcucFwa54koHac8KtOPz S7B46tT6kGi5AoSG1NM/Yuy2j6dVpnoQQnGSGr8SNUuAyu5QrQJj/u0l1ITeswG3eo5wi+XerMsY ku+VlqpXTQPQ8XH7utWsDLwVfiRjDnqDhMAOlioiT87SUsWQ3earxi3HV4tTE8HR8nRgd47Adgcg E/SkQwyXSopEoMqqNJm5EfbZ5CVVBmhN7Kl1nAtc41yU8VUnGe1VGNqtA41bicv1ptWhloMQnek/ Np1dBhipOXhOuZ0XAv26mdRc94zyCqkiQD3B7A6XKmRmiUdtdgA0c9VC8sulh5H8CNohRcvF53l+ Wz/o8yplk6pil9Q/azVUD/R+aDZA6tgCs4lyCGidJecliV/EJULa2mQvx80eXbRoHon4uw7pwknZ oW2p8KmmAhMPFZ+1ldGk/OMYTbPVj48/xeBGQUDyY4rzaOJ+y0tTGNcfNiW8N6toLgPdyHnU30AM I9kFjZC0hSsHRkBM53NpinvJpregX6BxzTCmagQkfaC7ok6mvf/CwBAXuRMB8X/t4HOxt0tU0uve nHnIxulVF7n99pDwAk/tKeCcfYUaIc4lLxbYyJWX3iGcSIJrF1QlWv/b+G1jlG/uZTTOIfoGLlaD Cb608RQnwOSq5Yd5CIjE1UKWzz3ZRSsGHJlUJZ50GrEtVL+yMhkZ6U1ODg8xwXy0gURpdoA4oYjk /2Kclez7qKe3tP5tN9K2CxcQlUlCZnYu7ecE4aofUzhZ4P91ron7SJAdIGpYRBOwI4AoDPIsMujr /4v94pfQXTvQRel298xPOM9ZAJUiBAz+koqfZ6FmJHzt8ox4qdF6s1Sj57sygzDcbmLT3yjqPU7l dZI9fA1mhdiF2lwoXD450m3jOOLXe7zhK/XJseyopgTA0IY8IA/h46n0ANc1kd4NuTPFfyiyZATm veLCu6biCjEVThY6C+Y1UJJlH9vTzlir90pUdKGQrgt+YpBxBZKWtm38voxj/EuzTlJjwu7+nx2z fnV3SeyX75OmpqHE0o72qsqH/XB6kKxQiMpA6m+ofDgMlTjFxmnAFi2F/HURUb0ILmKrbgoSkgcp SK4atCXTVDoy6kU+oLh+Jl+gWRTJPSUvah5hIsP19poZd+btZqKCCViNujyMlRKi02qj0RAFRGU5 Yua2Wc3JoM1V8ecdYglhdvFDrkRmD4bCcPCIKDpZdnzhigISKVrVPMwcI/eRYLSU8SzMdkeWVsPk xcvtAjvN/MXWBctaaHOGJUG0wnAlklBQ/5mEN5uFuL2fgVJjwPhPclNxa2pdf+dpiTcQKruZDhCF m3UJkXXzazew4bb9S1sp3YHAgQCD5P3RbtZOnP4gpmpS91qKKkGNTafw5ka/5F5eFrkMx6NU1YSC 50lKh3uY8mEKyvja9BhTP25y0pNXU7gH2eL1ABO0dzN/QCYlg02f60MVDWEwv7wckalyti9qFHF1 oY12fEGUcPo+tl9JLFyA+2cEqs0gHGJHcP10MKul2ZQTJ0jw27zzbgf4BT8BPEaxPbh7NLh4Lvfc DE0BRqDeDgHPammDxxd5kxPixvipvpN+xi/5BzcCxD2XexVdPV7MUkk/+TgG8ikw613hJ85lnI2s LrRRO79gg8wUKjpYjhUkwP/UtrbdvUEcudWkTqDpyu3xxxc91Bype5W3xTSLdXBnTnwkokFEZMW+ qSJdDWocNx5VE6YHin1npmS+ZXjeIV7NXb8mie9Ksu6vUrPFti/vSaTz7PHQLM7AnYSkvpKw6qhK fWO6j/uBCeUoIIRe/wZCN9SjapPgeRI1wV00J9g324vTZVzF9SOOn9J7m9Ai9H7DISNkl6rDspRi pdFNVboR89H3lVnpf0ujAJ/8/GTjAP5TSq0RUaqGHyVRDcJW0nCjrr6bgx3Ds9lalY0NfGU9BArC P6sTBu5J/n/2qoCTdbrNuMDTrDGdJkvWT/FYG4Fk0jc8/HmeMPl2hxJVpZbhc1nc7LsZhPrI9KAY JdDfv7qI1HK8689rY4XwU5VhLZ+wT/fpjtJZYJp2Ng9gVAjVeYByNyE7insPUWjU2fmk6toC7auW lJORO55HKJePzaxM291S7UoGih3mGD6iIsIOCAOWhph9yKYxZmc5eDwCF9BFus/PW0om9vv+J39J ep8F1ClFqc3MTp3mLtYrgVsbw7yhdPUR7Ba8xoPJohgNGQ7mp29aPYara1nM2V5dzrdbhPfkQMiX jXNW7QzxxQmvj5Axtk7RLGGZO2IPfA3I8f90uXMtQamTvehTovmUzE/yyF84BSo1Ly0nld+ehedb RYhyhu6koNY2am1wlH66Rfbg/La1klipVPEbqnAs1fuQS6dz014sqsqaHFIf0mWRvmwt3lqcFR1D +/mm5VaCKpMi2dwDQ0dD7ihtgHQ/BKy+HiHzH0rekDPq35zVNdwiqxWKhiVU0408L9qU0yVNz7mn WlGQAfCXQJx6ZFd/7+BCTm8xLtgnW8FTaTIFtZ/aRWqe/VbpsHGXO4bqRA2Tgk1/rR9ttQnJwWg4 eMFgZ7/RaVjXuj9vPQUhHch7mkwbD168BcrIFcYEkTjfN6r7kQZol4Z3ZECFiES3b1ggfXR4E0Xo 87sVkaUWySk3vebvWvddcTzhISW0hDRKtGYAy1qjBxddLT0cI3JkxB3pFFhYeteegc0Cu2CNBhpo AHl9dknDJemCHAQd6/cE3x1p++EfFY11CB4M/KTrdDV4JSjdsoLtpx5hsDbag3ve7D57K4glRe+k XYkHQsLiEYICnsTSk8fBW9MN7zHwLcHodxW5Vow6AJvfM3njJbEXrRdiy9xQk8Jgt3v3wTYBNkDU cr2h5ktNF1VsUjN5EkiJhQaRnh+NXmxAsBEZFQ/64FvRuFXBQqR7yvJ5qFDkWrZWksek5NjMJYkX 3tGaMkXbo3MRBVVPmF9cOMvE4wiyeDRNmS5NFANJ64TUWxIdr7HSsgcFiVuWmtvKBDaaHODPq0tn BpPz+y7zlfsJShOagjoSDRgykxyaW/x3FDBwkv7B0WEuW+6XwPJjRqW2iNXwLU24yogBzPu1Sxu2 u2zQO95Bd60/TeRFsZEEj3ohTEm6q9tIpu3TweTi5DziP6I3dbjzqUvRCQ1dyo/Mh8KXIZ+5sd9r ekCM9fGAAq9CamaRxGntxDf+qYT/p5TiRclv/EeAJ66hnPDihQ6u0zdKA6SV5QEvmzY+XdgjDi49 VqOx9FLuL/cPkd25mnQsOWXy2Uzt47TVoXBlAaw5A5VnJCayfKVGR8i1HyGxH2RAOxnWFRKmQaUE zS5GxzkkgMwyEOTAMki+/9f484FRCc5YQLU0jD7pq0PQuDNMZLqDHg5y7Jci+SZFnS14rdJu9GYy yyLfVfWZGetPjZ7HY2OVOIWo7SDT+4Y1/BBRqJB05sdJWozbPPZGVVnEi1fM/IEjuQTKqyBVPgrr tUCLo/DcQaC/wY/z7Un3DblnoW6SHP7iUtAdxPjXgf9SSXu7NHKXpyg9hwmAQT+nOqCF3anRqjpP YCfKjX11UJd1rgrW+MVVy7GB7E6fMp2BEIj52EOjFigWsZ08cV9cQsqpx4mob8+AzSLootQrxxDi 52y2u145qCn+xCCyKaucOOKn+v9YBARC84i6nRowi5DRmF+9fo2KUCJpgcOm6B4+hjRGHDQyfi6O ncNVuqMQRO71JP+DPUYXvP/Nspi0TfYEn94QjhhhkGj4z+MWllSLbhMC2SYX2RTVZKYUZIWLunZg xQPlHJ69qgWCCRD1Jw3A8ApXHEKBg8V+NQX5CWuLtnvAw4iqwHEMORBk2cORkxksWRqa1qnlFX+c kiSJL8eTEje/FDLYpFjzoFI2Ao+pa8zsShazg0mlW1oSMA01DyyuZZyGYkZQTLpfLNrKgyswznbA 33+ol9MKlOmB063u07FELG2vYaZfucU8WJKqUjzZtF3mpXL2/je6Ag1LO1Scn9wKUOYq5TLSdNmd kmHiuZPfRvPeuNY1xsaSl3ljIoN6x1S4UW3gIs1W2e+d5ZDtKWMQCY7HfU+fax/s25vPrJmzAdEz E+gR3b2QnSrB46pG1pkeme2stvNJnE8qK25118pj7FE1mu90ztsDAIyMMnU2FqbmOZFYdGIUc/86 mKBWRusQhjSxq59idzzB66yr6uQfJcmGZ7LBNLLCmFM3Gt3CIi5bcltG6u4qYhw/eV+f4p/Fo4HX 4YvOkYv6LZAViX7HBb6tJpoRYN2xfkC+MpxsKhi5jlrfjckTUMnDRlka5n1ne9TdzbRgZ5U0o+0/ bLPIkFaGQhOM9nwpxxR4HYKIqr2Q3R05dIaZxfIousDj/xwli49zJsyXQmSHfQyYb2f36GKUcQ3x Goq2Z2XlKxrFoPpCNhdmlexJmMh3OwRnQrtsWPH7NodfUF9gHRUoNvqzt7dYTwU9KKS5iKcHxXWd 4t7b69XRqVJHKT+clCMDBDJ31pr7CnpuZG6AiyCU8fUoqltZhxFNcYT4WgxZo3AeLgKHybe8oW8Y cOZzXO35JCg28uRe1wJ6tMb4mpSg2G+b4R4+UUuu/1qgXDX1+KP0JVaqEfUyELBtWDmk+MRSXhHq Fa7XgX4dgF4QhTT5de+sRX9KhPRBdT0sbqlV1l47ZgXqWsUO+WE3CNjtX1MAvVaBrabDnoRcRjnE 2cLg64kke9ay5bkP2l0eZvOfAdSpuY2u+dxCifJE1j3ECZIYYn6hHC21ZRbr7jqvGf0CVvLlfJHY K+L4YliNr4TKu58vnZitpSgnE7bcCNghvCNmHeZs2kjOFhdbTpvz6uTNvJ4tLTWcFtIdlu4DokiO PPYZ9bz1jYhcg2lShpZbdzI+yh547jlmsfkulzl0MQuSknZpWaO1fd9ilktdFUk8hWrbzq1dvhvn G2DfHscfPfLzIR2Mz8Frr+hIEucJmSe6/A4xIWEEN6kA8O7q4muh0HyojnfFV7obJgThGV3Ax4rS wLIMUprK6pMKuIrTlOL9sWouE1iBgSC6x0Vl1SWZ2xhqxFAsflXSm8DY7OohBSZ8KUYODA/019jZ paLqaQSYwB0PyGosVq39rpvs5hM0zU1DnvmkUWZ7CpDwNknUWsXA8uhYd8OaJYU/YqnCiZEcoM+9 DeJp3XAQMXkQ9tg4WyzY9qCX30OmVOlvIVZ1UnQD1x0jV960lLHMzMzvQ8wJumnX5Glf8eBPdbRJ /TILAYD0simZhjzGo6ia/y72t5hdu2SEjh32f1se9z+3CTREIeBjpKBI3d4GPHGja/kYmwP0+at1 JUPKxGj7+XDABIU8SNUKYIMTti8w9enRDfSCVOzrpyrk37vFo6rd6rUCqL5z1LSGVziXN4L1rwn6 cfi2JZ2//iRIkDQHjK4iAFu2YePMIzQo2+TH4PZpe59ySP7dz8FsEPYyzVUftuDQschBAVPdUL82 Kklfs8uHLYGJt9mdJ2vlM0T6JytqSAiGSkajaEJNDLN1mdmmQJFA+Ktc5V5xoOr2j3EQeeMWEBQC uEId/pU1eMuCg2TaC4RUsfkR1PEicjmeMag6CMoJBAwXXDC7X4c4zx0ddUA5OHdh30y/Q6gi+g+M d8uyPBFQvwiE20GeuM+AfgckrfykPbNJfr1yOXQ2lfpCwXCPFsL9CpbSmqh4KmMPMtRBmvK8yB5x P50r6ErKljT3s4PXRBxUF9DWufsarJq/SJ9I6Da2JkZuUKytDu3xASatSXtIKuEFoltwv2idRJ76 MG1f484sr4QF2JEWvmX7/qpOIAKXglYeZU58QCN4d4H7Z0xsIG2KhYBbP2W+BwIjvgW/O0m0V0xQ KIr7j8F/IeFfCvC7XVUy25ttzTAqdBnvwae5vcPgKeDc+dgSI3Q/CVnqbWieOtUJiCMKrMfjWI1y gNUN9z8sO2HBCcFTAqNhJ5oVcydvUZa47tyIAgbv9hWJQhm1UfrcEHKFL2V6qLwc7dUcA6KPrME6 G2m7V8A3j9tDJjxZQOwkbN5Th1wpMxppjSc9p0cEv0FDKzlVEYxHgvA7ZwdVJW0/i1oudrD6oGdL Kv0Qa2cCYb76Eyl7gyDB8aDGTYEr4EExQyiTgTXqrCFA/haq+dFxQKDLexeiRnrg90HFWDQPoRKe Iknvvioy81k90klBtmo0Va+mY0S87enB6lIi+/3NBotIUt8S85VX9G0bKGbWJ25E3vgrGtmN7wc0 xlNWVK5Swt59i9XpHvs1/3wE5yCUzJ61bCpi9OwRLN3xAF1fGs5+WNbo89/KJ9rwPTaYhsMmYisM pE0BoA0PK5qiolTemwKSTgv8LiUjEF282+fZe9jd458eWLMNFaPvKpaI+r4RsU2YIi1934BT188q R4/rHVTm7x/41BiQ8XtCoBum2aBV7NnjxCkCBSOVjpTxGxOlqz0gTLWacvihOgFv+WpDAbSflFdD /qHOX6KIkDop1tYswPuLgxSDFCvl+TNG/vleJV+ngF4Z1JlcSl+htc35X5Kcil+In26SMhhLPBxY bvRRSll2cEKvkculxHLZTi+FSWECoR7WyM7xfbEHQyACD8V87RKHRedrKsnP7bhAWhCIN0SVQuXb +HIlcxgjAVtVwxxyXy9PhycMDC2yPA0DJO9GtIxC/0pGS/G09YKpkGz14Q5iVaSbOAyqk6rcPZ1c JpCHQICaNjwrdfLnVDQ0mjr1+dlIvAEfBVB0jsMtTdIf+rjvdlQiVZ/EV7mFpccQe7Mn9I+Aiv9U jK4MYlnkSjaQG2T6auNcNJRBlR8OLeHXP2N/m03CWUyBE1D+J5/GVDTkTPsn67QbL0jZJuHInX2c hmqTTvRnpzbuwkI7zx4abmUrFgER3fvai9YzNoWNSk4V2L7MU6r83b4GwwlLK7+D65AXnGMcs5ca Cr1MTOcpwPhkbfbjaeBYaEttbSQxu/IPcn8DAl6KPpSJgWgaj1T/vJndKEdDVbDrpK7yD7RGu8YP eXWTcNUpKp/khDNJOpF8DbgLOpiEmhNnjzzc3BeNK/0i03r5qyOV3YmdsfdNoof+BXCqShrMKk5l Jq3Mh8j+qdTs1xctC5/NvRp1v6SKQNsQDTMFPUNm5FgUUDzT/Uw3P9fzAw+uP6WjJXCUznF18gMm Aic7mXP668m/+mg4Vpw8z3RC9NYTqAVvmFP6atZ/1Y1s/CpK7qwUM+zQFla4kVTgPVpPpiBym/pQ fuVw9t+nYhc2jIt7cYA6PyrmjaSq5JQqBPxOAAvNV/6wMryjEeUKqjGDpt0Yxdbi8fGBrNNEHFjl AFGATjp9g1ORdPyPacrcgPYhjbSEYkrs/puAJRbxDwfiJtixSFYWBVuFK2gWXdvdZV+l3P/iMlRQ 4rKqwB0KeJeCzerAwXzBbeBiWq9NmViLbuRSi/jJ3//eiBmbXyoINz3uPlQ1kUhLM72JwBxZnKER D+/I1SzgOQmzmyB5v/YLIcuI6Y4sFDDGC7tuW2sfnpKctJa0Fi/KOF5ZCFz1WGRjprskGmteY9QT z/QPI5pqTxCS3lAQg5cKk3FmB8aqK3nK5dSWpMkKWtYZbw3jeSGK1qDvy2jVfAROJPI91MuNMCdo SpBaWcMnacQlY8l+0oGLX7qrwV+sh8NSAeXcpzlTQwn64GR9CdEdrfzjgOeILKei0l9mUEIOTTkH WV8f+ER2qqqQfTwrS29A0GrSmC+d+qS4UlQi3eWOOU4i+s7KRAkhuJwABqyPykLzw5DU6YRVOygx 2zMiKvfDzo9+eD+MBk8dtkpTw8/sXXzt7ygQN9HTvfYDfmFNAQzxJJRV7KvASsdDbNtWCXOQdSnJ bkwqhuciryprnbc8D3knaILakLFvTDAb6o3jL0tq9o2hwExxdLGaCP+s88J70We9hYW8TuNf212V Ce4og4adpqq5CoZuWrTeKYFYtLE8GJIwowbzkTMzT8GD9cu6EIOaRlAWISJ4I5g8Cv7O1eAiN8ua P7GMoYCXJt4/5adVZ1vGwaAclWgO8Lr98B1nQ37jPWJbDMG194rLdANoyyqNDpLwDMap6qFkNc4J fqsyBppy6msyB09idBkZHMiUDkVvhjaEQBTeuU81MPehjS4GChQ2LafCHKSO/vFruA/ZAUBlZkKa Kk9ufcTme/kWfTSVNLOoA5vIgsVsVL1ptqd9M/vF4HRMRUYEiWpcsg2Gf316IJz4qIwH3kDAp2zz SW6YMi04FMMAwbzY2XmeGrgEDyDLCMrq1I4cx1ci//vKtuWHWbuKu19kxGjBm9qMqj5imNQEtu3n DjLmoCA2QaJbJMdIQGnStrDY2qwjre0f7xzh5lc64/L4qojOtChtAoZRly2bHHNy6ac5O+SEYi85 lxR2BKlGA7mafNpe4dXVCTIPsivXO4wex9f/V47ANalcca2Y6SXUImG/RHJKWynYfjAHarPovRzE nGr5HQ6SDk6k2XmiduF8+PWf/3lNr5kcpPlclLUZaseQfOjUwyIZNmxlotzp1vWouzCBW8qET42f l1tbuDxA7Isp8W5IQTb12k80SE8LgeX9V5r63KxityEJCIbLqCjqN0g0bpwe+cTLEgoFgxvDRYHL 59BAFWW1P8I0pxI/bHTxKOVGdyPlYWCaizZN9DyPAhGmbNag4inhmQ+896S6ySpnwmPOtbIK9zOL bwjVzf3wIaFsAtEjc6yKbRr8erAClYVeIiGH1mR+SSufQKzEqrd7YS6/HkPWu9SzkcOPuT0JIv4A 5OYlH/M3CJOYnNPTYaeY3r9Bz4RSnv+wsxRQHyBRTwcKwGr8O6aWNzQclZnJoCtJEVNwLYoyo7aL dDsRTOi6zEc8czZ6dQDO3kdgGn29yc8HChbY37oNTu3y5tZFf58egy3VXlXMQPj78hqHmzMdWywI DqacTldEj2ttbWONmbBUNs2AOZBRgMDwJI0Wdtj+l1YRQUqwxkSrL02xj9+46E1d+kiIQp39/xCL 2bW9ehWhfr3F2tEEUlFYGcKQbhiVS9SboWHFHaXaFDImnQ6qbvhSSALbD+/NSb1quSX8I/8ATPwc BNwzgg5Bv663HKG913e5Q8I0H9bDIYmz2eWRJldRoqz2iE29bZdhu7N74qjasVdcwTftGkgofONO dGiT4cjYOqXwRp/BCxCeQU0NR+lgWjjOruOdON3KbkzMztSrCprMlJz5oH3TI7zlO31lzANwk9Ny N2KoOjRRu+ypxT9uYjEmPZ/KrTWgOwpFLZipQv1VIsL3EvVO3tSqJ6qL6ahBJs+kMVDGurs6S52f deE5MdZU0BR00H6i5PwHlEmlaJ3Y/czO8hiin8BDkXQ9aJlP663Zcs7HRcqRIG7E42+Gp8vYJw/L iRmery8aWpk0KsFJ3lVwh/sltTVQhRo1/QmhtkSVAXps1bKATIqmUQJHQegGzXXQzCgaoFj3WdCp B5RE7oBGNIOd3o3NSzpjKIwxTRQBwo1SEuDmVLA93QENaeAhWcdrC1KspaqkcLW1gxE2DzSYXakA ABATlsU4X5a7/g86zF0n/OoiScri+tP6Bc9k3RhVFTV4kNscEHahgOtBoPU5MKJnVvhl+lytwccT FejGMGXMYh1eO4A+r8xBOfIuEqCVDyY2Aty6K5+8J4sGM42pPtNobJK1+ToOdsZNQvyKnMyRfOxv PojxvHW8tDyOpIAMyHt5vaOP+nTC2LkdFdY3avtcYgYErgCnhbNcD6TF3dXCM7KIXbTL3wkaFoPJ 8UnC4hNHX6GRuO1CC+SEbAJWOPlObj1W08phFGh71pF2NHxQE6WvS1wyKU7dLGng6ARmN3p645Me CNLfOLCbveRBhCkcmOrQvW2RnaTcbk/uxHyyB8Kf4Wponv4qUFXnXq5ayeP4nq5b54sPjF/LxRJN I92cZew8dmqxolJyL42DAAyDsJkoxiBGh3fntcWaF7jrHGrFppSNsjpWEAUbr3rn91hZ6SBuYcBi fHtHwCx4S/gMdZg98Ol6c47Pln8G+HpltT6qxVei5irrPvDjGFA8XuiqUwo3Y2vqh4x1yfrNZaGy qyly7hhVBr3W50J0xXKOvZb5qS14Nts6Pso3jg6j/YS0A+efJGR8t0C8pu4/KixKhGosaI+5GPQ0 mPQ/R1rg5/70K8eEmlu9CLRZOZPMwllfhwNcY+JeOwzqyZYM8ux2xZnP33/9EkQoFsAmg2lZL4Rk DsjuceuIK0nyAuc1EiJ61K2Nh0dT1u6YzLQxxxPwN23mwPPdhVnLFBfum4EtTezxaPWLDPgWoNz7 sBmGLJK2hMtT0+RkeXd4SltsuwMuQukYtDMKBZ1P0U9lmQv7rn2wRjXOvX/q2iPDC15/lTLpYubm 5kdhlUQITMMFJzBDXxXz/acRsrD0tghBY1qbMzOsbkxriVwEOm1V63FsogrMMmQzRg9HDY0tlBpc Tjel2yFF2rCeGMuMhHsNz/NtmRx7PYRFeK0yaVM0tQDGWttu0h0DNRP8E+OsEOQU0y1Qv1ily7YK IU/EU3lN7UOHfXrJ3/mGfboRAmI5Av0kHvw9nohWJSKHKK0OyPHpxG/5yp2O4KpDDvN+UGEkqTiS aQsXTIX4XZgbixW2IFoBBX15Aj0oZZlDpXKKcOgUDDiG5Z+QvjmfXPmxleLoWb5hq4xZDR4Jt/zF 5aXCeCO1pFirO5f0qPlzcynWqN+nJB+DmKBkmou9tfjCD0i82FOGZiUwbSynxz7rYIn8AwRq1HpE ma1d/TtQfmxOisCB7tVkLERgpXiNwAkkmSyjzGrV7rPn3sWimFoTrjyOcKUO8VvWnl5nPJ0JjT3L EIS8Pt9K7IlxrQe7u7o84h64PBc4I5sEcNVh9Y2/33OTe2rPkf4w2gUf4M305XAilbuCpCHzfcj7 cavxS0qfDH5rc6NWmdZ4D4XJiQ9xg0QoAKVfd7QhCpiJ1XFaMcOOosKnDmwk9PKeSH5xNLYZ8I3K FVeBRU6oBdtnqBAwN8NBaQSxCiDdSKAEkpfoL3iw3ndcewPRo0u26/rsOj9T0fXJTTA7rxcPMAV1 prFnWok8wRAa4czVg4rLvfuecLA3qvtrnF8hEHYxvFlDiDjch6EKhIviBsOAvkyIJtSjPlmaSVmF PWE+zsbOMdRbOM9U99xYqyuAKBcKHSm8ky+/CTuaTVsxv7XQF15FnABjY2uBYZBMjafc4ZBQHfd5 h3XiNvJUTM5HWfr934CHngum/wqqymWjw2qPDfbdw6cZc+4Z5L6D128usPGn+0HpZB4w+bOOrwFy ME8A1pWm7P5m/Et9U31bu0Nn1a6C4+GqbA2DrNEzp3ojhPaYAr9aaeeWTLwY51f681gCKAcX3U5V Xotn3CJjVgHwwFM8mGub+RVpoHWT7XEDcTzC75udo1M40bnSHiy5D+5HHyIUaCV0pApsU542Fp7n R3Vf0zqlSvC8/weto0lEPjfUWvGQqBZFiMsRHLBvxOsHLnUAbTOrPcMJmw0V3Rb18rQfd3Hk0X/f qy34t3Zl7BrzBXJvXXRfC7K3eByBblBj6AR1rVjIBvWTgQn5THbwcqflOLoaer1tyvSGoPmD4vt0 0o8WvIdksy0mBnLEgv5K/5DaYkcqCrF+3vq6PYWk8IKqo7YlqNDb8pQK2WKO8guxGYW63JIboIiG uVFTS9g6mIJs7t91NkZHqfhjHu192hXcdoht5lQUxW4sqIrV3SgF8oh/A+7mkAmA0dgFWcu7hI6R sPebsJgvCQ82/11pbtwi0ktWVsmUX3u/iDlJT7tUobMJm9jYHsqGeoLbFksO2pD3NVfxhfcnmGaW OKeuvNxZzdcOYgE7RAEjMSKqAGYFFn/LMwc5sSfskoVctdTOfHa3XpHP7p0ut65T44tj22cwHnb+ BBZ+lDdnzCQ/oBLjUZY6RZdvF6w6Y7GYpvczRuhAZiE72AFGD9C6jkvbqfOkjzVjdcTHV3NohngY cAO7NvQtb2te453CZ8G+k6XqrxLA1LSKIfDlpdyzCsl+X/258n2xiI45KNjDTcyC+Je5VuA59f5k ghsQNSN+RiTBoK4enCQGZnSWuEoZoIn6XaxpGTwdJpI8ePW6iFcBZeG/nI0wv9BHFKW2Jhokah20 nO1UIw9TyCgMKrfKJHqmYWgtCXuylADXj61BcwqeWxVXCFHHJMintLx5u9KSOphgx3cILjzq66Hm ELjXq+9xuEZCQMv7ncB/hKJk+6Jglx0iSnWolQgJ4n4SYQf2gejDZ/Cmyvcm6W6PHjS0hTvmUmUG ZM7Cm2XHW3HWDGO/Y+bV6TqWWQa3TKoVkVjNzaU7NJlkuEnFRvTJsJ2qJh2h1//V/IfxwdA7C+mG cYhiN1Uv7w5GQDa229CRKTBTYK92DWUHV4zwSLDtbEMPx6ghsOFaYBW11Meq0iIBg9+/Os2r6foN s1JjVw4h7t5PupDXojZWKJ/Y21o+SMLVKTYvehxzYsePPKosmb25dIPPevyrUvzYu8RcHx06oble Mg5XuOPsVhyCmHmxNBvEN0BtMn1rWyRKYeiEvBsqH5meMIGxFrsz3lqn2BQlWc+U32XaeoDUKefL vLrUT+tUsfJ2abXhIUfp2rtz0Vx3EeQYmGC6jDg0DORVgNwgeXMZ7pyVDNjng5j4d3e5KeYBZFRm eNydZqnC5HSvgoxeJb6O4y2rfZN2Ovvf/FVqJ5DxiJSCRiAsRwyAEjzSBqU9QyH/qpLATysjRI46 o5ONfpQvs1UnFzzUN2w3WRlJC7WTHrTWjbinVUSgKu1P6QDQcvS0oa8sGvvm7/2oUrgiWOe1aE+k vPvcqNVuk+MAxb16WVldv0I2dwwq70JUi3tJvpttGlq/iviSCOJuA9iChjt6J24wXkkiROhQADCd gYmRX3kBulqcc0MNlIOqwIDnoWKW56yfhZYeT9Ih9zzrIxYLiPzDGpDhuLcTXM6r9qmx12pwR22e Mgf7BN+5JbRut0x6MLHFqxcDirqiikyMFUiiT7giI+9f6tz89Q5xxjT3cI/l2kWVIDufVaYl+WYw gQIqpL/B+7Nzs5ViF2joP6+9oSidtYK/5Ajq09ilDPkJzHsgUCTqZcq5el4wtv6mZxhnXVCLQaLr S4JQYsuHwA9/Z3abq/qk0th7lRBzqSCh5rMzJqzTEjGbBT1EZHVZ/Eo5CCRA2dHgZkJBBgUvouzQ 9Myn4/u/nl6dQXU41ktA/28RlugL8hn/MT3C2Le6r1NDTEsTTt9nZfczgx6XtXXHUl8NE1E2Spdq 31MkAgYpjqqMVkE8CjAC2pU/KuI70q39oQUJKlcbsnR1pzIrrgWmccjzCJ8pYWTMZ4hogKnDUvf2 xtceHluATxC5NSWi19294HEKhlt+Jt8ihw/svlPjqxQVD3z5sjht36+yAV2nACjXBdJb3S94lVkG 2oFPcgV3e+z7IFI6CkFBiElq9ZGAgcc/maz1urUnkDojCIME1L1NZiKYD8Bz1PNAKjmScIuEQHp1 aZimRoD/To+HFFKuSTm0exNofnNkYfCP2hT+XKsD0FAHpBAzOhXubyP6JW9G6LKiZTcZBCaVaOes XpcyS3UY1pseGuFxmRDMwjt7kfSTQSoxGz9jQZXlHRFo4CIzkTEpI/SC/L12QZ+NkP/VwXPX+3dv Dzxci9ZTxCbtyvr1yInoeQ90F/jy724+bqQRbi1xaFzjVl9Sbpe1irzPcRH4WOTM8vbJSNSgxYCp EFpKGU87QdEGpZw2m8zsO95g+ak8r58gqnyXaMnIhGOKwoCIh5oq7bzw1l9jbc5YjF2AemNWKncR +9IhwWqVQBuKZn+BgCSMDMLibhVfGq5wbyUme8ZPSs8FANOSicHtfBogcl3NXtFdlZVtCP8bRjiJ RNYDRcFtZmUIVD+0/0U82v3DHL1D59nmuvZ5cF/HZaLV8oHgKOnJaFzKOv3Rr1XK5dxa1ElJNmOM xv5trmcSJoUozIW5uC4cN/qQ9/33d6dImM+qXPNsJi9g5tzGZbusxacOWalpp3D6/q6qLRwFR/0Q CWNaPBdFVUvl1OFAj/ij0y96OTh2e6J5YL/3pU0jg0AuQYqgFs1d31uf3CO4k+9CwRIvg7ONb3M1 6/flvKbxzs9KZJWQEYoEGC6OQs+Fm0o5PnAPEWYTt99HFQJZoGDQ5MhJIDDF6LJC+lLPf3evGq69 z0OjzOZwafbpzIQhDb23VQ1yZHH3ez9lGBgpjTJH9UHOO9s4OSFy+phjaydL+CEL6K10CuKDoLnZ 4Bz1m2egrzS/qm6wywMfd3liknM7bv3x6thj2RH+Ea/qryKbF0AapkXbSKjkrNt42Wxx4q4J2lsP l7BhHSKJVNOlN8tONgVmXL8b77ca6YSF9e37KRM7YbUvde7Xt2OTMBOuPBsY3XHT+UpqoA/bX0kN HWTJ8zzlsQwthuMZzaKEWuSFQ+w8MSF/79SnIu1YAyLi8AzpmPzohTtmy9gmD9hb3IRs5vE9opHE 3dZEwQGISo4Qg2tFezDSxsFGtySoFSvk4EHQEZl3DxYDEqNVD5Euy1GI77s2dYAgVpWqxr/ovOCK QpSW89hjrEXyKwDe4ChGyNp5x11im9XgSkW65rNItoXsaYjrTd5XeHYK7ldshHhz33mSWceXWcgr 65/77ML+IHT3Hw/0PIcvWYRvB0L2HGh1ArBYHk6tb3y/lIAZbq31MMaG+vUTLTPs/fI2B66ezotO aN5WBmeP72dOdyo+RiQ28YUCAsW0kTrs8VxUbuWiQBjNr7sBzwJR50svN57IxsJH93RB0ShELu5X Zly3/V4GBM9vBkBBwv88Am5OulWNTKc3d7H1KVbEHLwJh5Y6d0+zP8tPFvZvitud0s4b3d8T4r3R JcVdQxoZcr9BzhVNWAECJwkggWbLJif6/QNRU2NxbzvgCrFWiZ9RfEnMu1cy2pJFB5Ba3v96MdVe F/2AB35BGAVQyRqFelerOojoIg+fzpIV9lPaNynbvvyhYmPYoXvUU5wuVP389dVcJFLh0YmcNWxy xjfZKuCHRQddj4PEN4cCUZgcL5ZtC+dt+LrGzw6YlAzK7cfqSR/IUcnhg/gpEaneBmtclrqfvJof u9HS24munX/GCINsOZJOeP2RpqvmVcZsXi9MEMk4PZWmZcA8g+tFlyCvDNLWpiMUl0qi2amFgT3Q 9P5XCI318NdHJ5mLsutBtTu7IPg7VWdd6HcYI23DXRADgSxoHPvQra9+clhey9xKzX0oyCcfE/BR qp38DT6zHulJlnxu8wXcKNh7l7sQ39vKUuYVSW2sb/jeMFV/pRNJPVqtkNBU+j0pcybOyCpXDUJk 87NnqUFZNeaFycHKICC0TzJeXU4jhLUBrVwHvwh1QOL0QxuJssYc4JENQQ72mka+AdB5CWAXHkgv cZT7bdflXjOq8OkkBZH44YVzMVRZLyNQ96hhWGVFhSDzwMZFIb4UUdsPrKkhg51YblMQOqN7p4rZ S+q8TWjySwszV5ovfHXkpvWNszwA5FmXtOYU4TTaFCD874e+/RRdBwyiUJ5xXQWuY5AZzx30LegP FF3g/CZPu64zlJQinEMca3D2gW+qK29QiaqBCGGF7P36r49UhflMpTXmaOv0AxBIXCccbufv7NP9 dFjLW9nKCgnHRYhXxqstLBu4Xm8CAFHGezVFC5yvrZYZGH+gz4ekbhxfhzVjU4aXWYiLnF6ff5QY huhHNkJfFnfrnwpKRgQvyatACT1J+Wvshe3JiS1ZetY8B0TIswUXlXvLHeXTUTvMzQ4Ek0NDA0Xf QHHCyxAbCw8BEZOngaUBXb6t9/Fy9BFb7lmzm6EbNCm23WbWR+LjAYp7FJP7CEG/FsDkNbvUqUen TrXmc8+wJ9KIW8W+OIqlU1DmTHQLHw55WQVmgFwWT+Ah5vgsYb5g3gSR2aQDYItrMfCCY1KJmtgI uyyn1iROKRJ45UKW3XbGDaTXYhVYHLVLcYHQHkToLfuuKzqjxFMQ3WGYwxGi9AQKdrGybNevh0/Z s2xGW1k05P6jCY0UFAuBx+ywD55LJpCBmx/GluRycauoyn0hCOZce+rjPrBQLhKFuyanW/K7XYQ6 SvoBMtYl2NTQHFdj6Wl7Y+u/gwo1sKfGuMi9UK+s9Di9e1rjm7TuUucxQWNIXa/fGJ0j+ene8bP5 dsdiBkx1hnAeIb+K8Y/aAkBa6B4/6qd2Szi13WVkbtFWrv0Qoz/R/fSm6aBKFTm/yez6LxmyKu2D fKJuOhPNthPtgrq4DwRfJFOxSMnS0ALG0YYCHSVg4alIh8XLvm7c6wAqpKaRGHuetsUgNJ6RdKFw LshFSlZ4T0YAwene6DODZYh2wJMqvXCwDAZ5mL3+FBN21SVPTDOtvSaz8l/op5l8xfxR4IWkR+nB EMh5sxEhGbgmHZ18brxOO2jPfN/YeeGpYMt3dJv/QOPhVrPAhgkRRxZDqCQZcnXsBTWQZsEfrnep 6HhG9NVpG+bVmBk+sW5lMBMuzsYLI03v5haxzZRZOPOJ7PqVi7IkDoz6ZmctpVBW6WOcRmDr6F6N Y9j2Gif4z7e1x2y5FvponeTkds6x1gyINsHMXTLH/Q3MG97l0d5da358BPTLDmeOPT3tKEu557pK XKZnhHj+n1JxBUHV1ttJdr0egAlJhVNG6RnZWZDoqzvSW33EH7F533aGCNwuWf6Ebm0B4+xb7hYf zyKadJoUKp0WqIWaXeYB0bfCnDQnJcoFjZwHnI150P4IJfCD9NlFKP5AizkjgRZveMbmj9o1zpis /BGKDUtrZkYjEhi7dZNfi1acUrB+LBQcWVOWhPqFMg7M8nWA1zMNECy4ohCMs3JitQ0kC0jLp5Xz vpfe1psBRWbKFQa6L/YICryJuvSuC1Vf5xPU42qQ+KyQOA1j5tPyNjxzQtHr4PYbFKBjN8gY5f7m auD+Kx9MJN083W02eFWYH0hYtkNzwHQagO//lf15czYKfKiGDJ7wT+0hZDWZQvXNVVNC/utOMi67 k+yCxQ8SaDJgbAbLfCqi0UNjtA== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block AirNegVakR18cVZJyUoJtvjY/hNLfIgSP7oGQg5fC8Ngox2VCUgqpET8MayCDA/ctnIeWQZPChzt xSVMjErObg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block hGe/nARTTHUDR9V/IqMeslHeINWNkb38GDJy+HeZEnl5ZmEYw1z19rXeCG/PgWhNMMc/bPH5xbCA jPhDR4N8v/7/cme9NyHpggXTk6Q+9+lId7mCozke1W1T7eGvKrMunih+0ooNbYBa0sRVxSKwHdCg +a3RfvST7rUodwwXJ2M= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Z1cW3udWWNjWVz6LMwEHCuCYbkux4tJEyuhzbzfzs8eJz5oRGSpmI8lkq2RqlJjG60l8dmfDFuBB HnEyhnn31br7/DlkYdEeolg9KPsNXhRXotiJ2bVURQhA06MrOks4o8MzuKOou6oI3ZH1ceqTzGSD U5Xu+4i1pmpvYkb6qrcJiXH9q/M+DR0DeALXZ4GmtS0Ds+RtZz7GAQZ1RIIMgYNrbm0NY2aoIawT oSQIqc+uZTK+nMd1zxIWmlf1kVzZbXNSLr8pSqf3qLFq1aYDrYgAnczBdd369rZVeadfiXtcR5Ds dGnJ+QaLj288jgLYrRmf4lRKOALrZ+kPI8hZ+w== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block IZgEjj9lrH2ahixiY8NLdiOLzAIQ3eV3q3KbLoKeacZJMRdm8JwRtfJZDtmizSvzQ+zFfMXiIeDf UAXj5dtRgtZosR6lyeWgFo1/Goj/H0hY9+xLbii5apEvCJtEyGO1/L5ACA9YyMUgJgw3mKuIpLuj WkLVd7FJryYmewiWNjY= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block TTYcZMqCzK/1ZZGPlRo18llKJ+f4WQAug4dRpY0zzqLJ4go6qcDRSJB2cGC7gX+ksATyRea5UWaj 4yL4psRoiFGI3l58mHp05/TDQLCitag5lYich04B2FEX4Sral3+uwYMz3XU1GaNxsG8XIz6pxjf6 j3NpJmG+LGla1n1/Q70AyH47jhfMn90o/WH7YXCwSiW1UgoZSP31j4+BS1NRDUSEP+whi+30S1In kZDP+NqY2FG9DQZAoPMWb0Ch5NgVaHVQcjosLKAiB7xa0O/3C55EUfcTJIpA7rO1Dec1udaOOTva 5RCZNjkAbbD0t4jk33PbKURZilYVPiF67rLHRg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 24016) `protect data_block uDZ+ok+/txoaFD5ZgjG7RbANRWf0Xwac0e4DQagySoDbWOOSw/+KlbovSuVyq14YD20vD2PD3CFs +8XvZE+hmSmt0IncWiDn4KXvgb/ENl2zmD2VRvT7brv9Wy3hKrQmYKNwjKZBbbr3imzOxeYOq4QF blYZRxoWAuQtighPibHLBCZM+vBaf9VDz+bOaJ8Cq6Kd9d41WkSByRsdeXl2siSXHAhNsxHXLsmY nIwQ4O/KXjECcuDwV2q9QKa6tYopP7GBMY95actgDF6n/gsWIVaCwae9NEJXSFp97BGnWfdZ3heW uvXTyCIbi9VFBXYMoAU6vhj9HYFtPZ7NAJ9LqKUtxukFwZLL1PNedpjimDbkBGvZDN90HuW7vBjn 0rqnJMhkLuzMvRCdqLE1xwfbM7OgFLXzU8bqmcJO7g9LudjsETvTOERwqAeRUnwmTO0pbQo+jF37 ym4byXY3hH2s3OQWsu3ISAeM0JIxmXecX6FfkSpbg9yF1DRbj8MqVsf+6cjssQQFtOQQth7F8fnQ lT9racNkgdONyk3IB8Y2fPs5af0EqCf21cC1IZ7N62xLfVZXEqfXH+qLOEn7IJQ9ER6LA7yI/POA alcd2KhebxA3dQOm6yrN7LgMZ0Z/nm1HR2t0S0un9hSRXXCRGaxHs4/Rmx6Mby0BNfjGXNru4iuN YisB6cDwp0Ucaoy0SncM8y21TOxeIXIiogGEJ9SScU68vz7MPiNIfsrAZuzpgY43rRM2TC26FKlf DjLX1EgVd0dYig4IgpIaJsJGcb5bScRNUFeIKuzjyRtQTAHoaYNpFcTKteZjSacovdZ2s3BhqqMX SutjqG5d7wBCmW6SSLpsg4A7M/2HYR35P+EKZhyCkmH3FI1JUuC+X5cqGgi5Wzbmb7+UoYCIScUv US7kl9zvG7cLCdHWgRV338bVNIBlEltEgWS/95v3TCc7vxIXDY93A/LX8vApA3f7VGxQu7S+XeS5 j14sFL+hxEMyAa/rOo+dPvCNjsroRWOFiH8M4jncEbHVMm/W0NCsDtszVnGorqwxX+TvWLHLmyj3 NntkW5AJP/FRV2jHc//el6wG2woCCo6aJwcv5iDwG7fb9rBy5pzQ1PeB8FIrTNDg8NvTRhZl8dE/ OtirTm8nxSSAYkZVWl/uh4uGU2hZKmDnfvq57O34ZH9uWlPbD4CuMN1WjtHFBGyvhvOQy3feey4s RsizTPEqmFvvu0xG/SHzEeSAt1/Of/a90YqUW32AE8mM8ZNEGVgN/GkQJq5lWq5tGqCYaMYNHWZd kKoXM+rit6vwOy8BDWTAZ3aKf3F0Lwu4rTBgB7lIBuwRotV87EnRMuDBTGBcb8xKyGGfjJR/BWCh Yd/d6YqaXF7y0Fz2NSS4ekgoe+P3hJLOMWrWiS3oK+x5c0R4F0+9DL2YAPK4BKe8i8bRtedrz7mS zZXKsTQxrYckRdnxz6lba00XOSRMwucY/BaVQMGJeOf+6+am370xfwcep+o6H2L/VU+Ur/hlZ3GP sUkvkKYtQaqTVtrDTO3fziZGSfL/m6j0OQFNZwl5aJOHtJUh4iGdOoXpT1HKZEF8tXGVG4bhMFyM dvgYmuq6Ia3zT4d/NhNuwbY01b9z1OLE5vc42aZ21snaHZW+/O93inP9y47Rq5VIRuCiE+zUrogw ik88N4u8d5Mb1oRsWhmMVfUejwRJWcmMshIgfn79HXNPtXmSfp1A/C4OaB3KhsrpJ/ltkCc+6BZG Cpqm9hloYXj0p5hZXNS3SAwtTYKc2aZCIm9f9dAqUYlQhdQR2XMyLUsIhEHPgnd/TCXf9nHjzlok huG9B+AbqOga0DdW8P6ITgEqwh8+4vyfHHnF66uO904CdqJmktt12TNwtnIzGDvu1rsJ6D427Qbo yB4O2+xhGdBbhid6HlB2Gp6qdfGy28Suj5MYooNFpES1u1ksZR9KrwIy4pxI/RnBY4+NVCCpKDk6 fNiY1nEjiLIzIj8+a2gOzbqkliEcJ1vc3D1JSzb0sWY13DHuPzpZSX1Vjn1wc9wwK1GzuaJRZM/s Pe+TDYJRgcC+yUTUZs2KHiyn1TvuA5DkShqCqFg/c5GxrTYRjAeGqdBslezALy1hzWyU/yPjvKWr JrLoT9NoZezscE92KKCrX8964qvaVjoCxdffhsxiaIGLQIo/0BSpSnwGAJpi2jBJKGKtM5gg6k58 mYH5KRSqzmRW4g9V9xnpt+m9McDSIyswKElxOEGEVJ037lBxsDn56ztwDvdlaGKNU13EjdaM2p3n zY7z+JmLz4yd6/XlY7UhWWvIAs4sAZJ6JitdYTg60L0tAZ6lOWJYhgUzaG5Jnr6XFXItO6sQBKh4 tM/kXSZfJ8cIR2P/4qgqlAkDaTdqqhBnvEKU1rxSziHZ5G+5/zsdzmUy6D/VKsU2p703Fjar0kJ9 D2BVQD85BhcGrTlkPRtEr+ZY/6g0I/9MFpSGJvWgzBhPBL+dRiEaSaZM/qAdm/3Z2i5yn8WPBJlQ XgN0WOdp1PlotWlZaiu8/Tu+BTnVvuXUYajvch+J+tnHweI13G4KfHhS5cofIQxSmqtLJ87uSJXK E2ZaJUB/4y5DQvcu2sCHV0ajD0Xm69SiPad4ea41lNhJo3Z5F9wDt0+w41U/hvTgDwDjQk124Nbm igUunRwno0XTki1YhIHXj7jjfcKIvYYXG7njcyTqm/eJwJ5nTaSebg5Beauvxp0gg5YUhAFlJItt au0f5p0oQrJGW+lp9isqVtBCCAQl42xAgw23Vhh7CRQVwy1mDH6YduWHQeaT5I3evxTSZrYQ0q2y H0k71840RbUl5DxNXBMTZ/Flvhu02V0ZLzaLJmIp6WRBHtVyDZK10TiybrgeO4Arhc3QPx8Lpj3Q YqU6ljuTn2e6kiewbCSzti2phQ3w2kSX/B1ERBlvg8rG565GbGvRlRmbzgj4iRtwxcPlrSf9gNFr 92uc0Nwq9DOMmprO5omOvacKelpkTg7otBjNY/BAiiEH71ldQFoWdhgEHXe93u0JZPEdYz2YA4hm iTTCqGS9SzC+A0PtH0RTIQFaqwDQX987Eluo6fl7Hwzvt2T1u+j9R6caGJNKYJuJB7irTh9Y9L5Y R5VZS0H+bz2GjSShfQDKKlVdlOyvsFsmRh7SYKC/E9fs+9VZYakATUf48nN5zJEPs2jwilD19rRW pVGY9Jzz4IGX31/756OtuoXekHH+Ri+qU3ME4Y8hyuECM9cHwJhUtELwEdlCtF8mTYVFjHeTicNn N2AnS80OKEEbafEcj8Yhrjf779wyT8rKj2QcLa6GOvEyVMo7Un0r3yJqaFJwwnY23Jh4h+r08hYB 9pn9CtyZ12k+eLL+TsF/DNzjRCeOJRmulSzFFNu++IpMjbeov6zzihEduKe8ce+zWq1HUXT8+Qlm 4gMA9824GWs2MWESwzVPrLUoPITG23w4Z5GOfN0OwYKh9Jow66NYxMixkoHYjIJXjaTEvtw7770A j2KZ5Ok5YrX/QnRkT/mqrNwQkvDGSXZOFG8csg99qtR//odacvWaEIdGUoTC/xIx0C86VdIoLWnB Pla7YUXinU5UEpdvtA8+umVbb4HaQMjkbJyB+WIKbtJeUZcpQ50I8bFzD0E88pM35AeFiVPCxcjH H/yipULKkgMaRqOpEto3kH6owASjdgHxRVvyJe144dK+vtf2r+v+o8/r8augD/SVcreIwqKOQJfX BTGZ3jASsJ9HSzoFjpTApPp324MW0xgikmeI/DchgJ2PR0IKKpj261El1n4vmKFrSSUWF/iR71Mf tc6CEiknBfsib0sr1j2pR/OI5ed8uSAfXUw7dcU5wCZAXa0Ne4bWr7t58XBp81YRUrwLCsuGoA3w 5dPj/sKgVT5UiWbuW8RtteLPO6Ws4H6k9pvv6IsZaR/Jq54uEbfNronyIG/lOaXKOipK7OaCYP0w UyWkwayWrw2VyHHvRl4qUVs65LgdWQOYjKJl6VjxUk3zdZ+R1Q4PFUJiImE5fvrn7kfg8rIuFMnb K2MlnIPlKA8OlLTd8MGzR+rxmW2gE1qfntMUzK1lcGn/4CMUfCvToq0Hrbv/w35CAXsCCvTn29/K hWKqWJVE5h9pus2nyt7KEOgCbGPKRsK60mAZsHL14oMTGKf0Mblx50DHmO5UBkzFWUklf7mHzvkd 61GDhftIGLb7ROkAYdFWyhPGeRP+SHJm0O3r+xHmyMFmBuqQZuKdpGZaNV1tkfjLDnu/gl1sqVBY PqMQzT9qYCSScLIASsvhjqKmMfi/VQNuPfbIAObrtPGTRQm/9qGbHegczy+jnx+IhUbfv07FtcWB 3ylZvZIqL70VUe+vK0ZOL6mA0bwMJSaAXymb4rbS+70IlYcoJb+mpbUxLxK8UCVUzAnVAP6fFQls DnWEm6pvfFyfRc89PJJvgXqh3JcFsFzAVULc5+/KkgopWsUDQ1UOwcLoHL0VeVJs1m90wHjI0wEI bUlXlqLwZc4qtmVNLXJpzo3u1BdKun6+7uc5M2ItUn9H2IOhZYNuj/AeNJErTt3KluXU+Ylrzhb5 yijeIP4yfz4Yk0zbIxbdihz3bFtaYrTnuYZXhYv3huprGQi05ewMSD8jpI4iOnk+m3FgniyJ89kp pRTauP2R65AoiX5RqeiAnP8dTeDaQaOcdmXwNAS9wwnb4OI4/LZr5fMR+4EKZfq0GSgxT2qguPle IKHVGzhBiV7Y/TOxdaz61Sd8ojD6eYeyRrWg5wKwQ57wUIEBv5779JSQdVBrNTZ3g67QhIgRT6n0 sjesdUGY3WEWUUNYA7r+NKJv7RoJp+RyuM/GJ6bRusx34QhfpHj3QuBLeDloJbpdyzKiDjst8ddh pRbNPSZ0+sLueYveO9dcgF8ES1tenRt9d2DSxYaPtNx6AinW7NM2KxmkOqZ3bxHIvIun7eSf2qWx rdrV6YmIlaRu9VIxnksR1Jjc0yhE4+5l/PpodBYYIHzM+dnynfBH8ph1S72Ecyuspkxikhx6Ovae NAHHYK50goHPFieQnPn5JCnO9nq/+KS8VW2SpYO9KgkIA8N3b+dJZJC35uDc5edI9YuD9KywJA8r izymB43SfQEmQpef1lPJI18ULDOk4VJ6p1T+o0x2+khv9X7e4p7NODeF6xASXfnVAJU4kyrzyfe/ hXROggc6Fs5YoZSlF64iaNw330mecWZHuhdhKFq7Vb+eRoch0XAbxQZvazd0HQ4oawEcdItrTyGw X6ed8NJKfNeHuv0WCnZzGBv8eUNO2B6hVXBZYW8q26WUJ+6GqSLJtgXt1veqUwmK71ASowVCpIym qsjGzEhdGvFfOLMDidmNLvkXAHTEJyjV99AtnzCIx1CcowckroOBO9MAZ4/rTiBbxmdPL3QwWVCO tV4snb4J0xZHn8xQL6iNBzbaWhhREJNOp65UtHQBM8cCk5ou6pZ07jxBf6DH2kQpCRLve6PVSUP1 91Ohl5TLWY2jY7O3wkWh65xO/5JaoiAspYAKx2qK4gVpndQEwWkwMw64qvKoGq3lIiz0UG/V9F38 tWU8RKQcd0nAQUIYQdd8qLUPRFr8k6Q88eyuvk6KQ4Jm7sVCc15B3VQBXgF0QoBODtAhKOzlf3ih RYWFN/eDxp+bGI3uM6MBBMx+dQmWp6lEKc5EoLH+xQQyr/VvgZfgLZRAltY0Iun2LvhF05VTDF3b dCJQNF6eGB/y+235Avnsp12eVXyC1lnDMkjvadGhqXTu579GmNpYlaTWc0TjfcPAPovXoal1wNDC MIDRxgpq2nncwv6sEYKd6MQ0mU3q/kgKwlOVjNWC78C2r9aejAF3kkAAosulN8brlNXA9WCTKm2e YBKoz7Ni8g+KKbzDcMpIXCsRHdgDePrjPKkYEca3okIpbZtHwMmil9IfivEauodyEwT7fs9cAtdr 0Fk8jnMPQNSqM14dANWHRZ5WM+go8oqCWYEUPxjD6vyjU6LyeWWVfkMdcI+akRAfuwF5LxqdyQ+B bLepNmY5H9b0K6UP+CdFfCfLZiPtLLelrL7m7w9fCeCdrSvcOJFcfkfb1zUC9auSWOwvtm9wTLT1 rEvxY+IJxq4g1agtlxW2B9dm5/rTTRk3baWNPwWvUCirP5e8/lOBxnB5UfjjOqK40XUu0j3Ugs8R Xzk8WZpF2H8/E23Hqt46luHBhiPdLtp6WjO0fc/stPxNOMmiDhSO5lpZ4CndZNl+4e98hHGgHvFo kjwZiFngF7w1mQNw0ttKrt0rW38YpoULS0Jx4wlDXbjP6jKuyT/OrPo9S2YHMdW7kVjwva5p75eX XZrKb8Q/b9X+1BqIKE1sJJ9ozzqzDxUNjocuX5dngNc2D2aCGAiuUYdU6ayAeDbrXHUJWxMKxjdv YoJhZ+GkU6wxu8GfBlu8FSsbs+9d4F6TS29ivRF+J2/x/eEyZH5ZPg44vJr39s4Kjbi/g/3Qoq7S 4Di9cTPBfV6RBObs9dn1UtJaWuwtmFJdGve/dJOOfHBtuqOxlxN36W4Qk5EghZVb6DVKIAoob6LY BaGKiDtyL01BASC2AvhDip8AdOxGL6W18NrZFhJ6PRs+l3DHu7x1n+mi2bQVdMrYPakUvrepxb7u cjJKqmYzFyoT7f4RYgNbG3CZJSidHrhMEnVAgOttVBKJqjot5xQV5AhsOw8InQyuL7KNlvbC/jap TUhCQbT/mCcFVR19cAdNhV2+mynZAOhxHa1YDT2SWL7c6hYW4IIANWj9aSoRhdQ8Maohb6dLJumJ 70/sW9WAMst9aOVVT6RVxhck89yPFPV0uke97RS67v0Wqu1p64FtmEDQIFepx2zMcGgfOSZviFiV daGYtumZRIyKqzDeU0BDzPkeHtvGqRuUnGvI91wpqQm3FQA3wUq7a0MbS8MvVsbvcSbDbGEMgTO2 l/h9JbCyys+UoDWwm6Lr1f3JxzOLrR1lzNZp352hFMtXVpOiCWSv2bO/1yZg0Yh0EpH0MV2UqKrt ln8s5UgvXLCmc87MsUaSRCw8qZUjGOlkOwyclkzTH3XqUYGvnPaAEz3kSKMwBP5eZaYTRKFI6mwt TsN4L/eK/HhmbQkczdoy6QAXCPmlQrTdgNQo+cdDLYhWerz2Ay2q75MapU/iaALaaXVJkN1yWNHU vheEiIZ0tIpwR7CW5vd8dnqVjasL/gC5Z0UNQ1shBlkXucY0nKQkNFVRmhh2nDovyzgfZyjn++jH JzYbnejvrHxZaFD8gvIPxUZTo1HCszlR2frkyPaVwInJ9rwCKpJBrks//lLd0Ke7Upc4ltnwHwZt tdmmscR2cA3xMUezOklu15f7ITnh6NO2oHjI8jHOSKvyVxPKcG198kk+wLFGeZV7QyseTPwOclAj thlijvSCbk6/cXN9Ul4QgYfHXqC5cVgsscd51EzFWoR8uQWiVbr7nHzVILZK19aqgi2tlrsr2KQZ O/vNJ8l93roy5gyJsiDTLByUeF0CQb6uZIMweseWjr0ko8/L3ydyf5aKr+qihzGp7uNp39OQjw+9 +HrkdhDaedi0k6yoK6+m8s/KsN3AP0WFcjvZ4eWJgWHjqU7aA0dm6bDMJAUOwc4Muu9TtSvbcJLO EsnmyzNdwrl75QfCLn0WYlfaVIhUSwCgN8yQFGOi3HUqpUEB1+yvSmfed9mpHZrnV8nq9kJgAAqm EkjQ8VDsMZI++1O0KBP1Ad7v+hzCrRL/GhxAhOmnBzXvYRhmrVSNmUDefzdhInQxn2PjUvWWzyKp EUZEUOEPHNlRkfFcpf+A7wXoX32l9+tGhNTfLPaBLOb4s2djLkJqzpOXRDNXdmfSj2flHOeTJTyR vVJr6o3+KkRquUGmjOjBtz43KIeFVk5tpUdoE3c8C91v5yILoIXsqZYfCN0sVDUrtFts0cM5P3PR NU0Kki3NWDLJXui34I9r0jZ/Tgjkb9bdlZTwRUXN0OjKs1Lycu4bqPl3eccG6fN9/Pj7VbocFyYG R0fq3MDCbAlRE9Qu5iK9FwWgwQKYxZ5QewjH/ssh2m3fSH4x5YOl1J9AMXi6qDlpqO1K4jFEnlfo pv8c4ylS2KZtEBegI8t4uX4qwiJV6aVkPK07Zr1qzDTwNqpFryP0g5akIo4IZmS078xjiJvsP4xh XmY5JWvg93OrKcjC3JRCR0TnA35xAoiD03db7guau8VYpusFmyJnIoH6Fb2c+hd5T8wTfELHUFKs dwOx9EJ1OUyFq81FbSSLhd7lz3fmAQ+PxbxyNoyXIcDpiepJgndFUrV6xvFI/EG06qkXJVxTTGo0 J7BoZiTnoNVjLG/7MVl8g2Akpot8oB4E6yoK3fNzawnuiZvzQcgS7HwpfBP4HHuNh2V/d0nvRRsZ gggY1fjqmY5VIIj5OHUiDZqwEdpJX7wLoY83XBgBNA4bCgAmYg4j7GkHz+LQ2O/pTUSr25jFYgus HzfhqBhALJHVHAP+UPYooT+I9iJlCYAp5w8b7Ob5TZJUk7fYCJwotwckplXVz6RtUnpQ2kMEUQgg UYwn03Aul+KvGSHxqW4OeZs3e+3BQi0CvDiDG7oo2YtGrfBl8tbvQmGu/3MEK7KZEQ7UOvxym64V rfbSTR3Sxh2dNzFjXUVZWeeNA6NbPufz4SuJUTMldxbBbFefDKZAF9xaHbT0MFA2dWsMZFRVA9yH JginiEbXiNfYsUPwc/j3acyPvWSCUZ4K4IrcwLb92BGo9MxTgBw+4G+Gk785m5pPo63byW4QgZqa +H69j/NS1ok+YBjiTWtMVRnixphgcXxwDVGOfQLCt3+MyjilU0nVqNCdrZMD+HlV9IKB9/F0oybC KXRVA4ka5Qy+B2e8hKsxddKY1cATkwwoi5Zp42gkcDMj5v4lBpcyqS7XksRyvmy/jzu4ALM5GaCN lRNW5JPR3dALI/pGmydoEzmQ9uMAXQgYaAqSYKssgKQyQQpsMu73a1fkUAKSiPK+vhUbHga5FrR+ nOx6bvu/Dumc1pTbayrYCdJQ6NYgeERa7S+hLrRqsjNaKOOMFBm1Tnq2BZffKMq0Q0+4oBn4+0xw SitSJYC0EbEKyxHn6LAyjvSYnR/c8xYzHh3FsdbzWNLPp7pIT0F5kEpRhv8p3JWIHAGyWt6lJade lfwDxe1VlHjKCiVZthyN12zRLRuFNrvJYGbjylAOKEVS2eNd/99vUjH1bHW52byZqKekGYKqJuaR XtE6W3kHYukbljJYFYQ/dyig0a/+EaxS2FT+ELyPtNJe8ME8zQrTgrowa431BiFYqFGH6AVjpn2i mL7ZGgG/URns0LAiiPDXXVfEulfWVOaxbhv+F+F4lPw93ICGqz8GRW/VUgDzUzRS49wr5YCI1Amd odjmQ4mwt+jL3IIrtsuFolpnAdbF/LdbW/5zcbMv/cQksWGJCrHOQUVSeuxY/bf3aNKY5NDMGHiZ tpdFS0QD5NYXzOx5cQ1iG122yP1AFSYLtQOilvUnUMd5J8D3GLbMQjC9oyygMMcgSrai1V7mzC7K CPUMB6Nf+xhNFsQPaZKZ9Px1lI/gMbPR9GF1VSq60y+M8j+4HASunYdCCJmOVej3+HrtA9wwkvjf D/cSVKvJm/6bnl9d77vu/kvhgSFReH7I4OAyYFCEsme009zolKgz6LjDyJAQnszBMed4u22nFhXc gect/ASxTmaZTMNIblc2QTzEUnepPlcf8SuTloctVsG9v8hZ5oSYp+CzLEjq9HBYsuMuR2wP0Pi+ pA6YbSDlabzM5TnNAFMsiC9+qUGZ1b2+sA0wvo/ZsJNo2gY1Qo4ezn+1wEijz/EZp/5w+mB8T8J2 TJ4EGCWAQIQ0S5HpBpL4rbJ0B0/wAmvcQEytHL3KBBcWXSTqmcMALEW4kqzoRo+HqfSWzDbxG7uA rapE7JKNSUo9OVrdRI7gTaM6S7v4bMYYLt4BbbMWJmAv13/xcPferbU5FRjR2h+pWWY3vr+keIhK eL90gHW99jLevjJ65a/WCU/arl1a0gqwEr4s2raYKWpuFkZpjWxtbTXhXWLKPAjXi+DG7IFiKlat TZFpP873CGYkuIX/Mh10XKr+He7PR3WRDvSDUGuJaR8trZ3HHWzEOc08ig2QGSKBvvGujjY2NdK6 XrSaC/PMiAI/q2ULQNC9IkX/LplfsAAC8lLf5fpLSJ0dbnODCZVEk8wHzPLdmfyhSmRkbkpDDDhi 8m36r2Gmxw+UttDPLoPaDv0s2z97JnIrWzLJn3b4IpbHFVGVjE90/MMBe9hxUYLyX5wptBLAxX8A 2LKnSWWLkQs0I6fe4JGQUkS4nMDUEvJKVrnpggtjNfrfVQJODjB+CLQHlBYAaO4aqeemthVQZppZ wKJE1mi2CaXAjViSiKqc2+clS5Pvo4yZU4Ml2OQcmAJJ5qqklKduYdzkX6YG2cwGNn4ihLKdeLmy ynh1gn2Mm61YWOau3VDzKo0UjBEuUwqBUkEAiIyFnhCTITgizjwgn6xDRO9/DHrcoRsEfv8e99O2 1DQrXf1/GXHRaA/Px9ufTqNwk6YsUXEEgrBxDwauqeDd3gYJlppkUd0IIj2eWiQrvUTZpeviJm/j qgbU637JWta1n5yow2UOy6UATBnwFpZDxI0Ko/L8VctjDX+w3NqqScrVTpFUSZ86QJZWPSy9o+91 5laZEWsOe6S8b2OPmZuKWlqE/HeXF5JzWpb1x4kUJZtKkZg36o7I2Vp7SkrNZNv9HIsKaq1OTXbr zEcrm/TKj+RC01CPpJaHns9l20Dqy0U9Lz+X8IEo8ywoXZgS7drY6vHd90hqYXYyWHkTT3jrgyXI 9dMsQOXsAGpUYjY/3mITPxp6/vx/H2modtj81CoeMCHfb9sPsnrsJMLlN+W+cdnAU1OHycgN2R8K UZCytrnGBuNTry8GIhtKq2fdjcbGRWv81fK6GmVhRzGYbu22cD5GcQCf8ML+CI4hasPyZ9zh09LD wtoWSccs+PblTlIOY7yDBuQYNT4HpoAJY2v4JTxgIVZmDXwaCI3obEA9HNBFvG6Un2zTp3FbjkZp ckbmvHB+JhhC+pQnPWIcikbg4Kb7yT0N6ew3E1AQ0lxw8xVxi0fy3k1yxf4qPmM0IZS+nasJUC+h mGo05jtptP+MktPysAGP3z2dPpIx9ZHGp8sQ6GWOA6hEQGm8E6ziUzB+LSDyxbUiamMxEPMPA0tE TxcS/mt9h3K0ud4m13045JZyvuVum4Jc2g3Zj/tclYOWF7wbsq45m3TRDi2JHgkHlWvalo/mvuVd N54w/8uevo9QZwxPAByDaNDzC5HnVXnAzusS3RXTVT9dZ8zSm1EVuAvcNTWMlQO54IpoLoXoewF6 5YvicMAIRd2Zn4in/TUe81odDZh4tUpuJzfhpsgrwjaj19/KHso6gOTxOJOn8iJyZzY4CNlzstn9 23KXTfFfEBq1WtobG1n7Td/jik63oFkbAqbpVFp4mzKxqUFhcsi3XtpvRT1ztTPLuwqSvYyc65RZ UMSq7AtA0IbFu20lwNXmRRtWilM+Fxv/T0fJK+m0jMpdNWCxqxc9eV/6MPNxdauyWw9ZHy5G9cnp KrWoNq2pk4nuKm6btXdChJmOQyScOxea8AiPgEgFZVDjjsVztaWf0BWhakhrcWLIXwAjFFtkHEBM W6jcdd3S3HaIo1AFAGv82lP1BwFwUjwTW/IUZz3vfNJmbFIDQllus3Gb2IjB5DUGq4RfHMJskAw3 TKXp84WmAa/Qzjvqe4+X+TF7+UV4YXttu1lA78su40gt2EZcPohwXEyJ9S0MK4hqHsUaeSszGC/0 erhTgTvTUBEsh+3qHW5AxNZl15cUyDRxiRQhl/0GbadjA9/S5tWXeVXKU/C8DjyMNxvX1r9Vrx6L Fs0+HwLOuU7JmZ0iUyz5a3d6HFnwVUkEl3Isp8YwFS/v/r8swGkpbG3YC33eZG93FZPE/asyj2j3 CVqDzO7z4cIqYLY0TgsvNtVWEUmBinAMPZU01hlKozWll1oRyD3xp0Vw++AzM3LvpOfAqGQXieoa Vh0K1FDhyuJ0WpuAVopR4y6Dji1QPW1OF/9+0htgViwnVHRgHlxMjfmfbKui5aqxKp4DfSS2MT4v C5hHy6cPI3QRiFL8+Zpp+0w7wfXbAN2AAgU65NUHV818GtC7TQYbW9TUxPyb2zvzxj/JhjFmjHbT 3j25OZs/eibWZZSMoC3Yz/572yNnlHhDGKqgnSk47mT/ISaZ6r78obmw6dEy488qj7zjL2kWRDZn oK2RAZKUlvcTy9wN3+eSCyKnpeumKQ23+LVYtxs9JyqSjrfn3Zax5rEc/h65EcppJCc3g5dVwe9i YoWBdWLK8SWC6q3fG3eWA1WcDx/u2zByJGOR6jK8VXv350Q6D4RRsVBR3yfChKAb3X2b/DyspsBf P0+iIRHY5MlDDQBT36YHqjEPrEUnAP1PXf7xbHW60L/QZpxOlI+O7sInzW9CaQCgy1v8OTJDr+7a pKePVFt5AEqCgf99S+WcMAf6D1kKRYuPS82B2rvGJ3YAWo72U1AdJbpZqeXiHKWOtAb4SeE34jzf VKAdGxH51wovNGH6yVTvUOBZ1tklg2vVeaqpeKaZN7S1AlkiSaKFRy6d+3ZJrsaSAzbJLV6F6NHE Izy6Ph7kF+6wHiw2AAveu8BriSWomdVfyhCNuUkIZDNW8sdlx7E3+kHHhpD8N5uDfavPahg0Pg++ PthCM7qemojFr+n7nlr21QASf3un1/GzfzpY4SG2PXXUnH39VlzLFFXxHDwBBwH0C7CkRfpFFGOx oiRP09hBOGD9qOORbqQv27KWeKnhMPntt+yuX6RagW+Ova9y2p72yfa47iC02k9at15GHF4uFoJE iPAgUQMnzjYHt6VVpGaf4utYAK/Znz1HicKEHOgyLJ58d4SJPdgN3QA1J21v7o2C3EU5N8LXL1Ri 8Q8ikenHVhnoQVHwX3tiX0xXa8d2P7ufJoASzUUNfYuX4a1ebeL9O1Dqy1usH56gUIlehuADPyad EVkaTURJyAuxjks4fDFrBYl80Lvzxohvs9O6TPty9ibPvPwYwAfhJFeCpWUSOScxGz2bSS54YezH K3K8UKbrF7k+nP6OeGudXFBXZm8Cz1oIbswsZNgqxei1/Ac8aB+f+ZdRNf6XgokmkT+xvcUNQoSb mcRklN28oIrmxvaluExk5Q9Qr7drbaJAOWgn4SyKFIB7qfewTP6VP90lfnqysmxuD7vNTB10svOt 3nKFpJY2ShIedD0/ogU3zG10elEup93teG826D7wtYtNc3Xk3pLN3KziJOVQVocMApESz/GeBd0E 6sEMuQhxrplR+bofZhG6soO4KZzOEmqzggjgfVF/Ldaa5Aywrkh2I81rgo6H8I621hCcdgiNphs9 jOuTyUv0W8ZGh1WdW3vj3WdR61Ez3DGJlcBJRHIvngvLqtxhpWR97Ay84sO6r0vtY8zijmDduZzM lxDvtvMqlCNjSFcFDki7nsWYV0PLSreS9l70NkkNGKKV2MnTRGMdGsJtWPYNb11iksnTa9imSUi/ DRqTcKgV42b8DuQNC4Dq/lsaj24u8DOPy8hDp05tBANxkEDGXdemP2ogit2X4TySEh6G5a0mev96 TNnrPSasyhzKSOpWb6XsBfm7eFh2mtcKlTv9SAoKBRXGL407zFLIW9ONQFA98E+M2YhJ10Dk92J9 2JZiLKzWt9MdJq3ttoxvnmEYML5DMVn8C2UVpYFtl9s+QVSEdDbQ4fA8ux/AWnim8mShcSIxT+qP Fqa+yqSx4Dj8bUS/e9L0mwAqob7T0XWR+QKwWKXz7IaEB73ej2pOXuWYWrxurdKbp8xLEFdaf9XJ EkPm1tc9wYiDDTEff87YbkQTE3k48fBK1l/rZpHEA94NpN/ilsZukTbXOi4OFCn0pUYPRJIag/zy 8fPgz1DdlBjP0jPVJDe05LFTPLNXDQfcoF3kQjrU8e4rKQK2TVLjtfuUvlsDnOjYYYzeX5MLSUqt M/9qEYSySIZwsDHdPtrjR6AD3csxJ/dyz8RZ6lDRJQU1EvM8wfrCISkj6P7kXLid7Q1sKqNMkfzd Vi8CxYilh8JUfdhCKZvPwYSOQfKi1dXTMLvg405JK7DI59sc602h5ujl1cvPTBUSpNyRv27QNUTQ k25uy99HnFayWS0aK/Iiw/Vannzk+NKvoD8cMm3hy5timPzqmEaJ4S3ezwDdYl+ggWbtEl6r69aP 6EiCRl5NnmyHXDt7l9948EyFFYQU2bSPWF4Tots5j5NpMCQ86zzPXyjegmLhPdlQfyWOei1gFhwx pb+K29skFerDXXq4ekpEgvyyIdm2X5Rf+M7qsLXz1ZaYDAKd7z2A2C2w/kDGz8UB1Zlv/WA7IRxk OON/SxjJUePy8tVLDqiC29PbZy0CYFzFfAoJUqAiBgdbcoksT7eRWp/30tVUZmChzR043eyrt+Nx dG6L9GFWvtnRhMctjhlw6RPoqFSpjPuqn81BU7vXGIbdOBXS6qhzSR4m7voUJXrYNFVMy4kox04f fRxXRcdFVVf5PREIIAKWcRu8DC8ewu2/vB5c8HwXWc3L8sJtWSNr3aVVugadVsl1ZIdt5UfC2lfx ooSwqlq6MmevQtHk/7phCE1Izz6szdBWQ4xXADyXwjsByeOLe0BVE+iQCU43T2lg13WrdFAMlfie 6qJKdyjLbwzR424P0P1q+9BjOTQF9K9+ys6FyP6+ifS85MOmY1N1UK651/GSz7yx51LjGMkvVXrX yf2gRWFf++zXpN2ohADQba9JlEhEpEVf8mOCU55c17L6zJlSx8E2YVw8FmBYiqv/8/LqDAVAb1qv KT+UR2v1madcHSJ1N1+NFbneVUzw+SMsd3L4R710vOX1eOZ+qiUCySJ3wCpVbUsp4Qk4xcYNsZ1n rwVBX97YEIgftg0UeSUfOCAvxYV8jCITacZ9WJ5xuWkV1tRLH4PPGlGXSsiJbKMf0PlCGWO/XY81 lHDwyo18/dn6yBd5jDpOFOXOqBovNtBGSXk8xIBxbAAaBnP+G+huUHlrLnuNihdcv1snSjc/9QhB 0x3piv1M9J+hxwY1AACOF3trMPEsBNlwKZVweUTwp14MTTkLNiTNaZlHvcucFwa54koHac8KtOPz S7B46tT6kGi5AoSG1NM/Yuy2j6dVpnoQQnGSGr8SNUuAyu5QrQJj/u0l1ITeswG3eo5wi+XerMsY ku+VlqpXTQPQ8XH7utWsDLwVfiRjDnqDhMAOlioiT87SUsWQ3earxi3HV4tTE8HR8nRgd47Adgcg E/SkQwyXSopEoMqqNJm5EfbZ5CVVBmhN7Kl1nAtc41yU8VUnGe1VGNqtA41bicv1ptWhloMQnek/ Np1dBhipOXhOuZ0XAv26mdRc94zyCqkiQD3B7A6XKmRmiUdtdgA0c9VC8sulh5H8CNohRcvF53l+ Wz/o8yplk6pil9Q/azVUD/R+aDZA6tgCs4lyCGidJecliV/EJULa2mQvx80eXbRoHon4uw7pwknZ oW2p8KmmAhMPFZ+1ldGk/OMYTbPVj48/xeBGQUDyY4rzaOJ+y0tTGNcfNiW8N6toLgPdyHnU30AM I9kFjZC0hSsHRkBM53NpinvJpregX6BxzTCmagQkfaC7ok6mvf/CwBAXuRMB8X/t4HOxt0tU0uve nHnIxulVF7n99pDwAk/tKeCcfYUaIc4lLxbYyJWX3iGcSIJrF1QlWv/b+G1jlG/uZTTOIfoGLlaD Cb608RQnwOSq5Yd5CIjE1UKWzz3ZRSsGHJlUJZ50GrEtVL+yMhkZ6U1ODg8xwXy0gURpdoA4oYjk /2Kclez7qKe3tP5tN9K2CxcQlUlCZnYu7ecE4aofUzhZ4P91ron7SJAdIGpYRBOwI4AoDPIsMujr /4v94pfQXTvQRel298xPOM9ZAJUiBAz+koqfZ6FmJHzt8ox4qdF6s1Sj57sygzDcbmLT3yjqPU7l dZI9fA1mhdiF2lwoXD450m3jOOLXe7zhK/XJseyopgTA0IY8IA/h46n0ANc1kd4NuTPFfyiyZATm veLCu6biCjEVThY6C+Y1UJJlH9vTzlir90pUdKGQrgt+YpBxBZKWtm38voxj/EuzTlJjwu7+nx2z fnV3SeyX75OmpqHE0o72qsqH/XB6kKxQiMpA6m+ofDgMlTjFxmnAFi2F/HURUb0ILmKrbgoSkgcp SK4atCXTVDoy6kU+oLh+Jl+gWRTJPSUvah5hIsP19poZd+btZqKCCViNujyMlRKi02qj0RAFRGU5 Yua2Wc3JoM1V8ecdYglhdvFDrkRmD4bCcPCIKDpZdnzhigISKVrVPMwcI/eRYLSU8SzMdkeWVsPk xcvtAjvN/MXWBctaaHOGJUG0wnAlklBQ/5mEN5uFuL2fgVJjwPhPclNxa2pdf+dpiTcQKruZDhCF m3UJkXXzazew4bb9S1sp3YHAgQCD5P3RbtZOnP4gpmpS91qKKkGNTafw5ka/5F5eFrkMx6NU1YSC 50lKh3uY8mEKyvja9BhTP25y0pNXU7gH2eL1ABO0dzN/QCYlg02f60MVDWEwv7wckalyti9qFHF1 oY12fEGUcPo+tl9JLFyA+2cEqs0gHGJHcP10MKul2ZQTJ0jw27zzbgf4BT8BPEaxPbh7NLh4Lvfc DE0BRqDeDgHPammDxxd5kxPixvipvpN+xi/5BzcCxD2XexVdPV7MUkk/+TgG8ikw613hJ85lnI2s LrRRO79gg8wUKjpYjhUkwP/UtrbdvUEcudWkTqDpyu3xxxc91Bype5W3xTSLdXBnTnwkokFEZMW+ qSJdDWocNx5VE6YHin1npmS+ZXjeIV7NXb8mie9Ksu6vUrPFti/vSaTz7PHQLM7AnYSkvpKw6qhK fWO6j/uBCeUoIIRe/wZCN9SjapPgeRI1wV00J9g324vTZVzF9SOOn9J7m9Ai9H7DISNkl6rDspRi pdFNVboR89H3lVnpf0ujAJ/8/GTjAP5TSq0RUaqGHyVRDcJW0nCjrr6bgx3Ds9lalY0NfGU9BArC P6sTBu5J/n/2qoCTdbrNuMDTrDGdJkvWT/FYG4Fk0jc8/HmeMPl2hxJVpZbhc1nc7LsZhPrI9KAY JdDfv7qI1HK8689rY4XwU5VhLZ+wT/fpjtJZYJp2Ng9gVAjVeYByNyE7insPUWjU2fmk6toC7auW lJORO55HKJePzaxM291S7UoGih3mGD6iIsIOCAOWhph9yKYxZmc5eDwCF9BFus/PW0om9vv+J39J ep8F1ClFqc3MTp3mLtYrgVsbw7yhdPUR7Ba8xoPJohgNGQ7mp29aPYara1nM2V5dzrdbhPfkQMiX jXNW7QzxxQmvj5Axtk7RLGGZO2IPfA3I8f90uXMtQamTvehTovmUzE/yyF84BSo1Ly0nld+ehedb RYhyhu6koNY2am1wlH66Rfbg/La1klipVPEbqnAs1fuQS6dz014sqsqaHFIf0mWRvmwt3lqcFR1D +/mm5VaCKpMi2dwDQ0dD7ihtgHQ/BKy+HiHzH0rekDPq35zVNdwiqxWKhiVU0408L9qU0yVNz7mn WlGQAfCXQJx6ZFd/7+BCTm8xLtgnW8FTaTIFtZ/aRWqe/VbpsHGXO4bqRA2Tgk1/rR9ttQnJwWg4 eMFgZ7/RaVjXuj9vPQUhHch7mkwbD168BcrIFcYEkTjfN6r7kQZol4Z3ZECFiES3b1ggfXR4E0Xo 87sVkaUWySk3vebvWvddcTzhISW0hDRKtGYAy1qjBxddLT0cI3JkxB3pFFhYeteegc0Cu2CNBhpo AHl9dknDJemCHAQd6/cE3x1p++EfFY11CB4M/KTrdDV4JSjdsoLtpx5hsDbag3ve7D57K4glRe+k XYkHQsLiEYICnsTSk8fBW9MN7zHwLcHodxW5Vow6AJvfM3njJbEXrRdiy9xQk8Jgt3v3wTYBNkDU cr2h5ktNF1VsUjN5EkiJhQaRnh+NXmxAsBEZFQ/64FvRuFXBQqR7yvJ5qFDkWrZWksek5NjMJYkX 3tGaMkXbo3MRBVVPmF9cOMvE4wiyeDRNmS5NFANJ64TUWxIdr7HSsgcFiVuWmtvKBDaaHODPq0tn BpPz+y7zlfsJShOagjoSDRgykxyaW/x3FDBwkv7B0WEuW+6XwPJjRqW2iNXwLU24yogBzPu1Sxu2 u2zQO95Bd60/TeRFsZEEj3ohTEm6q9tIpu3TweTi5DziP6I3dbjzqUvRCQ1dyo/Mh8KXIZ+5sd9r ekCM9fGAAq9CamaRxGntxDf+qYT/p5TiRclv/EeAJ66hnPDihQ6u0zdKA6SV5QEvmzY+XdgjDi49 VqOx9FLuL/cPkd25mnQsOWXy2Uzt47TVoXBlAaw5A5VnJCayfKVGR8i1HyGxH2RAOxnWFRKmQaUE zS5GxzkkgMwyEOTAMki+/9f484FRCc5YQLU0jD7pq0PQuDNMZLqDHg5y7Jci+SZFnS14rdJu9GYy yyLfVfWZGetPjZ7HY2OVOIWo7SDT+4Y1/BBRqJB05sdJWozbPPZGVVnEi1fM/IEjuQTKqyBVPgrr tUCLo/DcQaC/wY/z7Un3DblnoW6SHP7iUtAdxPjXgf9SSXu7NHKXpyg9hwmAQT+nOqCF3anRqjpP YCfKjX11UJd1rgrW+MVVy7GB7E6fMp2BEIj52EOjFigWsZ08cV9cQsqpx4mob8+AzSLootQrxxDi 52y2u145qCn+xCCyKaucOOKn+v9YBARC84i6nRowi5DRmF+9fo2KUCJpgcOm6B4+hjRGHDQyfi6O ncNVuqMQRO71JP+DPUYXvP/Nspi0TfYEn94QjhhhkGj4z+MWllSLbhMC2SYX2RTVZKYUZIWLunZg xQPlHJ69qgWCCRD1Jw3A8ApXHEKBg8V+NQX5CWuLtnvAw4iqwHEMORBk2cORkxksWRqa1qnlFX+c kiSJL8eTEje/FDLYpFjzoFI2Ao+pa8zsShazg0mlW1oSMA01DyyuZZyGYkZQTLpfLNrKgyswznbA 33+ol9MKlOmB063u07FELG2vYaZfucU8WJKqUjzZtF3mpXL2/je6Ag1LO1Scn9wKUOYq5TLSdNmd kmHiuZPfRvPeuNY1xsaSl3ljIoN6x1S4UW3gIs1W2e+d5ZDtKWMQCY7HfU+fax/s25vPrJmzAdEz E+gR3b2QnSrB46pG1pkeme2stvNJnE8qK25118pj7FE1mu90ztsDAIyMMnU2FqbmOZFYdGIUc/86 mKBWRusQhjSxq59idzzB66yr6uQfJcmGZ7LBNLLCmFM3Gt3CIi5bcltG6u4qYhw/eV+f4p/Fo4HX 4YvOkYv6LZAViX7HBb6tJpoRYN2xfkC+MpxsKhi5jlrfjckTUMnDRlka5n1ne9TdzbRgZ5U0o+0/ bLPIkFaGQhOM9nwpxxR4HYKIqr2Q3R05dIaZxfIousDj/xwli49zJsyXQmSHfQyYb2f36GKUcQ3x Goq2Z2XlKxrFoPpCNhdmlexJmMh3OwRnQrtsWPH7NodfUF9gHRUoNvqzt7dYTwU9KKS5iKcHxXWd 4t7b69XRqVJHKT+clCMDBDJ31pr7CnpuZG6AiyCU8fUoqltZhxFNcYT4WgxZo3AeLgKHybe8oW8Y cOZzXO35JCg28uRe1wJ6tMb4mpSg2G+b4R4+UUuu/1qgXDX1+KP0JVaqEfUyELBtWDmk+MRSXhHq Fa7XgX4dgF4QhTT5de+sRX9KhPRBdT0sbqlV1l47ZgXqWsUO+WE3CNjtX1MAvVaBrabDnoRcRjnE 2cLg64kke9ay5bkP2l0eZvOfAdSpuY2u+dxCifJE1j3ECZIYYn6hHC21ZRbr7jqvGf0CVvLlfJHY K+L4YliNr4TKu58vnZitpSgnE7bcCNghvCNmHeZs2kjOFhdbTpvz6uTNvJ4tLTWcFtIdlu4DokiO PPYZ9bz1jYhcg2lShpZbdzI+yh547jlmsfkulzl0MQuSknZpWaO1fd9ilktdFUk8hWrbzq1dvhvn G2DfHscfPfLzIR2Mz8Frr+hIEucJmSe6/A4xIWEEN6kA8O7q4muh0HyojnfFV7obJgThGV3Ax4rS wLIMUprK6pMKuIrTlOL9sWouE1iBgSC6x0Vl1SWZ2xhqxFAsflXSm8DY7OohBSZ8KUYODA/019jZ paLqaQSYwB0PyGosVq39rpvs5hM0zU1DnvmkUWZ7CpDwNknUWsXA8uhYd8OaJYU/YqnCiZEcoM+9 DeJp3XAQMXkQ9tg4WyzY9qCX30OmVOlvIVZ1UnQD1x0jV960lLHMzMzvQ8wJumnX5Glf8eBPdbRJ /TILAYD0simZhjzGo6ia/y72t5hdu2SEjh32f1se9z+3CTREIeBjpKBI3d4GPHGja/kYmwP0+at1 JUPKxGj7+XDABIU8SNUKYIMTti8w9enRDfSCVOzrpyrk37vFo6rd6rUCqL5z1LSGVziXN4L1rwn6 cfi2JZ2//iRIkDQHjK4iAFu2YePMIzQo2+TH4PZpe59ySP7dz8FsEPYyzVUftuDQschBAVPdUL82 Kklfs8uHLYGJt9mdJ2vlM0T6JytqSAiGSkajaEJNDLN1mdmmQJFA+Ktc5V5xoOr2j3EQeeMWEBQC uEId/pU1eMuCg2TaC4RUsfkR1PEicjmeMag6CMoJBAwXXDC7X4c4zx0ddUA5OHdh30y/Q6gi+g+M d8uyPBFQvwiE20GeuM+AfgckrfykPbNJfr1yOXQ2lfpCwXCPFsL9CpbSmqh4KmMPMtRBmvK8yB5x P50r6ErKljT3s4PXRBxUF9DWufsarJq/SJ9I6Da2JkZuUKytDu3xASatSXtIKuEFoltwv2idRJ76 MG1f484sr4QF2JEWvmX7/qpOIAKXglYeZU58QCN4d4H7Z0xsIG2KhYBbP2W+BwIjvgW/O0m0V0xQ KIr7j8F/IeFfCvC7XVUy25ttzTAqdBnvwae5vcPgKeDc+dgSI3Q/CVnqbWieOtUJiCMKrMfjWI1y gNUN9z8sO2HBCcFTAqNhJ5oVcydvUZa47tyIAgbv9hWJQhm1UfrcEHKFL2V6qLwc7dUcA6KPrME6 G2m7V8A3j9tDJjxZQOwkbN5Th1wpMxppjSc9p0cEv0FDKzlVEYxHgvA7ZwdVJW0/i1oudrD6oGdL Kv0Qa2cCYb76Eyl7gyDB8aDGTYEr4EExQyiTgTXqrCFA/haq+dFxQKDLexeiRnrg90HFWDQPoRKe Iknvvioy81k90klBtmo0Va+mY0S87enB6lIi+/3NBotIUt8S85VX9G0bKGbWJ25E3vgrGtmN7wc0 xlNWVK5Swt59i9XpHvs1/3wE5yCUzJ61bCpi9OwRLN3xAF1fGs5+WNbo89/KJ9rwPTaYhsMmYisM pE0BoA0PK5qiolTemwKSTgv8LiUjEF282+fZe9jd458eWLMNFaPvKpaI+r4RsU2YIi1934BT188q R4/rHVTm7x/41BiQ8XtCoBum2aBV7NnjxCkCBSOVjpTxGxOlqz0gTLWacvihOgFv+WpDAbSflFdD /qHOX6KIkDop1tYswPuLgxSDFCvl+TNG/vleJV+ngF4Z1JlcSl+htc35X5Kcil+In26SMhhLPBxY bvRRSll2cEKvkculxHLZTi+FSWECoR7WyM7xfbEHQyACD8V87RKHRedrKsnP7bhAWhCIN0SVQuXb +HIlcxgjAVtVwxxyXy9PhycMDC2yPA0DJO9GtIxC/0pGS/G09YKpkGz14Q5iVaSbOAyqk6rcPZ1c JpCHQICaNjwrdfLnVDQ0mjr1+dlIvAEfBVB0jsMtTdIf+rjvdlQiVZ/EV7mFpccQe7Mn9I+Aiv9U jK4MYlnkSjaQG2T6auNcNJRBlR8OLeHXP2N/m03CWUyBE1D+J5/GVDTkTPsn67QbL0jZJuHInX2c hmqTTvRnpzbuwkI7zx4abmUrFgER3fvai9YzNoWNSk4V2L7MU6r83b4GwwlLK7+D65AXnGMcs5ca Cr1MTOcpwPhkbfbjaeBYaEttbSQxu/IPcn8DAl6KPpSJgWgaj1T/vJndKEdDVbDrpK7yD7RGu8YP eXWTcNUpKp/khDNJOpF8DbgLOpiEmhNnjzzc3BeNK/0i03r5qyOV3YmdsfdNoof+BXCqShrMKk5l Jq3Mh8j+qdTs1xctC5/NvRp1v6SKQNsQDTMFPUNm5FgUUDzT/Uw3P9fzAw+uP6WjJXCUznF18gMm Aic7mXP668m/+mg4Vpw8z3RC9NYTqAVvmFP6atZ/1Y1s/CpK7qwUM+zQFla4kVTgPVpPpiBym/pQ fuVw9t+nYhc2jIt7cYA6PyrmjaSq5JQqBPxOAAvNV/6wMryjEeUKqjGDpt0Yxdbi8fGBrNNEHFjl AFGATjp9g1ORdPyPacrcgPYhjbSEYkrs/puAJRbxDwfiJtixSFYWBVuFK2gWXdvdZV+l3P/iMlRQ 4rKqwB0KeJeCzerAwXzBbeBiWq9NmViLbuRSi/jJ3//eiBmbXyoINz3uPlQ1kUhLM72JwBxZnKER D+/I1SzgOQmzmyB5v/YLIcuI6Y4sFDDGC7tuW2sfnpKctJa0Fi/KOF5ZCFz1WGRjprskGmteY9QT z/QPI5pqTxCS3lAQg5cKk3FmB8aqK3nK5dSWpMkKWtYZbw3jeSGK1qDvy2jVfAROJPI91MuNMCdo SpBaWcMnacQlY8l+0oGLX7qrwV+sh8NSAeXcpzlTQwn64GR9CdEdrfzjgOeILKei0l9mUEIOTTkH WV8f+ER2qqqQfTwrS29A0GrSmC+d+qS4UlQi3eWOOU4i+s7KRAkhuJwABqyPykLzw5DU6YRVOygx 2zMiKvfDzo9+eD+MBk8dtkpTw8/sXXzt7ygQN9HTvfYDfmFNAQzxJJRV7KvASsdDbNtWCXOQdSnJ bkwqhuciryprnbc8D3knaILakLFvTDAb6o3jL0tq9o2hwExxdLGaCP+s88J70We9hYW8TuNf212V Ce4og4adpqq5CoZuWrTeKYFYtLE8GJIwowbzkTMzT8GD9cu6EIOaRlAWISJ4I5g8Cv7O1eAiN8ua P7GMoYCXJt4/5adVZ1vGwaAclWgO8Lr98B1nQ37jPWJbDMG194rLdANoyyqNDpLwDMap6qFkNc4J fqsyBppy6msyB09idBkZHMiUDkVvhjaEQBTeuU81MPehjS4GChQ2LafCHKSO/vFruA/ZAUBlZkKa Kk9ufcTme/kWfTSVNLOoA5vIgsVsVL1ptqd9M/vF4HRMRUYEiWpcsg2Gf316IJz4qIwH3kDAp2zz SW6YMi04FMMAwbzY2XmeGrgEDyDLCMrq1I4cx1ci//vKtuWHWbuKu19kxGjBm9qMqj5imNQEtu3n DjLmoCA2QaJbJMdIQGnStrDY2qwjre0f7xzh5lc64/L4qojOtChtAoZRly2bHHNy6ac5O+SEYi85 lxR2BKlGA7mafNpe4dXVCTIPsivXO4wex9f/V47ANalcca2Y6SXUImG/RHJKWynYfjAHarPovRzE nGr5HQ6SDk6k2XmiduF8+PWf/3lNr5kcpPlclLUZaseQfOjUwyIZNmxlotzp1vWouzCBW8qET42f l1tbuDxA7Isp8W5IQTb12k80SE8LgeX9V5r63KxityEJCIbLqCjqN0g0bpwe+cTLEgoFgxvDRYHL 59BAFWW1P8I0pxI/bHTxKOVGdyPlYWCaizZN9DyPAhGmbNag4inhmQ+896S6ySpnwmPOtbIK9zOL bwjVzf3wIaFsAtEjc6yKbRr8erAClYVeIiGH1mR+SSufQKzEqrd7YS6/HkPWu9SzkcOPuT0JIv4A 5OYlH/M3CJOYnNPTYaeY3r9Bz4RSnv+wsxRQHyBRTwcKwGr8O6aWNzQclZnJoCtJEVNwLYoyo7aL dDsRTOi6zEc8czZ6dQDO3kdgGn29yc8HChbY37oNTu3y5tZFf58egy3VXlXMQPj78hqHmzMdWywI DqacTldEj2ttbWONmbBUNs2AOZBRgMDwJI0Wdtj+l1YRQUqwxkSrL02xj9+46E1d+kiIQp39/xCL 2bW9ehWhfr3F2tEEUlFYGcKQbhiVS9SboWHFHaXaFDImnQ6qbvhSSALbD+/NSb1quSX8I/8ATPwc BNwzgg5Bv663HKG913e5Q8I0H9bDIYmz2eWRJldRoqz2iE29bZdhu7N74qjasVdcwTftGkgofONO dGiT4cjYOqXwRp/BCxCeQU0NR+lgWjjOruOdON3KbkzMztSrCprMlJz5oH3TI7zlO31lzANwk9Ny N2KoOjRRu+ypxT9uYjEmPZ/KrTWgOwpFLZipQv1VIsL3EvVO3tSqJ6qL6ahBJs+kMVDGurs6S52f deE5MdZU0BR00H6i5PwHlEmlaJ3Y/czO8hiin8BDkXQ9aJlP663Zcs7HRcqRIG7E42+Gp8vYJw/L iRmery8aWpk0KsFJ3lVwh/sltTVQhRo1/QmhtkSVAXps1bKATIqmUQJHQegGzXXQzCgaoFj3WdCp B5RE7oBGNIOd3o3NSzpjKIwxTRQBwo1SEuDmVLA93QENaeAhWcdrC1KspaqkcLW1gxE2DzSYXakA ABATlsU4X5a7/g86zF0n/OoiScri+tP6Bc9k3RhVFTV4kNscEHahgOtBoPU5MKJnVvhl+lytwccT FejGMGXMYh1eO4A+r8xBOfIuEqCVDyY2Aty6K5+8J4sGM42pPtNobJK1+ToOdsZNQvyKnMyRfOxv PojxvHW8tDyOpIAMyHt5vaOP+nTC2LkdFdY3avtcYgYErgCnhbNcD6TF3dXCM7KIXbTL3wkaFoPJ 8UnC4hNHX6GRuO1CC+SEbAJWOPlObj1W08phFGh71pF2NHxQE6WvS1wyKU7dLGng6ARmN3p645Me CNLfOLCbveRBhCkcmOrQvW2RnaTcbk/uxHyyB8Kf4Wponv4qUFXnXq5ayeP4nq5b54sPjF/LxRJN I92cZew8dmqxolJyL42DAAyDsJkoxiBGh3fntcWaF7jrHGrFppSNsjpWEAUbr3rn91hZ6SBuYcBi fHtHwCx4S/gMdZg98Ol6c47Pln8G+HpltT6qxVei5irrPvDjGFA8XuiqUwo3Y2vqh4x1yfrNZaGy qyly7hhVBr3W50J0xXKOvZb5qS14Nts6Pso3jg6j/YS0A+efJGR8t0C8pu4/KixKhGosaI+5GPQ0 mPQ/R1rg5/70K8eEmlu9CLRZOZPMwllfhwNcY+JeOwzqyZYM8ux2xZnP33/9EkQoFsAmg2lZL4Rk DsjuceuIK0nyAuc1EiJ61K2Nh0dT1u6YzLQxxxPwN23mwPPdhVnLFBfum4EtTezxaPWLDPgWoNz7 sBmGLJK2hMtT0+RkeXd4SltsuwMuQukYtDMKBZ1P0U9lmQv7rn2wRjXOvX/q2iPDC15/lTLpYubm 5kdhlUQITMMFJzBDXxXz/acRsrD0tghBY1qbMzOsbkxriVwEOm1V63FsogrMMmQzRg9HDY0tlBpc Tjel2yFF2rCeGMuMhHsNz/NtmRx7PYRFeK0yaVM0tQDGWttu0h0DNRP8E+OsEOQU0y1Qv1ily7YK IU/EU3lN7UOHfXrJ3/mGfboRAmI5Av0kHvw9nohWJSKHKK0OyPHpxG/5yp2O4KpDDvN+UGEkqTiS aQsXTIX4XZgbixW2IFoBBX15Aj0oZZlDpXKKcOgUDDiG5Z+QvjmfXPmxleLoWb5hq4xZDR4Jt/zF 5aXCeCO1pFirO5f0qPlzcynWqN+nJB+DmKBkmou9tfjCD0i82FOGZiUwbSynxz7rYIn8AwRq1HpE ma1d/TtQfmxOisCB7tVkLERgpXiNwAkkmSyjzGrV7rPn3sWimFoTrjyOcKUO8VvWnl5nPJ0JjT3L EIS8Pt9K7IlxrQe7u7o84h64PBc4I5sEcNVh9Y2/33OTe2rPkf4w2gUf4M305XAilbuCpCHzfcj7 cavxS0qfDH5rc6NWmdZ4D4XJiQ9xg0QoAKVfd7QhCpiJ1XFaMcOOosKnDmwk9PKeSH5xNLYZ8I3K FVeBRU6oBdtnqBAwN8NBaQSxCiDdSKAEkpfoL3iw3ndcewPRo0u26/rsOj9T0fXJTTA7rxcPMAV1 prFnWok8wRAa4czVg4rLvfuecLA3qvtrnF8hEHYxvFlDiDjch6EKhIviBsOAvkyIJtSjPlmaSVmF PWE+zsbOMdRbOM9U99xYqyuAKBcKHSm8ky+/CTuaTVsxv7XQF15FnABjY2uBYZBMjafc4ZBQHfd5 h3XiNvJUTM5HWfr934CHngum/wqqymWjw2qPDfbdw6cZc+4Z5L6D128usPGn+0HpZB4w+bOOrwFy ME8A1pWm7P5m/Et9U31bu0Nn1a6C4+GqbA2DrNEzp3ojhPaYAr9aaeeWTLwY51f681gCKAcX3U5V Xotn3CJjVgHwwFM8mGub+RVpoHWT7XEDcTzC75udo1M40bnSHiy5D+5HHyIUaCV0pApsU542Fp7n R3Vf0zqlSvC8/weto0lEPjfUWvGQqBZFiMsRHLBvxOsHLnUAbTOrPcMJmw0V3Rb18rQfd3Hk0X/f qy34t3Zl7BrzBXJvXXRfC7K3eByBblBj6AR1rVjIBvWTgQn5THbwcqflOLoaer1tyvSGoPmD4vt0 0o8WvIdksy0mBnLEgv5K/5DaYkcqCrF+3vq6PYWk8IKqo7YlqNDb8pQK2WKO8guxGYW63JIboIiG uVFTS9g6mIJs7t91NkZHqfhjHu192hXcdoht5lQUxW4sqIrV3SgF8oh/A+7mkAmA0dgFWcu7hI6R sPebsJgvCQ82/11pbtwi0ktWVsmUX3u/iDlJT7tUobMJm9jYHsqGeoLbFksO2pD3NVfxhfcnmGaW OKeuvNxZzdcOYgE7RAEjMSKqAGYFFn/LMwc5sSfskoVctdTOfHa3XpHP7p0ut65T44tj22cwHnb+ BBZ+lDdnzCQ/oBLjUZY6RZdvF6w6Y7GYpvczRuhAZiE72AFGD9C6jkvbqfOkjzVjdcTHV3NohngY cAO7NvQtb2te453CZ8G+k6XqrxLA1LSKIfDlpdyzCsl+X/258n2xiI45KNjDTcyC+Je5VuA59f5k ghsQNSN+RiTBoK4enCQGZnSWuEoZoIn6XaxpGTwdJpI8ePW6iFcBZeG/nI0wv9BHFKW2Jhokah20 nO1UIw9TyCgMKrfKJHqmYWgtCXuylADXj61BcwqeWxVXCFHHJMintLx5u9KSOphgx3cILjzq66Hm ELjXq+9xuEZCQMv7ncB/hKJk+6Jglx0iSnWolQgJ4n4SYQf2gejDZ/Cmyvcm6W6PHjS0hTvmUmUG ZM7Cm2XHW3HWDGO/Y+bV6TqWWQa3TKoVkVjNzaU7NJlkuEnFRvTJsJ2qJh2h1//V/IfxwdA7C+mG cYhiN1Uv7w5GQDa229CRKTBTYK92DWUHV4zwSLDtbEMPx6ghsOFaYBW11Meq0iIBg9+/Os2r6foN s1JjVw4h7t5PupDXojZWKJ/Y21o+SMLVKTYvehxzYsePPKosmb25dIPPevyrUvzYu8RcHx06oble Mg5XuOPsVhyCmHmxNBvEN0BtMn1rWyRKYeiEvBsqH5meMIGxFrsz3lqn2BQlWc+U32XaeoDUKefL vLrUT+tUsfJ2abXhIUfp2rtz0Vx3EeQYmGC6jDg0DORVgNwgeXMZ7pyVDNjng5j4d3e5KeYBZFRm eNydZqnC5HSvgoxeJb6O4y2rfZN2Ovvf/FVqJ5DxiJSCRiAsRwyAEjzSBqU9QyH/qpLATysjRI46 o5ONfpQvs1UnFzzUN2w3WRlJC7WTHrTWjbinVUSgKu1P6QDQcvS0oa8sGvvm7/2oUrgiWOe1aE+k vPvcqNVuk+MAxb16WVldv0I2dwwq70JUi3tJvpttGlq/iviSCOJuA9iChjt6J24wXkkiROhQADCd gYmRX3kBulqcc0MNlIOqwIDnoWKW56yfhZYeT9Ih9zzrIxYLiPzDGpDhuLcTXM6r9qmx12pwR22e Mgf7BN+5JbRut0x6MLHFqxcDirqiikyMFUiiT7giI+9f6tz89Q5xxjT3cI/l2kWVIDufVaYl+WYw gQIqpL/B+7Nzs5ViF2joP6+9oSidtYK/5Ajq09ilDPkJzHsgUCTqZcq5el4wtv6mZxhnXVCLQaLr S4JQYsuHwA9/Z3abq/qk0th7lRBzqSCh5rMzJqzTEjGbBT1EZHVZ/Eo5CCRA2dHgZkJBBgUvouzQ 9Myn4/u/nl6dQXU41ktA/28RlugL8hn/MT3C2Le6r1NDTEsTTt9nZfczgx6XtXXHUl8NE1E2Spdq 31MkAgYpjqqMVkE8CjAC2pU/KuI70q39oQUJKlcbsnR1pzIrrgWmccjzCJ8pYWTMZ4hogKnDUvf2 xtceHluATxC5NSWi19294HEKhlt+Jt8ihw/svlPjqxQVD3z5sjht36+yAV2nACjXBdJb3S94lVkG 2oFPcgV3e+z7IFI6CkFBiElq9ZGAgcc/maz1urUnkDojCIME1L1NZiKYD8Bz1PNAKjmScIuEQHp1 aZimRoD/To+HFFKuSTm0exNofnNkYfCP2hT+XKsD0FAHpBAzOhXubyP6JW9G6LKiZTcZBCaVaOes XpcyS3UY1pseGuFxmRDMwjt7kfSTQSoxGz9jQZXlHRFo4CIzkTEpI/SC/L12QZ+NkP/VwXPX+3dv Dzxci9ZTxCbtyvr1yInoeQ90F/jy724+bqQRbi1xaFzjVl9Sbpe1irzPcRH4WOTM8vbJSNSgxYCp EFpKGU87QdEGpZw2m8zsO95g+ak8r58gqnyXaMnIhGOKwoCIh5oq7bzw1l9jbc5YjF2AemNWKncR +9IhwWqVQBuKZn+BgCSMDMLibhVfGq5wbyUme8ZPSs8FANOSicHtfBogcl3NXtFdlZVtCP8bRjiJ RNYDRcFtZmUIVD+0/0U82v3DHL1D59nmuvZ5cF/HZaLV8oHgKOnJaFzKOv3Rr1XK5dxa1ElJNmOM xv5trmcSJoUozIW5uC4cN/qQ9/33d6dImM+qXPNsJi9g5tzGZbusxacOWalpp3D6/q6qLRwFR/0Q CWNaPBdFVUvl1OFAj/ij0y96OTh2e6J5YL/3pU0jg0AuQYqgFs1d31uf3CO4k+9CwRIvg7ONb3M1 6/flvKbxzs9KZJWQEYoEGC6OQs+Fm0o5PnAPEWYTt99HFQJZoGDQ5MhJIDDF6LJC+lLPf3evGq69 z0OjzOZwafbpzIQhDb23VQ1yZHH3ez9lGBgpjTJH9UHOO9s4OSFy+phjaydL+CEL6K10CuKDoLnZ 4Bz1m2egrzS/qm6wywMfd3liknM7bv3x6thj2RH+Ea/qryKbF0AapkXbSKjkrNt42Wxx4q4J2lsP l7BhHSKJVNOlN8tONgVmXL8b77ca6YSF9e37KRM7YbUvde7Xt2OTMBOuPBsY3XHT+UpqoA/bX0kN HWTJ8zzlsQwthuMZzaKEWuSFQ+w8MSF/79SnIu1YAyLi8AzpmPzohTtmy9gmD9hb3IRs5vE9opHE 3dZEwQGISo4Qg2tFezDSxsFGtySoFSvk4EHQEZl3DxYDEqNVD5Euy1GI77s2dYAgVpWqxr/ovOCK QpSW89hjrEXyKwDe4ChGyNp5x11im9XgSkW65rNItoXsaYjrTd5XeHYK7ldshHhz33mSWceXWcgr 65/77ML+IHT3Hw/0PIcvWYRvB0L2HGh1ArBYHk6tb3y/lIAZbq31MMaG+vUTLTPs/fI2B66ezotO aN5WBmeP72dOdyo+RiQ28YUCAsW0kTrs8VxUbuWiQBjNr7sBzwJR50svN57IxsJH93RB0ShELu5X Zly3/V4GBM9vBkBBwv88Am5OulWNTKc3d7H1KVbEHLwJh5Y6d0+zP8tPFvZvitud0s4b3d8T4r3R JcVdQxoZcr9BzhVNWAECJwkggWbLJif6/QNRU2NxbzvgCrFWiZ9RfEnMu1cy2pJFB5Ba3v96MdVe F/2AB35BGAVQyRqFelerOojoIg+fzpIV9lPaNynbvvyhYmPYoXvUU5wuVP389dVcJFLh0YmcNWxy xjfZKuCHRQddj4PEN4cCUZgcL5ZtC+dt+LrGzw6YlAzK7cfqSR/IUcnhg/gpEaneBmtclrqfvJof u9HS24munX/GCINsOZJOeP2RpqvmVcZsXi9MEMk4PZWmZcA8g+tFlyCvDNLWpiMUl0qi2amFgT3Q 9P5XCI318NdHJ5mLsutBtTu7IPg7VWdd6HcYI23DXRADgSxoHPvQra9+clhey9xKzX0oyCcfE/BR qp38DT6zHulJlnxu8wXcKNh7l7sQ39vKUuYVSW2sb/jeMFV/pRNJPVqtkNBU+j0pcybOyCpXDUJk 87NnqUFZNeaFycHKICC0TzJeXU4jhLUBrVwHvwh1QOL0QxuJssYc4JENQQ72mka+AdB5CWAXHkgv cZT7bdflXjOq8OkkBZH44YVzMVRZLyNQ96hhWGVFhSDzwMZFIb4UUdsPrKkhg51YblMQOqN7p4rZ S+q8TWjySwszV5ovfHXkpvWNszwA5FmXtOYU4TTaFCD874e+/RRdBwyiUJ5xXQWuY5AZzx30LegP FF3g/CZPu64zlJQinEMca3D2gW+qK29QiaqBCGGF7P36r49UhflMpTXmaOv0AxBIXCccbufv7NP9 dFjLW9nKCgnHRYhXxqstLBu4Xm8CAFHGezVFC5yvrZYZGH+gz4ekbhxfhzVjU4aXWYiLnF6ff5QY huhHNkJfFnfrnwpKRgQvyatACT1J+Wvshe3JiS1ZetY8B0TIswUXlXvLHeXTUTvMzQ4Ek0NDA0Xf QHHCyxAbCw8BEZOngaUBXb6t9/Fy9BFb7lmzm6EbNCm23WbWR+LjAYp7FJP7CEG/FsDkNbvUqUen TrXmc8+wJ9KIW8W+OIqlU1DmTHQLHw55WQVmgFwWT+Ah5vgsYb5g3gSR2aQDYItrMfCCY1KJmtgI uyyn1iROKRJ45UKW3XbGDaTXYhVYHLVLcYHQHkToLfuuKzqjxFMQ3WGYwxGi9AQKdrGybNevh0/Z s2xGW1k05P6jCY0UFAuBx+ywD55LJpCBmx/GluRycauoyn0hCOZce+rjPrBQLhKFuyanW/K7XYQ6 SvoBMtYl2NTQHFdj6Wl7Y+u/gwo1sKfGuMi9UK+s9Di9e1rjm7TuUucxQWNIXa/fGJ0j+ene8bP5 dsdiBkx1hnAeIb+K8Y/aAkBa6B4/6qd2Szi13WVkbtFWrv0Qoz/R/fSm6aBKFTm/yez6LxmyKu2D fKJuOhPNthPtgrq4DwRfJFOxSMnS0ALG0YYCHSVg4alIh8XLvm7c6wAqpKaRGHuetsUgNJ6RdKFw LshFSlZ4T0YAwene6DODZYh2wJMqvXCwDAZ5mL3+FBN21SVPTDOtvSaz8l/op5l8xfxR4IWkR+nB EMh5sxEhGbgmHZ18brxOO2jPfN/YeeGpYMt3dJv/QOPhVrPAhgkRRxZDqCQZcnXsBTWQZsEfrnep 6HhG9NVpG+bVmBk+sW5lMBMuzsYLI03v5haxzZRZOPOJ7PqVi7IkDoz6ZmctpVBW6WOcRmDr6F6N Y9j2Gif4z7e1x2y5FvponeTkds6x1gyINsHMXTLH/Q3MG97l0d5da358BPTLDmeOPT3tKEu557pK XKZnhHj+n1JxBUHV1ttJdr0egAlJhVNG6RnZWZDoqzvSW33EH7F533aGCNwuWf6Ebm0B4+xb7hYf zyKadJoUKp0WqIWaXeYB0bfCnDQnJcoFjZwHnI150P4IJfCD9NlFKP5AizkjgRZveMbmj9o1zpis /BGKDUtrZkYjEhi7dZNfi1acUrB+LBQcWVOWhPqFMg7M8nWA1zMNECy4ohCMs3JitQ0kC0jLp5Xz vpfe1psBRWbKFQa6L/YICryJuvSuC1Vf5xPU42qQ+KyQOA1j5tPyNjxzQtHr4PYbFKBjN8gY5f7m auD+Kx9MJN083W02eFWYH0hYtkNzwHQagO//lf15czYKfKiGDJ7wT+0hZDWZQvXNVVNC/utOMi67 k+yCxQ8SaDJgbAbLfCqi0UNjtA== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block AirNegVakR18cVZJyUoJtvjY/hNLfIgSP7oGQg5fC8Ngox2VCUgqpET8MayCDA/ctnIeWQZPChzt xSVMjErObg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block hGe/nARTTHUDR9V/IqMeslHeINWNkb38GDJy+HeZEnl5ZmEYw1z19rXeCG/PgWhNMMc/bPH5xbCA jPhDR4N8v/7/cme9NyHpggXTk6Q+9+lId7mCozke1W1T7eGvKrMunih+0ooNbYBa0sRVxSKwHdCg +a3RfvST7rUodwwXJ2M= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Z1cW3udWWNjWVz6LMwEHCuCYbkux4tJEyuhzbzfzs8eJz5oRGSpmI8lkq2RqlJjG60l8dmfDFuBB HnEyhnn31br7/DlkYdEeolg9KPsNXhRXotiJ2bVURQhA06MrOks4o8MzuKOou6oI3ZH1ceqTzGSD U5Xu+4i1pmpvYkb6qrcJiXH9q/M+DR0DeALXZ4GmtS0Ds+RtZz7GAQZ1RIIMgYNrbm0NY2aoIawT oSQIqc+uZTK+nMd1zxIWmlf1kVzZbXNSLr8pSqf3qLFq1aYDrYgAnczBdd369rZVeadfiXtcR5Ds dGnJ+QaLj288jgLYrRmf4lRKOALrZ+kPI8hZ+w== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block IZgEjj9lrH2ahixiY8NLdiOLzAIQ3eV3q3KbLoKeacZJMRdm8JwRtfJZDtmizSvzQ+zFfMXiIeDf UAXj5dtRgtZosR6lyeWgFo1/Goj/H0hY9+xLbii5apEvCJtEyGO1/L5ACA9YyMUgJgw3mKuIpLuj WkLVd7FJryYmewiWNjY= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block TTYcZMqCzK/1ZZGPlRo18llKJ+f4WQAug4dRpY0zzqLJ4go6qcDRSJB2cGC7gX+ksATyRea5UWaj 4yL4psRoiFGI3l58mHp05/TDQLCitag5lYich04B2FEX4Sral3+uwYMz3XU1GaNxsG8XIz6pxjf6 j3NpJmG+LGla1n1/Q70AyH47jhfMn90o/WH7YXCwSiW1UgoZSP31j4+BS1NRDUSEP+whi+30S1In kZDP+NqY2FG9DQZAoPMWb0Ch5NgVaHVQcjosLKAiB7xa0O/3C55EUfcTJIpA7rO1Dec1udaOOTva 5RCZNjkAbbD0t4jk33PbKURZilYVPiF67rLHRg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 24016) `protect data_block uDZ+ok+/txoaFD5ZgjG7RbANRWf0Xwac0e4DQagySoDbWOOSw/+KlbovSuVyq14YD20vD2PD3CFs +8XvZE+hmSmt0IncWiDn4KXvgb/ENl2zmD2VRvT7brv9Wy3hKrQmYKNwjKZBbbr3imzOxeYOq4QF blYZRxoWAuQtighPibHLBCZM+vBaf9VDz+bOaJ8Cq6Kd9d41WkSByRsdeXl2siSXHAhNsxHXLsmY nIwQ4O/KXjECcuDwV2q9QKa6tYopP7GBMY95actgDF6n/gsWIVaCwae9NEJXSFp97BGnWfdZ3heW uvXTyCIbi9VFBXYMoAU6vhj9HYFtPZ7NAJ9LqKUtxukFwZLL1PNedpjimDbkBGvZDN90HuW7vBjn 0rqnJMhkLuzMvRCdqLE1xwfbM7OgFLXzU8bqmcJO7g9LudjsETvTOERwqAeRUnwmTO0pbQo+jF37 ym4byXY3hH2s3OQWsu3ISAeM0JIxmXecX6FfkSpbg9yF1DRbj8MqVsf+6cjssQQFtOQQth7F8fnQ lT9racNkgdONyk3IB8Y2fPs5af0EqCf21cC1IZ7N62xLfVZXEqfXH+qLOEn7IJQ9ER6LA7yI/POA alcd2KhebxA3dQOm6yrN7LgMZ0Z/nm1HR2t0S0un9hSRXXCRGaxHs4/Rmx6Mby0BNfjGXNru4iuN YisB6cDwp0Ucaoy0SncM8y21TOxeIXIiogGEJ9SScU68vz7MPiNIfsrAZuzpgY43rRM2TC26FKlf DjLX1EgVd0dYig4IgpIaJsJGcb5bScRNUFeIKuzjyRtQTAHoaYNpFcTKteZjSacovdZ2s3BhqqMX SutjqG5d7wBCmW6SSLpsg4A7M/2HYR35P+EKZhyCkmH3FI1JUuC+X5cqGgi5Wzbmb7+UoYCIScUv US7kl9zvG7cLCdHWgRV338bVNIBlEltEgWS/95v3TCc7vxIXDY93A/LX8vApA3f7VGxQu7S+XeS5 j14sFL+hxEMyAa/rOo+dPvCNjsroRWOFiH8M4jncEbHVMm/W0NCsDtszVnGorqwxX+TvWLHLmyj3 NntkW5AJP/FRV2jHc//el6wG2woCCo6aJwcv5iDwG7fb9rBy5pzQ1PeB8FIrTNDg8NvTRhZl8dE/ OtirTm8nxSSAYkZVWl/uh4uGU2hZKmDnfvq57O34ZH9uWlPbD4CuMN1WjtHFBGyvhvOQy3feey4s RsizTPEqmFvvu0xG/SHzEeSAt1/Of/a90YqUW32AE8mM8ZNEGVgN/GkQJq5lWq5tGqCYaMYNHWZd kKoXM+rit6vwOy8BDWTAZ3aKf3F0Lwu4rTBgB7lIBuwRotV87EnRMuDBTGBcb8xKyGGfjJR/BWCh Yd/d6YqaXF7y0Fz2NSS4ekgoe+P3hJLOMWrWiS3oK+x5c0R4F0+9DL2YAPK4BKe8i8bRtedrz7mS zZXKsTQxrYckRdnxz6lba00XOSRMwucY/BaVQMGJeOf+6+am370xfwcep+o6H2L/VU+Ur/hlZ3GP sUkvkKYtQaqTVtrDTO3fziZGSfL/m6j0OQFNZwl5aJOHtJUh4iGdOoXpT1HKZEF8tXGVG4bhMFyM dvgYmuq6Ia3zT4d/NhNuwbY01b9z1OLE5vc42aZ21snaHZW+/O93inP9y47Rq5VIRuCiE+zUrogw ik88N4u8d5Mb1oRsWhmMVfUejwRJWcmMshIgfn79HXNPtXmSfp1A/C4OaB3KhsrpJ/ltkCc+6BZG Cpqm9hloYXj0p5hZXNS3SAwtTYKc2aZCIm9f9dAqUYlQhdQR2XMyLUsIhEHPgnd/TCXf9nHjzlok huG9B+AbqOga0DdW8P6ITgEqwh8+4vyfHHnF66uO904CdqJmktt12TNwtnIzGDvu1rsJ6D427Qbo yB4O2+xhGdBbhid6HlB2Gp6qdfGy28Suj5MYooNFpES1u1ksZR9KrwIy4pxI/RnBY4+NVCCpKDk6 fNiY1nEjiLIzIj8+a2gOzbqkliEcJ1vc3D1JSzb0sWY13DHuPzpZSX1Vjn1wc9wwK1GzuaJRZM/s Pe+TDYJRgcC+yUTUZs2KHiyn1TvuA5DkShqCqFg/c5GxrTYRjAeGqdBslezALy1hzWyU/yPjvKWr JrLoT9NoZezscE92KKCrX8964qvaVjoCxdffhsxiaIGLQIo/0BSpSnwGAJpi2jBJKGKtM5gg6k58 mYH5KRSqzmRW4g9V9xnpt+m9McDSIyswKElxOEGEVJ037lBxsDn56ztwDvdlaGKNU13EjdaM2p3n zY7z+JmLz4yd6/XlY7UhWWvIAs4sAZJ6JitdYTg60L0tAZ6lOWJYhgUzaG5Jnr6XFXItO6sQBKh4 tM/kXSZfJ8cIR2P/4qgqlAkDaTdqqhBnvEKU1rxSziHZ5G+5/zsdzmUy6D/VKsU2p703Fjar0kJ9 D2BVQD85BhcGrTlkPRtEr+ZY/6g0I/9MFpSGJvWgzBhPBL+dRiEaSaZM/qAdm/3Z2i5yn8WPBJlQ XgN0WOdp1PlotWlZaiu8/Tu+BTnVvuXUYajvch+J+tnHweI13G4KfHhS5cofIQxSmqtLJ87uSJXK E2ZaJUB/4y5DQvcu2sCHV0ajD0Xm69SiPad4ea41lNhJo3Z5F9wDt0+w41U/hvTgDwDjQk124Nbm igUunRwno0XTki1YhIHXj7jjfcKIvYYXG7njcyTqm/eJwJ5nTaSebg5Beauvxp0gg5YUhAFlJItt au0f5p0oQrJGW+lp9isqVtBCCAQl42xAgw23Vhh7CRQVwy1mDH6YduWHQeaT5I3evxTSZrYQ0q2y H0k71840RbUl5DxNXBMTZ/Flvhu02V0ZLzaLJmIp6WRBHtVyDZK10TiybrgeO4Arhc3QPx8Lpj3Q YqU6ljuTn2e6kiewbCSzti2phQ3w2kSX/B1ERBlvg8rG565GbGvRlRmbzgj4iRtwxcPlrSf9gNFr 92uc0Nwq9DOMmprO5omOvacKelpkTg7otBjNY/BAiiEH71ldQFoWdhgEHXe93u0JZPEdYz2YA4hm iTTCqGS9SzC+A0PtH0RTIQFaqwDQX987Eluo6fl7Hwzvt2T1u+j9R6caGJNKYJuJB7irTh9Y9L5Y R5VZS0H+bz2GjSShfQDKKlVdlOyvsFsmRh7SYKC/E9fs+9VZYakATUf48nN5zJEPs2jwilD19rRW pVGY9Jzz4IGX31/756OtuoXekHH+Ri+qU3ME4Y8hyuECM9cHwJhUtELwEdlCtF8mTYVFjHeTicNn N2AnS80OKEEbafEcj8Yhrjf779wyT8rKj2QcLa6GOvEyVMo7Un0r3yJqaFJwwnY23Jh4h+r08hYB 9pn9CtyZ12k+eLL+TsF/DNzjRCeOJRmulSzFFNu++IpMjbeov6zzihEduKe8ce+zWq1HUXT8+Qlm 4gMA9824GWs2MWESwzVPrLUoPITG23w4Z5GOfN0OwYKh9Jow66NYxMixkoHYjIJXjaTEvtw7770A j2KZ5Ok5YrX/QnRkT/mqrNwQkvDGSXZOFG8csg99qtR//odacvWaEIdGUoTC/xIx0C86VdIoLWnB Pla7YUXinU5UEpdvtA8+umVbb4HaQMjkbJyB+WIKbtJeUZcpQ50I8bFzD0E88pM35AeFiVPCxcjH H/yipULKkgMaRqOpEto3kH6owASjdgHxRVvyJe144dK+vtf2r+v+o8/r8augD/SVcreIwqKOQJfX BTGZ3jASsJ9HSzoFjpTApPp324MW0xgikmeI/DchgJ2PR0IKKpj261El1n4vmKFrSSUWF/iR71Mf tc6CEiknBfsib0sr1j2pR/OI5ed8uSAfXUw7dcU5wCZAXa0Ne4bWr7t58XBp81YRUrwLCsuGoA3w 5dPj/sKgVT5UiWbuW8RtteLPO6Ws4H6k9pvv6IsZaR/Jq54uEbfNronyIG/lOaXKOipK7OaCYP0w UyWkwayWrw2VyHHvRl4qUVs65LgdWQOYjKJl6VjxUk3zdZ+R1Q4PFUJiImE5fvrn7kfg8rIuFMnb K2MlnIPlKA8OlLTd8MGzR+rxmW2gE1qfntMUzK1lcGn/4CMUfCvToq0Hrbv/w35CAXsCCvTn29/K hWKqWJVE5h9pus2nyt7KEOgCbGPKRsK60mAZsHL14oMTGKf0Mblx50DHmO5UBkzFWUklf7mHzvkd 61GDhftIGLb7ROkAYdFWyhPGeRP+SHJm0O3r+xHmyMFmBuqQZuKdpGZaNV1tkfjLDnu/gl1sqVBY PqMQzT9qYCSScLIASsvhjqKmMfi/VQNuPfbIAObrtPGTRQm/9qGbHegczy+jnx+IhUbfv07FtcWB 3ylZvZIqL70VUe+vK0ZOL6mA0bwMJSaAXymb4rbS+70IlYcoJb+mpbUxLxK8UCVUzAnVAP6fFQls DnWEm6pvfFyfRc89PJJvgXqh3JcFsFzAVULc5+/KkgopWsUDQ1UOwcLoHL0VeVJs1m90wHjI0wEI bUlXlqLwZc4qtmVNLXJpzo3u1BdKun6+7uc5M2ItUn9H2IOhZYNuj/AeNJErTt3KluXU+Ylrzhb5 yijeIP4yfz4Yk0zbIxbdihz3bFtaYrTnuYZXhYv3huprGQi05ewMSD8jpI4iOnk+m3FgniyJ89kp pRTauP2R65AoiX5RqeiAnP8dTeDaQaOcdmXwNAS9wwnb4OI4/LZr5fMR+4EKZfq0GSgxT2qguPle IKHVGzhBiV7Y/TOxdaz61Sd8ojD6eYeyRrWg5wKwQ57wUIEBv5779JSQdVBrNTZ3g67QhIgRT6n0 sjesdUGY3WEWUUNYA7r+NKJv7RoJp+RyuM/GJ6bRusx34QhfpHj3QuBLeDloJbpdyzKiDjst8ddh pRbNPSZ0+sLueYveO9dcgF8ES1tenRt9d2DSxYaPtNx6AinW7NM2KxmkOqZ3bxHIvIun7eSf2qWx rdrV6YmIlaRu9VIxnksR1Jjc0yhE4+5l/PpodBYYIHzM+dnynfBH8ph1S72Ecyuspkxikhx6Ovae NAHHYK50goHPFieQnPn5JCnO9nq/+KS8VW2SpYO9KgkIA8N3b+dJZJC35uDc5edI9YuD9KywJA8r izymB43SfQEmQpef1lPJI18ULDOk4VJ6p1T+o0x2+khv9X7e4p7NODeF6xASXfnVAJU4kyrzyfe/ hXROggc6Fs5YoZSlF64iaNw330mecWZHuhdhKFq7Vb+eRoch0XAbxQZvazd0HQ4oawEcdItrTyGw X6ed8NJKfNeHuv0WCnZzGBv8eUNO2B6hVXBZYW8q26WUJ+6GqSLJtgXt1veqUwmK71ASowVCpIym qsjGzEhdGvFfOLMDidmNLvkXAHTEJyjV99AtnzCIx1CcowckroOBO9MAZ4/rTiBbxmdPL3QwWVCO tV4snb4J0xZHn8xQL6iNBzbaWhhREJNOp65UtHQBM8cCk5ou6pZ07jxBf6DH2kQpCRLve6PVSUP1 91Ohl5TLWY2jY7O3wkWh65xO/5JaoiAspYAKx2qK4gVpndQEwWkwMw64qvKoGq3lIiz0UG/V9F38 tWU8RKQcd0nAQUIYQdd8qLUPRFr8k6Q88eyuvk6KQ4Jm7sVCc15B3VQBXgF0QoBODtAhKOzlf3ih RYWFN/eDxp+bGI3uM6MBBMx+dQmWp6lEKc5EoLH+xQQyr/VvgZfgLZRAltY0Iun2LvhF05VTDF3b dCJQNF6eGB/y+235Avnsp12eVXyC1lnDMkjvadGhqXTu579GmNpYlaTWc0TjfcPAPovXoal1wNDC MIDRxgpq2nncwv6sEYKd6MQ0mU3q/kgKwlOVjNWC78C2r9aejAF3kkAAosulN8brlNXA9WCTKm2e YBKoz7Ni8g+KKbzDcMpIXCsRHdgDePrjPKkYEca3okIpbZtHwMmil9IfivEauodyEwT7fs9cAtdr 0Fk8jnMPQNSqM14dANWHRZ5WM+go8oqCWYEUPxjD6vyjU6LyeWWVfkMdcI+akRAfuwF5LxqdyQ+B bLepNmY5H9b0K6UP+CdFfCfLZiPtLLelrL7m7w9fCeCdrSvcOJFcfkfb1zUC9auSWOwvtm9wTLT1 rEvxY+IJxq4g1agtlxW2B9dm5/rTTRk3baWNPwWvUCirP5e8/lOBxnB5UfjjOqK40XUu0j3Ugs8R Xzk8WZpF2H8/E23Hqt46luHBhiPdLtp6WjO0fc/stPxNOMmiDhSO5lpZ4CndZNl+4e98hHGgHvFo kjwZiFngF7w1mQNw0ttKrt0rW38YpoULS0Jx4wlDXbjP6jKuyT/OrPo9S2YHMdW7kVjwva5p75eX XZrKb8Q/b9X+1BqIKE1sJJ9ozzqzDxUNjocuX5dngNc2D2aCGAiuUYdU6ayAeDbrXHUJWxMKxjdv YoJhZ+GkU6wxu8GfBlu8FSsbs+9d4F6TS29ivRF+J2/x/eEyZH5ZPg44vJr39s4Kjbi/g/3Qoq7S 4Di9cTPBfV6RBObs9dn1UtJaWuwtmFJdGve/dJOOfHBtuqOxlxN36W4Qk5EghZVb6DVKIAoob6LY BaGKiDtyL01BASC2AvhDip8AdOxGL6W18NrZFhJ6PRs+l3DHu7x1n+mi2bQVdMrYPakUvrepxb7u cjJKqmYzFyoT7f4RYgNbG3CZJSidHrhMEnVAgOttVBKJqjot5xQV5AhsOw8InQyuL7KNlvbC/jap TUhCQbT/mCcFVR19cAdNhV2+mynZAOhxHa1YDT2SWL7c6hYW4IIANWj9aSoRhdQ8Maohb6dLJumJ 70/sW9WAMst9aOVVT6RVxhck89yPFPV0uke97RS67v0Wqu1p64FtmEDQIFepx2zMcGgfOSZviFiV daGYtumZRIyKqzDeU0BDzPkeHtvGqRuUnGvI91wpqQm3FQA3wUq7a0MbS8MvVsbvcSbDbGEMgTO2 l/h9JbCyys+UoDWwm6Lr1f3JxzOLrR1lzNZp352hFMtXVpOiCWSv2bO/1yZg0Yh0EpH0MV2UqKrt ln8s5UgvXLCmc87MsUaSRCw8qZUjGOlkOwyclkzTH3XqUYGvnPaAEz3kSKMwBP5eZaYTRKFI6mwt TsN4L/eK/HhmbQkczdoy6QAXCPmlQrTdgNQo+cdDLYhWerz2Ay2q75MapU/iaALaaXVJkN1yWNHU vheEiIZ0tIpwR7CW5vd8dnqVjasL/gC5Z0UNQ1shBlkXucY0nKQkNFVRmhh2nDovyzgfZyjn++jH JzYbnejvrHxZaFD8gvIPxUZTo1HCszlR2frkyPaVwInJ9rwCKpJBrks//lLd0Ke7Upc4ltnwHwZt tdmmscR2cA3xMUezOklu15f7ITnh6NO2oHjI8jHOSKvyVxPKcG198kk+wLFGeZV7QyseTPwOclAj thlijvSCbk6/cXN9Ul4QgYfHXqC5cVgsscd51EzFWoR8uQWiVbr7nHzVILZK19aqgi2tlrsr2KQZ O/vNJ8l93roy5gyJsiDTLByUeF0CQb6uZIMweseWjr0ko8/L3ydyf5aKr+qihzGp7uNp39OQjw+9 +HrkdhDaedi0k6yoK6+m8s/KsN3AP0WFcjvZ4eWJgWHjqU7aA0dm6bDMJAUOwc4Muu9TtSvbcJLO EsnmyzNdwrl75QfCLn0WYlfaVIhUSwCgN8yQFGOi3HUqpUEB1+yvSmfed9mpHZrnV8nq9kJgAAqm EkjQ8VDsMZI++1O0KBP1Ad7v+hzCrRL/GhxAhOmnBzXvYRhmrVSNmUDefzdhInQxn2PjUvWWzyKp EUZEUOEPHNlRkfFcpf+A7wXoX32l9+tGhNTfLPaBLOb4s2djLkJqzpOXRDNXdmfSj2flHOeTJTyR vVJr6o3+KkRquUGmjOjBtz43KIeFVk5tpUdoE3c8C91v5yILoIXsqZYfCN0sVDUrtFts0cM5P3PR NU0Kki3NWDLJXui34I9r0jZ/Tgjkb9bdlZTwRUXN0OjKs1Lycu4bqPl3eccG6fN9/Pj7VbocFyYG R0fq3MDCbAlRE9Qu5iK9FwWgwQKYxZ5QewjH/ssh2m3fSH4x5YOl1J9AMXi6qDlpqO1K4jFEnlfo pv8c4ylS2KZtEBegI8t4uX4qwiJV6aVkPK07Zr1qzDTwNqpFryP0g5akIo4IZmS078xjiJvsP4xh XmY5JWvg93OrKcjC3JRCR0TnA35xAoiD03db7guau8VYpusFmyJnIoH6Fb2c+hd5T8wTfELHUFKs dwOx9EJ1OUyFq81FbSSLhd7lz3fmAQ+PxbxyNoyXIcDpiepJgndFUrV6xvFI/EG06qkXJVxTTGo0 J7BoZiTnoNVjLG/7MVl8g2Akpot8oB4E6yoK3fNzawnuiZvzQcgS7HwpfBP4HHuNh2V/d0nvRRsZ gggY1fjqmY5VIIj5OHUiDZqwEdpJX7wLoY83XBgBNA4bCgAmYg4j7GkHz+LQ2O/pTUSr25jFYgus HzfhqBhALJHVHAP+UPYooT+I9iJlCYAp5w8b7Ob5TZJUk7fYCJwotwckplXVz6RtUnpQ2kMEUQgg UYwn03Aul+KvGSHxqW4OeZs3e+3BQi0CvDiDG7oo2YtGrfBl8tbvQmGu/3MEK7KZEQ7UOvxym64V rfbSTR3Sxh2dNzFjXUVZWeeNA6NbPufz4SuJUTMldxbBbFefDKZAF9xaHbT0MFA2dWsMZFRVA9yH JginiEbXiNfYsUPwc/j3acyPvWSCUZ4K4IrcwLb92BGo9MxTgBw+4G+Gk785m5pPo63byW4QgZqa +H69j/NS1ok+YBjiTWtMVRnixphgcXxwDVGOfQLCt3+MyjilU0nVqNCdrZMD+HlV9IKB9/F0oybC KXRVA4ka5Qy+B2e8hKsxddKY1cATkwwoi5Zp42gkcDMj5v4lBpcyqS7XksRyvmy/jzu4ALM5GaCN lRNW5JPR3dALI/pGmydoEzmQ9uMAXQgYaAqSYKssgKQyQQpsMu73a1fkUAKSiPK+vhUbHga5FrR+ nOx6bvu/Dumc1pTbayrYCdJQ6NYgeERa7S+hLrRqsjNaKOOMFBm1Tnq2BZffKMq0Q0+4oBn4+0xw SitSJYC0EbEKyxHn6LAyjvSYnR/c8xYzHh3FsdbzWNLPp7pIT0F5kEpRhv8p3JWIHAGyWt6lJade lfwDxe1VlHjKCiVZthyN12zRLRuFNrvJYGbjylAOKEVS2eNd/99vUjH1bHW52byZqKekGYKqJuaR XtE6W3kHYukbljJYFYQ/dyig0a/+EaxS2FT+ELyPtNJe8ME8zQrTgrowa431BiFYqFGH6AVjpn2i mL7ZGgG/URns0LAiiPDXXVfEulfWVOaxbhv+F+F4lPw93ICGqz8GRW/VUgDzUzRS49wr5YCI1Amd odjmQ4mwt+jL3IIrtsuFolpnAdbF/LdbW/5zcbMv/cQksWGJCrHOQUVSeuxY/bf3aNKY5NDMGHiZ tpdFS0QD5NYXzOx5cQ1iG122yP1AFSYLtQOilvUnUMd5J8D3GLbMQjC9oyygMMcgSrai1V7mzC7K CPUMB6Nf+xhNFsQPaZKZ9Px1lI/gMbPR9GF1VSq60y+M8j+4HASunYdCCJmOVej3+HrtA9wwkvjf D/cSVKvJm/6bnl9d77vu/kvhgSFReH7I4OAyYFCEsme009zolKgz6LjDyJAQnszBMed4u22nFhXc gect/ASxTmaZTMNIblc2QTzEUnepPlcf8SuTloctVsG9v8hZ5oSYp+CzLEjq9HBYsuMuR2wP0Pi+ pA6YbSDlabzM5TnNAFMsiC9+qUGZ1b2+sA0wvo/ZsJNo2gY1Qo4ezn+1wEijz/EZp/5w+mB8T8J2 TJ4EGCWAQIQ0S5HpBpL4rbJ0B0/wAmvcQEytHL3KBBcWXSTqmcMALEW4kqzoRo+HqfSWzDbxG7uA rapE7JKNSUo9OVrdRI7gTaM6S7v4bMYYLt4BbbMWJmAv13/xcPferbU5FRjR2h+pWWY3vr+keIhK eL90gHW99jLevjJ65a/WCU/arl1a0gqwEr4s2raYKWpuFkZpjWxtbTXhXWLKPAjXi+DG7IFiKlat TZFpP873CGYkuIX/Mh10XKr+He7PR3WRDvSDUGuJaR8trZ3HHWzEOc08ig2QGSKBvvGujjY2NdK6 XrSaC/PMiAI/q2ULQNC9IkX/LplfsAAC8lLf5fpLSJ0dbnODCZVEk8wHzPLdmfyhSmRkbkpDDDhi 8m36r2Gmxw+UttDPLoPaDv0s2z97JnIrWzLJn3b4IpbHFVGVjE90/MMBe9hxUYLyX5wptBLAxX8A 2LKnSWWLkQs0I6fe4JGQUkS4nMDUEvJKVrnpggtjNfrfVQJODjB+CLQHlBYAaO4aqeemthVQZppZ wKJE1mi2CaXAjViSiKqc2+clS5Pvo4yZU4Ml2OQcmAJJ5qqklKduYdzkX6YG2cwGNn4ihLKdeLmy ynh1gn2Mm61YWOau3VDzKo0UjBEuUwqBUkEAiIyFnhCTITgizjwgn6xDRO9/DHrcoRsEfv8e99O2 1DQrXf1/GXHRaA/Px9ufTqNwk6YsUXEEgrBxDwauqeDd3gYJlppkUd0IIj2eWiQrvUTZpeviJm/j qgbU637JWta1n5yow2UOy6UATBnwFpZDxI0Ko/L8VctjDX+w3NqqScrVTpFUSZ86QJZWPSy9o+91 5laZEWsOe6S8b2OPmZuKWlqE/HeXF5JzWpb1x4kUJZtKkZg36o7I2Vp7SkrNZNv9HIsKaq1OTXbr zEcrm/TKj+RC01CPpJaHns9l20Dqy0U9Lz+X8IEo8ywoXZgS7drY6vHd90hqYXYyWHkTT3jrgyXI 9dMsQOXsAGpUYjY/3mITPxp6/vx/H2modtj81CoeMCHfb9sPsnrsJMLlN+W+cdnAU1OHycgN2R8K UZCytrnGBuNTry8GIhtKq2fdjcbGRWv81fK6GmVhRzGYbu22cD5GcQCf8ML+CI4hasPyZ9zh09LD wtoWSccs+PblTlIOY7yDBuQYNT4HpoAJY2v4JTxgIVZmDXwaCI3obEA9HNBFvG6Un2zTp3FbjkZp ckbmvHB+JhhC+pQnPWIcikbg4Kb7yT0N6ew3E1AQ0lxw8xVxi0fy3k1yxf4qPmM0IZS+nasJUC+h mGo05jtptP+MktPysAGP3z2dPpIx9ZHGp8sQ6GWOA6hEQGm8E6ziUzB+LSDyxbUiamMxEPMPA0tE TxcS/mt9h3K0ud4m13045JZyvuVum4Jc2g3Zj/tclYOWF7wbsq45m3TRDi2JHgkHlWvalo/mvuVd N54w/8uevo9QZwxPAByDaNDzC5HnVXnAzusS3RXTVT9dZ8zSm1EVuAvcNTWMlQO54IpoLoXoewF6 5YvicMAIRd2Zn4in/TUe81odDZh4tUpuJzfhpsgrwjaj19/KHso6gOTxOJOn8iJyZzY4CNlzstn9 23KXTfFfEBq1WtobG1n7Td/jik63oFkbAqbpVFp4mzKxqUFhcsi3XtpvRT1ztTPLuwqSvYyc65RZ UMSq7AtA0IbFu20lwNXmRRtWilM+Fxv/T0fJK+m0jMpdNWCxqxc9eV/6MPNxdauyWw9ZHy5G9cnp KrWoNq2pk4nuKm6btXdChJmOQyScOxea8AiPgEgFZVDjjsVztaWf0BWhakhrcWLIXwAjFFtkHEBM W6jcdd3S3HaIo1AFAGv82lP1BwFwUjwTW/IUZz3vfNJmbFIDQllus3Gb2IjB5DUGq4RfHMJskAw3 TKXp84WmAa/Qzjvqe4+X+TF7+UV4YXttu1lA78su40gt2EZcPohwXEyJ9S0MK4hqHsUaeSszGC/0 erhTgTvTUBEsh+3qHW5AxNZl15cUyDRxiRQhl/0GbadjA9/S5tWXeVXKU/C8DjyMNxvX1r9Vrx6L Fs0+HwLOuU7JmZ0iUyz5a3d6HFnwVUkEl3Isp8YwFS/v/r8swGkpbG3YC33eZG93FZPE/asyj2j3 CVqDzO7z4cIqYLY0TgsvNtVWEUmBinAMPZU01hlKozWll1oRyD3xp0Vw++AzM3LvpOfAqGQXieoa Vh0K1FDhyuJ0WpuAVopR4y6Dji1QPW1OF/9+0htgViwnVHRgHlxMjfmfbKui5aqxKp4DfSS2MT4v C5hHy6cPI3QRiFL8+Zpp+0w7wfXbAN2AAgU65NUHV818GtC7TQYbW9TUxPyb2zvzxj/JhjFmjHbT 3j25OZs/eibWZZSMoC3Yz/572yNnlHhDGKqgnSk47mT/ISaZ6r78obmw6dEy488qj7zjL2kWRDZn oK2RAZKUlvcTy9wN3+eSCyKnpeumKQ23+LVYtxs9JyqSjrfn3Zax5rEc/h65EcppJCc3g5dVwe9i YoWBdWLK8SWC6q3fG3eWA1WcDx/u2zByJGOR6jK8VXv350Q6D4RRsVBR3yfChKAb3X2b/DyspsBf P0+iIRHY5MlDDQBT36YHqjEPrEUnAP1PXf7xbHW60L/QZpxOlI+O7sInzW9CaQCgy1v8OTJDr+7a pKePVFt5AEqCgf99S+WcMAf6D1kKRYuPS82B2rvGJ3YAWo72U1AdJbpZqeXiHKWOtAb4SeE34jzf VKAdGxH51wovNGH6yVTvUOBZ1tklg2vVeaqpeKaZN7S1AlkiSaKFRy6d+3ZJrsaSAzbJLV6F6NHE Izy6Ph7kF+6wHiw2AAveu8BriSWomdVfyhCNuUkIZDNW8sdlx7E3+kHHhpD8N5uDfavPahg0Pg++ PthCM7qemojFr+n7nlr21QASf3un1/GzfzpY4SG2PXXUnH39VlzLFFXxHDwBBwH0C7CkRfpFFGOx oiRP09hBOGD9qOORbqQv27KWeKnhMPntt+yuX6RagW+Ova9y2p72yfa47iC02k9at15GHF4uFoJE iPAgUQMnzjYHt6VVpGaf4utYAK/Znz1HicKEHOgyLJ58d4SJPdgN3QA1J21v7o2C3EU5N8LXL1Ri 8Q8ikenHVhnoQVHwX3tiX0xXa8d2P7ufJoASzUUNfYuX4a1ebeL9O1Dqy1usH56gUIlehuADPyad EVkaTURJyAuxjks4fDFrBYl80Lvzxohvs9O6TPty9ibPvPwYwAfhJFeCpWUSOScxGz2bSS54YezH K3K8UKbrF7k+nP6OeGudXFBXZm8Cz1oIbswsZNgqxei1/Ac8aB+f+ZdRNf6XgokmkT+xvcUNQoSb mcRklN28oIrmxvaluExk5Q9Qr7drbaJAOWgn4SyKFIB7qfewTP6VP90lfnqysmxuD7vNTB10svOt 3nKFpJY2ShIedD0/ogU3zG10elEup93teG826D7wtYtNc3Xk3pLN3KziJOVQVocMApESz/GeBd0E 6sEMuQhxrplR+bofZhG6soO4KZzOEmqzggjgfVF/Ldaa5Aywrkh2I81rgo6H8I621hCcdgiNphs9 jOuTyUv0W8ZGh1WdW3vj3WdR61Ez3DGJlcBJRHIvngvLqtxhpWR97Ay84sO6r0vtY8zijmDduZzM lxDvtvMqlCNjSFcFDki7nsWYV0PLSreS9l70NkkNGKKV2MnTRGMdGsJtWPYNb11iksnTa9imSUi/ DRqTcKgV42b8DuQNC4Dq/lsaj24u8DOPy8hDp05tBANxkEDGXdemP2ogit2X4TySEh6G5a0mev96 TNnrPSasyhzKSOpWb6XsBfm7eFh2mtcKlTv9SAoKBRXGL407zFLIW9ONQFA98E+M2YhJ10Dk92J9 2JZiLKzWt9MdJq3ttoxvnmEYML5DMVn8C2UVpYFtl9s+QVSEdDbQ4fA8ux/AWnim8mShcSIxT+qP Fqa+yqSx4Dj8bUS/e9L0mwAqob7T0XWR+QKwWKXz7IaEB73ej2pOXuWYWrxurdKbp8xLEFdaf9XJ EkPm1tc9wYiDDTEff87YbkQTE3k48fBK1l/rZpHEA94NpN/ilsZukTbXOi4OFCn0pUYPRJIag/zy 8fPgz1DdlBjP0jPVJDe05LFTPLNXDQfcoF3kQjrU8e4rKQK2TVLjtfuUvlsDnOjYYYzeX5MLSUqt M/9qEYSySIZwsDHdPtrjR6AD3csxJ/dyz8RZ6lDRJQU1EvM8wfrCISkj6P7kXLid7Q1sKqNMkfzd Vi8CxYilh8JUfdhCKZvPwYSOQfKi1dXTMLvg405JK7DI59sc602h5ujl1cvPTBUSpNyRv27QNUTQ k25uy99HnFayWS0aK/Iiw/Vannzk+NKvoD8cMm3hy5timPzqmEaJ4S3ezwDdYl+ggWbtEl6r69aP 6EiCRl5NnmyHXDt7l9948EyFFYQU2bSPWF4Tots5j5NpMCQ86zzPXyjegmLhPdlQfyWOei1gFhwx pb+K29skFerDXXq4ekpEgvyyIdm2X5Rf+M7qsLXz1ZaYDAKd7z2A2C2w/kDGz8UB1Zlv/WA7IRxk OON/SxjJUePy8tVLDqiC29PbZy0CYFzFfAoJUqAiBgdbcoksT7eRWp/30tVUZmChzR043eyrt+Nx dG6L9GFWvtnRhMctjhlw6RPoqFSpjPuqn81BU7vXGIbdOBXS6qhzSR4m7voUJXrYNFVMy4kox04f fRxXRcdFVVf5PREIIAKWcRu8DC8ewu2/vB5c8HwXWc3L8sJtWSNr3aVVugadVsl1ZIdt5UfC2lfx ooSwqlq6MmevQtHk/7phCE1Izz6szdBWQ4xXADyXwjsByeOLe0BVE+iQCU43T2lg13WrdFAMlfie 6qJKdyjLbwzR424P0P1q+9BjOTQF9K9+ys6FyP6+ifS85MOmY1N1UK651/GSz7yx51LjGMkvVXrX yf2gRWFf++zXpN2ohADQba9JlEhEpEVf8mOCU55c17L6zJlSx8E2YVw8FmBYiqv/8/LqDAVAb1qv KT+UR2v1madcHSJ1N1+NFbneVUzw+SMsd3L4R710vOX1eOZ+qiUCySJ3wCpVbUsp4Qk4xcYNsZ1n rwVBX97YEIgftg0UeSUfOCAvxYV8jCITacZ9WJ5xuWkV1tRLH4PPGlGXSsiJbKMf0PlCGWO/XY81 lHDwyo18/dn6yBd5jDpOFOXOqBovNtBGSXk8xIBxbAAaBnP+G+huUHlrLnuNihdcv1snSjc/9QhB 0x3piv1M9J+hxwY1AACOF3trMPEsBNlwKZVweUTwp14MTTkLNiTNaZlHvcucFwa54koHac8KtOPz S7B46tT6kGi5AoSG1NM/Yuy2j6dVpnoQQnGSGr8SNUuAyu5QrQJj/u0l1ITeswG3eo5wi+XerMsY ku+VlqpXTQPQ8XH7utWsDLwVfiRjDnqDhMAOlioiT87SUsWQ3earxi3HV4tTE8HR8nRgd47Adgcg E/SkQwyXSopEoMqqNJm5EfbZ5CVVBmhN7Kl1nAtc41yU8VUnGe1VGNqtA41bicv1ptWhloMQnek/ Np1dBhipOXhOuZ0XAv26mdRc94zyCqkiQD3B7A6XKmRmiUdtdgA0c9VC8sulh5H8CNohRcvF53l+ Wz/o8yplk6pil9Q/azVUD/R+aDZA6tgCs4lyCGidJecliV/EJULa2mQvx80eXbRoHon4uw7pwknZ oW2p8KmmAhMPFZ+1ldGk/OMYTbPVj48/xeBGQUDyY4rzaOJ+y0tTGNcfNiW8N6toLgPdyHnU30AM I9kFjZC0hSsHRkBM53NpinvJpregX6BxzTCmagQkfaC7ok6mvf/CwBAXuRMB8X/t4HOxt0tU0uve nHnIxulVF7n99pDwAk/tKeCcfYUaIc4lLxbYyJWX3iGcSIJrF1QlWv/b+G1jlG/uZTTOIfoGLlaD Cb608RQnwOSq5Yd5CIjE1UKWzz3ZRSsGHJlUJZ50GrEtVL+yMhkZ6U1ODg8xwXy0gURpdoA4oYjk /2Kclez7qKe3tP5tN9K2CxcQlUlCZnYu7ecE4aofUzhZ4P91ron7SJAdIGpYRBOwI4AoDPIsMujr /4v94pfQXTvQRel298xPOM9ZAJUiBAz+koqfZ6FmJHzt8ox4qdF6s1Sj57sygzDcbmLT3yjqPU7l dZI9fA1mhdiF2lwoXD450m3jOOLXe7zhK/XJseyopgTA0IY8IA/h46n0ANc1kd4NuTPFfyiyZATm veLCu6biCjEVThY6C+Y1UJJlH9vTzlir90pUdKGQrgt+YpBxBZKWtm38voxj/EuzTlJjwu7+nx2z fnV3SeyX75OmpqHE0o72qsqH/XB6kKxQiMpA6m+ofDgMlTjFxmnAFi2F/HURUb0ILmKrbgoSkgcp SK4atCXTVDoy6kU+oLh+Jl+gWRTJPSUvah5hIsP19poZd+btZqKCCViNujyMlRKi02qj0RAFRGU5 Yua2Wc3JoM1V8ecdYglhdvFDrkRmD4bCcPCIKDpZdnzhigISKVrVPMwcI/eRYLSU8SzMdkeWVsPk xcvtAjvN/MXWBctaaHOGJUG0wnAlklBQ/5mEN5uFuL2fgVJjwPhPclNxa2pdf+dpiTcQKruZDhCF m3UJkXXzazew4bb9S1sp3YHAgQCD5P3RbtZOnP4gpmpS91qKKkGNTafw5ka/5F5eFrkMx6NU1YSC 50lKh3uY8mEKyvja9BhTP25y0pNXU7gH2eL1ABO0dzN/QCYlg02f60MVDWEwv7wckalyti9qFHF1 oY12fEGUcPo+tl9JLFyA+2cEqs0gHGJHcP10MKul2ZQTJ0jw27zzbgf4BT8BPEaxPbh7NLh4Lvfc DE0BRqDeDgHPammDxxd5kxPixvipvpN+xi/5BzcCxD2XexVdPV7MUkk/+TgG8ikw613hJ85lnI2s LrRRO79gg8wUKjpYjhUkwP/UtrbdvUEcudWkTqDpyu3xxxc91Bype5W3xTSLdXBnTnwkokFEZMW+ qSJdDWocNx5VE6YHin1npmS+ZXjeIV7NXb8mie9Ksu6vUrPFti/vSaTz7PHQLM7AnYSkvpKw6qhK fWO6j/uBCeUoIIRe/wZCN9SjapPgeRI1wV00J9g324vTZVzF9SOOn9J7m9Ai9H7DISNkl6rDspRi pdFNVboR89H3lVnpf0ujAJ/8/GTjAP5TSq0RUaqGHyVRDcJW0nCjrr6bgx3Ds9lalY0NfGU9BArC P6sTBu5J/n/2qoCTdbrNuMDTrDGdJkvWT/FYG4Fk0jc8/HmeMPl2hxJVpZbhc1nc7LsZhPrI9KAY JdDfv7qI1HK8689rY4XwU5VhLZ+wT/fpjtJZYJp2Ng9gVAjVeYByNyE7insPUWjU2fmk6toC7auW lJORO55HKJePzaxM291S7UoGih3mGD6iIsIOCAOWhph9yKYxZmc5eDwCF9BFus/PW0om9vv+J39J ep8F1ClFqc3MTp3mLtYrgVsbw7yhdPUR7Ba8xoPJohgNGQ7mp29aPYara1nM2V5dzrdbhPfkQMiX jXNW7QzxxQmvj5Axtk7RLGGZO2IPfA3I8f90uXMtQamTvehTovmUzE/yyF84BSo1Ly0nld+ehedb RYhyhu6koNY2am1wlH66Rfbg/La1klipVPEbqnAs1fuQS6dz014sqsqaHFIf0mWRvmwt3lqcFR1D +/mm5VaCKpMi2dwDQ0dD7ihtgHQ/BKy+HiHzH0rekDPq35zVNdwiqxWKhiVU0408L9qU0yVNz7mn WlGQAfCXQJx6ZFd/7+BCTm8xLtgnW8FTaTIFtZ/aRWqe/VbpsHGXO4bqRA2Tgk1/rR9ttQnJwWg4 eMFgZ7/RaVjXuj9vPQUhHch7mkwbD168BcrIFcYEkTjfN6r7kQZol4Z3ZECFiES3b1ggfXR4E0Xo 87sVkaUWySk3vebvWvddcTzhISW0hDRKtGYAy1qjBxddLT0cI3JkxB3pFFhYeteegc0Cu2CNBhpo AHl9dknDJemCHAQd6/cE3x1p++EfFY11CB4M/KTrdDV4JSjdsoLtpx5hsDbag3ve7D57K4glRe+k XYkHQsLiEYICnsTSk8fBW9MN7zHwLcHodxW5Vow6AJvfM3njJbEXrRdiy9xQk8Jgt3v3wTYBNkDU cr2h5ktNF1VsUjN5EkiJhQaRnh+NXmxAsBEZFQ/64FvRuFXBQqR7yvJ5qFDkWrZWksek5NjMJYkX 3tGaMkXbo3MRBVVPmF9cOMvE4wiyeDRNmS5NFANJ64TUWxIdr7HSsgcFiVuWmtvKBDaaHODPq0tn BpPz+y7zlfsJShOagjoSDRgykxyaW/x3FDBwkv7B0WEuW+6XwPJjRqW2iNXwLU24yogBzPu1Sxu2 u2zQO95Bd60/TeRFsZEEj3ohTEm6q9tIpu3TweTi5DziP6I3dbjzqUvRCQ1dyo/Mh8KXIZ+5sd9r ekCM9fGAAq9CamaRxGntxDf+qYT/p5TiRclv/EeAJ66hnPDihQ6u0zdKA6SV5QEvmzY+XdgjDi49 VqOx9FLuL/cPkd25mnQsOWXy2Uzt47TVoXBlAaw5A5VnJCayfKVGR8i1HyGxH2RAOxnWFRKmQaUE zS5GxzkkgMwyEOTAMki+/9f484FRCc5YQLU0jD7pq0PQuDNMZLqDHg5y7Jci+SZFnS14rdJu9GYy yyLfVfWZGetPjZ7HY2OVOIWo7SDT+4Y1/BBRqJB05sdJWozbPPZGVVnEi1fM/IEjuQTKqyBVPgrr tUCLo/DcQaC/wY/z7Un3DblnoW6SHP7iUtAdxPjXgf9SSXu7NHKXpyg9hwmAQT+nOqCF3anRqjpP YCfKjX11UJd1rgrW+MVVy7GB7E6fMp2BEIj52EOjFigWsZ08cV9cQsqpx4mob8+AzSLootQrxxDi 52y2u145qCn+xCCyKaucOOKn+v9YBARC84i6nRowi5DRmF+9fo2KUCJpgcOm6B4+hjRGHDQyfi6O ncNVuqMQRO71JP+DPUYXvP/Nspi0TfYEn94QjhhhkGj4z+MWllSLbhMC2SYX2RTVZKYUZIWLunZg xQPlHJ69qgWCCRD1Jw3A8ApXHEKBg8V+NQX5CWuLtnvAw4iqwHEMORBk2cORkxksWRqa1qnlFX+c kiSJL8eTEje/FDLYpFjzoFI2Ao+pa8zsShazg0mlW1oSMA01DyyuZZyGYkZQTLpfLNrKgyswznbA 33+ol9MKlOmB063u07FELG2vYaZfucU8WJKqUjzZtF3mpXL2/je6Ag1LO1Scn9wKUOYq5TLSdNmd kmHiuZPfRvPeuNY1xsaSl3ljIoN6x1S4UW3gIs1W2e+d5ZDtKWMQCY7HfU+fax/s25vPrJmzAdEz E+gR3b2QnSrB46pG1pkeme2stvNJnE8qK25118pj7FE1mu90ztsDAIyMMnU2FqbmOZFYdGIUc/86 mKBWRusQhjSxq59idzzB66yr6uQfJcmGZ7LBNLLCmFM3Gt3CIi5bcltG6u4qYhw/eV+f4p/Fo4HX 4YvOkYv6LZAViX7HBb6tJpoRYN2xfkC+MpxsKhi5jlrfjckTUMnDRlka5n1ne9TdzbRgZ5U0o+0/ bLPIkFaGQhOM9nwpxxR4HYKIqr2Q3R05dIaZxfIousDj/xwli49zJsyXQmSHfQyYb2f36GKUcQ3x Goq2Z2XlKxrFoPpCNhdmlexJmMh3OwRnQrtsWPH7NodfUF9gHRUoNvqzt7dYTwU9KKS5iKcHxXWd 4t7b69XRqVJHKT+clCMDBDJ31pr7CnpuZG6AiyCU8fUoqltZhxFNcYT4WgxZo3AeLgKHybe8oW8Y cOZzXO35JCg28uRe1wJ6tMb4mpSg2G+b4R4+UUuu/1qgXDX1+KP0JVaqEfUyELBtWDmk+MRSXhHq Fa7XgX4dgF4QhTT5de+sRX9KhPRBdT0sbqlV1l47ZgXqWsUO+WE3CNjtX1MAvVaBrabDnoRcRjnE 2cLg64kke9ay5bkP2l0eZvOfAdSpuY2u+dxCifJE1j3ECZIYYn6hHC21ZRbr7jqvGf0CVvLlfJHY K+L4YliNr4TKu58vnZitpSgnE7bcCNghvCNmHeZs2kjOFhdbTpvz6uTNvJ4tLTWcFtIdlu4DokiO PPYZ9bz1jYhcg2lShpZbdzI+yh547jlmsfkulzl0MQuSknZpWaO1fd9ilktdFUk8hWrbzq1dvhvn G2DfHscfPfLzIR2Mz8Frr+hIEucJmSe6/A4xIWEEN6kA8O7q4muh0HyojnfFV7obJgThGV3Ax4rS wLIMUprK6pMKuIrTlOL9sWouE1iBgSC6x0Vl1SWZ2xhqxFAsflXSm8DY7OohBSZ8KUYODA/019jZ paLqaQSYwB0PyGosVq39rpvs5hM0zU1DnvmkUWZ7CpDwNknUWsXA8uhYd8OaJYU/YqnCiZEcoM+9 DeJp3XAQMXkQ9tg4WyzY9qCX30OmVOlvIVZ1UnQD1x0jV960lLHMzMzvQ8wJumnX5Glf8eBPdbRJ /TILAYD0simZhjzGo6ia/y72t5hdu2SEjh32f1se9z+3CTREIeBjpKBI3d4GPHGja/kYmwP0+at1 JUPKxGj7+XDABIU8SNUKYIMTti8w9enRDfSCVOzrpyrk37vFo6rd6rUCqL5z1LSGVziXN4L1rwn6 cfi2JZ2//iRIkDQHjK4iAFu2YePMIzQo2+TH4PZpe59ySP7dz8FsEPYyzVUftuDQschBAVPdUL82 Kklfs8uHLYGJt9mdJ2vlM0T6JytqSAiGSkajaEJNDLN1mdmmQJFA+Ktc5V5xoOr2j3EQeeMWEBQC uEId/pU1eMuCg2TaC4RUsfkR1PEicjmeMag6CMoJBAwXXDC7X4c4zx0ddUA5OHdh30y/Q6gi+g+M d8uyPBFQvwiE20GeuM+AfgckrfykPbNJfr1yOXQ2lfpCwXCPFsL9CpbSmqh4KmMPMtRBmvK8yB5x P50r6ErKljT3s4PXRBxUF9DWufsarJq/SJ9I6Da2JkZuUKytDu3xASatSXtIKuEFoltwv2idRJ76 MG1f484sr4QF2JEWvmX7/qpOIAKXglYeZU58QCN4d4H7Z0xsIG2KhYBbP2W+BwIjvgW/O0m0V0xQ KIr7j8F/IeFfCvC7XVUy25ttzTAqdBnvwae5vcPgKeDc+dgSI3Q/CVnqbWieOtUJiCMKrMfjWI1y gNUN9z8sO2HBCcFTAqNhJ5oVcydvUZa47tyIAgbv9hWJQhm1UfrcEHKFL2V6qLwc7dUcA6KPrME6 G2m7V8A3j9tDJjxZQOwkbN5Th1wpMxppjSc9p0cEv0FDKzlVEYxHgvA7ZwdVJW0/i1oudrD6oGdL Kv0Qa2cCYb76Eyl7gyDB8aDGTYEr4EExQyiTgTXqrCFA/haq+dFxQKDLexeiRnrg90HFWDQPoRKe Iknvvioy81k90klBtmo0Va+mY0S87enB6lIi+/3NBotIUt8S85VX9G0bKGbWJ25E3vgrGtmN7wc0 xlNWVK5Swt59i9XpHvs1/3wE5yCUzJ61bCpi9OwRLN3xAF1fGs5+WNbo89/KJ9rwPTaYhsMmYisM pE0BoA0PK5qiolTemwKSTgv8LiUjEF282+fZe9jd458eWLMNFaPvKpaI+r4RsU2YIi1934BT188q R4/rHVTm7x/41BiQ8XtCoBum2aBV7NnjxCkCBSOVjpTxGxOlqz0gTLWacvihOgFv+WpDAbSflFdD /qHOX6KIkDop1tYswPuLgxSDFCvl+TNG/vleJV+ngF4Z1JlcSl+htc35X5Kcil+In26SMhhLPBxY bvRRSll2cEKvkculxHLZTi+FSWECoR7WyM7xfbEHQyACD8V87RKHRedrKsnP7bhAWhCIN0SVQuXb +HIlcxgjAVtVwxxyXy9PhycMDC2yPA0DJO9GtIxC/0pGS/G09YKpkGz14Q5iVaSbOAyqk6rcPZ1c JpCHQICaNjwrdfLnVDQ0mjr1+dlIvAEfBVB0jsMtTdIf+rjvdlQiVZ/EV7mFpccQe7Mn9I+Aiv9U jK4MYlnkSjaQG2T6auNcNJRBlR8OLeHXP2N/m03CWUyBE1D+J5/GVDTkTPsn67QbL0jZJuHInX2c hmqTTvRnpzbuwkI7zx4abmUrFgER3fvai9YzNoWNSk4V2L7MU6r83b4GwwlLK7+D65AXnGMcs5ca Cr1MTOcpwPhkbfbjaeBYaEttbSQxu/IPcn8DAl6KPpSJgWgaj1T/vJndKEdDVbDrpK7yD7RGu8YP eXWTcNUpKp/khDNJOpF8DbgLOpiEmhNnjzzc3BeNK/0i03r5qyOV3YmdsfdNoof+BXCqShrMKk5l Jq3Mh8j+qdTs1xctC5/NvRp1v6SKQNsQDTMFPUNm5FgUUDzT/Uw3P9fzAw+uP6WjJXCUznF18gMm Aic7mXP668m/+mg4Vpw8z3RC9NYTqAVvmFP6atZ/1Y1s/CpK7qwUM+zQFla4kVTgPVpPpiBym/pQ fuVw9t+nYhc2jIt7cYA6PyrmjaSq5JQqBPxOAAvNV/6wMryjEeUKqjGDpt0Yxdbi8fGBrNNEHFjl AFGATjp9g1ORdPyPacrcgPYhjbSEYkrs/puAJRbxDwfiJtixSFYWBVuFK2gWXdvdZV+l3P/iMlRQ 4rKqwB0KeJeCzerAwXzBbeBiWq9NmViLbuRSi/jJ3//eiBmbXyoINz3uPlQ1kUhLM72JwBxZnKER D+/I1SzgOQmzmyB5v/YLIcuI6Y4sFDDGC7tuW2sfnpKctJa0Fi/KOF5ZCFz1WGRjprskGmteY9QT z/QPI5pqTxCS3lAQg5cKk3FmB8aqK3nK5dSWpMkKWtYZbw3jeSGK1qDvy2jVfAROJPI91MuNMCdo SpBaWcMnacQlY8l+0oGLX7qrwV+sh8NSAeXcpzlTQwn64GR9CdEdrfzjgOeILKei0l9mUEIOTTkH WV8f+ER2qqqQfTwrS29A0GrSmC+d+qS4UlQi3eWOOU4i+s7KRAkhuJwABqyPykLzw5DU6YRVOygx 2zMiKvfDzo9+eD+MBk8dtkpTw8/sXXzt7ygQN9HTvfYDfmFNAQzxJJRV7KvASsdDbNtWCXOQdSnJ bkwqhuciryprnbc8D3knaILakLFvTDAb6o3jL0tq9o2hwExxdLGaCP+s88J70We9hYW8TuNf212V Ce4og4adpqq5CoZuWrTeKYFYtLE8GJIwowbzkTMzT8GD9cu6EIOaRlAWISJ4I5g8Cv7O1eAiN8ua P7GMoYCXJt4/5adVZ1vGwaAclWgO8Lr98B1nQ37jPWJbDMG194rLdANoyyqNDpLwDMap6qFkNc4J fqsyBppy6msyB09idBkZHMiUDkVvhjaEQBTeuU81MPehjS4GChQ2LafCHKSO/vFruA/ZAUBlZkKa Kk9ufcTme/kWfTSVNLOoA5vIgsVsVL1ptqd9M/vF4HRMRUYEiWpcsg2Gf316IJz4qIwH3kDAp2zz SW6YMi04FMMAwbzY2XmeGrgEDyDLCMrq1I4cx1ci//vKtuWHWbuKu19kxGjBm9qMqj5imNQEtu3n DjLmoCA2QaJbJMdIQGnStrDY2qwjre0f7xzh5lc64/L4qojOtChtAoZRly2bHHNy6ac5O+SEYi85 lxR2BKlGA7mafNpe4dXVCTIPsivXO4wex9f/V47ANalcca2Y6SXUImG/RHJKWynYfjAHarPovRzE nGr5HQ6SDk6k2XmiduF8+PWf/3lNr5kcpPlclLUZaseQfOjUwyIZNmxlotzp1vWouzCBW8qET42f l1tbuDxA7Isp8W5IQTb12k80SE8LgeX9V5r63KxityEJCIbLqCjqN0g0bpwe+cTLEgoFgxvDRYHL 59BAFWW1P8I0pxI/bHTxKOVGdyPlYWCaizZN9DyPAhGmbNag4inhmQ+896S6ySpnwmPOtbIK9zOL bwjVzf3wIaFsAtEjc6yKbRr8erAClYVeIiGH1mR+SSufQKzEqrd7YS6/HkPWu9SzkcOPuT0JIv4A 5OYlH/M3CJOYnNPTYaeY3r9Bz4RSnv+wsxRQHyBRTwcKwGr8O6aWNzQclZnJoCtJEVNwLYoyo7aL dDsRTOi6zEc8czZ6dQDO3kdgGn29yc8HChbY37oNTu3y5tZFf58egy3VXlXMQPj78hqHmzMdWywI DqacTldEj2ttbWONmbBUNs2AOZBRgMDwJI0Wdtj+l1YRQUqwxkSrL02xj9+46E1d+kiIQp39/xCL 2bW9ehWhfr3F2tEEUlFYGcKQbhiVS9SboWHFHaXaFDImnQ6qbvhSSALbD+/NSb1quSX8I/8ATPwc BNwzgg5Bv663HKG913e5Q8I0H9bDIYmz2eWRJldRoqz2iE29bZdhu7N74qjasVdcwTftGkgofONO dGiT4cjYOqXwRp/BCxCeQU0NR+lgWjjOruOdON3KbkzMztSrCprMlJz5oH3TI7zlO31lzANwk9Ny N2KoOjRRu+ypxT9uYjEmPZ/KrTWgOwpFLZipQv1VIsL3EvVO3tSqJ6qL6ahBJs+kMVDGurs6S52f deE5MdZU0BR00H6i5PwHlEmlaJ3Y/czO8hiin8BDkXQ9aJlP663Zcs7HRcqRIG7E42+Gp8vYJw/L iRmery8aWpk0KsFJ3lVwh/sltTVQhRo1/QmhtkSVAXps1bKATIqmUQJHQegGzXXQzCgaoFj3WdCp B5RE7oBGNIOd3o3NSzpjKIwxTRQBwo1SEuDmVLA93QENaeAhWcdrC1KspaqkcLW1gxE2DzSYXakA ABATlsU4X5a7/g86zF0n/OoiScri+tP6Bc9k3RhVFTV4kNscEHahgOtBoPU5MKJnVvhl+lytwccT FejGMGXMYh1eO4A+r8xBOfIuEqCVDyY2Aty6K5+8J4sGM42pPtNobJK1+ToOdsZNQvyKnMyRfOxv PojxvHW8tDyOpIAMyHt5vaOP+nTC2LkdFdY3avtcYgYErgCnhbNcD6TF3dXCM7KIXbTL3wkaFoPJ 8UnC4hNHX6GRuO1CC+SEbAJWOPlObj1W08phFGh71pF2NHxQE6WvS1wyKU7dLGng6ARmN3p645Me CNLfOLCbveRBhCkcmOrQvW2RnaTcbk/uxHyyB8Kf4Wponv4qUFXnXq5ayeP4nq5b54sPjF/LxRJN I92cZew8dmqxolJyL42DAAyDsJkoxiBGh3fntcWaF7jrHGrFppSNsjpWEAUbr3rn91hZ6SBuYcBi fHtHwCx4S/gMdZg98Ol6c47Pln8G+HpltT6qxVei5irrPvDjGFA8XuiqUwo3Y2vqh4x1yfrNZaGy qyly7hhVBr3W50J0xXKOvZb5qS14Nts6Pso3jg6j/YS0A+efJGR8t0C8pu4/KixKhGosaI+5GPQ0 mPQ/R1rg5/70K8eEmlu9CLRZOZPMwllfhwNcY+JeOwzqyZYM8ux2xZnP33/9EkQoFsAmg2lZL4Rk DsjuceuIK0nyAuc1EiJ61K2Nh0dT1u6YzLQxxxPwN23mwPPdhVnLFBfum4EtTezxaPWLDPgWoNz7 sBmGLJK2hMtT0+RkeXd4SltsuwMuQukYtDMKBZ1P0U9lmQv7rn2wRjXOvX/q2iPDC15/lTLpYubm 5kdhlUQITMMFJzBDXxXz/acRsrD0tghBY1qbMzOsbkxriVwEOm1V63FsogrMMmQzRg9HDY0tlBpc Tjel2yFF2rCeGMuMhHsNz/NtmRx7PYRFeK0yaVM0tQDGWttu0h0DNRP8E+OsEOQU0y1Qv1ily7YK IU/EU3lN7UOHfXrJ3/mGfboRAmI5Av0kHvw9nohWJSKHKK0OyPHpxG/5yp2O4KpDDvN+UGEkqTiS aQsXTIX4XZgbixW2IFoBBX15Aj0oZZlDpXKKcOgUDDiG5Z+QvjmfXPmxleLoWb5hq4xZDR4Jt/zF 5aXCeCO1pFirO5f0qPlzcynWqN+nJB+DmKBkmou9tfjCD0i82FOGZiUwbSynxz7rYIn8AwRq1HpE ma1d/TtQfmxOisCB7tVkLERgpXiNwAkkmSyjzGrV7rPn3sWimFoTrjyOcKUO8VvWnl5nPJ0JjT3L EIS8Pt9K7IlxrQe7u7o84h64PBc4I5sEcNVh9Y2/33OTe2rPkf4w2gUf4M305XAilbuCpCHzfcj7 cavxS0qfDH5rc6NWmdZ4D4XJiQ9xg0QoAKVfd7QhCpiJ1XFaMcOOosKnDmwk9PKeSH5xNLYZ8I3K FVeBRU6oBdtnqBAwN8NBaQSxCiDdSKAEkpfoL3iw3ndcewPRo0u26/rsOj9T0fXJTTA7rxcPMAV1 prFnWok8wRAa4czVg4rLvfuecLA3qvtrnF8hEHYxvFlDiDjch6EKhIviBsOAvkyIJtSjPlmaSVmF PWE+zsbOMdRbOM9U99xYqyuAKBcKHSm8ky+/CTuaTVsxv7XQF15FnABjY2uBYZBMjafc4ZBQHfd5 h3XiNvJUTM5HWfr934CHngum/wqqymWjw2qPDfbdw6cZc+4Z5L6D128usPGn+0HpZB4w+bOOrwFy ME8A1pWm7P5m/Et9U31bu0Nn1a6C4+GqbA2DrNEzp3ojhPaYAr9aaeeWTLwY51f681gCKAcX3U5V Xotn3CJjVgHwwFM8mGub+RVpoHWT7XEDcTzC75udo1M40bnSHiy5D+5HHyIUaCV0pApsU542Fp7n R3Vf0zqlSvC8/weto0lEPjfUWvGQqBZFiMsRHLBvxOsHLnUAbTOrPcMJmw0V3Rb18rQfd3Hk0X/f qy34t3Zl7BrzBXJvXXRfC7K3eByBblBj6AR1rVjIBvWTgQn5THbwcqflOLoaer1tyvSGoPmD4vt0 0o8WvIdksy0mBnLEgv5K/5DaYkcqCrF+3vq6PYWk8IKqo7YlqNDb8pQK2WKO8guxGYW63JIboIiG uVFTS9g6mIJs7t91NkZHqfhjHu192hXcdoht5lQUxW4sqIrV3SgF8oh/A+7mkAmA0dgFWcu7hI6R sPebsJgvCQ82/11pbtwi0ktWVsmUX3u/iDlJT7tUobMJm9jYHsqGeoLbFksO2pD3NVfxhfcnmGaW OKeuvNxZzdcOYgE7RAEjMSKqAGYFFn/LMwc5sSfskoVctdTOfHa3XpHP7p0ut65T44tj22cwHnb+ BBZ+lDdnzCQ/oBLjUZY6RZdvF6w6Y7GYpvczRuhAZiE72AFGD9C6jkvbqfOkjzVjdcTHV3NohngY cAO7NvQtb2te453CZ8G+k6XqrxLA1LSKIfDlpdyzCsl+X/258n2xiI45KNjDTcyC+Je5VuA59f5k ghsQNSN+RiTBoK4enCQGZnSWuEoZoIn6XaxpGTwdJpI8ePW6iFcBZeG/nI0wv9BHFKW2Jhokah20 nO1UIw9TyCgMKrfKJHqmYWgtCXuylADXj61BcwqeWxVXCFHHJMintLx5u9KSOphgx3cILjzq66Hm ELjXq+9xuEZCQMv7ncB/hKJk+6Jglx0iSnWolQgJ4n4SYQf2gejDZ/Cmyvcm6W6PHjS0hTvmUmUG ZM7Cm2XHW3HWDGO/Y+bV6TqWWQa3TKoVkVjNzaU7NJlkuEnFRvTJsJ2qJh2h1//V/IfxwdA7C+mG cYhiN1Uv7w5GQDa229CRKTBTYK92DWUHV4zwSLDtbEMPx6ghsOFaYBW11Meq0iIBg9+/Os2r6foN s1JjVw4h7t5PupDXojZWKJ/Y21o+SMLVKTYvehxzYsePPKosmb25dIPPevyrUvzYu8RcHx06oble Mg5XuOPsVhyCmHmxNBvEN0BtMn1rWyRKYeiEvBsqH5meMIGxFrsz3lqn2BQlWc+U32XaeoDUKefL vLrUT+tUsfJ2abXhIUfp2rtz0Vx3EeQYmGC6jDg0DORVgNwgeXMZ7pyVDNjng5j4d3e5KeYBZFRm eNydZqnC5HSvgoxeJb6O4y2rfZN2Ovvf/FVqJ5DxiJSCRiAsRwyAEjzSBqU9QyH/qpLATysjRI46 o5ONfpQvs1UnFzzUN2w3WRlJC7WTHrTWjbinVUSgKu1P6QDQcvS0oa8sGvvm7/2oUrgiWOe1aE+k vPvcqNVuk+MAxb16WVldv0I2dwwq70JUi3tJvpttGlq/iviSCOJuA9iChjt6J24wXkkiROhQADCd gYmRX3kBulqcc0MNlIOqwIDnoWKW56yfhZYeT9Ih9zzrIxYLiPzDGpDhuLcTXM6r9qmx12pwR22e Mgf7BN+5JbRut0x6MLHFqxcDirqiikyMFUiiT7giI+9f6tz89Q5xxjT3cI/l2kWVIDufVaYl+WYw gQIqpL/B+7Nzs5ViF2joP6+9oSidtYK/5Ajq09ilDPkJzHsgUCTqZcq5el4wtv6mZxhnXVCLQaLr S4JQYsuHwA9/Z3abq/qk0th7lRBzqSCh5rMzJqzTEjGbBT1EZHVZ/Eo5CCRA2dHgZkJBBgUvouzQ 9Myn4/u/nl6dQXU41ktA/28RlugL8hn/MT3C2Le6r1NDTEsTTt9nZfczgx6XtXXHUl8NE1E2Spdq 31MkAgYpjqqMVkE8CjAC2pU/KuI70q39oQUJKlcbsnR1pzIrrgWmccjzCJ8pYWTMZ4hogKnDUvf2 xtceHluATxC5NSWi19294HEKhlt+Jt8ihw/svlPjqxQVD3z5sjht36+yAV2nACjXBdJb3S94lVkG 2oFPcgV3e+z7IFI6CkFBiElq9ZGAgcc/maz1urUnkDojCIME1L1NZiKYD8Bz1PNAKjmScIuEQHp1 aZimRoD/To+HFFKuSTm0exNofnNkYfCP2hT+XKsD0FAHpBAzOhXubyP6JW9G6LKiZTcZBCaVaOes XpcyS3UY1pseGuFxmRDMwjt7kfSTQSoxGz9jQZXlHRFo4CIzkTEpI/SC/L12QZ+NkP/VwXPX+3dv Dzxci9ZTxCbtyvr1yInoeQ90F/jy724+bqQRbi1xaFzjVl9Sbpe1irzPcRH4WOTM8vbJSNSgxYCp EFpKGU87QdEGpZw2m8zsO95g+ak8r58gqnyXaMnIhGOKwoCIh5oq7bzw1l9jbc5YjF2AemNWKncR +9IhwWqVQBuKZn+BgCSMDMLibhVfGq5wbyUme8ZPSs8FANOSicHtfBogcl3NXtFdlZVtCP8bRjiJ RNYDRcFtZmUIVD+0/0U82v3DHL1D59nmuvZ5cF/HZaLV8oHgKOnJaFzKOv3Rr1XK5dxa1ElJNmOM xv5trmcSJoUozIW5uC4cN/qQ9/33d6dImM+qXPNsJi9g5tzGZbusxacOWalpp3D6/q6qLRwFR/0Q CWNaPBdFVUvl1OFAj/ij0y96OTh2e6J5YL/3pU0jg0AuQYqgFs1d31uf3CO4k+9CwRIvg7ONb3M1 6/flvKbxzs9KZJWQEYoEGC6OQs+Fm0o5PnAPEWYTt99HFQJZoGDQ5MhJIDDF6LJC+lLPf3evGq69 z0OjzOZwafbpzIQhDb23VQ1yZHH3ez9lGBgpjTJH9UHOO9s4OSFy+phjaydL+CEL6K10CuKDoLnZ 4Bz1m2egrzS/qm6wywMfd3liknM7bv3x6thj2RH+Ea/qryKbF0AapkXbSKjkrNt42Wxx4q4J2lsP l7BhHSKJVNOlN8tONgVmXL8b77ca6YSF9e37KRM7YbUvde7Xt2OTMBOuPBsY3XHT+UpqoA/bX0kN HWTJ8zzlsQwthuMZzaKEWuSFQ+w8MSF/79SnIu1YAyLi8AzpmPzohTtmy9gmD9hb3IRs5vE9opHE 3dZEwQGISo4Qg2tFezDSxsFGtySoFSvk4EHQEZl3DxYDEqNVD5Euy1GI77s2dYAgVpWqxr/ovOCK QpSW89hjrEXyKwDe4ChGyNp5x11im9XgSkW65rNItoXsaYjrTd5XeHYK7ldshHhz33mSWceXWcgr 65/77ML+IHT3Hw/0PIcvWYRvB0L2HGh1ArBYHk6tb3y/lIAZbq31MMaG+vUTLTPs/fI2B66ezotO aN5WBmeP72dOdyo+RiQ28YUCAsW0kTrs8VxUbuWiQBjNr7sBzwJR50svN57IxsJH93RB0ShELu5X Zly3/V4GBM9vBkBBwv88Am5OulWNTKc3d7H1KVbEHLwJh5Y6d0+zP8tPFvZvitud0s4b3d8T4r3R JcVdQxoZcr9BzhVNWAECJwkggWbLJif6/QNRU2NxbzvgCrFWiZ9RfEnMu1cy2pJFB5Ba3v96MdVe F/2AB35BGAVQyRqFelerOojoIg+fzpIV9lPaNynbvvyhYmPYoXvUU5wuVP389dVcJFLh0YmcNWxy xjfZKuCHRQddj4PEN4cCUZgcL5ZtC+dt+LrGzw6YlAzK7cfqSR/IUcnhg/gpEaneBmtclrqfvJof u9HS24munX/GCINsOZJOeP2RpqvmVcZsXi9MEMk4PZWmZcA8g+tFlyCvDNLWpiMUl0qi2amFgT3Q 9P5XCI318NdHJ5mLsutBtTu7IPg7VWdd6HcYI23DXRADgSxoHPvQra9+clhey9xKzX0oyCcfE/BR qp38DT6zHulJlnxu8wXcKNh7l7sQ39vKUuYVSW2sb/jeMFV/pRNJPVqtkNBU+j0pcybOyCpXDUJk 87NnqUFZNeaFycHKICC0TzJeXU4jhLUBrVwHvwh1QOL0QxuJssYc4JENQQ72mka+AdB5CWAXHkgv cZT7bdflXjOq8OkkBZH44YVzMVRZLyNQ96hhWGVFhSDzwMZFIb4UUdsPrKkhg51YblMQOqN7p4rZ S+q8TWjySwszV5ovfHXkpvWNszwA5FmXtOYU4TTaFCD874e+/RRdBwyiUJ5xXQWuY5AZzx30LegP FF3g/CZPu64zlJQinEMca3D2gW+qK29QiaqBCGGF7P36r49UhflMpTXmaOv0AxBIXCccbufv7NP9 dFjLW9nKCgnHRYhXxqstLBu4Xm8CAFHGezVFC5yvrZYZGH+gz4ekbhxfhzVjU4aXWYiLnF6ff5QY huhHNkJfFnfrnwpKRgQvyatACT1J+Wvshe3JiS1ZetY8B0TIswUXlXvLHeXTUTvMzQ4Ek0NDA0Xf QHHCyxAbCw8BEZOngaUBXb6t9/Fy9BFb7lmzm6EbNCm23WbWR+LjAYp7FJP7CEG/FsDkNbvUqUen TrXmc8+wJ9KIW8W+OIqlU1DmTHQLHw55WQVmgFwWT+Ah5vgsYb5g3gSR2aQDYItrMfCCY1KJmtgI uyyn1iROKRJ45UKW3XbGDaTXYhVYHLVLcYHQHkToLfuuKzqjxFMQ3WGYwxGi9AQKdrGybNevh0/Z s2xGW1k05P6jCY0UFAuBx+ywD55LJpCBmx/GluRycauoyn0hCOZce+rjPrBQLhKFuyanW/K7XYQ6 SvoBMtYl2NTQHFdj6Wl7Y+u/gwo1sKfGuMi9UK+s9Di9e1rjm7TuUucxQWNIXa/fGJ0j+ene8bP5 dsdiBkx1hnAeIb+K8Y/aAkBa6B4/6qd2Szi13WVkbtFWrv0Qoz/R/fSm6aBKFTm/yez6LxmyKu2D fKJuOhPNthPtgrq4DwRfJFOxSMnS0ALG0YYCHSVg4alIh8XLvm7c6wAqpKaRGHuetsUgNJ6RdKFw LshFSlZ4T0YAwene6DODZYh2wJMqvXCwDAZ5mL3+FBN21SVPTDOtvSaz8l/op5l8xfxR4IWkR+nB EMh5sxEhGbgmHZ18brxOO2jPfN/YeeGpYMt3dJv/QOPhVrPAhgkRRxZDqCQZcnXsBTWQZsEfrnep 6HhG9NVpG+bVmBk+sW5lMBMuzsYLI03v5haxzZRZOPOJ7PqVi7IkDoz6ZmctpVBW6WOcRmDr6F6N Y9j2Gif4z7e1x2y5FvponeTkds6x1gyINsHMXTLH/Q3MG97l0d5da358BPTLDmeOPT3tKEu557pK XKZnhHj+n1JxBUHV1ttJdr0egAlJhVNG6RnZWZDoqzvSW33EH7F533aGCNwuWf6Ebm0B4+xb7hYf zyKadJoUKp0WqIWaXeYB0bfCnDQnJcoFjZwHnI150P4IJfCD9NlFKP5AizkjgRZveMbmj9o1zpis /BGKDUtrZkYjEhi7dZNfi1acUrB+LBQcWVOWhPqFMg7M8nWA1zMNECy4ohCMs3JitQ0kC0jLp5Xz vpfe1psBRWbKFQa6L/YICryJuvSuC1Vf5xPU42qQ+KyQOA1j5tPyNjxzQtHr4PYbFKBjN8gY5f7m auD+Kx9MJN083W02eFWYH0hYtkNzwHQagO//lf15czYKfKiGDJ7wT+0hZDWZQvXNVVNC/utOMi67 k+yCxQ8SaDJgbAbLfCqi0UNjtA== `protect end_protected
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc294.vhd,v 1.2 2001-10-26 16:29:50 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c03s01b03x01p01n02i00294ent IS END c03s01b03x01p01n02i00294ent; ARCHITECTURE c03s01b03x01p01n02i00294arch OF c03s01b03x01p01n02i00294ent IS signal T1 : TIME := -2147483647 ns; signal T2 : TIME := +2147483467 ns; -- no_failure_here BEGIN TESTING: PROCESS BEGIN assert NOT(T1=-2147483647 ns and T2=+2147483467 ns) report "***PASSED TEST:c03s01b03x01p01n02i00294" severity NOTE; assert (T1=-2147483647 ns and T2=+2147483467 ns) report "***FAILED TEST: c03s01b03x01p01n02i00294 - The range of TIME is guaranteed to include the range -2147483647 to +2147483467." severity ERROR; wait; END PROCESS TESTING; END c03s01b03x01p01n02i00294arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc294.vhd,v 1.2 2001-10-26 16:29:50 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c03s01b03x01p01n02i00294ent IS END c03s01b03x01p01n02i00294ent; ARCHITECTURE c03s01b03x01p01n02i00294arch OF c03s01b03x01p01n02i00294ent IS signal T1 : TIME := -2147483647 ns; signal T2 : TIME := +2147483467 ns; -- no_failure_here BEGIN TESTING: PROCESS BEGIN assert NOT(T1=-2147483647 ns and T2=+2147483467 ns) report "***PASSED TEST:c03s01b03x01p01n02i00294" severity NOTE; assert (T1=-2147483647 ns and T2=+2147483467 ns) report "***FAILED TEST: c03s01b03x01p01n02i00294 - The range of TIME is guaranteed to include the range -2147483647 to +2147483467." severity ERROR; wait; END PROCESS TESTING; END c03s01b03x01p01n02i00294arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc294.vhd,v 1.2 2001-10-26 16:29:50 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c03s01b03x01p01n02i00294ent IS END c03s01b03x01p01n02i00294ent; ARCHITECTURE c03s01b03x01p01n02i00294arch OF c03s01b03x01p01n02i00294ent IS signal T1 : TIME := -2147483647 ns; signal T2 : TIME := +2147483467 ns; -- no_failure_here BEGIN TESTING: PROCESS BEGIN assert NOT(T1=-2147483647 ns and T2=+2147483467 ns) report "***PASSED TEST:c03s01b03x01p01n02i00294" severity NOTE; assert (T1=-2147483647 ns and T2=+2147483467 ns) report "***FAILED TEST: c03s01b03x01p01n02i00294 - The range of TIME is guaranteed to include the range -2147483647 to +2147483467." severity ERROR; wait; END PROCESS TESTING; END c03s01b03x01p01n02i00294arch;
architecture RTL of ENT is begin -- vsg_disable_next_line process_016 process (A) is begin -- vsg_disable_next_line process_018 end process; process (A) is begin end process; -- vsg_disable_next_line process_016 -- vsg_disable_next_line process_002 process(A)is begin -- vsg_disable_next_line process_018 end process; process (A) is begin end process; -- vsg_disable_next_line architecture_024 end architecture;
-- DAS ATV-System Testplatform -- Pinout: -- HDMI_CLK: GPIO_1_IN0 -- HDMI_DE: GPIO_12 -- HDMI_VS: GPIO_11 -- HDMI_HS: GPIO_10 -- HDMI_R(5:0): GPIO_13, GPIO_14, GPIO_15, GPIO_16, GPIO_17, GPIO_18 -- HDMI_G(5:0): GPIO_110, GPIO_111, GPIO_112, GPIO_113, GPIO_114, GPIO_115 -- HDMI_B(5:0): GPIO_116, GPIO_117, GPIO_118, GPIO_119, GPIO_120, GPIO_121 -- DAC_CLK_I: GPIO_125 -- DAC_CLK_Q: GPIO_122 -- DAC_D(11:0): GPIO_21, GPIO_22, GPIO_20, GPIO_25, GPIO_23, GPIO_24, GPIO_26, -- GPIO_27, GPIO_28, GPIO_29, GPIO_210, GPIO_211 library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity hdmi2pal is port ( CLK50 : in std_logic; HDMI_CLK : in std_logic; HDMI_DE : in std_logic; HDMI_VS : in std_logic; HDMI_HS : in std_logic; HDMI_R : in std_logic_vector(5 downto 0); HDMI_G : in std_logic_vector(5 downto 0); HDMI_B : in std_logic_vector(5 downto 0); DAC_CLK_I : out std_logic; DAC_CLK_Q : out std_logic; DAC_D : out std_logic_vector(11 downto 0); LED : out std_logic_vector(7 downto 0) ); end entity; architecture rtl of hdmi2pal is function to_fcw(frequency : real) return positive is constant sample_rate : real := 50_000_000.0; constant bit_width : integer := 16; begin return integer(frequency / sample_rate * real(2**bit_width)); end function; signal sync : std_logic; signal burst : std_logic; signal hdmi_freq : std_logic_vector(10 downto 0); signal hdmi_ok : std_logic; signal clk27 : std_logic; signal clk27_locked : std_logic; signal clk27_sw : std_logic; signal clk108 : std_logic; signal clk108_locked: std_logic; signal clksel : std_logic; signal sin_out : signed(11 downto 0); begin pll1 : entity work.pll port map( clkswitch => clksel, inclk0 => HDMI_CLK, inclk1 => clk27, c0 => clk108, locked => clk108_locked ); DAC_CLK_I <= CLK50; pll2 : entity work.pll2 port map( inclk0 => CLK50, c0 => clk27, locked => clk27_locked ); clksel <= '0' when hdmi_ok = '1' else '1'; ctrl : entity work.clkctrl port map( clkselect => clksel, ena => '1', inclk0x => HDMI_CLK, inclk1x => clk27, outclk => clk27_sw ); hdmi_ok <= '1' when unsigned(hdmi_freq) = 270 else '0'; fcnt : entity work.frequency_counter generic map( res => 11, gate => 500 ) port map( clk => CLK50, cnt_in => HDMI_CLK, cnt_out => hdmi_freq ); tgen : entity work.timing_gen port map( clk => HDMI_CLK, vs => HDMI_VS, hs => HDMI_HS, de => HDMI_DE, sync => sync, burst => burst ); sin_gen : entity work.nco generic map( A => 12, F => 16, P => 16, N => 14, FCW => to_fcw(1_000_000.0) ) port map( clk => CLK50, sin => sin_out, cos => open ); DAC_D <= std_logic_vector(b"1000_0000_0000" - sin_out); LED(7) <= sync; LED(6) <= HDMI_DE; LED(5) <= HDMI_HS; LED(4) <= HDMI_VS; LED(3) <= burst; LED(2) <= hdmi_ok; LED(1) <= clk27_locked; LED(0) <= clk108_locked; end rtl;
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Top File for the Example Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- Filename: dualBRAM_tb.vhd -- Description: -- Testbench Top -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY work; USE work.ALL; ENTITY dualBRAM_tb IS END ENTITY; ARCHITECTURE dualBRAM_tb_ARCH OF dualBRAM_tb IS SIGNAL STATUS : STD_LOGIC_VECTOR(8 DOWNTO 0); SIGNAL CLK : STD_LOGIC := '1'; SIGNAL CLKB : STD_LOGIC := '1'; SIGNAL RESET : STD_LOGIC; BEGIN CLK_GEN: PROCESS BEGIN CLK <= NOT CLK; WAIT FOR 100 NS; CLK <= NOT CLK; WAIT FOR 100 NS; END PROCESS; CLKB_GEN: PROCESS BEGIN CLKB <= NOT CLKB; WAIT FOR 100 NS; CLKB <= NOT CLKB; WAIT FOR 100 NS; END PROCESS; RST_GEN: PROCESS BEGIN RESET <= '1'; WAIT FOR 1000 NS; RESET <= '0'; WAIT; END PROCESS; --STOP_SIM: PROCESS BEGIN -- WAIT FOR 200 US; -- STOP SIMULATION AFTER 1 MS -- ASSERT FALSE -- REPORT "END SIMULATION TIME REACHED" -- SEVERITY FAILURE; --END PROCESS; -- PROCESS BEGIN WAIT UNTIL STATUS(8)='1'; IF( STATUS(7 downto 0)/="0") THEN ASSERT false REPORT "Test Completed Successfully" SEVERITY NOTE; REPORT "Simulation Failed" SEVERITY FAILURE; ELSE ASSERT false REPORT "TEST PASS" SEVERITY NOTE; REPORT "Test Completed Successfully" SEVERITY FAILURE; END IF; END PROCESS; dualBRAM_synth_inst:ENTITY work.dualBRAM_synth PORT MAP( CLK_IN => CLK, CLKB_IN => CLK, RESET_IN => RESET, STATUS => STATUS ); END ARCHITECTURE;
-- $Id: tb_arty_core.vhd 1181 2019-07-08 17:00:50Z mueller $ -- SPDX-License-Identifier: GPL-3.0-or-later -- Copyright 2016- by Walter F.J. Mueller <[email protected]> -- ------------------------------------------------------------------------------ -- Module Name: tb_arty_core - sim -- Description: Test bench for arty - core device handling -- -- Dependencies: - -- -- To test: generic, any arty target -- -- Target Devices: generic -- Tool versions: viv 2015.4; ghdl 0.33 -- Revision History: -- Date Rev Version Comment -- 2016-01-31 726 1.0 Initial version (derived from tb_basys3_core) ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_textio.all; use std.textio.all; use work.slvtypes.all; use work.simbus.all; entity tb_arty_core is port ( I_SWI : out slv4; -- arty switches I_BTN : out slv4 -- arty buttons ); end tb_arty_core; architecture sim of tb_arty_core is signal R_SWI : slv4 := (others=>'0'); signal R_BTN : slv4 := (others=>'0'); constant sbaddr_swi: slv8 := slv(to_unsigned( 16,8)); constant sbaddr_btn: slv8 := slv(to_unsigned( 17,8)); begin proc_simbus: process (SB_VAL) begin if SB_VAL'event and to_x01(SB_VAL)='1' then if SB_ADDR = sbaddr_swi then R_SWI <= to_x01(SB_DATA(R_SWI'range)); end if; if SB_ADDR = sbaddr_btn then R_BTN <= to_x01(SB_DATA(R_BTN'range)); end if; end if; end process proc_simbus; I_SWI <= R_SWI; I_BTN <= R_BTN; end sim;
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block NtlIsBe5F9YzubYpAO/fSHeixgvLpg9p8gQFt/OQ15TQB26mSjNJ0nmRIQnTCB/s2nsvfRmBFgmE V/a8QL/UhQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block C5w101+m7inas2AWe/NPg4QE+RBDCesyQduQAvz/L5wqqLNFs6DOfYs3u04Rf6J8bnrfQVfLGv50 aAo9/0fzG7ocNM66/XcGmQX/W2wGLGg/rBHUGneHRV0nY4IzS7tQjJ06mtET53iAA/TKih/UThdt GXwkGKi043FBaqrG3UA= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block IrdoVQhIm0l0p2BFrzVlIqs5lZTffcI0vENlEyyhHSmb3Ki5dc2KC5Yzwuiit0EhMoKvrwVsCT1e JG3s3gV2r2/l5zOQMII+ixUWp1c/Uq5V9qTYpU+ufpE3a4r6df50e6pI/cAkjcrSs837plaBPVlk /tcSgs23L4TUhDW4eK7v/52TjfcFp/WkKKL0xU8akAjcp0N84gn0ChRLBAKFyfD0tzO7ozU/KC3L 68q/O4IKveoL94MPKmIFXifXqfQ7ZS/ZbtDCT8DGZJmqPZ9KTa6KuxM7pS7msaAkWbLpMn58bUs8 YVnOV0e/4LQmnCvcIvSC0ZprxJIvoPff5JQv3A== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block vOFSsmedqKgCVrikRzVIvd39H04bL+XSenLDYl/O7O35bROY1V3U6ifAfqn3uYB3oG0Tia1KkSPu GG379cevPbfzRmQ9q9v5EX60Rok/MkIS4zcjVJ2h2p/dPOAElJBN5omznhkPYV2hHS2MnsQ9+lPM SWxdCQNqGC8gOFl0c2I= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block dQFarBlt3CeoQ3dbYVIOw+0PGMJ1QXimw9a57ecsfSTOk8mKg6vGygDi/cYDy7ysZRLpn1jlzIp3 wBhK2IYMJ1etMkKrUuuDuJUZOt7YNXA5J0U+8XErFPszzuN86ugNSWudAxliJOqjo8QbTuE6o724 JZmrnGXN46xEKsuGdEhx4o0X5ZCFnRHna/fq6r4GGCP96fT1MqE0RPf/w6Wv3cTCGlT9pYvQfq16 TaGvjQO2HCB7ltdvI13J25Ngrmbrh9h8GWXafeSpbpEkAg7ZnGEcE9TsIKNy+IkenJgsoSE2PCgy XBwyMCUA3OadTEC0Op8FWzR8ElrWecxpER7DZQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 71104) `protect data_block e8K6d76gaNxync54wiEjlhBPVhybFHTwE8p5Q8mErFwZNul5RrRCKxEPr9uJc20A5k+c+XaN5hKg PZvSV6ba+nwGwEIqqdKGDfJO9ZAxLdl6bjFP/vHgGXeO5j0HnkThV0E4bq7wvYnkoME/RQ5aRGe2 jKO7+btCvvnzphm9rei9WRISibwoPMK+Ef59TXVpE0/Z640aApAVZgZuNTTkw/nRqRFlu5otGdF5 PVWSUZV45AwEuNtB0033tOs7rA1os1L+xZHM/Spdj2jph4dtkNkVeKNwPdfkMik2Wnlxcrvf2odu 9EhLq9mQtNSq5Wj33PnrsV6MxEORxv79jyPknIUaabBTZ9LdXgA7hSbriM41F48NVcOwmL07vVit /b+OmBnFTu8ptmgxC6H92ndnw09TrV0En8dkWUtwiXtFz86QOpHw21z5gzIcqvoqj3YA9syR1Evq VoBxye+GKFFqHCI2ZqwuEMnq6FR+fTNtL7k4Yw/pvkClTcHgD4nAqqAAJfbYe2QTgGIqgaNliNkr sO2jlz0fm4dUwX0mvyXCN2GuZ1U6t4p6VEpaTGdsXwkOq7Q+7j4TXRjoqDW6Mg0lr/Gy0244x2qc DqsAxpj4Ai4pkYIWMi8Tdr2jc7qeUfenbCXOwmL9aWu3QAk9UX3NrLVm/D+pmPbD+9m4dIRMXg5u ArU43JokZhKkg+bEuP5yX1giJJ/rWmNMgB4LZ+HQWJxhOiTW7Fi8z3cDPqYUmnXFdO1J1Ik/Ft0z GSOQd9mcMnzNC8BcjyfqomsnBtCa6ZSd+ijFZ3x6DaOarIltLtUBdIi5DK+RgyzQTUNBdBTbAqxz TCpx3/W3IXtw9ESbtMV/i0VRuNoZbb1GWCF663X9hS3P5dhYIDEMqy46vuwkObnbZGr4iOG/XTDc wsGQmlV/xinjNbDTDJ0C6uM5hVJT83fDuqrjiQCttxx96zHD7r6ajxeAkvWgj737+iXIOHfiYy02 FYZ0rgzAQxxOEbyVhblHX39difSrJz+L3wGcNhhw5a60Ec8rYBzJvmzlJSnPq5mjt2ODSxXICLQ0 sQ1H0NEypIqKQkMtHZcgg027XfPR1k71+Bz+M3giHhSuLAp7S8oqxEPsqsOM/PocZZrWPmDRPtCW 0+yN/PG1p86nXMvZan2yGUa2k5vQLKmeLJ6gOJ6aP3ehpsVIHMaduV/lk9tfP1uLgCPwBHImKdfC 8guvmfCozE/ztPuM2gYTaRXJPZt8Sc1z3ltG7JsA6+hsCXIHIWEIpFA2yUtOERLUaRmQhwyUmRhL ICQaSwAHIaf6mPj+EvO7oOg0YxkMWv+TdGheG9+pEv2lrNPthYsiPstUsBGIxEAbven+fCvIf9cQ OpNvCK6lv9+hNBTrd1evWQ7al8sWJD+Yx3KL4RZTQJi0nTu4b+n0CFpvhcB1/p9kODArftLvs+T1 WROLZO9UAe5u4thUlVgoQdXQaGETPGgOKl9w0/J+AfebmNezRQf8K/h5OZUA+tepRhxiQiuZAO6E C93RKjxf9VYmoGaKnUuf+hsSBgNoAiyZtL3d6yWF8Bozx6tey38tYsYK8y1bP2WCNTlGcPMeEWNH MJp6qOn6E39MNckkXQKx64aZrpFHrXVAAOAqP+gMAxqU8VwFrkNsO3AYcrkVUVDEfi6pA8L9t71Y ttVvo+tlnLPdSwBAeUDToPj8T2obxmFCg3ldQRiSxm59EI+BNtn8VphufKecEw7iYXucoSSlQuAN sabVMUMmxemKCHAlibMdwyh9bgGHQ/0nEYnv+tmgbAu8bFof9ZP6fZbW/yk6GqJcJTcAD5Jkx7VY joD6q/SzRKFO5ROORvf2vtRQy8ht3BMnbELKSgx2tYNFBIyqcE5q5DoEBSdMZI5uKregs6clhHWr 6i2EwR3CeyZS27+nParazTNU9P3JatcPNSfp+4aM1Tc13+d6wQbxr7fuHVscY8k8tfd43zPAil2Y S/GGxCAexkoDSdK4+76cnRR94VTV2MNWvIP2PycScu4E8CEjPPoV5NeIx/yDcNseYkcTwjhs+oOS 8y8e+EVODRW4TSKo/8mMBIfKInzYp+rzQBv/S2PYM+L4AzE0LYZr+zLXJ2kMKlR6pookWHK42T1n eDS5qOjHockvUWx/nKo7rTqRv4jhZRGTWq3/g90cFBoGdM8oaKJPkhvb1SMFb8iSMvtO02GhRcZX Pv3u+GJONneNdWYd+6bGpcmlNNW3RNKXl+KT+RnAQNp8AMY4miOODcSo437ukO5IeWK4OAUKfvXi n4thJmaVkOUPc2zmfsFafvT7fy7r5G8oeEEWgn++kmy5e56rKAZ2tuhe45r9Y8IA8Bfcs0CiLFjm wgxO0xXn2vzzQs+K2vqSJ2HispbaTFwjP1uPJ4kLEOUQrDXe+pNZDH34W1tjlA9xdbuFRxSKjGPG kswjmGiKGKMOJo6JZW8yUqnT+IvKBGGP1CBrQPwFuQR9eGzmx+QbNhbHts9MLfnGfyLLxFAhItvE LBHERqihBhXBWeozUdAU7BmIB69FYt8ezEkKL/sim/8mE6bwI8uEHjJgaPB/UbBwa9tmuosTm16P kS/L8KSpJ1sXsANir6AbR/g2Mp4tWM406EleKoKY6IqypbLncKiW3T5MdxC0YJdit7Dwx1CNND8h wCWkNexv61ZJAzP/bQ145v4zoHs2lsT/O/Decd1fqsLuAwNf+ikQpZWxSz6tyIam4bMTb7mbasPg DWiXX3CGEVNHxf+Pp3/b39tM/kjdIZTYFkOjWe9Mbc2vpRlExAAsYP9Z4EI3+XGX6YHga3aQ511b PIz/6t0XCyii7Dvv4C9MVeqtMmEFZCjVtiIy8yZX/uloLWumIVYyrclGVRpKvjI//zZBzKJxS2do hqfxMwNTC4jzIUfnxWP3GVUaSW63rdx0MkH5FiZZbCU8YKsDhbMp1N0B7tJL2NdvuS6eYvm8sD0J Q8ctnyqtDVJYRahxG81HOXRC5jiIqTtFlPJ+STu/ZXQEPO1A/jGoGtpcQbs/8/ur6L0L7quvHf06 XO4pJh/AkJeyOPStpmb9nFNztLwUezEqmMK1HdBO3BPkvU8PKDxWCna1os9eNJAF95o/FfikxnT/ ZvJMmSdMqd4WM4/WRs17gjvpnaRYrT/UrtH702GNd/pivTLnxSI1+SdyDJP5kvYFSVEYQaS/kwRV Nwb+RQswY2bY8jPYTKQhLPLKYX3mBbd7PXCjaXmarJry0zx6MsSHnbECtOIgIDRWhHkDREBSiKkH 4YCtw06ftZx7sEZE+G5kGopg50Ng0qMYJ4CU35JBwJBNDYed/84YZ8wsZbM/Slubqo22URcsj+nL ALJcaXVNQ/yw8K59tf80yponIXRbIz0sv/tHWSbw5fK8p63Wtwov8zt6MBG3m2q6F5VNkTBTu9Tr IeY/NWMO9j51gtcMSXu6CxguL3RuxeqpRA0o9rolLzhgRM1U7HPjPc8WTP6kOVWeuEJMbXuhw18u TGSCRWmttkGgdLY/AkQxExGTmg++nUJeECKXE7zfsi0Zxn1JVrln9TrtoOrK9IyRvsDntk3f5YAM Crd/LuKIwo33eTJ4uBoWbj7KJGgXoqn0d+8ntN9oBwXF8G3uKZHVnFhowQlaZZ7OM6udPM8274xs 2MfDCV/e7FWl+54vfEiOI+gxH+DEKDGCYfTG5RX1PFlCkivrBc6kd+DOFGKLFP1VwjMFr+9XuTRC HjCuSP9malPLjYy1yj2c5+Bd1ZQPTf/hDHV9xd44lh6AXHqgO8DcJcyjm+OzGOdlU25Wm0tB9Tlf 13B9eUZH+fqh3qH/wg0v6Pi9ob4U4kYYACn1Wo63MljUDb1Out05ZzUEJWsWY+8wuZ9XRHm51b2p 9NwEjtSNkZ0wSlIdkoOdtCZ8HAyo6gBuh5FochFtr4RGxQAgAX+Gy3nyXENBUiYANggpBjj2+lMT sMUvUmV8PdsI1YZ50u0EEzTZHQycLAGm+2qn7oOUHXAbCEnDC2H9HwnN1iwTRxSUZcAntqTpNGGS AdWl3ykNGrtYCpdJ4K/CaNq+VwjocB3F72DsDITAqpeItSSJvpq09Ug7u2RH38BpQq7vfcn83NPs /k0Th/tGD3JnaEWo5oTu5mk5TjFp/PG+kDDV1WsHGUq081WF9BqwPTTPEb6Joobskis/bOse4fsw i7dm1d/ZvCwrm6mRqGD8GB7vVjwj5FSGxa5kMi5Rn+Br+jpwD/LF5fTwiJGs3feFmT27xAPzHM8+ SBxnGnCiZUsNyp1J4Djil9mHwt2AqTaLUbNT4+56TRCqxPwNNYQQfnV2/gmdeE3xK26/vt/rPT7+ MC4BNXHTjV5lzzlIxiMQMR4qqPHdXMy0YiN/DGoySc6ikls4SL1DfeyX3TKWkQUOrrL78Lo5ENwR HqV59zdhhF4n8ASsGU9LukusKWMdSE0PlOPP7Gyc0izKQ2kONG6BuB9GuKoEnenYeA4ocTcl506a YYDhiXQqrCBrGqRQoF6ZWsEG6yJ7nxeTySyspAPNArj/Po/497uGhf1OiZdI35VTAkH0+50ly66g rw6bx2DnRsNeVLsNvVguDGMQTxFNQ7OEzmx4gSlczyRUiuIIfHsoJFxuAqTrLYJpFDo7+0prf0qR 2S+kayb8uAicRoBoGAAZVSkveJaJYKNpDQ6pFrfaBSzArFK+8RGk72pqN5pRd4+IwUMMzetVXUBz nIbY48SJlHbmXL9gd/Fbb6taTCMIWrQzm38B4Wtl0Bm3iqlLCN2phJTjWgtMn/CW9PYcPxGhwRl+ 6NXzavbtNczdt/FVlLQ/jGrNj+aU4F4qbloToMPaNDFr18wUgEqYzQEejAFIQQ0Vw7SW6O3IG6TQ t3NqLyEmZ8sjGoqIramLZptWEn3YoW7J5DNGjs6IAL20qrishFIJ7rpEj3mPLKMSBUVFymOFRXw1 6yD4MGKbiQO7VS3zodaYUD+j8bQMXpuOmBo136vV4v9Bak343+tr9ExuDJVgkhOKp/MNb/kvj8uL oGE4iB3GmPqCoNklcRBM3rkYewltKtiVINtTYY3Ytp7mAiW7CtmCqC3fkCM5Z9slM0AIDo4R8oN2 iDCFByMo6aNUXhPOyyEpM4UycDQskamyXMIsA9F6Vv5+zG2O5Ojq9008oxmLmu03Aqu86vaLAI9e h6Y+azPHaqMDQEJDUFlBVZ0rBDskdKXdhpRes3B7H52LnFxFknkjsWfhPOxLqDWsdWVeZibEdK3L SH+ReZve8GnkGwuZL6Xe06oJdChkVz4yx6H7j88banXD326R2A6mjq089UHiA3oulp9ThpZuKJoq 7N5VGw4vdPwUWaMHLV/PMkH+JEi8W138/vLHwngfk4TCXFZ3BrhZiLFN2CW+LsbGv7P7W9+ditD1 jrRLqSrztX5mVLq8ajolk/zSeVix4Hq7DUgCRuVPlxMeN7ZidLNpdiyIM2pOXj95a/JpZh1lBEvO eoEO73SzVG2oyYAT7APGZRZo1X4IoH/YRRLGOF8jVP+5ZPpIOEP+gknVziyH03T0a/x4Lf1DCu5T +2BczP/uYsqJXmOgvNOcL3gCFJpi6H/3N6M/1qvYR22+sPbOerjO40dyYHYaovHmTu+KwJ6c2Okv BdxT0KW+A7ymXWF9dUpocw8ABy58uWRdvPGWUUZP7O11UmTqbNH0UPAonad/lkqKZbm3wBacMbDl X0gcGow0OvRq5oT160UqY2fijZZ/rH1q85/A8lXHKMOgOWFsgmf+uI+ALsVJbHxJewqoTnTDD7PV cuDJkn5lAcktLB7BQzNMWBKvlBT6Wunct/h56DjXbDthO3A4NklfFcGFIOLvN7FVQbkJ1Iy11CAX kNlzID8dhcKijnEeFB0Pjn7yrQRvZDQkFGpVdmMwF9JDWjPWUW/l5YhvyaUd4QRSpAtB/Zlm1ilu js+9MWebHvyLR2W1PreTD0VJl20IEQN6F87/68Q+17AyOssfIb+EExcdHHcPlBceGyqHDrv3Kok7 EUw7M1a2U4SnUKxAMEpsbZl1n1b37mXVIlp3o/7s3PfCdSVx31ygPX2RHzPIihEpHBMIuhL/rJFw I5VvBDUrr9lfw/tiX2CcqLu9GiOSgpXN1pFKhstIwQ2vLvAqP/hq1s5pyaX//2SV6vtkBv5ImpBC 25RBMZc+32djzE0KYwHJpkDx5iCnYZxquh9/RjXytrcPa0l++ISavWlzJAFsOQOhCF2TDAZYeLnB 4RQBqV8f4g8oSmHAT/CeNL2K8W0C01olDITYL1FP4LMy8WNT8KhQtEv4Z8621WX9rsqMHWNlIere nwM74boWp4wKyDyzt8iB7KDojECqLvmyPAuUVg4Pgbed7NsU7FOVxxmQ+r8Ffi+L77LwVd6d2nF2 8fokwG1ZSUAlpGYoz/AhAbtYumIR/zRBgvCh20Vad1cfcSvKBvQcURcDuinptnTfLcHxWSc8U6KP A7R2JB6hubxajDHovn/VDBQiqPCcPWCp+MKV3J3b15wDs1PtxUWdMrYARebonVDUfKEph60xzG1V aKSm6lA/6IpOrWI64ItGMIq5zyT3AIvIqpCyp8i1b67S3/swC3rODS6bmoeT3MY4d5TiW5wyku0v fHlVITUIe4rtu4NTM6wZHxDik/ftBbV/HaxqzHhfZ0YkoFQGdjIEKTghv49gvD/JNnst6WiehDbJ ZLLj4LNLw1CGgY4B7K8fnbUo0ARg7346nztAwqnL74No50yfqXAa+yDazhYQRcTBIWqfvpxCzYkj C9rRj01h3Nq/Z0eeumVsMZtVbcLIfeMl2stGDQkxoKA4L8qBNWsTPGlDd1vEw+JCLwtjvaabOb+7 PlaaKbMgVmM55AEVACtsd27afEgySL70nQbwgL8YOHxCpbFSOAH1dK1cOB+qt+C7IbDBMC585gqS Z93kvxr2kWm/btx9o9tdsJq+XDt02mTzjZdHFRlapLTahznT/JMLqOMv2MwtTGsBmTjUb/OHReJ7 j+HZv8x+PVddWXh0AtD5aGnSjjXE8BtcC/BCLR5eMXF4piZfXmJtFVjqSi/FSpP337PDdstjJsyP ZRJHSxLeG0Q1pmDV3tbtFozaKIgV31AAkKObn1UzttJWLZYY8QdQb7VRvkRSAxkORPOGzy5qr2+6 DS7JdpJo8B1J4R5UEnwaDxu/F9dH4eUVgYup/CyBzqj5+RBW3lUgUdBGtq016+j3+CapXZpjghes 66X6sjkKdsvCqBUqogCUJdu2cYzcwU8HD45v0HKcwUatgxtV7r1VzX7En11/pBLjqLA/BRM4gweZ IW/gddPKHV6Sky+YikaqCbOLWP+QG6fbD+bYxoPA+0g8b2hp9xjV7XgB2BC7XoKf0gDhDomlnK/F rUwzPLuEw6YITZ8G8TDqaSg7e2V5T2rLxVp4v4THCUHTM6egGM8Vjfi3i6n+SszjVCL55cfaRgJT Sk2PSZz2IykRGcKr/6stnkOOiSwZFwXZWcMYODblLlIXu82s7MqpqC5H1jyqKs8vq06I/FfvDqsz 7TLgv5P11Kn3qrlnNPulgqpzoGT4Waa16JEQ/Uc2+b9gCGp5DQQ63shHNE2PYZ7+nY6M1V0RyRgy Pwy7C85py/eIsOnjCIjmPPwnA3lFvzafxbHZOTIFf2yWNSYBVG13E9cAp+sfz2bud4JkkLPo0Eaw 8RFB7khf5EhLdP7/mTf8mf06ci2T4zI9dj88YatftaLRuBm9M4CMZbGUGx2qiCP34MarIsMAyH3V WZyE5muwlQ3E8PiBBbMzLw0t38axob069Oc3jow5RuuTEtHIEvOf42dSGZxQzw7RBPLJCj6V6ztQ tY5AkPNjUutj6TYYmmm+IL3j+24YvnbEsmKyDsTK7ZMWHIbev71qWohcToDnjRpEIjTbZq6jq1Fi IakQ2ImFIu88MsmhiEDhfXxKZKifeKPZaYcED1Z+wvDj5gzoi7lUaGKC4PMHvDwBrGVxjHYW6W0g SmWWgWaaGQbN2aQMsdfn81oSWrUn9Cegjkn/605PCcEjVMGS35X6yFL1/dXveMLeKYq2EBu3PcBL I1rTZrQ3oP/FIiKJFvFtthzf/uX55etoh9mWcTDHFQeQh6uMHjAoikpc6jTxEA46q/YQm1FTOaez 6OnUx7LudtA21M4C3HNX0fCsi1ppl7pXkDu0HxfkCNetNZ39wJ1AaMmjj+O/8Je6vzUnXrMBTeFa 66ZDxuACIS3eh6Sc6A9v/cuPbSz+8wgcK8fzKLshheqhHhJza5bkGofK7c/Qvy59VSeoyU9XitFy t5czDYPFEiOqxu3gkoKR9lRo2SJvYTJbAM1tM07nhqmKrQwhWnst3sW+KqaimxlCLQdD09fPEY/C 31pFYDB7W3VkGLHYDaMLtHZvIOmfHrT2EbIcCo5YVZMNhKEL5E6KAEuEcDd39u5pB3Wjj8/3/4E4 8ILk7sklU2oUBhsZFG1B87uO7PSOF5ajwIzNkuHPekVlSCm3GEhzuTunnJT/l1nxy1KoAqvs4jzp RnPYYTNvnswLPm2/JveQTtJzq2ifRPNPbqG7iL2dA3H8gwVwCh+5AJ6JTe8EL2mHMfJwM3MoVKTI TGx822eMcpcFWFQv2dmMcOjEMlbda5MQEB0zAnPxx1Ny1qb5K+/lZHFEycG0iopTGTVYufY0Hf9O oLzZ/5ApL10ab+IbWh2rAPHCDqUo3UstJF93IRGAP4qXtnYGMTUz0YfZdx/cnpq+ZLJfsjyPV1eT uu1EXOsFaaCNEUrzGI5UkBDQxdwEb9tElVHE7MuyG9CgnscxLDESiXHUsYqna/UYem3/aAJQLzku Qi0t82PlinnahVfrTMIwrIATOaaxqGFLKDVH4xnFPnXmhhrIxwXKK3a+1nZx4Zw2QGF2O8t6lNM5 BknwCVxrubZGXM79vC1pAuPiRLb/gqofm6Q8Fhr+ilGkGKqUXsPDSTqxqhqeoroZqMOQC1C9Z5UG 6p9XYiXweVNz9QNnU1V+X7hrKEviFnaO0Zvtbug1EQqbHa8o0KDGdjz1Q8CV+PwTOoN+PUUu28uU 1rvZ4a4wZFf1PIISXfUUPUkJxrFSCqT/jZp48t9v9Mjs+/Bq3XHQ172bv4sgQIXLsT3i5hsHIfMw xj2wKVG8EVglvKfs+5Umy3vSuli/lxCztPoJwOxQJuxp82FqBvnWmLWI7T+j4EbeDLhIwFZEjUWS 0CKUSkwuZOTQ4Gnqn2W2OsK20nn6Sdi9ZVftF1xMihC87KgcpVRNoc4qkpbOVVc98f9OM8iIz7GA 5zpUCeZ6lmvI5MThNgZQFOu7McEivwqDy8Nif3Wn74TWIdIks3NE2AKG1RWdCQ7zWkrmGUm/4P+f aXYwa20dp+Guz2p5HFbF1M809wGEMjo6h1ecIic+WLxBVdYYvr9hIWVAXvIDjqVp2KE79OwCt/7m 4Y1M8g1a7XrlGzOc52UUx1Um0Pq1uk6YneWBYdCrgCIyQHVG8vwMrq7Dsyx+1X2fcm2qZZmizqSU FZXm2SSgnFxqnZTzJzwkmp0yOgxQv/1DF4PTDGHwpp0KcmOPszWrI0NVJKcz3giuB49sL8iduBpw pjlDw5sY7CtS4priCZbXRmWOg4Nz4prP1bGXhTin07rEesm15vw9gW7r5wZ3fbXsorDXUfH279eg UVwjUCxLqAX4wVcG1QT+bAksQTWJlwiZKLtmr0nTxi42kKRGeTnquNb2TkWD2hNTbbtJSmHVmWlM 4Q2ebFngYLgEiMuvOvEGOssurk1azsZsAhLw7cnt0NiasGqY8mDHyMzzUriWDbr0kSkbRx3lP/9X HN70tDbHsZ7Q6Z3eo3LV4W+4ofsS+NVmr0TJbSWUZOPkOxXEZWg1zF3LtYeeKjAlvFFwiY4OXgop XJIMytwbXhsb6fHSNeWLIhRSUcQUguTe0eBgsTecz4KTHjAGPoT/WLs6sZXMxISdO3Ird7bT9omf UYuh6pJ+M8vgzR8sKKzfN76ejY9rGmyM4IcAVif+AKOxN0VpVOGdN4gysdFcoRTpHS6JKaM1KUas utB4k24iAxGhP33YqVXUGc/Fk0vgvOtWuTd7X+SQAYw7iRAuIZOeo7s3JtZh6EYRqK6yJf8ilpR8 qHbT4tMWxPCDSh4FPH9/7W/yzzOiro/mlIwoIaCjAIPagpU6qJJzQ2Qby59tBOPb3Gsp7H/Hfau9 NDPv6rz7dEBvWwvZhdYXl0nQGj3T1kTbk2nf1OTy5BwOJbKbRC2tH+8iy9qyq0y0ljJvZ/pnavQo Nvqd76uB+BDrV8upBeo7VlOkcYaDycca3pXlXaW8TwcrJdzCaKhGDiRQkGMFsQHj4nrUA/0wu8Fl M41jcsGB3uViH+yPq0YnwrhWmMpD0I+7EjOTVKlsPh1IaLOl5dHhBTGhOurAgsgdY5Pak2kM9W3J o8ahK+J7gjJgkZ5JKJyCSoF+hfeSHijUnF/EfFle8n/rSMePfsnUdZmCO7+tV2RcEukXc8zaNgk6 ewfRaX462I6aFFduXRl2adjeGvYWjQg6pNmlNvDtdilCJP8PKpRozXR+/TSa62T9ZJ2ubLuXwdHe 2gICqIwNcU78+xapmuQTWF4uwPCZOZxLpklr1sL9HPE1fmV90LcBJRl+GyfbQCIuCieEWLnbwzog XdyzlBqlxDSE1NeLOf9Sk/NVvcOF/nFFOyTpomVP5UAYhpzW+sRUIP5OVV5YDkW023GEJoqps7Xu HKZRLDvzZ2tyI+CZWPauuyGzNJy7hB2XVns+4az1qPLH26aNtz8js6gg5TOEil6zE1PBAD/zCJAf r22XR36Md/H04uGAosKoTVXZwNQ6+dET+LDOBupD5wL73GwcKdNBSCABT7br3i/z2tfyqOoMmayP dnNhoYUEd5Jn5Jvz+bMHH2iwcHH0/s7gJSif18TVEshd9TePho0jXnvl1rsoSDpjwtGBUSWxAlY7 CZswcSpOeXvXgK28blSvNd7BoR7zeCiZyF3psQ3mL7f0U6kEPqjTCl6I+cv7cCQj17GYrdnZOSIW 2a5Vqct9H6eXXVu0WAwaoHQYujnLeIGLEeddj2EdEVpBdjNC+9zmEN1Gx5wQB+0WbKIdzF8XhRsx konDY0gYoeRRZkBozE+zCnx+l7jNQbRrU8VnxEqO3YzKC+B4OvG/hYHNv6vRO6QI75VFN3Z9IPNI LMGNpeq7MA7UtvjTWtpS0lBvjRUItx1DoR0R/Py8dYETrZnosK8ii419KdxX87CU3X6eYkiKof4J PKsJa+IoTGogJaASeKZJO/9LiIBv3CHD5qffzZs2d7IP2vadmwo7kPFvizLFLdmLj2aLXPewLFWB oNM+vt1+9mhBhIbksYdH7EA9U/hiACFrc97BM4JqnIVZwk1Jwzqm0To5c9koB47ObZ+yfFo5GZ8B 4B95+eG7QDfLanpU7wMKmLZ/rlX1fK3SXmfPOjnwBqqJ2MZqnjarJTBQ3d6fm0NNlzzeZ2DM6avC eI+A17K2DLs///g+qHK6InhlNZCIEr+W8x2OsRPxSweO83YA0zSNwLK8SFHk1rd7vo0gcCyC/0N6 uGme+6zOFDvH0xSrHF8D+HDSM+41i9Bd7+1EWvwGMs5tnMJPYVVfpo7uPIXHO6AOlw0vH4zY/lMk DZ3etMm3SH7laIJqpIq7KoSNTfClQ2NG3wiNxpuhRVuCKw50jhh84XeeAuBeKZLNFKsbJPdMMRJA K0iYggkitkdM8JxNa1bRlJuik5lL1Hldi/F3aAlxtnRlKBnQtav/lzcLV6xYqhx9D6zzXeMbt7Uk lpyrm0f/40TsL4R+3F2XbxrGjrU+HughjFnRTeqYjInhhxYXOY/GC6ckpFq5UqwzBjHXbnHmfsDq vK4bjXyoGdKw8WDYI7rmsf/GOoEXrNA5Rev3MLUc4K8hHZT74B8GSxW1wlX/O0RuoJMOIWWw2+Wc 8U16l2Joq5tYyLyyChOzPbCxAwaHcOnIkqRKIHPu1IKxp9VN9PxJnPsgLJYyz33W/TIXPQJ6X27z mxRACZkibLs9FyZog00Phm3ZWCDh8EXbhml9AaxdkOgmmw8rr4XR3iIJUK9JMYDNi6Qwg3vwwxZ9 rnG8elnzM32U2Ibc753/5NbOzgXtWuR4QwjKTKcbzka343PHwa+L0sfEiqJehlG4xBWPZATezApM TNeCAhOpvOfOHbrWtcV445hdv5gyhCOIJEb6KVB6xR/gjuvJBr/1KgxEFnxHD1Uu3AsEthCrVKA2 gWVXzvKIVTkGK57thNKTH1YUZg3LitKHSM34qoRzFTAktyy4azSUB99zG66tTXrr/LwNr0guM8qI s0s3D+VP5lvnAd9vSoEZPvov1H70lSxADn8BDpLYpD4q7kJrnaIlF0XSlk+XSdUy50RHiXtB3GvI Z1bUQ00fJ+mvRAQz/twSPwVLMDlLt+89oo6gRdqwGoB04fiLKibo79OpQcv8hlN77jK5DcT3cRY9 AtuexznVCU5QTGwQmPBH9KtCqe9g3QpXydlo3tkKU85QgOudd/wJ6absxeTY1taX3g9Ix8RjTYCO BleqU0AmTIaZFgXQWiEOl4ry+UdeX9BmhVnDwz61g3K+VzWtFR5h/2vdNIyFYLbX8VWw6wV5IGuq FD6e6prXT3Hl4ngXBdPPQr6tXoNLLY9kF9nZ5dYxWTt4bIQaqsnXvZ2WQRqG6HvURV/6CHkAIjtN PweRlyyJKdRkxW4HhoIBlfXJSeftrknEFLWoUN33ed6O+YqyjiPA91WdeILoojuXWhydGqoVYUFm WR/YI/ot2y75snR42NinXxi2THjkB7EiCkBIFCpZ450qHrNSAbU58t+anV5PGG82iIZfmwN240l0 4TZKhCbRfVDNHG3usgF8/xaxknHPC8O6f6mWS7qcC9mzmqRXGLKBRvXLZj/mHxjYxkhnKHQFQHs1 2jdqFUMcYBKuYcq96hl3+MS2GPgOGauhImSlpgIlKQnad0/zisj7Nfd1vzAXMS4unxda1OL59Qcy sSrxklVFfJikpb0hMuP/vB4sq3GdYeH8nN5kGwWwj35Sc0fO7sISNWDSrbQim45bGqY9mR6btyWQ g0WdhvKPcymiWE2kOovIuJgfLWYW/OOl58XTbcqIbaq8F3k4d87RzoSo8f5QhbYOEoGfN5WOy/z5 TxZtd6dEIgEx3oOVUNz62zMWsIaxjuf9P95+s8OXQmaP9LOFPBkN/7Necrq+DV5sEtYQ3ZVQoIcz DOu6hr44yqi34RmJxdV6O222bDwzkKjgj5wSFegAknph3cKtg3TJgNXW5ASBM3xqRIqdtVkbEBE2 FtMYaHb1HWUd84NnrK6kOsiTXM/vrlSCg1ll5qNi1NzLrQwDKpfDwd6oYZ5g59tBjG6onfsE5M4q M4ZuDOZHWVCSigR2S83J2OmQUg7jP9gSvKJgnxSlycZ0L1saSF/bF9cGrAg4dgmrrcYG7Cv2NIGU jUt31cnpKDQam9Vb12x2k5t1yNMCuF8VvA8xwu4YsS8zaIW5xvWxcStORMe/ePxZXRXnhd5/uFJl kFOvuBzxfzvrWZtt5N1QgV2jO30JOEo4BcQ6Vw99CRaPT7L4FMbhQE3viOf+6CwFelVANCHt4eM0 bb6xNtLvdJNUc+K366A59ZZU/kEHk+Rk5MW7IohR84eJSpP1ENtF/UGDyFHBgRh6F6qzdvGKpkE9 qzzB45rFxcIOM4r7A4AzVqJPEglvzg6kB2ujSJIyMHoGv3ZDbAotT9yInD9s3e3ZHVhEQnq+Vvuy W5nEUTJLeT/aSG0yyxArFuS+Nn6mN9AvnFUuGZsqCL3E99f1K/epWBhDiujrV4zwF+ttyWRsPCQo 2PopPa09rOpRoRV9GnbHtQBEcgCkOVW8/zA4GI3Y5IObtMDr3WkRBqeY5z/sUysZf2A24szBSNE5 zu+adynOSzoM0UtAhEZ8FbeUlMBRSeMF1gq00roq1eKf2McxACiwH10uaVwuYzMQ856hm4sWdFPp wMXhrYbW141AxLZ7ETQBEOdxwBcqOowjwSK74xZcaFazGgHBuxQ5ATFsKwN/kn0fQbecc6zGiih2 uryKNWY6tOxy8Z7Rxru4XJFCn7Govfjy/qGqVSY+6EtWIqyhOmv/tVV3XiOFTS3HgOKsndO4ZExr +s6AwLjN1p9MC2obnkyF3oSfICqw7C4ZcXyWgOyAPm+doLM24FmF6hixAP/AieYM8lxoNdohXoaI 70jDvOXRVXEEh/eLKynvq5E0n2el3CdONH3pqIpR/dA2X3TiqzdfLSIVh+MjXrXX9/ruG0WOz1po JRF4XWs8XLZXmlOcUTLr8MmAmXKONR6ZIp8BlOfhqHWLqHcrYrB635GZ6n4Q6Aszkj6AZLd41E5/ NeIig6MKHkjqMqdnn4AtOwkXy8kPp6/cJXoLz8rpYHa8NqT5uUwZ4Rk6keI4x5wk+WBJRH5Uv2Ev A5JS06GVTgLeDW9uYSIRt9Im3eQ8VoWh8tfwp5HJBupsYQbBD15rtiy2mYXObxxZX2evI7/N6q+E JPmZGfGzzZem6QuORlZ6Pi3IY1Y4gBXOE5ftdvUC/gCt+sgz1uZcRqluh3UAJP/NXCcgxZUZOSuG YdTujXaPggeplA2IBe8hOfoQEwNYO6IUtTlWpJtQ7dJpuYEc6ygq4gzFp91lcouzhiyu+IAfofN2 j30vGI9qGC8sYlT0SP68JG9ObnhKp3ZrwIwdKd5Xucic2WiHaA8vIsI9RnrjfZ+cXYHzrhr9Qlnw 15a+bAJuXzVnZuy6f71QgqWqv6T8n/s02jGxegYYbYDqSjShxDxtGTq93ii2g+17oui3FLLNODZ8 jO4Jd/0w+wlgMjBdkdh9wQogfMoA9LieV0hOWKjrxTgDimN0RPwKtEIdSg8tlpPRU2WlwqDEDGTZ ebUzHMyGMn8e3jKU3iW/dXuwENraAHTcOoAMbrh5LyRCC5aWQBntpUDYYQ06UllWig2FRaI/BGVp 67J6YjxyVFtQkKWGSXz9sOIrBLF3Y/eLLLYbJ2oiPYASJ3jKIHarJdPIUHlGMz/W3HB6ea06/ffs CAsuzIMPfoOMYOcuWbul5R5GhyVgSXcn6Cijlf+fmCtQJW/NawPJ2jkNigpHYNbx7OvKJpsl/PIa eB5tMQ9hVFa7xmCtZb8mVnlxeSQpbaDdFdysgzueFn89ycFr9jHKUJ6jZinuk8yV0AES2JphJBBq AEAVSd41TVTyv//Kq9A+xA4dhisvKGe/sHZkkF50s+SVG1bmCoNdEpgPWn494aEU3G+soiIA1asL VjP/wkUD+H9GctDDSNjPGBSj4e4jjuxoPqO4UEo8TIn1DD6wCovFAY5ONXPhdxhrtSP4oak9OqUe FMU6Zrtafmbne2eJn/+T61f97E5mWV8PRr3UAQ0pZPKQsNRAQNPXrhf/3qd5LyaWZHEE0h2o/ucj HXbuO8C2+U/1vdSmhhC0d2/VqpHp4WvLQtnFBHA6SlQZ1W5qaZlRFv8MBhL7a93rGCvpoFKr5Uiv jMb30ZxJnZDlRSjkId6luZLEt+pXmT5ydgcfhmxGNJS5fiVpBpPQLd6MQuBzyAQw0U8l8TAFvCm8 WtA4uVwipklME1fz39PXvUduMAYTeZcvmY8P9SA8x6H9WVfq6XjC7M4zaoxhDhUk0ypgHRkU99QQ PwSf66MFOIbLmkDCGw5ZuH5w16i31zoAmQ4wb0x5TVE9JeFiEqQ0p/acTcHdOIuNfr4De4A+/Mde KZxNF3wPrYGVHDGcnKHdtdrCe9M5Tb9V+pZ7q7MEQ+wIZHe29prjpxrBjejmbjHb2RKaNWknJ520 Rxwfj1WqwLeZ1Fu2DkZimgfhgUWFbRcCFLrLmvGfbwPyHAFsSobD4pInynG8a1M60QhopDz0V7qr KxW4XNF1YAjLuZyCZ2bLxJO8lZl26rEZSqQcp5yYKSt0BXbQf0+mIokaYMfiKTKJoTPzEz2Beg/N CprmZ0Tg5LFUvMzxX2Ck9un2sWnPeuMM50+SwjYoyvyHdlV0128nEojSPPlm5oCeARTjfsnVv2zo OPUpD9y+anGPriZnlQB6TXC9eCZ1i9m4o/UL4CApjwIyABCXGeFvb/iYvh3QrFI1PbkXqNlMvO+r amsbSM7/6WaPAJIIz4qocLz2YKCr0kjSZ+U4EFqiD5DlaJ3msKT1k58vowUwibnuJTdWBuBcW2Vq xu4939VkkyHGPZ/OSxM592k+2T2MDYcdW2kGfbzrRWDUPjQuG1USovVnHBZkj/4oVrTc4SLlrCax j/LoU2GslKoqZ6aHVK2iOTC1iAQyoCG+8n2xpV/BAtS3OJtD8FBtOSDghrtzfA3u7aABEynX/9vq 4nMmoLPvxjjHo6N6qOc6GKmZz++9kVQEgC95QGnxq2kz67wUVgFnQNuxjfKs5+nCOua8xdUFBuW7 L3xLyinyGD+b5Ukx+ELHCSvZS3U3ZM/f0dDUJq1c0p8pQyQEoHZSS4pgh/L0tGuig9jB+GqNPlYH u3LyfHj1/BR9Jop6Z/EpgBVLGmWX/fK+LUP8BhrByG5U8bUY/rjVeDgt68vCaS8PEwTFYakqk8fW aI9nZJrrBW/BXc++yjvFOY9D/BEgKurNEArltdzibCRs8mivRIsMa1f2WR1Fvt9ZhRr8FDyr8Hcf ImPLKhdSaE+jrw7gY33+Gyy0pb9z9tKplXJbvPemWiSxlh3cqhFdDdJ7JWeg7Oqi8LXTDQ1XSHwd EK9OQXJtIOqqZP4Vagoe1+WMCa3iClAt1809B+Qqz/FK601XrTPvK3i1vMCl+3ZFSH5nCnN+AXot SiSB6SJRehOLJ08M0Ugg7e1TSHJRr63ItkidbqKEeiE4aLwouescMxoOsBAKLwqi6AoE70+vT3Ip MuUSyTvUQsAFBungqYg/Z4oGhSIHpllPBUyvBiNZvIrf1w74thXY6xboYB9RBlmQ1j9UAJS5Wq2K rqYLr5hkTvw8UgYx5HrUnbM8Bb2UNUOOwPL7TicQqXLAHTnWPu9cCy1yiIweFKkosHmqVGK4SVXP 40CPcP2R3JVkzkXmoylNIKgJ5N7VU1SFTgXaQad7eA8cbcc0WigcLIl+35sIumOa+zG8vyykKmDZ cJ/9cJHDcFRQUH6e35769Lj4qYM1v5oig5K5xJ/O+VUMGnhjskVUo2Pngd5AijtvQrPVzIwFnuaX fV3+L5v+Cpv/d5YbLSmAKwXAJBBhMy2t/BD3aazqQmwmH/kAbdtCUnh9fGlTtEVMOvRk460cSc2U RbZg6f3j61Gyac0fxXvl72tk1GQD5hZqShzunXyFPyrkz7XaLyAaCRQlIDGbpGqWaueloXYwiFes 2zIyPFOe6p0pdx2A6NUuZy4qwmntpL0ZyEvy4d9A4dzuCCPZrry2CJtKMwciTturJIKmp/b5+L7d alsBYoGop0W/PfF8+IlMrgsLiOfz3Kfs6Z96LJU33hB8HOUrRnbZBzkZNouAI61/P6S6uEnhNw7u e9hWjsENW+2tCkjMPBn3P7kJ3C6fMDE/j8IMDmCl0BwpJAnA4NB+Q85VDWbgiO89EmO7MSOPDsL6 A9b/cNg0UO2ERXQJFyN4X0Qti6XJLjcJXpncXsvoOCLL4FGkuqC+SvkK2XSpFI6KwdZdRzqp0y+Y 3DCHg+FtE43Lmba099JwJBDPJsHnKGAbE/DMu2tVug2a/6RvZU/2KgBItNTeJVHCgRIaCMZVwlVw x+xk5/SE77KRLUMTpb/a6/6sh4md9LQ9w2orkxUIrgiNR4XtodDfOA21VMnI9xugesUrIOWZtqDD JznKE3u4JE+KIP1Jf8OCfLlVGDRmemprJn8COptTkY+cgwoD8mK/V914rbNbjeLvXyqevfX1dUju 3VpLcmeb910avDVOCHoMmn/U77/7D853ij3TUZdIPCv7qdmL8tEA6ZVNhizCjKUcglZRfp3MDfFI jnH5XzhsQFxlkOEMsKgIaNoKvpwx+uTtR7pzBgWJLgfDuwWIeBAF7ZaoEw2m1LHsHZufTtZfFr7C +J2HWTS/ZwuQEG+VpkLWGzpdPK9SlwAPpcnEOc0nPfN5BsV7fAPZ1Ol2H4ri0p9zBakp8yZFh5En sNBsPdcrgcd3omj3JgQ6Al1ik25ieh/OhYqu2qmAolkBkZNVVlhdLqWvGniwvIPpzIzVdKKjTR2F Wi+FtRmXYB/dd7OiXXZDdsyW0qDG9NiR2IPIaWz9bTjJy4DCB2bJjMTB8bLsBH7nB+JOZy9mLPKm KKzCECl/dOlh/3XUHTL+ySciLOFTrdcVSvEfap27QTTfDYONIDq9WxUGEdVurJ/9qqn9mh9VM/Bq gO4bWaNaCBIz2QP0qZ17+STkIypdgv3mPR4yxm5u/LYYlQUmj2zAVMMahN5uTePln1fYg+4VfHiN BojSxNKHA9H2js5lxF5R15BHiQ46OJlfGEf288f20ixo9H+IIGOWO6IjImFlPzC1LO/Qk+1WurSI 9anEfcRPxu64PwKP51tL/sib2awfeeaQ464ohh2QL+5LVrLkqi07u3LeMHtauQWjJC0FHFBQlJfn Gdb0aRcoJUubdzfEDeSQLsfc0Du4XieEwFBXNgnZHYhgBraofIeslCUjtlf+yVfBLVLEGqQSj/+/ U9bk4f/BA7TdvqkZRdoQkHQk9sHbyuSjVuBt/rsTgjZqFIoXYe8iCZMpJulc7wHZnCUzniFfN6gT fe3p18J6opQArYF4OBDK8w5HqoLjnbwmQ+3rM21HatjADW1IZleyheziSIDDgv1RHxWcy6ZzqOSc V6bf+HpH124Yq0lEwtVoP2tGmWoHxkak7jYhxB4fGJPfQ4CEAUFCrOouR0SayRM3oPyjHb1/2b4U kjZTD/7d7sudJa9JPylLQwFCZBI2JDee6oGTLI2inNhW2dqFsUqXfsNuTW9N1/Ikv7JclHRjsX4s 0PV+90SaYwx3QK9IkU2FJJytpsTsd5C/Fe7SK5xyySsTbN4hiwU3XD+twa67O7iwKsn0xVN/HaSq gbk0JBDgw2DcT8/WzNnvyuYsSBgQdvfj6kfQylZh8YBjPm4ihqKyfzB6l8Dt72vatu18PXEj+IHi ey4bLJboyDirgIHXARGmPAErcTLvc9Jap7BY1/N+Kmw6fm558CoPWgceE1DmmHYfwFiWWjIyTgga P2lLwz1+GJAjt2sNXYTINMnrhAoX+nswMqBPY+trOkxzHXlucI0j5pJWj5u1jYFRpLV6OBkXkjNp KjiM+1upzOs5+AmhMaA+q9b31dL9db8DwugZDdVPsxAo558WkUcflFCKaa/me7aLRCfzz3RZ3mV6 Fmnh9bdq/5nH3DWcI9aLkwsuEHB9t9WE/Et7wDSlZyti4t8UNbMGVmMyKii4F9rZ56I1PNqoCq8E uLCc0OEFl+rH9ciJxvzSumGSVWbGxgIoPANPz6L3VXAh55EZ3WOuZ22X6EOwODAHa6qN/IKXibza q+bcE1L3XFTiGrGw7PFS28XVgT6nuP5Sx+2VzhJeJbkWvwFoJemZX4Pej2Ubxz9lOv7BuEnVSi7I 8VrX8CMbC+M92I5YeTxrLxEDNZDJFCbEHlRuJ4/cIHR35TqsSYWkXuEobl3nqEtgoQ18YzFezgTT ix0Lh0NHPMV18nBHB2WkCW1mXhxrKPayma1GV1l1dStvEUgUm9T5ow4OzxmO6xAx2NlF+nMSQJpX JTDd/Ber7srp99AU+LofAeUrcR/fIcnHAglSSwupRPSGZDXH9w75hkBv1x8VanQD4PX+hEtjZUA9 xz4YjCRbtIAfW+e0yWjI/pdpvVv81FQ5eQ67Bp+NQdDNxAxYGo1Jw0zoxqpJ++Bi237T2o/IXndA Bm9aCwPCnsSgCuoqB37U7Lt7SZeK05wcaYhdGrpnSHEXc549PwebxTlrfZ+osX22HF8W9cbNosJG +yGZVQeidlukUS2ruV+++XFDgUXVkZCYqwC9S1UvavLoSVsrVP0ROmYUEPfep/zTdfxtUkWmj0Xt iT9arIzBNU/t0zy8EYkpZoJtFrkVpDKnNUXxMnXpk61JnKmEJcsLLx7gMBSsFMXtFjt8TpxTgm4w rm+u7k+1Q4tjbjnxvzHPUJt57gRfUQsh3Ps/N/yCNYUTejGeOutUDOKgkl6eUYswO4YrC9Y3JKiJ R1IDdpei1J2n5LFlMR0SsBbfiA+0KjIqATzmm0aLJJVhD5O9+SO2FB1TMb6GnNlNrg8zfs+ltj26 8iNbS7E6AmCKKYDfm3/4xpbIOvU3U/ACvndw7VWGvofgSx5ksjyW5Njrb7gCGCpZivjF72wURINE pIHLzTvXPiGccXPfAkDR3XJaH1eery/VKsbzhA5uYPtn3u+h86qsMuZYltK8o8Yo8UvU+RiENOLK ZxyVP2kdmlio3kOMuGnuyY61mfM/fYqY4CuTdzdF56VOmkTdS/OIFT5u5S32RZHC5e8Nnd9Tfc3O xfKlX9iI70/LCajkIckiWlJaD672KRKrRKgHcOHFJuPocKcD3ipemwnnOOCuf+ND3NlwgYykLVmc FJ3Iv/u0/PyHF9Hk6A4D0gTuiBzzMnELivGjNHwpOowueAaHmaUPQic7QGpHT43ZiUMs6zP6a518 2/i4m0aErqseMeuOgQ4Bvtmd/LfJzcwKf3JLvp1lUMBEYb7RVV0LI9CFK+M552jml8K9P0eEFNpw f9wsYaj/X+y+yZYtRYh2UNYylLK7lqCdkMpGYeiZqXdlVN4mqCwAwDuci/9lWy7+0Skgs2FFplWN 13hVioi4EaQdNTUTb+YcvZBrcBoM060bAZgdPGCJlMHtUUi1uUyTrGYU37LOX9ZrHV5CEC5fb1H6 3FGDyYzxl7GKJNiDGT+p8ZHkztNPLYkVVjHBZFmSKieRAN7wmWhwc9UhrD/jdTOdb9X081BOo6wn 7yk/EFRLkqjkQwou6t5ZSRXc6QCucfrkQagl997DHkysgUI7LU32XloHerMhONie1NIP0IvPN1DY 9zHMIYdroUwqJMtNV68dPrOOY6LMdtK06K1uY9C9e3xMemJHNJanvYtnTkJUsEaHVASscGVUCFe2 1SqazKEzSF454hAANkSoaw16hAP7nqrZCIYgzbfMOG1Aum49d4GectYESZ4ZVbsQ7TMn+NqZKWyv 7ozNi/D74t79dlLvEXe/MOs7UxENFnnSTIzBzkAYTozx8kjemoYVeONSxVn7B3l/xqPdvG8PNlVB jXCRVKrwwsw96odGKdoEPUt2Idz85nGMm2BzdMW5BqjAy/GdQQAEFO5u061DBRUJGg1v6FYnbZre AUFsXKHPwMRBDfmxI+pu11P2ClyvnonxzyiYyiS+4jPK62sGcOhCjOBbjM5+SOt8V+pNPFftPUHl htjxJYIWUnUoh861ddhlew/XaMnVtk81upQRNBJVaZN8ZKgcM6opP1BicIAf28k2rLrktYtxz2hG b+mRVooqo0RtQLr7dOkb00NAxXDxeQyltIeRK+mSR4ZtPphJnRHy9ipBq2gNxoc+TbFdJ8VAXiQI rpwIwKzCBvmqDVtGwj0YOc8mf9pMSq9tF0CHdtXGQBNHY8lYW3uOEE7ZPGHPGzSN7A/kcvxIkWXG IGzcZahwmS3zmUfksrrWPJU27xNuNWfT9knff7s/KrOikQtKTIQGm3yCVJpHE4dK14HiqBgZlYHY XgQDTK6vOFlbsaqD6U6D7zhVl0ZNG1hozOBncdOs/ns94I0dNjqcmRwmYNNASSzhZgS6ogRF2elm 3D4TXyJZbaMji/7WOAEk1Q/erioiUOnB6kXi3VAs/oJO8EwLQRXuDysJKG0m7vvzji7j+dRjQFoa ezbDxKpY7xPLqEg458Mppw3k2a9mA70vnOj0LKm2bXbLlsFSElRhyu1FL8bOqeDk4/SLRbKNx1gL lW16vyFKtNKpEmQTMsj6DDkUAymrWeQO8rKAOed48ewJbatmxrS6X4+aQEmjPbOS4ULrKga/JKqm mvuvx5yA/NrZva+BmjURBmjvFx9xrVLK3gsPEBiRL8hnI4X3aGeI64EusfW6EydGtsJpa/ji3QPS +Hgxs+ZU4DVN6VLkn9MqL9us33mtcM5WDYlWzZn11ESiNdTnV1anCVd4kyJlhUSqthH7R5o3ozKy IPn5k7Fr1Du6i7PSyWrCgBn6qdT3ELBCu2+rhPEfvw+cHp7dl7s6ARBGhzs+eWugvk6TXAB1bpNG gUdh+HKeQXarikVnf7VDG3KOlUjRUcXzSQNJRjm/9w3xK+wHjKTkKYh0HDBncdugwRYIfzk5mAwO W/j09q6OcqvatJFv4A5Nmez4QNORUmbQTyHeOPTTe610gyhjn5uzVecarufPX6I6OfhrnVw03Rlm wYZSGW0H37MxXgSYBwXu3CxlqHjhTqBPHsX6BY7K76x78ncxzDWsg+35l+2MOGZbCO3FNqtmKJJb 1M4sQnWHNLAC8bLIgnBxqHphXGUf8Dlu9qBfoJYKnYPLUiEPKWxxWXA+QmeLvSoFpwfTSEi7qm5X Diia95qrzrjblKRBLn/1fd5jobXpEItcofzjni7AVzmUuOBNqni7nHx7n9v8ODoRvTRB7rLGUbTY 5hXZn909hKSrH7q9I6yB8+UNuZj8/l7n9IfCGGEcLkihIEC/4BewCx5yBX9EDFG3g0C/VoxM5GfK L5FVE2NGEaqHBMzyD7GAA3nfpNi4cB6rba/foGz4FrLERI+g5kn1rPnkqBhREG1qmUBJnyCNsz2b OVDVT2OC4UYoAv7b81j4ZvJgHYKLDVF8aSN6YvfIFMEtuDQafezQuFeYC1kkA2EP8VB4GD8C9A6a MHhMxffjXeSShbVNzns1rZcACwOvyRYEcT/1P6tXUljAE0AEIacoXAAytbqvh3PBg7rtLh+z/0lt zA4WhxNth6uYDu11n6K+HpEFl1ym1TG4DMo5X/JZyhpxqQKlyn/L9EMIr08oznIhTZoF3XvvJ+9M mb7Z+WU+VH85wlS/UkoNKyMhFB/0mGaCfJGQRq6JuPWsPMfXF4WduS7ugTXvl0yMegGH4Dr14Vv2 EOdbVzq9GM3nVHn77Y7KqmCdNCrxhSB8Lf9RJ+Se1GPOeptfSyG4vX5zrcjVkxnictaYCRhbzNwu ErvY1B+svyhS5aK9gfk5HjHpN2/tCpEa5IKYoPbpjeFGfV5/8xAzylq9Off3kRtZ9PQoawe/SkQh iVlwAU0rZ0nZr+7zC497bsTsC+rl8rYhBtC0dSYFHXt0n9EsRr0LEzoRbABVMHpBAE1SQ2xQ+7kr 7fIpU1A+Mlt7jjxav6lTNKDvOriKHWaLlkZKaE1/AKTakgeRUAVF94FqLVWZsTZTPbXHWIF5fuHm sJ7j9WnYoxg7z/A4yoVJhnz3U/aPbt8RYlDi7hFme+t1IwoBNIqKZMC/1F6fsB4a16RPoKUlQnE3 ss8QQxW28eHbRCJ4tfgWnSM7xSS/US7m9HiQ2nAWqmI+qBSRKQndxxhFWd8xO1kjjDEP2RXSrzBy kjoLXL8eM8V7g9t8c3DCH4+1TFWzt5xqK5umucrLrECkHKjNHwoSxhsaxSV2vkdZp7KeL3GNUfV6 +iS0G0cY2L7Vtri7aELJkXYzKxzhyrPD4WgmFaf5Vkyjlli8UqTYtMK8Ta0wNCFhZFe101Nsz864 e8An0sV1TSVoUPGLcFfvuBBGNkBLG3ivtilVidBwiHZW1log9794V285tbZxGjJH73BfnZKJxXDU DFf4ReSNMig0WM5+JR2gZJO6zFVK6ike/CsXklNuu7XsV6+HgJ0TjluQgZi0GftGhzrNNrEXTgYx 1a10Kc3wK2rTbIM0PyblSvn7KxRCUEfsDehCbWJUJnKa3vd6A1+EOCC56MyIbndckA/nssyi7R2t eMMnRYBt07Tucnxa/jLD98OXlmmj/+NVZqTAX7r6oYWaqbOlHiJFOkm/HJb/cv2Zz9Jnhdsdrjx/ BraG3MlGWC2qCb9f0pJQBeZeJbCq6Bs4FPVsBkDEbWe8DDhT8cHDat0BsfnAmW9df2ulKS7uTMiB PDRePPh+rw5+Pes4HxmrtApM8iP9zSg8ksm2xc5zx5bd7/pPv28EuCI8DCOqFtscWQBkLxZoacbG oCgYVLEz+WNDnQ6VroJ8d1hFCn2kadtr98it10APmLtBErovgnDDvCSg2DJg4Ar2JUizv78ZkJww YxJO2NjQzfK2oO4i4p50SAngnzy1G5ZokCiSMGpgWpzjVSNXTrnoPhi3XOT8cYzrYd0d8W32LsHK X+DQwFwDK/6h1rcK/N25W1WGSnuAAnr5iG9M1odHzUsb+tOGrcS36sjKJaok4W0LgzbcLCi1XRam a/bY2cN5ddDxCJzUAWHCLGVfDgnZO1f2NlRB6texDQINQ2rx6uXzoiJJE3OZAJjr/yzLYQAkthjS E9XQdXKZsgDNN2rrfQzQVu+TdkYumdwvK6l5qldM31pc/JSwolvNB/AXsbO6OiwtV9+UJApGrlSE fuhEbRyYM5AQcg0zmzoWWxjtF36BFeTjXD3OjXADyqiOe5HHHcv/2i5hU/IEJF5CapWX2KmvOeXN T+dFkSiY70UQI66IRAurGb7pzXpNM5j4pl4FfiXKE4ovl4CsrZUj1DTeQcAnKmnR7XaI3EArPj0+ YSYWjsB+pfH3A7oUH5MuW8Isul7enL+GWhcGHr1q1wC7bz89g5wT5ugDCcpZaxSxEUrigBc/eWJW 53Ogs0qmi1ZFW6VIGqRWlf0YBg+dusoW918uXOQmRcnfDKF6svGufDWYWhDkk5fhACZJ5pe9gD23 Gmnss77RQY87dbdlFOZrlIoFQRY8ZqiGsnBIiq9wsPdHK3t2UI+aNukSg94uF6uvpBX0HOzEj1rp bl4bC1qtvpAu3vEbonteDtRrdzsXF0s+iDDpMiPbWrvpvu8mlS6SmRvN4yOIR6x+tPeSFC/j15Sa AQW/oSTaVGIbHXnG1sj+PzI7MElfCcrR1yZwED52tz5ny90uF8uWu+G1FJRTiC5YhSXcvH66fkTJ DhuBN1J578/FQBUgLTWBOY0tW8q4iZ8Bh6pa77AS3PaIcPx0uEue4BeHhoUgC3hx5f9gbITTMpKz iItwHLPulI0Icr0CxnZp0miia5w/q6HMg7QZ7GIBn1ITFFBF1+5+BgmXdUNn4ZySkb0pI71s1qKg 9EOe9ijertWPOzFA6XAKBAwFBe1yrbcmzQ32WtgsNI3jkPFotyY3n2sS1RVqzh2NWiClbjvtlq39 beTD1Ews7XvsrNih0Bh0ri8+RZ0KIFgtHfVbn36PaitJGasKc3p/GQaPgAnwYJ0alsrwiNhBJ2xj 72b4Kk4EOSyUPu224ni6jtaa6ov6vGibdsbPMgqrAMVLejbqmAHD4Z2UylAeMIDRW6JVjR2n6PFZ fMLOOyT/Hu8QafcP85pbW6euqb9MvXGjAgXadNy3a/qLDNM//z0ORGsHz6bFxl6oMQTuKVxrc+Ie 8sc191apYvBxQSNBTfjv2Zwn0ga7vxM7wRs0h72FNHNXdxTiu+cLZtHQNfOcCZY1Lcrp13TJeIPq FbtUoiHsXcAOT17a+I2jRtnf6C35R7bmh04B1LpjmappTqn4QkV/wSN7sI0sYUw/arWL46GXA0hB S+fz+VwSjINspL9AfQquJAP/AqvuGFfN+Q/zpht86o+2MgrCvKdQSGbfPWrKP2+2IqOQjnX4jk9o Q1lk1YM1N5sMeLdoni1U/BAxLdNMRcZBQeTM721svRhELaBsg8nIDNHn3xgsKNMOhatyOkbdnweC z08sz7Yj/9P9BEI3zYn8okYaQaxNa4GFKLbVKiFX19vpWJoqxWIo6YlyiCoJsB42bsaLTnq6lzxo N5y/gRmtizkExKojX6OLjL5slB7uTqd2pgLkk28JSx+06+P3Xw8ZdsBYEPM5aUtTnF2AC6iqNRlK NDWqj+Y4W22VOtFlikCk4jXG6Vg1DFlWx6l9zSpNdTHAPc5lotovdZUPpZAKYAIEEcXcDFpbydrP Ko3UIUOEuAPrZQMRvmiEnVFhMKbxG/0eh29fn+CohbSsPgidyDZHnuUGnQQHzIR6DPOuAWkCuy9H T+AXfXXkI07+Qk47BOwDUol7oMku2uN7CxOx78VXoCUrELMILg9rUCsk4FF58ctTCHh8qDgC1hL9 fUJTBlVrzeG6P2f3ipFjh3Hi2VGYggA34DeArwYuWJNJ8ezPA1OLb4kYJUELpE89eUQBEEWGIq9u wgt8faOIV8GNUztSPSadslxhLgMqLXzUAGHbVp610tDQCWX/8uGHKRfw9fWM6Jrx3FcgJvkqE/8v 8MhgP4enI3FCKIhb4Bp6UUpnEvPZXQ8YHO6Wdo5uMdhB+P2od3IcthVNbuhJgeLAsuvqjo3UYRCc qM1S2neUo7HwTFCTkHbsGKDUWDZZbSTz4l9ropB95JYGQotocoBJcUhL0RFndWZlh/UcAtWHeOQt qHU8CuUK/LjKG83xTBWiMpgpciAqFbf0wuFBmSdI3H/hmyxo+gAR2qFyfF1yn5dpYY50vwMW456I MzBjpGl1dkTP8bVHTY3Lb9vKVLtaTr4aBdI6Tj3YKdxF5CeliJr3jJVSEnNCANxVUYuMjkAZ0xG7 WSe1+OrSifluGmsE+fyVbOkmZZHEIlWJxocNMuLnQ/6cND4pTtIKtsRg7NLx8mPgvsM6vt0UnusT ivtJda3QDjI1Dp5Aa4E4x4xk5zyqWdGFDXnOKrZCVcrUzDzrxw63SEHFrgqw/aHRD2r+BAftimyb k2SKyDO4QOO++xzpGVs070XGq7YuHLisV9i1hMaKDsgLEbwwWGIGgqRkPvjAdl12oomJ5NPiy5ZL x2nem1bVJRmdMYoXY6Avuks7iCL/wnQgDFxK8O7/NDJjd3ECH1488vhag741Dc32IUffCxrGFwHH Fi68/xZ/WlyU/CayNHO2/Of0Kf41nGGErEquvt6BZRW3zXbg3K8jDV4+T/W5sY3wRvstYTfrlpkb hU89KFpprnmYYbOv/K7b6494oGbHjAwz/TT0twTJxlwm1GEcVUt/XcP/XX0zBV22md9xIU52JLkt 4c49Zx9DK8BTqh6/MhxcqrmyhIQhMLk8evofCtRjiBM4IcftsuBCGk8GBCE6BH0FiPotAEdrXZU7 dgdBGGW27OMZKZadfyJ3qkbApwyhrJ4HO5Q2lopgLQeoPN5IbV8OwhPwAGX6gUjAn5vRSPUCt8Md /lRLxFzBQpUlNTPJt8Uvfsry8ViYwNzHMhF40dz5ggYp1L+nJnCeQyo+jt3OAbWMo2rdZQ8Uwziq jLa6ZibTS+v7/YJAlaZ/S668FeQ60OIx7Go+Hhfy0V8XfDmU5P9Dy63tH60oPXU6jvXAQlA3uxN9 juh9aDXY8DeES/c+5l97ZmXhfQcDInwiS7enLazwts4Royt/j8qC6MKI9Tvoi/4fqNcpDkRBVhKI 7WONx3GCB4abGrW+ysmUrHUj+Kk3n18ghyi2USENBQ375KiGWdpdu/99gZKDLVijJkUHYZ3RB97Y VM/eylr6cCTMdiy1mH+EcSCKqEcBpBz6Vaefon98g1cWv78SdzuGVqaBDxhJxOh8mCD/Jawl6mGM ShfQg4J7gyNHern2+wP/FIBQgpKETW5D1WXXvviRBeiznw53KA+qjD3sS87lr0Va9MEDxkjEjlRl 4Dr1kzkQbq0cWqNgsf2WoujPWWeXJumXP53GIZD0Im2ThIUT34DG32GDgHM9BbaOvUOCjTzBQcam p43R0ktnl+37sZvhXoi/TgNPikysg3Dv43ObwhT7fk8CgiFtE4dkIPlb0oVl04arA+noULHenLFT gpkqZ0yzVZiBcuOoWOvOxj+QySaBtu4KvGWftOdQ/dVGjDRuuHVrUM2ITCX4drqha07nOOiu+ooK 6hPiDpT0fJaJNYe77nm6AvFvPeDA+np5WGrOkkv8rTZqiKnLbILiIDE6aHFVTcEIOAA1HW+VCce/ 5KqUlwwGt/CBGD1nhNsrjyRfNBsTZF5sE4TF4/JtAzd7nXh/uDGpjjKA7/ES9FviAooCJn+pU83b ld/4I7PKq+Kk7nopkzTEeUbKFronWOYaiEOF3ROQNKQJdGInRq0YxBqboXAM0UunyXJ1lrxeyh8L aSMrgbjwkPTmBrfLsbG5ssDzXeF1wWt3sxL5kbOlgDkX7hrqr/lRcunS5M0iB2NWGRgN377MdsSv LcIzQ+sLcNNGV5DPgutrWSePda2YcUoBr149cl1p8ZGnlgB6H77MIbphHtVajksFeDsjpqE/f/eu 4jzOjmQiOUEicMXPIgdrSfLKM5ZNgNCMpGBKWAVwZv0yEc5FRDVtMddMhUN8+ggvTLy1B1cEcEdE K1WDaaBrTTjvCorQkrpw6DjAvYs3EgXoOGeadjCeZ/sJONSWvb3GfvDcJw/0zpifvjq6e6NmvdBf /HpSMbNJ5DD+v10LgbzN9K0TDYRCb1IG41OTL1tdNwwT8LMIgmeX1553mlgmVJxoDEEb1zEukNeA FdMxj+J+VYy0HuWHgmVLkzkP4sdfCXD4I3lDU5vCk9xKVH2rM8U8uTPX/R5zEYB61gHM9NvFn3nd st+AVGuGtxlODsH5ipFYDrfznuWjrmXURGUGwPbIIr8Z/oWtGCuS0OJvwJ3U05Rm9AuAuJ5Mzme/ dWSvoS4RVjO9MRBRokO8MCJIYwGUBE5IpFk15Wh2CdnImWg3VBIQQEv2KBzxhkqayf2rq5m8YRlC 69sI/rR4osvcaWjKeyKJCwQTd/ul1wiuUAikZWFjivNTBmrgEmxmQwcwjnm344WP/TcXLsADepRT 0Yxaeql29hxKsN16p4NTVYwA6Ds0E6wra9GArHiqVNpPCVNlYVdq8HkWXUzpAV+ywUW8A08xulc4 KQuVLM7oLADdbBqSNR40dWyhMUldHizhMmw5nKGLt3Y8sF8SV7OVKkWCt+KG6hqhTica8yHzGuj+ rqFxltoQoPxmwY+IGZekGqDTBbvF07+eYEyBfS/PjXsOk5jeGwPaFsv5BazDsddYoqZjP7l998VQ +uBFN9JgLzsVbAGYIXuKeDJ7SEk5320+/8+GDADxtV5tM7o98YF0lcLFA22vDj9rpxm77JV7Bi8C w9LCNApQnXgfYhloUkdlCEVOwYHddfxdJjJliSgKwiku1Oq9UK42b4YWTQFdZmlQh3p24hH7ikbl zYokN22yI28PWcd/AeHrI/pwR8wdb9EY6rFyZCNDuSvtQ2lUa0+mopbCAsaPjwrpZ0qKVZFmFmk9 Cqe0mPoSY6FQKdmiMDSvUh6hPuTLKOqCgwsGIaIL5yENFfgysXfw8vuBMN9inYCQzM9KFxjnNBpZ PfGmgn8OU3tJfhVceELkXaOCaluPRsw7DRtXskbICTc4kG10kMS+QbKrNV4bftmBKESqMeIvLd2O ifmge8rmkESpGR5Q39IH9aI9Uwduq9KHtjn28IZwFs7KXLLMR0yuK0KwGT2tWP2T6h3FyZC6LpgP wY6pNZ1L3ylXl3Jll8G/Mej5VpQVfgcTm5KDZv3BcEZRDF6/0JnIYVsznOnvILTSGFE0r18V03Rd vAhlUGuRbVl9AsiNUU1gsJ5yphhtIj6M9XupTVGHpmX5LkOctYCeclg25GpIcxjqDvqavClIuG+j zolbrbxb9Zg7FipMSvlBij5rWizcAzQrt3zALRKKMUUhjg0Ltk7Be1FpQ6Us8oVw2VyaRYzjl0jr fHec9IU7uELzZNMm/fVnTxFylFdi/mmtqmoTiA8vkDD+FV6JEDiH8NC8GDhTqj2+75NkShzC8zJS vmKua/gGLWt5TI2xMg7UX3LNjzI42qwzcG7/Sk4BrlY+pfcN914f7CG2BzigzRjWUAciUztCaILg VCQD5BGa0nyCgWNKBoOpy8AINcjlPh1l0fJsiIA8NVpbEWUQ3KIM3bOdVSvGG6Bgzo92QrPn3SKZ iJeNdWMg1XWnpBG8Jh8N1Pnkgg2Cg7qgGSjwIFmIBSx25XKfIp0CSG4eneCNmiJTPh6p1AwtinGD xNY38hEuud5X7i9xL0AVWonviwmEMI6mdJWBsRJNbgQsXOarnZD7LwgIQJoi1pM7kh3EXKJVZfJ9 k6SAMOkXEXsmPW4MNALQbP3gopTIGwYLbYG7UWFjlm7+KmoMzcBaPpZPuxLJI2vnExmm1V429CSC MydEmQcgMVillHqZdQ4yaQiDRhJwnzDu16z3YYTdkuSdBFBIY+ExcEyw4VXrGnd8CZbc/p+AkkZy xOdTmp7MqV28dXtNvUaLjbkPpLQCzj6KWIsnUi/zAfT9WAh+wSKy0L7RsDhnc6RLp4vm3/2HmCX/ OM3J1ats4pWGB7k5R47ufXtHV3of+rlwplIcH5E7uE/Nm8PWSkyvb/hvVzY1xgAz/8Rw3rN89ubO QpdCl4U2yxDFNCuwPd2gQz0R587mw7SHza0dtEolDJ7bb4nYnuTLhrpW2bJw89ExopbbOlbbIOCo 7aAPV6+TMKqUA7PVXaTnaMXdVNEURQJw/R8hXQ87OrApX7G2P/fa3me9yMmOQ4KgvTPv3si5UHuo T/U/2lqozr5RLuC691s4Mc5PSQOT4mqQJNHCpY8W5ab8T2lJ/80u/u7mBul4KcbVCRK4b7WZczuc 36Ev/74YKb+zidvRmQp7tnGefjPGbWaZwHWkvHD+KaOmJiKyQvc45cwd1/OO9iKXSPXz1mZB5d8L U/2+mYKAzMWgi0eW7XhRwDooI6RGbKhXk9htckNR8gMtG5GMgOTLEbgglid5p4vwBZNIcp5ibcg/ 5SmRaFwAJy0pe7dnUBpjWiUXkJ3KpgkuEIcqXxxYp2k6tpySWwZ0yXQO3aKv5D0hz9NyWj7H/gg2 tsnmXzu2Wj55xTocJe+66Kox7ILuanwQgx3XpmN4pOdMuurja99X7fSCa04o04Wq2EO8XUz5PfqI tIthiJOWDezkfNnVOLzALK7mf8Jecy6jqmcsyCx5jTQQyfuVl7/l4iZBhMnV5GvONUmRiwvzQS3D AyKvmZ6Y4r2rB3IHra/H2FjdDbXqgMuSVmT2/CrQ/Qc1jJQrski3wmFG3iRPYZi8yJ/fHy6NgcEa MnaGGiRFWrxdTDf+ncfqRG4e7XQAVZOP9xz7yufCTxJK/0bekjVYUIFZlKiK+BTCzR0wmeAFt1gc 4MTyC206PmT+wxsoYOi/oI588OY4amiLbMOg+reDnfkYN2Xqbc106Amfbavcv22QW009uJKieBYy 709ThqCFeVkZmmfNZPItfTiIPJdjXZShqPt3cMwN0XQ2QTIo+SgsLM0b0RalJl0h9ZlmI02BKygh lcA5dbbmmLCxgZ7ldVGtUPK/elso70zmqvUpvyhFcTSrmy9f/gWoAfTH/0xR5v6+1TXRW09sQX45 A4kyqQTF9GrgMMJACIX4qKD4BeimRJhPH78XmCQui/Ee1zm/QLqLkK3kDm9gITP+jzGy4MqOr3Tt /4AHezko4hZzlDhmRUUWJdbLBaF+N+QUZe+z3RgPViQ+0HU2ygzlboBH5J02c0eaDv22X0aXf4oC 81Q8K/k2HkquSLaOr7zsHXD0whTIAcE1bvRGQA+GJnR3okjrjUa+csbYRvBGJD+tnjW+YG2apex8 PAcnC44ktwzfqf2NW7n9pHkF/d69Mn4WosJnigc+U4pMdaoxcVpPQc+VXkqerHzFrB8L5Rbc5rn3 XwlV7N64UD01UBJeMz++gd9XjRF0RNX/YiUgcJ0Nu8z4pT7OOFGdhqfZFj/vdwlskhJ71AyoUsTT F2s+dCajf5tcdVjy34JW4Tfdd3nSBzpzBo2bXOqSqbFuagFpnkz/IEyImNTtl+JOEsB8TUPOjKDZ 7NV3ND4yQ2v5Mr8oXn27b5ny5kQuYG0mA+G3zd8L5buECutXN/q5Mky1n/GIxwgMV03dYfgtPiD9 Y3hXhnWupED2drgweZL67bf/HDzQwPW17bMUKB1fxAr7lLhK2LwMdMP11BBv74Lv0DkKwDaLclYF 7/preEGehZ5LoGEcV/zJhXRLVLpkQbgx916MrLEEb//V4Pw7qqreuNluZZlip60v9buTMqg7vFqL JDV8qr/RX6nRXAJgF6XVjO8logpU0bAdi+Qz5d1I4v8QIXF1+P3xd3iZz9yXZJO+XCObFN8rnRx4 3lHRJ94yJDw0jOptgYnY/D9/IOJW3tmwaPeRjijOUy80ZENn5ysPR77X9eGvHtHEt6lpCEWhUp4V PeS5v0tUZJLyZ8hu2FXYr/Sof/EFJ+HYvPHhEFP31zrOuXomMMoXpHGNEUgYQICjjjlJ55/gCRf7 N9ACRg5lz3RG3FEF5f2rj7T/bEkcziYEebXXTm2RQVB6PHEl3+sPMWLuHT8eCH6IcLIq9+GqOLex ZDPqlQ7dLKQcsrUff8Y3gHj6gEd+Tjw0hrP4Wzr8x9CMwXmsHQAyJ9rlX6G4FgaaLYKgCFHSPP9s aTyzmD9Ugo9qaOo2kLh/z1yLrmD7IirooUwl3XiceU7t0h7zOqRdA25Phz2wtbLAtMqK18aMhSYD G9pctPO7vwzcBF16NbsOybkoXfhSPsYJmOnVkD1gnysyM/SGUzPC8oE6ih5cAHrJ4uBZcwDzUJgX N8rdIQoBn6Kp0XbhZGcnqrYtiVAUfFcuc4rZJcp4k+Cg1ab4emrtnjdjatPsNIZ1RBe4t5UrXTC6 F7kW46iNY+DzRy6W7YnXBMuQVaQdqRevmxDYCaP1CaDKHBhXidJGTYbKe4BpCx8kT0pT6TSYGw8/ rISLf6VmHX0UW0foLaiwW578lnziP02OSm5Tk0uqVFB/1vtSeixzMusFAQeE/zM7os365Jnb79jX AjumUH8KUGuZtEY9BzMSUBTs+M4otboio2GwSEvFrmToSfpVvlDTIMUk0YIRwf8qKUZ3yt58eIm2 7X/3bZcYYJF8u0waR/kv94eDIwj5nMRUvfxwbL0Xdps40iya/p9Q3zLpSMnvxxHl2iE6w+DidnYb QuwdC8HzHPUIZncu8VyqJ9Z3rlC0ac2JbnpOef51/08OREBXmCMiPIxkyOiZYGRD1tzgXgQfszPW 4Zo7k9yVUhi/LJXDOOESWzS0uv+BDk8SrZWdib+rIf3M+C7CbJv5dx6j5MRDheaTrzEHIoJMcuR+ LSQo/mrIFcUO5G+ZQToJk+PEIAkx4l92k7YO64+0qfwHfHrH6/c7yenUwx90+v19bjqonTsiBwvA LGp1ASSaLOuN/FmoyHO+LIZYYyOC8sTMv4Tc7CtWhowlSo4NkNqmFpSkWwNQM/QhRi1ZW5rJ4h1S /6PT+lq1G05CuSmT7RdAhLXvZHNB6Pyx6jJ7PqBie5odK6Q1H4PUbpYYsVA6RKfywNgb4L7hqC05 V7UviUwLNXLtfogidOP3JKUeyNN2tzGa48dv8TJ44ZJ8PQ9YAF+m9vhhsVNYDIybqKzBik16Xlpg yopXhPcb47TkttkMWlwvRUZRHyPk++sCKPPhY5+agc1Yp7JLLLQDnKenuF8rzWp6jWYIus6fSuw/ b2VinmUMf4vNVxlngKlPa2mD+ly3YRmI9yEnjT2UUKXeZaGl8DGHbC7A/qRXA5p1ab3wMtPyvfET mdylyYiZFJO3QyIKbcsbrOGqajXOvjmnaqvaJQv97VEeRzgMHw/R3hsjIOQqOQwX8uvDjjZTHtoT ITxcreapru3PK0yHgvZYzbCPFW1xi2aczbUG9TqnS9A2as5v0X3d9NSxOxvrvvk+kOUXm0Rn+RPO 8BoVilBIzb3O6n0UUPY/oD79tWo95ZTH6Q47qK+l1YOJDj2gJGQ04reVYIiKYeQzprT4LL2nHr7Z 7LZq6KhZaU2SeHrHNKTQp6rvBk9EYX5Y/hLk3CLi8TQQip49qXxcdRgD7pnKAgj9TPNVcUoPEjOi HFE5WGCWUqi5fsADSZ2z88WRQaIDiru+rIop3WcSglUd0ipHmFWztWqUnHLCRx9pNZimg5kvSmFG ZNHUOGyIGepADNSvUMvRMuJl6Ye68ux7GtEIfOEGwPwiBxCTKPiygmsiZQB+glZICEkAs++Su7c1 sWbiBvyX7VxYYMBM6gVaH8eEJbGtfMbyvOtQ6eM6e4fhw6XIFaI7mu9b6skGYA04sWXVUUsfFWy8 w0KVXKtnMiggTrHFkwvpmWVsy5Du4nOykQPiVqdkdq1BGseLIJiIqnnnGWeTZmi0Gw0Bl6jsKmOJ Bd4oJVKzvRMcXWOJaZ7WBSwA0WOg72snAPRd1cdj8ik6bxEIYB1dA5GljbPbTen5/nQDTIOFcjrA 4BrAY/qn/5zNeorMOnaw+5uDqKlkRRKHPcaLSfVboJRN02qANhAKm6+CreuNxBHOKsQBpZIP2b3O H6tLFiIkopgeQWahuuKyaVEkYOCeZGADVl/2ah9AEo6N+mFygkH13cpBH4KQTpJnnUCU7x6GXmde as8HzzBRApNphmdVasfpjb43WWx/zfAlG1xnzLZJevPJy0jMAE8xltE8ltZmDb3WgGOS/eaXIo/d oW+uykoWLMrISEc2MJIeOcQTKDu5P7Q/W6Dxh5Q4O5ih87rEvNHrKXnWnrZYzvDezsR8u0JiXBx0 c2tcBfl42LggRCl3hgRm/WBaR7sS2Ud0dNI3fzskxgKM1bM5VLMp3VQqmH3gyOib1KBeH2+ZamyN aIulOd3/7fDclb86rXr4B3HP+gLPj0CMvEpQv8I/NN0w2pV5OspepKnFWw0Ynr/u7kqvPvXnPYxb yhV6BuEr7LScS3Bb3U5gbDTI3WCVcfYJ0tyv339muXcNmhcUYiqgGXcDOqmLDXci+lGMaNm8mv8i jANXw2R1/hJg44rb250s64B7SvF4v1sEUIy/CLJ7VohyEta27UbcOnK1utu+ovMiLadnB80l7fFc ygCjvdjg4CM0r1NXfGyiJlW+UF4fReB8N+QmrAEDkHe56d/EZCVBNMXDsXiVfRnFGipxrHyhdN9k 6Z5CEXy5JOlRNFq3zKvIW60HF67k6Q91pEBnIN8brJefaScl5/Fz+j43aceQaHr8MwH8Db9nV6Ab Tj1UkFCjo7qAdK5DG+/W2zPWYMXB7qZ6s7XOMGYQjXYBLNSPX0dsXBKFiRqEGoZnkQ1PGd74H13Y 7pYgzVQy5niuOC3t8nhkLpxRVE3xoW5/hQ9nXp0blCXLxBmmVgyAlcNu3rcJECBADaiSsDZTX3UY 5xpzh6wopgHRm0m/xLVwjYnm8yDFeUV5Dr0TpJq1HQfdPtrdqUq7Nzj5OLSshiKgsE9WFNStjm1w wUs7quHXw4B9uZ/S3UXESpeqTdIJQ/dvMqU8amKawynH1YL+R1hCbGC8V9U1TkShvhyVSmaMckNC xd0DmNv3cOHPLWPWM949qDHwUalyyWb0pBaZKsFKR9wLHpUjueaDeeFRgsxLT2wJjv8cpTWiS5JI 9/392bnE6oHXoCTw89gzqflhyWG0Baeihlnc15lx6HZTg3EKxhiodZoFdC2t2sVBckmQjmMp7qYi ZHyrldAmQO2hpshKBK1V5+aEMpD9deIZKLtY3OfSsYW7aTm8xRS5+Xq/rK1hg1ymhRsb23P2N/Xs 5nBXovAx+PgQdfr1RHiomAS+GvBuUlGTdMDnZdfeMl6pcB4Plcp+npLHAeEHMoODSpTfLCbnAjmY j2cCROBkNIxg5LsZAhpXIDid/hBdlAi8sUeAzmTWlipTM1iFjzumlm2Id7A/4J1flLYbkU7sZJ+W YsDnde68aGJ18XmzVAzv4fFrnHOdExiazEEnbx25QI2qoNXTrHOKnYU8wkfl8SoRAt1uJvVyG4PA YtaVrq1k3KSFvO6yRbGMx2SFolgLMQG14iFMD3IOWY3eUP6Zu0KQjQAjtT63HKxt6E0DPNAtmO/b wQalyqPI5XMfSm5NVbIvXbAs224CIbJcj7rpXDEMUvWYR6+RqE0wjSW6yecQs8X1N8gDKmEPvOnb ddA8UcxjbjxmAYTpKShP8y5ZQSqvsFiRk9ToS944cdbGAxicVOlWmFclXUx8iT8UeJz4ymPg0qtW OqdgvhYGISw235ltWOp7SOdOsDi3Zcv9HIWkjvsvtXrcubD7NK+NB/Kshh0G0Ejb6mlFYs58lDDd L1eOghGtVsu6igRrvp06KgMJX8ATZo8LyJON+U7r9DyVaVb1za5dMhQVUqnAG5pW99JI2rlyxvMn YixE/pjT+wQ83+053QRu+nOt2rWOLWkiz9lW7iwHdG82F6L5wdha9nGl0P9GJQ6vVlIFLLxMwu9f 6McHGSa9A1G45eLraZ3GNjnq3XYDD2cD2f5CLqKDJD2dE4yHL4pm5OYvZjf2b+oYZgQrMYHbYd+x R/f/xeNSSKCvAomn7Begdm/Igru+EtPNeHvLI+UqFlogAIC4Dgv45VYqyP2NaFV9E9FNGtAsqKzj MQaUgz+IoJvJEKDduqXeE5c5JyvYNJEYTHPedrrTS1X+4yvEnZGtnUztpGAB/cLyhNXqsMBAtatN mtkTNMSG2kA2Faymgf/7s7KH9gcYSZYNXoBALWg6cxh1U3jJCWV16dCgCnProqxWPmE21zarJXru ElKKVR3RPMayKyF5QrCCzGBgIoVMkRTIBw+vfopLd9E+OXguGEvNsB6sUq0KmJQA2qrnAYUyQre0 YKZn0XvETyH8Z4Kbd3vxML/Q7I7flVtKJGx/6vg097twPs28kQNJQuotvcTvPAiEpgoxQKXY5C19 bioZPtBQoBhXqGXXV24OCHCWu03j2RGA7RqQVAYXtc40SXG3pDsyjfOvjmkk+nuvl4yzaW9Zu0yP 82JHWixmzNr22jERgI36kPYw1P0jhrdLXVblsP4JWIn7auWXv+mJ8oaYQOLJP1OyEnN3mTZ6irFV Tq9w0jQqVxSSckz9n97V/FrnL+RW5t7/wZ5gcf4lfynYXTOnDZ8E0sTn9RHMvYsgU3mtf79oGb6O XkqX5IyUwzuB9kYprJU9pPlCFV0fQ4azGcNEeY5pnA9+7Ke/SynQjFuo1eru3jCzdYA0qgKCNok8 ptqMSvi/3Yj1BjMx4v9C8jUorkAA3Zxdl3VnKBoG7bcqzRUEo8ZU6MsoPKBwpiyKu5KMhd5fouy0 MGqjPCObk0GzGLHyyhUO2fToiN8465J2WVqSgdcE7AFSTMAqd9fjlYOkV684CP5KY9uVSbHUC0FV rc3rXlm6wXEmQvqQt+DYjm+gxdYHMUTH4j7mXk4GJ6VdEAXO5nb0MMOMj/AZaJOoxhjpgbdNPKgI GENMMVdSQ9QYhBR6bYBYqlPsKPBFKfpwqI4O/Awu7eioabfZ7haC6CYYePBkZNG5EVW0XKcCDd1U nIj62Rg+lTfY3sSeIvzoQ0MvwG42HDbG+tBknLkm/AfRlaPPZtHyssKAMhOQQZtN9WogJNISm1na bxiwyt/N+82P2jLq0kqrIQXt81brngC6736ywzLdiSXn5l85ljyJTusG32N+9Uq31IHfRehtOTUB c6XQVO+t81n2Dg1xibqUBfIEWejjAgFns+xNahmoWpWcBLAE+irN2wvh7A5DH0C7BRSsXtY1RchB ipH7WgaER1kZqtj6dNJUXeOS8OEfPBQvLLA4bWf8sTtnwMZ6IvFKAuuCvzXbZ2IQtk/Am8/8rCCt X3b05Om37k7j4f3oa46y3lh5rArRV9EQ3mUNFj/2r5vGGRIMc1TKQqSTWuQ4H1SjLukhMjEmpgfD mzwTnkOZQAysR/Gh00SYLeXJHZuGS56Aol25A58MmQdCwlktMg2XBID/yT+iJ1K/LH2dxyxAJM4Z kOgf+7yxdOu9Ek+pO+9hSTRXi63qPSTf4WNEi/B/lrKJhMU1CooNRQmfhKCWHMe2OQYYRp92H66h tj1HNEwSJHVjd5fJ5qZ5+lY01EeOncRlVKwwF/RWpGrrV00o7i22SShjAO7oMOwVE/UzyrE4EG7M ZTF7REK1paO/Kx6sPTM9/PhkbJJd+UwGrzN2hSK+C4aZmahURT4jImVU+1aSpKWuMPcODmKGsbBW v/3vOiAgkf7OV/gfjmKWf43ERtxgOmENIi9cC2D13ZBQ8Mj26Vr/FI1tcfk/hPa4hwvDi92mTT+p 26rL7WyeEq9iWOGJ7s+Q9m7U8CZPId2TY0mYkuSiL7NxJFxWahgkA/SAI5o8g9zRwD82WYvBk4qH w34U+K7iDuH678OQVD22h6ShaQhQ7U1XYwvmypxEBDQxRAn2h3tDLOuDASdNC/sedpubyQC11iY6 5+xWyBSoEIa8bk3eqCf7QmK2xI8VZrlUU8MSXHgpigrxMM83twwae6vkFGQhacH8GoB0//wTkrP4 PWwj8bEyxydqSBAAgScAa5qPBoe8E5kjTLAQVT0uL5pr8j6PMNsAVY9Fxn0NLy63Rj1GpVSBAcyV oJlJ0pfIImyw3JFnH2/xK9TRfxQULuwhPBB92CIkJcQ5NLbzDlmAr5ib7uSM4P9jWwve0B7heELP 4FmgDVg+wQTESt4fdJ2SF+H1TLOvIJs4YWiMMnsGpIPkp2Em+e+lS8vs+hNVW6W3XH/lbmcDQKAx qGlyoyzLSw8nEqY/Jleq2aempdRgQ4TA0uRqOwIfLyLlqEQY5NO/L41yt9PP3rPBS2h5FifjR4kR 3SNoSEhAMoYpvWuFAEizz5N5S35KoFsYaa7fM/SnAbZ1MBRHn4gNX6z4LmUu5I2x3j2Yxsef4Hly 4PTTNOEo9Tb7yDjDmII1lkzJU7OhGaSJoB7SQlvVOG1tOT1LmREJXfQdbhfpl/43ZWrsvP4sK5Ei 2iEngPApG+W3C7jgXBn87ZzkQgoqAwnGuAdIlwSlr9kJwgs1LDD9XMrv5CQtnwt9T209Y7hQ4ubz bWYo8w7dOI8vr1VC5d9fAfddDK7SU0ybtAOoY5YGzf3JEwcqz+DYWKoUadD79Jt6yDIwSyfMN0KF QgaWyFOZVqD/lrIM0O666Dje5l7dQtuqIXYT3a1JsWI4625Mx0HQb+PKU5DyoyJAJPd5t0xGA/dd +mp2jofHfXiOCmTUx/2s0F2lTs0i4vXeiPRPX2/dszG+80VdJU/V8dRqhOSPOCWxBlqSD7+Zo3lG xA5M+J5pxCdfL/oRV+EAkppvLjS3eW8z05dlo3luY/YNnsW5h8ZaUCF+P8nLubXQOb6LJzu4L9d3 UKw20JrXbXvRt9BTKu6NFqYQY2i6X6bE42ZTNf00cyLD7P/kMFEA8vEuAQSAH37e0yn38vaW7Rt+ cA/6Yh52Y8DZlTic2V1xPnX5vuMUvTaPLE14EoCwv+dmxXpPnByr0SUw2lqOVUDchd541xx6zwkj pnDPY/3MKGejSkyZigqSDhiriY13pVWmaV5qTnYlVv4IEBUvBq8pW5Qiif+aXMZstM69OzCjkalM 7B3seUPMSWN75vbmULhKiKtfFCF13eaeG35QTrH0KbIYm8MEQV7PYpaHJCu85uRROikHtmOnTiaQ ZMimBz6GB0OBVbw0qPUKSEvvyobfbhi93/5zbI7qW0FaXyleSL40k7+U2kdKHR8t2l5LUj7k+CBM JcGzV5xypecK3DfhZcGaak+mY6iZQ2pH2k55mXtOoZ0nmmiIXPvtojFFtC27fUgZsuV2DdMd4Rll pKuQ6T+zatam0MBei36bvpTPmdIl6GVDzEy1RlYn5/lpuQYlbuY/7umcVwS09zYkywcHynxAJvKo topRb0GdouLmOxB8Tdo1oUOWrkaBfI1JpiubCnCoKWZP0jJP5bfIotG5ut75N21NjoVvij1KrUEd BZX2c9gX9LH78gTpVvpytip+bxKOF8523cVe6b61OVxPMiYoWUwMsRB/IxenJyqAeGXUlk8r0Jx5 F/Jh4W0kgoQtINmQoE05XXnVLO657CCxr2MBLP6FkS1IMXBZwsR42xJ4YzQhMEf1DPEhdT07LR/g Yo9xx5/WB5lWbkMFA3+5WwZFvzHosSS77e5t1V7bb4lyy08xi+hoPUVzOHqjDSuS84Ec7UUXqOhV nNvXeR0oywO9kkx/+dslpPgEzNFFoN7JUEXFoK9JSVR/+Zg6+M5lKF+qKsomBR0SXgQWDIN6ddTM PKiKm65bOkzOftfijUzwR/zDf9ay1F81crNlu9LFD6IFuATHTXJvG5A+SR8nEdzQ2ko0o6VUHMyG pqkebEcWI2ywaSdd9LCcIEjYS+ED+GRShi4oQ2sfLk4Dxr8m1ntqUGp8jY9VxBXSKKialotS57DS GUbx67D7Btn2kcdogWRDoOJL+PIEAUdWDdBPQbg4rWy7b3dt5ShxZvYoTUG2zApaf+xZOmv7aKb9 0bGFYn9SOyJtS5tGrFptnI4Gf08YhtGfPzxMLbgiFijFydMUQrxU0jUqzh4M+si7QaAtqdVMeQv2 +lWPn024/fKb1dsrkjfyzIWZW201PKxFZlazJd7cBNITPNUn6rW9h+htZQg9aedNA2q9b94fUpIq dILGW3rvZzGZtKXkDX1UkQYxMcvQonnOPNVYwtgG2nRuKmNBle05+IEficqGLObJC8hqZqWdMFI0 YCGeOa3F2778+RoMfsRiZPkvdoYGH9njrj8kokGEckpqdZjgs5Iw+rrbmnN4JIjxV4FYj7+/v7lD k1GLVuoWWat0KeLCBP9ZRA6SyuzWZuMuPweKiUAebRnlNgMQyIpm41boZ5BegMNvTyx0dsKuyX/P H9e2vheTLnLDPCyqVDCtkYEeHef/6ggmUGvEJkGKV0zUr2xDTQ4xv8vlrA5ot4YCtlwbpgxmEyA2 oe1bMrnRQT04BSg9/ZW3DmAegjURFl4rpQ7WfsK8iLXMYFK2YgQbIASWV9XHxgKqDM1oHuMMBUfV Blp4LyTCdllYZhTWhrzuGh9cqHeO8qUkfsYfGmEE39KMsw1w12EHcICMege8GD8PtDr3KIoe2P2O gBGMGIXAFaFN6VwrUfQO4/ZHVMjBsh0j3+LFDapdtWDAe0SXzJPbkOCggk/41/mW7dKmQOFXvYg5 yM0842IPFxNkfoOtmPXcYzmDW6ncDbNCX4mq7UZ030/W9ieQAgcXAsCR3wQdj5cbY4ZzObpi2Axe eMIohdbf8JrJBlA8vLDeeKIHziDR/81mxmMEO5rTaUCHTt1eH65WRL8twcqBpOAQoCwi3BW/ugvr f7fPeVDEAJ24R+MHSO3723zQFddvFzTSoWiyprXZNUzOizJNfWncOIGRf/QmJrp9Pld1dHo/2XqZ gxMSNaGNAtJQqLAUo/iHz1j8ak71MVoMzmspX7RWoxsH3Gwv8Uh2x28Lqxd+M/wEVj9NcGFIjRP+ oliTO2IF2OvONTwWdptu+Glwwg07/iYMn7BuTqweaL90OmGVkIuZ2ZORv6ME/WM+I+oRVVTXs25Z Clu+N5WOyppfKdL6tPbkZ5etQlhcC2DSgd9x3/tGstshpJ88Vt7ryfPnFROfIgO21qxsI/Nwi/kl F208L7lNhMmECSSpXrKVBmBTFrkGZt8gNEIFqCcOCDVh+DhEt8z3oRmJNfYZgD8Ut8D2BY3vUllC D4s1xVCfTc5PZT3Q6TyR3mYuQt79eEj2XUuqevZt+NGmEGJ3FSTbZHQXYFJdNhHb1aqkci1PIj/V GhBSjHqpA0j1PNNwbLTVV22q5WIrmirh+tAU2I8hkWDuohLsv/GtVbsurJEn3Vc+FXrxuocSJkGR PNh+FJwso9jhoqlNF07zlhFnefDah+l4RmcXh/e/NKnG0bf4afLp93FumTATuxIPC+DpQwrSv9dN 2mqZvJdLNt5txHbkNLiyilxq1D7rHUfgpBl9Um2rY2hrTmUTMWE4CB1FKULSauphXU9vM9D1SseP 8ATi6vxWE2A8D2ldeRgOuwqKgyRSN58lCv2e4SRtKkbRB2p6ww0Cp4q0D980+mljDXt086azfDQ5 6gVb7uzygRWYjOYDCNIO9yzfofnB3aGBhPsYqm8p/w/uSJ++5aWqHAr07CoP/WC7EDJcoqKd/rz9 9LrwUqxxSwSEvytFb4VjxZYMdmO3AMV827pxVyw1GjlT4tufxOSgecakyzSzr3Si/thEKwH9V4QS gu1R1E88LaI1X2dSMcceTPJmfTAPJjNyLKK538PYQB3MeWp97lhoKmrxPBpbQ9tjJ3j3ZpVi6tVW 4lvG9VsitkgTFb2TfWJS6E9gfevDFn/XmCVVi11SQqncTD32kWsEdYxHjWtF0OREZ0eicCXb3oLE ncrwRO7nyLyjH/ldVQmSE+tlPns3LX3zfcho6c/TKuaRBjM7uSOHw3eh3g/2knw5917r2eYOQLJe UoUBiX+0MnYnIB3VDBo9SMHnGqRYy+7Q3PKfKHcIDDT/I3RyumKGcwhGKD7YzOXiqro23WKkss44 3PxEtruh6jDJEDHAArBDSSgIdspjmooFqtLzGm3J4Ll+jCF+0NIQ3hJ/l7iTbKpXg/06TeVlrurE uYIK70zccmvy3tPKziTg6q3T1U1c83gOcYbrzELl8UkZtKK2PBZXq42T8m9NWv/IL73t7fYAomAh rtnMtky7U5/mE+JprqRsLV0RJifO80DsU6G9iMCcBSlHPbDTQ727IAnnzDQPuCKDbHbgHvy62kNP bgHOcHNnYFEPKVS+0c4J89zHPTrjd+Vy4MLLs09sCDZgT+EbNITF7LflW51uVLRJ/guPH4Qp7pN1 JfyholIMYncHbTCxnRDfQ4lW0lB49ZJ8rhmZwBRhcf5Ko8jWm3jrt27gDdEq44gVL31z1AL6sgkZ cPCp9oWbWRzm+F+GcrPOovnsd9YVKVq3VcRw1vJ97bAvaKlZMM87mFuliHmN+acCB9M1hgbHH88b pG2x/rtnSzrRqW/UZdk+6np6ELQyPpMncTakp/TQMS0F57Fzkrx/SZQHwgVRSHfKqOxsC+fA29RP 3nZrUh8stPVLi/ykPOnWCmkNQMf2TOGGxM21iqICzThuNsB6rO+wvm9mTbnMzrE0/HaxkWbvCXuo xaL8x6fTs9wRqAXtPuLK37gJwRgHDcgBajGNjgPmNEDA/MxYyCyW/p7Kd68wArNH45MHi7DDF63o 2c2KY9VAAo/t66ZnDFvvgCBFwnjC6KtqvE2ujAF9eOtZhJz0LQ1NYECIFRa7gfELI2g76ajXXfux inhXyRNTI6xkG7iOD6CaNnpoD6e79qgJR/JEm+HkvyZ5WjiG/PbxMcr6u5rwmDd1qatSaC1XramR sbaIvQGLBGIOtmbv4VsMGf6N9V5nYU2gZilVfl3RzZTbtCZ1H8M7/7Wnhqs06/cBEIxoGuyDLnnY IR4hIep+nvBl6FouTdxzSYT0pCmx7f3RVcdsle2MMB2F7EvkUWE4NIny8CMjrjty1dEt3WijnKfS 7xg68lwkOmcuEZnXU8vkZU7e4y3cs20MXEzepQXPEBV/KZWTCYK84yn0EIKSTCIjLPr2znC/0d+9 l6cRz6hkyU2wb82/3EdaJG9MecoJEfWnY4XKWSwQKpN5TatBlqZJStEdtPTquki2Ap06PTEztu7+ 54dgqraZQX/McP6x7LduCB1lrOQgZ/BkWS3exyuAB4nmjQELpSNLgmF8yus54aBnkq9TJItWyNoF H/GD96gdC/cl15c3IHh5+sNQ/ogrb2cECrdNfi/Q9WjbNY5lfiTB3IMyxxTg643LalHo2QKN8kP0 q95/nSI8BXDsRjhccNnU4ORUEjLQyjUe72W42IAq/Zc2ANFz9vCkN68hQp3IcYHKG662pT585eMZ fJ3qHT58cCpONceshwMCdgDWb3+DvT9wveHNKnprkTcPDQyvJ0MO81AC6eP+E+fSqFmoPXGILs3C p1kOOk6bpEvAB81Ac6awY9UHaP+k+HFV9+0CeJfbIODsyx7yyplhU66I2v2tmAIh/w3BKyWUa8fJ ZSRfSREHfFcc6Ddnp0YfZ7JWIPCNJhe9BDlc0p8CS/f+973HdUAwwP7nmVEvklx/xzmo0nGefFBk P9V9u/qxuxqtLelpCxEN8asBSrSFFuQmcGIRTld1sKosVuSo7We/pLPBd1dQBV3dWbrnntv/s5cX wrUVcyQrLH+eo/dthwJxMSiEo3tPb+RFmUl+viFIQlqzffGlUKNMaPQBRXoeqJuly6eygXfw0Llw VX7rc4WTW8+QJwyMyg5zBKCR9HbuUXmXWyiHAH4v35CiiMiLNLAlxZAUharu1SEs9TQWorCaGrbm NAiKtDSgYfOHumIKawIueancchqDP5Sx/P8C7o3LbDofzCXiJavNfJ1FJbUVvMAAOy2BsT2PVZo2 NV+2YpM6oYTg7x40D7rQ1zV0kYF95zQoQEi/AayjMvLlk7QOBDSf0nhiDHIkwgKc0riwb4ZPrgqk BGoL/FPxPI762hAqj1qg0fXua8tH+SSSHWOJ5K1IcBxtg+fxU1jXXiZfpw5PuGlqGJ0rkxYjkZXv Tm0Hl5YDbfTVpFkSPQchvoeemCrLsCWRJWxS0/gDl+aC/DV/mh8J4pJ0N/Mvsx7Ks3BCENpl+taU 62/08jwcvRunZHvszCpuibcKN/jyQUpfcuJfCdDzATDvGe9BGoaoxC0qbrRzd9CUhwZzNzMgFv3U QIxdIQmK47D41+rte7Xpz9qibHnRFBFA09KDpcQxCrK6XrrDAriCwMjxHPIpn5RZOc5bloyzBaSs 4PSNzbneexLh9DbfjCa1T13XleElBLJBVIGHz9Z2ofTt/rzIE+CSB6H98B97I49esRlN/7fDRYPz efLRPdYRZsAbM1NcJE/IJb9nfEBH7zb35unM9pmM847ZQAVsEuLQ2tcaHWLoNEVPFH6+kU8NSQlU dVBYSGL0AK8shoNly1aBhsY86xOeZdwZZV9sRxM0lGt7AUBZ6iSCS+fpMeuf6w04ob88v7tY/jNf aSuY5xnynGj1+EY+a8AEycqXiIAKtnkPIpxfstcfKMUmgZo5lhd+CjfusM9yxzTh5hDL1itWrWEj 3R0hsxTLM85PPr/1hgirUhxgdI3Zmw97sBagC5TnzW0RE2gTt6Icd/dji00QsZVRmNyaTqVMQCsV d3Oh6a+tdKq0RgDJLLECulFV1qWRLdqfUB8kFtDt2Hg21fI+qZ2nlwbu2oBkBTFEny2IBDcMlEzT ts5rPU37Yy6we20pa/Wokni3eVjslEt4Pvo20OBhG/5WVUe20LFv9WFNAPrcgoObYzf8+XmuTu4r z1DX0ujRCZu57U01e/QQ/ylKvgjkZZAPfpiUax+RvVLNK12rdZH7G5IVhn03Bxns6mKHISBYLk34 JYqkchabJls64OObpUyEVkh7Oi56V8rZnu9ZbDxCFS/Nou4JQAjLvMFCCNDCObbpTmZMGerz42uJ 3AOMnlprcqfPCV7kfDJFXbFJboFyzjcVBg+N1L1oSJdmGmPsHD1Qba2oMlV3H0n6MV3NjAweN3ai ARgiNk4bIM4WGmc6qMYXKPkSItJxOkjLNYSQH/pSS9zvcEkxtdrfeQ0jPOTRapx62nd9J06L1F6E TztY/96SQScAveKzVIdAcuq2aJv657rl30OKLLytomKIPf68mDDzosal4vupsgkKyVlFhtWkIYNf O1N8CiBGsEn1vIktgajS+Xqm1GOI+QRDuxndDtDxHHIOHlDQTXtKlX8VsWmPTItGeQi28OcqLJpp v/aoCRbQ5prl1noSYSFExU0D4qpbHvdk23pFtp98VSBbEb9IHvufj7H6ZEeeulgTGKdWU8zXWqeO r9/k6ck89Ex8fncStTot+HGOCUj9RyQEjN3H8HvSflV8o1CE25z2QBul5ndeOw5jy5R2k/CLtfqI xuiK3vNO1VK1km5Q9N2BCBCtZAtVgGp55MN4GjMJ4ZMTvEUU50JagMiKLh4HrgoMuB2Me08zzQVH 17W7rytUnt8CkD44afsD+WxVfSzYHYzjTHKfjp20n/ZlUJRn+tU1L2j4Wugds7s3GN9EOWMmNsOs 6n/qMdUn3ph73KJ16wOZa4h1BEDxWQbaoOy+p8iU7w7PU727yhUwOXpSNUe00iFex2Pf3Y+k51ko pb9TYwOsWc6zKzRmSZRTNjXC3NvSM0xZs+OPeZ/HLYo9NLg01ZriKHujP1h0irPHwhFt66eRv5Ne 8S1LdaA8ZVDV2+HkHzL8v9Cy2uK+2FCPLsf8wPyr1zI0ddxS+LDl1YZx1WjTajyk3kKs+D6+poc4 eeLEzi55/4j1WV0H5W8Q/kpKjK19AeEIWX/OLYFZ0e+iq0lIjsNmThQSwIykg3HUINFkYOivwm7u ikV0x3sINDhquAH0iKOT/R3Ql9IbTCy21heqCqk9utDPu2ad8Upysp5mx7zEdDGamyGitsCbwujq +ZY7FHKwvZY+gAa8UxpBCoAboXpW/m0cPFyZk55GSqWMuWfYiR2oeVH4SJeidXPvU5WRI7MSkJAo DT/6AI415NAfmwdF9CmxWM3wmzd+yIooCRzQUIrxlUL/4FoA+W20ekaZvbwq5LH6YJ3Uy9i14ipb 7sIh3kfrXkvmQIJPwqZrboINF/4M6xNu1Wh8K1YhWZvuVh21I/OqQfJeWMWm1hUsHEc9rggz4+U1 i9qkgAy1/o9xXSD/k6q17CaBeIaTPBOKyuuvl/b/WQ7hhWMxkDKsyD58FEpK9C6XoukuXo/2dY7y m7oJWlzXqv2qcyl8VAnrHsXpBPKb7k5n8M4MdjJIblAY/jGmqNcclw7+ahT3PKpFswIVOIvHIZh/ BtibgoSrCOaxgszTwX8OOVmDyohq2NtE6p4V87co1uRGO0lnge0Ob9G6KDryaZbm1Dyi50GrHUgY a3B5fzP4LHGed044zKe25liGAq+fehpazm0dD44s/UXcDDgkxIxSKSaxQck40nFIrMIZ5k06ZIHC Q26dj7vrYoE7hA3lfOlfxC7NSB0vxJbTyDt3W/h4TSJf9g6g9hExXQ+lH5Jss1gKK6S6msKdSniV jTu79PpsR97OikxWmSYfkkfpl7TpcZ+5wMyfZSTBNcA4p6PnxWodkCND+vbk1ZCYHdDHl0qBA8oK pFRbnZLiAO1JphZXODq6enMbQRuaMq/UBrGdjeQoIDgy/3uSU5Au/iczBBzoYHvIXiwXgr3/eJm0 SJI6t9n3owOT6AlulWWjjCCpaE3wLSvZkv1oLUHuXHnW/25JTfVHwyk9f6DdlpaBesu3HVSIvOlK xMDuZFnioRmtCwcCjGwJ2SITX1Y8oIXBmGN2cUXtOwL3QNcuaWys9N3kSoDfBdkjSZHTf3WdCJyq LHxCjcgaYrQyMts4HLK5UTx9L8UYTlb0c0DXkbFrsvvp/vDQ1ge+DMJAXrUhmHwUXjs0zMCSu5uf u5PJpXuMsyQ48NTJJ2E9h82rkhZj3qo5c+gVeCCAkgRvEArZJeEg6+b2CXa1QsdQ4eBPCNV2QUlY tqDPzXtisuU2jg9ooUyBmu+Px3AZCuG8wxvF7ClXe0Jr2UG1u8kQUgs0gtXXQVrdUf2ZadJH28ri BQ3Uzb3ZDU8YtpOlIBA1uYiYSwOOOGvNN3MKZtRNhBNlN+TVOZy0AM8Zigqc3nJfCD1q29PftbwN k/8lchl9GEvbvGvgU85veq0rKhD4btyzhYAqkPPc0qA17IZQ3Xb/FQw+mbTNDdsURJJGIOC0IN1B vf0MGICn8Rb7uMfErn3jOp7q7X/ZRycAMMpgfeuOe6pyvWHiMjHVeUeXpaU+P0QFe4xIPbDdKctU 1StDIlXcfXiOXvI8Ewp5a0OqFqZP+d9eAnb8XDV1KnTLC55H3wUMs97BRgqwq1E1MXw2xjYVbhH+ aOiBRMh8nJtuKSak6nkm26qWBOa1zmkwdUdJ+qjics2ypSdVKNXbCiGwYzkChzMSH9Tbg6u6/e4M 7hXoC/rS79n/jSjcqAzhx2XQCT1X9peeBO0sf+PLvXrm0YT0hXYazRZMAoBoZ81ALIDOVfRLtapt B9Il+bDsdx4h4zEc8M8VjRY+gQMCwLg170p/HrB0JWVBOFLZUBeKWON8trKyloBP3EPkTy3xCRKw celuzGAtaeJpcX7hMnu+ScrnGqeiUO4kaIK9GzxiMLAYnDmDAyFTHRP3/4RroHsh0z2oZcRmAyKE unIRDfYc+mI8FNFE058ftE6b8PSyP3LQ48RTCMAwZrSfquXraZJYAZlo0kXNO5kdz4zfdYCYvNhh qebe7gHfYsKhh4YVaAIDLtxpmpCFdg6jqrqxmevhMX5SBVxyfEcrCjo/tr01KgHcP5RQ0Y3+A68u 9tQDKiPBZIIji6NAOGuIgsIlRQnz9dtQ9GMTCCN9wp9YHIVlkFn4M6LI2ZzAEDOKNjd6Me0cQf6Z EHoCigchuPYGlATR0BAAfGl+dZeddrE6YACxrVWBl1FN9fxRHu/uf2WHuFnRGqAVW3Y086mLLpZA R2gi90uxW+rpas5GWh6nLDZQs9cKXwYjWbpGZ5iJ2bSC/1gZRz9qMYAP8jqXWtHN8il2wbs63bWB LTuSoVZcaIe8qgTEFrzDvpvvTNRYjWv8qW2uJ3K09jTSMtdlJI3MSJHpnP3+qxdaDGQ06AXlI4q7 LZiT0jkwhnX+IAlfKSvLjbBIISTt4AoyREbKK+8fPYI+baEr9sDhSt/LlL9sYZS/YWVsTq7kh/i6 iPGtkU0IhsHh32FawtPE1nzJo1C/bpJ4B5r1F6/Q/RhGEZuWxlS8LxU/DZtycAn/eLKfsp7sEJhA bK1htNnHF8mQbXqQQM/0tVUDqEXc/u6bXN5jjyBfH7xfYYb7My68cLVm9M4qvrlkqdGK3OJP9GHO pFyBbvnR8/V74LFPn6bQvSKQegS/HnGSkcxCOgRdIYAz5kf716VO/8QLre2R6hIeguIyZg/9a6tF 7jDEfOXmJO0JeSx+0z13yXXayvt25UT1CdoC0vn77+j/Aqgu3JK5I79Qry+jVmIOZ7dAFwRs+SG+ kYLeFaysebXT2/pHhWqVeUMWbZYR26xFI/vWzfVIXbx9AE4qno2V8G7he70ZqtBHn6aUZlLyV5mb xwMqQNrojA2p5eJdx+6313gW3Czg346rQ3ePwmRfR5ubRWZYb9IlxbR5yMZ/IdNn4u9KczkGTi7w 3DlfVypzfOR2r9gA8z/OVnRmjZzj5461Pdmcyiq9UuFVxKR71B2P5NoYCaaDg3lcwZLOfjZ4MfOe F9DLZ4NMEX83jrrFHu+BXp9icG++PLmvuo0g2AKJ5O0951o29j29SIwMHxybAl6DIf1MSJ47//q3 WRRnGmM28KoYAfTnoq9k+aig1cufFP79FhUJZcGtBgmHF0SH9JwtjQ0UMsWbX5n2EtkbWpbWrjjV l/L6QtYSbioTtqx8otjp+pou6fMqP3X4RKJc3Tybpeg7Ya0Y/XwaVAIMRJOmGaQnxbz0FAcLTAWa RG1oxeQE8X0f+XzqOm7yS370XaIhfyuJHj3wIxfB5XMGds5LMfLqef7XlSZl/jgY5T+U6W0tfMhi QuLg0vcD4RJTz0t/qxMaPEhPIwtieYsWT/IrZWrHYf/Jvx9n2HHRCleZ1O7qax0PrL+L5luCpV+R WI7dy6W5Y3FMhr3w9iwSoBhb3aX/fgCDm/nWiaDOxJ8ib8kjtDxSDDfj9ruVIRpvrh9MZSKPh+kK MHMkzpd1jsw32AUzazPXweiN9jCu/d8cVEGXc/BHwE9ypJ8dlj6l8BZw5UJ4S7f4C9s3YT9ohlxN aso1MUSZi+bZxydhUXzNf1NwOHq9Lz729DMM7TrSL4Vyz4Q8jZEpd91Qt610GeySvUnf1AcQEZDH smyttk5vZx9H66AMsTN0UQYSu6oBDu7Vl2Cnxn3dWNzUdQ/1kOy3ZcnpysAh8pfnnZtOsi7ZtTRz VDWBOKPjgbYzH1wcc969u+p0Q5tJUQf7zksBqy5mppncOQoQSdgTEjxF3MU5/1PeWuRbpq9yobAe 3Oh22jAjBY//UsJUAe5DEf7prdIHiRbMv3MKdGJTdDC8sXw1aZ1XFwL7tRurU0JQAx7ggk+7aJDE /xp6WE2FyrPHYQOciJvuC0u9SIzTGCOgMl9ylytNnU4f14XzGaw5vdIA9jeNcviwt0IVP1GHcHO4 0HYpvU/A35Dku+rFndyT6Nv2c06jN8YS1QhtW8Dkccc7H0hjHhzbhetYkYPDOktgexKN6eC+wDBh tag+FVR5h33wUE/Lny4vNoc6TW5TbcTIOqoAEq2gUYyuKA39UTmMWj5ZK1eqgfxNestPWc3vloZV tkhsMTpUAsVvKZ/W8/UCqf+yVSiIGhQ+Kxvp1hIdHVtHSLIbIjaJJ6nWvFZP8G72KsX8QD6CyStc m6ORM0ZXArnM9opOfW1akGMznwIzk9KsCbYgY0D45aKY5NbU64R8A3SRGEjMXLYjqnRyniGFZ940 TL7HleBNDmalI9/mLIBQIsk0m18D1q4vSeN9cauh2X3H7pFGqMVxlfDuO/WoFxoqSMYy9y9t9pOT STG/dsbvVs/DdvYBJrFx99M0T9rzmyVUQw9mtUE+YWCTnPBB0c6aicTtOfhd6dQ57piQmFOru7xX +OPSUOYMR+aZ7B4u0WSIi0SGkHZOAgUfSYsHqPsS4pPhjrHXwqxR1hhIiTzyXop5ayZw/QcKeNNW MqvVusHscC9tisrFTg6Rv76QoyB7IDWLrSPurbefqMyre5jZg9jscwQvVL1UmO2O46/ylk0ColpQ TjBJLPlk4nSnKvGzykDUhc9EWnVZqXukHdDc7xR9pP3BLCJSy0bEBtFaWnp/ziOkpbnttC2GrHNp Jr9A0kP7XJQyVAd75Cn0pbvXDeqROUqirVLOWjE1kUzqHiVCJGCdGhf+BpYHjFQzBV/uy03eDnE4 plPQTRYlHSN65hSRw871qoMKMDZF17fsrQMBDHYg3Z/qL7gRdmWO5FoyGGHSDqV0iTO24juRbXla tfwXAIir9HcP6ZMgQlFPUB2PCPwPuueMq/DIW/v4fzoRfw/gBtyR7bG6Zo34i5kyxR6AiMSfHDdW CvpoTvEvlaRyd2K+3CAd131GDKTrEIx4z/AZ5VA7VjdguNknAeL+2Iirw22Tp84cIbeFllZlc770 KzLqtEoAzzkr28EdsJSeOntRlRqd2DASYkI8n4bhP2BadEgeBMwtmMiU9NCTzS+AuAo6zFKFC/F8 Gs3jRe2tbeBZ5H3eQEZ+ohBo9HDsSVVNUBTbIJynzurWm6nfaTcVudfBYsyqt1CFyPjlXSLBWZXS eTw81uBya6zlZ/8SIDbw6J76RtwLrEGQaEFkon2NbRnW4OXdRzSsAP6AK8UUu92kAaPpOdsIxhdn ctKVeGjKfI0fo2nkQjIyIuI3Zv9uK5dC8E+ghfhugt5gM7EFF7gCbPSRKZNtXvXQ5e2VvRu6Rtgi XXPPqvLx5Ft0hR4PYgBUzXTNuHzNjAPMPgf5CNG4FeaByyh/AljrJ6uTbueb+/UCQGzhDfttVJE8 1gqjIQHQg3HnO2ledHi6pa7ICIhvQLrpKUIyGaNGe6x+MJ1QDfgQmsOENnxzXzsSXoKvfR2YIm+8 0RKkdy+Ej9q2PXOLLGFHyP+Q5b7ERpLZAovFHM5iZGDralxcAhycKOr2UMkjkdEUSgTAZ36ykMYN X/boFkRVdxwAzBmxyzbpqDghpZnSNGXhRmUe51uoa5I0mEw3AqQVdyauCmwStHOJHMFujss3+wDo XvZXQ8kKDze0a1+LnXySo6rPXVa3R0pCdHCh6veAmaQLT+oYUaQR/H3f1febnRlls5LXDB3uRuQx gqJ1bzJ9xHVNwkNqjJnYODXq0Ycv9bf70T5cMEzDhWTDV3N55SzUBDkmzmZh5VnhzzNB+g5Z4Ay3 kgHVgoTSOw1Cavt2d1xyi/4TSRyA0p6AEgc8hsCleMPJy0snXdFRD2a5NoYd7cazBcFlpXa8qdQq 9sMCHep+nVWTQfCSK8tUInkoxb3Sg6fLlK4q1meC4W6Z/YwxSt9lnKIJMXEm3Gokzf3GeDXh+aGv g2DzjGJKBFptTiibnFI4aqb6LmOODpl+zbFVzJ2bHHRech4/KHGwe6qzCpCeC7KjSABVW1u6lwbh Yt42L/pJcXe8OIje3Kf2cgaw5kkI47MKdlhQw2oy2tgKpcpgFg3l2M/ByITKnsaQEqBMi/R4exLb +GnjdU9LBR+5lNtaJeYOk2oCPP8n8KrUl1h/xC4+Q9SCZUnTkdBp+DTJANM59eCB5ojY/NAgLVCz YD+KfspU8vBucnU7rnDwVuXXUo94H/RQV80nEXujyHP37Zg4qGWZwk6rhrdWNp7wZSwIbBy8Oe44 uA1B79x07GQMOP8aI2Tq4BzxoKeSQRUd9ofkTQwhw7NB3FiZp/Bjtjo1I4Y++Z/Zq1WGVuYB6RGI AjMSYsqib5xOA4ZIBrxgWW9TYFnk0tcuJmlD89KbelXSIHQetmPRVWYFd/1drhic29Gns0ZPQX+q vEqLyetxWgMfXDxOu5H7nkSGaxAqSr0/tFflL9NaUphBeGiEaEUn6U37aVgIimAyvzEqknX4NN0z CSMJ1/+Ry6UXG8DX6UWsq0leOxv0fFFx/Q/x+hZKnALINuKoqTrEC3BzuKVC5oqoo4cFtxa/EQ3X jaXhC/uVNo41+jG+yuHI1+VcAm67rvohQ1TLvVZ/Gk//MtWc0s3XktWAdHc+hlssbRV/ohSI/xgA 1gtSxvRp3tM0AYRxUrMDFrvDiTOORWedGm0lIRFPmux9vKJQ5mAIFhWOC3SExv2hWcRUB6+tpit8 DjAN7rm6g7nwHMPc6MDmEEkY+GnyjuNKgPHVy3qDIPz0T/6O7eJIPmQXwM37brqfMhpPtp3W+yG4 gV3aric+H0dUz4lfLMGgMPZAoUIch7+9Q4EqZe+fxtvKHVSGVlxE5NXCPefuk3ZLQnZidmxWTYG6 CB1yo3gxCVZ/2S9mZcoxgLiU31AprbPNzyPDqirL9WJiNNbZ81lRpayOoUz4uTj/KENozhhsA9sa 18xhpmfSssrFfjRUxsp6YZiXVAxWxHC9qaBUNI/N3okWPEgD0bsKZn3/+lDhR9sTIbR6wwAjCAIH MTPuKgvqcIdelRThYh2cCKcDoozW2z9PCkbK01Q7xkqZhFpGqOY06md0DeasQxWwzL0y2satv3U+ Pooicpo4wlWvdrS2DGhgm5YpieYQsG8kJVi/I8SC6tzu9L1//Q+fcIbAj+AMc4UQ62nX8Q/HV6YB oxxTm5npmc4qGkjhrAF0av3QeWPTdPsQ/LM58OvMsVHY3ul1Yxv6/IEPPYvLLgdV2C82iajGCWI3 8abPRHnAAJ18ecFpmO/CxFXLztPSICmcZfqvhM206ERr3iGOZBnzDdH992dMMVIVXtSyKLYC7Y0k ulvcuduBwkx/D599kC1CPcyYlNYOG4/4PQARa34wtQQscwfuIN30G43RXnNY3/mbCfhf3pc7vUKV MpexErxybZ7PCrmHxVOdH6hStQTA3NwgY/1W8JSWZ6EF/GBpklrlszhW8f+E7YSs+DW6pGXw7k6W hN5d/emfV1/R1E03KOVkmjlsmeWIIq1znU61m8g7QQ0YhJ0MKFBwiGis8TdIy/FqhyL+nHc2Qx5o Me6ezDyzWleoMu2qwBOMNLaHntodII8dDVbugYa0NbKQSESzdnm6BTwRlotKGWfbAFU687bH7pFL XV/XIzTPZL6SqG19+wDv6Fy1+N8spFpJn1wQqCfhTnf77DfE252qqsmI2cjWpOwKcpdvwyNr7veB iBIoMxLjgjmlmHLrjm8HChNqz+VM4W2T7p7/MDLBWYbPFcdujZ7VD2Lptc8FRlW3wfS+CRCtbJhS OKtMvE4d8Cjw8bdgXyZW4p6WNxLeJH8l34K/KJQXF7Ky/N0MiILEHbvrFnRTbbw2y0jE3ViGBCfc zym8QFOXJhWglUyTv1+j8+JdgP3YLp6fGw+McDraaQn6n2MonLOM8kX1JkpyNJvrsrHSLNZtbsZK 3fn2nZnCd4ywFOsvbs1kudJHm9ETbm5XFYV+4IeIjxU4dV/e0Ud1ZDHw6bJvMuOdhyQ5f4R4mN/f Hvd7In10IXXQswadEz5aw7dUajyU1VBE+lzprPIItVzOHu1r/E+E/qxJM6GQTdjFLuzHXZ2mFhKt n/xuQ5OMajJySuQfjh62nGoQZ8rrq1m259Vc0wdk6FG1DJtTjlg7H0Vfy+iGeujMDX3Y7VxQnZ2p VTwdR5QYaK2h6E32JW3aij/cOoEQuIfqYWHkuUqicxMpKtOSEr4lueeXRI3vkPXgTNh0fXaDtoKR wF6hjptBpXAe5jv53J5HWVFUWvd4pIKHEKURCU6Zsa0SfRPB1XSJqL1EX5Z1mbti30CJif4qGCxu CNv7cqIFNs5QzpcB95VNYKYyc6a4HdTYRFT5VhK0eT2neXZD/w58HvhuFnobMrLBhYQBTIiNvL1M kUb3h4A0/cx+8kj+rZXstQBiBrR522QSCXg5aRgH91g4nD4beiozVXLwhENAwUMl0u43C8AtPAxa lVHdTO1dOyIcwbgey6IzCYGXqOICSubk9iBGLm0WbRo4xJXs3q19ks7YNEYaL8dhPh7eO2EwwMre ajunz0huSSJpwPyf2cJlVz0w1RyVkED2eqpxqJTGouFbPLAHn4V4/LFSNJUfu3ONgIhXtbDvyoSU ezdXhMpnDCau9cnC2sb+v2NBXmhYR4+VfxLUO++W3GSMW5Kr+EP6ImTKc5BPGEFOe9wLkFagOely OLD7y8n9M2sSf+AO1/c19doJ0fvF5buxjRBVN0s9NRSXaw6V+VkHr28YjedBVz5SwWHB7ZoC3IQs So7rXSIgO4v8aar1VkS/iFnc1qiByUUsoVPN8gdLsc7vLcbN0Eie3Ykf/4O4xIGNyyJ/bPWuGqhX 3lrPH6Ht1fahR9WY90s9DweIfZiQNFeLJmkUpAb2G8T4nwEXIVAfdfX01YVn6ypsHTk2fZGCJS7U 7rrpejek7mJakzNjzNzY90hae8ewyyb1CK9jnomJ6ErGbHInj6i/69578XAax8I0A7GGfp1QdkCE 5xiB+bdhqa8QDSyrAo7zAPMbkh2oYc9YqKaMPO1AXBccKSYnXTKYthxt3UyLJX9GQHKh/HjqZI3q mnxGvyB7U3s4v19+kts6F3AtecoRgDj8FV7qGtfHOBBfsKarHvkLLbZdbY0XRoI5ZHwI2qpIrk5J 6VHfgXP9wMAqeahODJ5QWRMolWNFIFYQkPIJqDFjn0LBDawCxjFUMqyw3sDzk64b2I+vZ6sPd2x1 4hcjUmhdqkeLg3gNIFU9cJ8pYXBL1TAdfnTeRQSNzRPPZGZgxFXboOe8GDI0a+/uwaCsBxIkacph SrI/zV8MOPmXhwp75/qRxSk/ergRnOIgLvPqz10xBfXGBhOOSozUo2UVf40zQ6n4mDP4E/AMeHN4 TMst0eFCM5pvvlpcgW/kFLcbvr40DLve3SJNCUoSAQyx4yaiQnUu1CkOJNMeoCvTg9jrMppmm+7z Zt0e7xwcQVQ02OEPDxncQHKRekm4pSq15qCS7r1jRB+FbOMb2oKxyvlQBhUzqE96+8WQ+geqqb0+ IQtwAzipypmn+ZKD/kSQFDfBDST0ph7i8XbwzRckc7KYLxxhb7LA/w31D9M+bd/xaAZcRxs9/H+7 /VDKLiL2CsHxKbGYp84vCaFuNL9uUU/QDdCjEzsCeyM2rEdDNisQCsJGXg5q5tYjowRcweQyyNq/ /LbVsza5GbklFyKbhb06qfR0cIBLeDg5bDhxLiYb4r4Lx2I2grWfjJXdG+m47mmoEgpCXXB7woIt qupm5SsawcCHxfSInAp/oQd9jx5Pt+jqKIQ/uFHmgwBhEjpers9lBa0OpOvrNbV0E+EMQg469FLA ylU5/wJ8dhR0AwrdFo5AwQ9wNa+S5OqpA9CftWI+SnNDyfRxR6Eg2mwhxA9XcTUDmsjJRSsQLrj0 LxKjVYJ9OmymofRTEtWtiPfd2J0GnjPs1Hm+qJZT8ek6HKrF+YGzXCxD3P8XDkiFVJ5jCp1ytWFY lATvE+jI2a34/U60/YKIqiSusXemn92CY0Kff65rjrF44eDUjccEX+E3KLU3dgiWP7dVbXsZeNeg Zxz+apgBm2ug5zXW92jdY3/ZGPO35JrhEdkxRlaoBu7oiJSWdh4eSuUU4sTv0n+sT2jEBgpYxGN6 LEQjxFMVj8x82HPPaXt90R14pWrgrHEw8vcd8Y38L5HKUvgqbJmSY1CIPKX0j9NvdZMz3fCYce6r lSoOAyv/++264bhujtd7z5zCmupkvFe06s4SlbXwrsPro96Fifl54hExCxacv4T33iga4w4DO0ml ClNgsaUQ2/R8CHKJW6XekKb1PyUr0akGxmuvWIswPngIycoFJYFQd1bT+FWoJCacWQzEHeRrVjyF cajpFwCNPrqj8+3WuQ80VsJsltHi7ezlruzzRwW2l0kkn9UmMG07kQqUNFXvh2r7uKxxB0UATbXZ eWQV2Zq9uvakwJ9h2/qW8iOV83JU7cheP0ra8t1aNe1qzEnswK/AfsNKWWSIyNGOR8EoGVptEuBo KKv+FKkFkcdQCZJZSJ+39sEehjKMQ4iRUs1yGLLI6nFXFJtD82cy3RE0CTdqu4gwZ2zaUVp6bnmO xXiFeBTwSrwar8uPF99rKL4QdDjTTrWyhQKogQ94o+MTNrcwTuSqRCKNxeas51mdS1fUQhoK5QUg KlP6sN0zb4fU6tuQbtetGYGpG3wU9og45bpS3NH0Edj4u6NaYBCaT6m3U0DwOMCec51aveBJZcce 8a5k/YKhfh0BSk/q1POjgCYBjX4jpI4Nj5K6j1ZuM78m5CeD9ZDhKPfGXAUzumpHYMr8fYe92UUn OEu07PUFTwankHwNtwmtfiz6P5wN3BzlVUBYse2CkobqRQVvzcrNNeOYw4i1O7EDFsf2Hti932+Q cktdMjrnfULDnqNV0gceaRws/FE/B1lNKVQGipdd6l9haSKbW12HUNRr+dRYQ+RX6MaoVTdQ0VKs zLn1FwsuPva9XSBb2yeg/M2IGc1gM8SBM2j8Z4LDgwMMDjAOfmr1fUvhMeqP78MzVjGdwnJolIx9 fKRxImNWChKwTYoE5OyEVARkyFewu/Zi+PclFDyH08Db5mfRXGbZ1IPJMuNy3D4+sxzuvMvUHscl u/2CVV28wxvIvbLGIg6n4m2oZEtoJRYwiDQXnLfldecC60OPIB/f/uP+D8r4NAasnafamj9EI3Yq hCrFu6BEV9Bwv8PMPp9t8e1rrzC8DlpSLMwUyHxrKKFUKE1dQbILPY6eJNpzanXmX0pSCxTG/viS XCxBzjjPv4ha+bR73Glrf1oA/v/lLxnUuvKgc3wOdg8HH8qqdKzF/MR9Ns5JfLixDAfPz1fZZ6C4 m1bYlt/Dbxadkfz2/oi52wzZfwJciycolq2Czn48VT1QM90nFBa4spyAUIfHonRcSpQVlZ7tJRti 4O9oGjjPM9KW6jUegM3NZ98rrQIaZvXJ/ppP1a+CORCBWe14Kx3f0Ycxzt8RDK2XMNN9J6uU3RJ4 tlIJFknvxe/mvVbM9BXzVDPq3lcScQXkZ9CZo7w8MtgwpmPX0a/nYtlwxoC5e//UIlKtx7LvF8A0 L+o59wp+VakMUP6J7EbuZEcKkJlDD3g79MD1yhx29qoe89cuudyzwh/PxEzkPBbWk3iNaUP4DKXB UDk+0ciOmO97k8FmIzL2MUW1Aw0HOdlPRJIpGUKJiZwTyMjJLKTAqBwpK/gwXCfv0kh2NSdWGI9d zHiu1lp5qzdyN/NpI3bXhP3MSWzau1K0dGFl+LHPiG+JgM3KoXhvslWQTJF8ipgTTd1yhFI0r9DX c5p0zlc788w3k/XJW6Ko6xdrrW354FQvEJIyHu4N39GakRTnBu4+Zc0THCn4Ej31d/CyVrPlHfQJ Tp5Os0WPVeOrthUzZxTS9cBxHlDNFrIiR4388Y21e415pWhxEk81XfIrQ7kL2ECohCHlKgXwpw4G 2xJp/+wsWBA8T9GNLeQ2XtPdsmFdDXr6MUJXXfRVfFmdIeZqu0smTZMtiBk7nEcc7CaJMleTmTz7 +6yZQ40R6/oFQkG5XaUxavWgNKG2ceVjGcgcFLS/2nj6LlMTpYmFq0OsyOBoRvsBSBo81IsYUFLI l6nZdeoLi7n5xXlOk4b9XW2WSHH9JJSfgYzov4tFvzPBDtMlh+ZVaNuWHdmNBOoEaRTawwC/m1sD fz2Xk67X28MAHmZCFwwLI8TYtt/QtiFr6GdiBZi39L2kwYS0H62+F5L42HeJm6kx2kcHPykGUR8K PnKEXXiXvvFEcBcRsw+4yRqmKH0m3Ek/z7EMlxt6Dcqmrri5Da1x97znt4fE+mSkbBJQguaBehU3 Cu1G3Cgs6byWy2PpqAQk3k9qUJcf9uvf1fKdRXlA/VFyotGWe2ATU2N17tC/YwF5LGd1pFH5olk7 HgaOIOF1BuRd84MdOhJCrpQdf5lWpRA8UGgdQvC7wlAx8NKkyOVAPeN5LYDDmZDXkC3cAqy/OtRC rUUyteCZvVoSUij32fEQ8Mem67fV7dvkfuIw2k/bMb+zjQacfd/oXi6REhbvem0kxyLmgFrQuvYN vj8St13+gGjLYX1VWtymLejRFIlAXR2gwFLPAU1Egx2wzU5WPueH3jor/SSNKnpR2ER0ICXcTVaQ CNDgqDtK9V64S2OxUxnSr4Rrifx9zqvw0zWwEwg4XNFAOYmWhVGezHeT72sjBaZN0b8F35QVKcq7 /Wubcr7blLf0frpepBICQwsNvWiorb2w3OZN7v2nWXp04szr6LXgeIvz8pEnaI4blwn3QuRwcGJy QJ045Z/j/TTB/ACyc1oH2wLiAhAOZkWHYUSaZdVfcmX2glAoXMXpjUFyfRY0fcy35FJMTrSj7e5H O9EUog9BjoAUOZNw/v8EWHLJOery6gGmdosQSlErxpx4PCFDH8E09j0HfcnmYWkvkfFSFXALsrND fMFQTUOsSDVUjbKmpP77PM+slmVZ0s1/85+8tmfkZrf/Ll6mTlQRHuTVMLWv7nsxQnaoailV6vUm 8HMIrt7p1RPChups5fu9F/XDDtyq84QM2JbrR/uGYvqj+Y1azVZoROzqHuvwbI4h22WNW7x/NadL WzKi8BJgN+n8A7XEbc2Zwzu1hTEZWsH5cVPmP0T+pjWc9sqPM10bbW7ne2om0CjaxD0g4afaNugm W9P9/ajgs6h7v6ANXGSAMYNzRi6f9eddZZ6Lmb9A2DShSd6VQItuVs8OCdv9dkdtubP3wjuY1WPs K/mK+fNyM8mV62GD3cO3eqF0Nhu/dvBlVimiBh5ZBRJHF5dzLC/e1Qn/7BdqFaRgN9N3LzpAomqk aJoKdwz6Mv3OY1y+V3Gpt1N8kvCOVPn410vIt4eRknmdj5v0faoNCYHjXBgmMDsYOOEGPf4nDoEG fIyuqPsS4PzvSExXbNJNl8pH9Cyy9Kz3ASSkLCKOdp1F3wi3uXRfjp2e/ljD1/Vimppfnh0fJTC8 04h1UYEmCJQl5YD1tJmwzPyHdq4EzGzZswAKqFHkrMnoB6D4JUFn0Fz6zYwa+ZI7mqUeAoDvFBKv siJ9/9GbMgwhC4pZKjNLp1NWBn5IBOEtiEtNrFxgWdNtmYFLqjXH4jdRaNmpyW5Gmd1tkqWYUYcv m52AWa9feQx3Kc+w7GFrG8XYqxWtNfH1L0GYr20PVNBvCke+twexh6UE2Q/pll0Fv3GLhLFFxUed mDnpFRO+7XDrbMHnwxhLKYb9SMrEJS+PKfuqVKkPuj/TODC8m2+mkDBLHWfQQ8LPyPjyt7/bkkKY GnWIAXpkMJyIpAEgpvHvdKCRU79NTStfMyDHabTTS5Z5ZdcgRQzqeGpGxtC//4MRtR/mPRCiR1xT nSz7KhpGaY0/PKdghDW2WZHHCngAwG/KzC4ACV7LoBTYYft74pb7mhKo1K9PeZS7x3Tra24CqNAz iRLs6hbtQFsqGwtZCwGlqrEU7z8cv/RIhZJKQDSVU1DqSBqBkGf+RyP8Rv2Az9d1UylUAA9ZSC3P MimFfikIRssukN/C/vhsMqe5PxBi0V6hQfbQ6tIKnfoUveEAElVE1SUyLzW83H5dqDt0ZkkwWdsb onbDW3bhmiiSClQsg3PdtN4WX7Q7qNDGTICtDJxoknX2duDq/K0qmst3NGp9d//BQY7U7Yu4AG5Q CXrT9RHnExtAptINg5PE0HV8d1jwnQEQTM+HqfVh/52usCzFyKk1JxeEp8xXQ3x1NRRkSwWO1tQf fkn0a+HnI99Fn46QzX2A1F886N8Iv0ke8PI701fj3c8mO6BFo3EKnDYMKNocaMiYTa+4AVQZUyM0 InYoLmmN50un7yCmYI0g5kiUr2Kj78cTiWDETtb5nMEZ9u7++Kct1wVBi/TicVbkLUgVGBjA/YpW zlC2X8+0fjFnn14VkiCpCL2mkg1eKcXE+b/B1P/dvIxjr+ETvEYWiP5KR9U2nowq32zBOzWIpAuX SGxgb36P8IEWgXxMyX+VGQNzkLkaL0bHghqV8s5FKSwIyk3rkee+zRerpkvEUN80X6+3ofYuePGv L2iWuvw4uLZUT/hOQyatZ07d3lJJtptQe+K7lWoObhY21BZ16/Fk5rqFAJt6idnPRE2nUzfOsFan 70tuo84NElIBJjTSpgINVbxfAtkVx+wOAiG4eoSU5zUDjNRY7fLqqE7S5wIAQDULVsbtTs/A6imi SDNnk7LIA7MsX09ApGU8wRfRBYK1R29YWWx0pZNZJ4xQzSRW9QR5O8g/eI4cEly3YdXptwUY9v9b 0DK5sA3YAR8dKMLa48apbhlMUODgsScnn4M4Iy1Nj9E/2FZ04ziDWxoSNL9E0zqsRiinOVE+ANLK 3z7sHnY0mWjXD+znKWQF2ZbnbNNnEEeJc7y0gkASNgfM5nyYqY8tYd+0cNZDFh3+Ogv+BTMwgFcC c11jJ2eHCfCZQD93IEi6FkrFT3asPl02FYGc06u2Vf4SCqvy53OgY/P6XClaL3RZ2WrJ2vzoFZ6L a7aC3l56R3/d1U3+W2PJMV0ruvlKCZeLj3TKq+hGmu96Pb4/b345o1uzAgjkiCPUqprO+s/vcHbI Jo6CyxWOaVvbcY5mTfayEhnc2KShImzJ+ShU1LyXllBbHFXnRv8TdXyHiLBl1gNr1QcALHq5OBFM 9H2zt1SCdUYuXqEaIKaKlrvLm59VrhGbNaa2X9OSYMTcs/zKKqyxkMYsi0fB/2DjHXQ3kjvbwD6d hbn7OiMnjgz7tM2zKlN+Rpqt/97ldtOSO/xmRf2NCSc5QgG6eBros6bmgOt4q7Odta8GVOCoHrW9 q109nvstVNdQ/yuVWJKRF17k2dedJTt3GzM+1qG+jKLABByN9buV7D9bZO+FkD/M6Qm91KWuBDFn Sqv3Bzs9kxZnf8Ebjto8Z5Ym8WQrsVJbsUy+BkZMoA6XCXlDT15FqSkkvC3M3gFG6DKk6dBgjKCT 7nHjfWOeXg3774dAJWipwbqxtQFLMyYOsrIYQdZ06hK/G5MapRBiIE4/DtkZZbGmAc71P25bCwvd sLQCkAqFr+OeFm2MXK8aagKhuaf+Idz512m2VLoIFB08lnnFD5RbmAEITV9C+yB2umtwZRbXO3os jJoE8TDUbUUC0toalvL445O9GytSH7/UkQWlOW1yTM5v8/EEH3z92JyFSSFaWadGF5MDs98Mqec8 5TzmnwUAVbV1lvOIZ/nJ3LGCnX9CALm6CnZ80/gfTshVkfUtE1lHf/5li38sAxFNC8IpBwNaqhdt XbAABlUgmd2Mr+5wtS6om1z6Alv6mHt2anAPITnq1q4NFG9MkXKu5BFfO9rRjhPychdvhVpE4SO1 g+Yyg+2kZoF0O3C6LrlU9qdWbsQBh/Gw64BBOEh9HMg8PSSTvRHtNRjje9s/kxg8D/3i45jQNf+W S+YIyLVT6hR0IuxBH/p9mNohLQuknlvgKAkiXsbBuCHOxPoudwqE1kQjy908AzqfCPv46aP/o/oT iuaUFopvwbLe5mY6lJX2X+R2nN2u4ye3DPa4A4TOHrYPrbs0cDUIRX9eh+5CDpWzoVfRkEpUxeJI Cig2Im6gbzgoq/7bLKu9XL6H0i8dFbj+DZNbe5nUnUpwikWsd9AdOYDG2ef+K9DdQSVEmz/t3koV DTP91TljE8DyCROagk+vSIB6H2m7DPvIENwzzdGFB42ZjWUPOtS9FYee3kEDvXd0Mlx0h8lkbbB+ ZLpI0sq0IomacJHKa202zZ2h30V3CknbBUd5lFsBqX221pX7GZO5arys+tkxlF+VGPiG6xPqSg0R BjzZnXMRs65k23UzN/UoFIcmb5ZOF1fG9bGOqcpni/CrXpOQKDmtwkgb8VsVuJZhIJnR802snbWp hkQ6vWAiGO0vm8Ey2KPKQB4pmQ7/neccNuFtQ+RRf4ntSax9/Zee1V9AIHCtRwqu3Yr+SR0QEwZ3 /hybkynytOLgv42lTSr6uBCglNAQ4hAL468wv9ifl/8a1B3nnsuQ3Pz0cHlqBxh7FkhMnItg2VYh sxAeZjJSYv6IkeAFWNLZw9T82ISBbtOs8EgnFwkjqtqlYse5vkX/KYbZlCBTfJu4kmMECCo5PHkv VXZXDN9AYwDJOgXiekboqtTEsUmXDhDS91uiSbicEkckGSrhIVK50dl0TSczyvZwQp3KlNOhDo4J jaPxmTBbttgXPFGq5a4GZNLK+VKhDwRtzR0TlzNtic+TFDmbz2sVdz2l96zjv7ECcQipCVsV4zV5 +7EGnJ2A7KI236WSNbbtVhRENdpHgbZWEwxQPOdXJDBPJi7XRvFLsyGQ6KR7Sr1fcl3j9u5CJViX OvasP79J3hSIP7h9vuyRuzwfmO7hhP8hKeVAuEievTOdc+ALhw//Ga4TTalC3DJYjdCszZqDx6og dTZp0Pvh/bqzMf6BwxiDUqCir0GA+lZ3crjnPI/XTTpTN+VtFSjvbJGz+YaHcDbstdiKY60x8HVV tmmYO1qnaLH05CYDA9FpYNn2FzyGm35W2RA0rrr3dQ7F9jlhifRnuJUo6DXd/5VE0C0eyoncYt+2 F/3Bat2wFPrk7cLMKPXuvi9yHbcBcp6E9dXGs/Ug5EbLktvCOql0bSU8+S0dFxuFALKPYwn7aj9u gyAe+0pbb6GJMqF/hl4KrThycbpofx0ioi8QzjONf2uVhxzkXafX5LdG0hyg7e38wNhDLcHj4594 krdFHrBFZRADpJR15jAfC1uqNwUv5EI2QPyuU4KK6SmL5VZIfLiAXIy9aJcL2Zym2sQY+EX7ClSO 5IdSP69YgOA6PaMtMITit7wsVxqfyLaZYUNkgcQjjT1jQlaRA9iYOBeP1CSUxZJI5oK8lVPzLI0s p0gMdY68lbS0OpeggbqxFzXTmcpxlFoLTmtPm723lj9Laai1WdsmUbH4KrH5GU1gh+fnei/0aiCK +3rJRAh+ooFd0DRdqOuKZxWVgT4LJdOGFOfvDrB9CCCN9tkcLNUH8kmC38WcSlvph35jSwtjBAsR nEASiubbMEQCKhTox3Q9MuTxbQwxEaCpopVBAMwfLJHRiJFsKu26eLkZn0lqyVIUJJSBKSsPxKG6 1kybP91TB9k+3xPzpPvmnsI/KYz4623N/jxJbYYGMHrOkmlUM5AjaIOuxPOhjjA82GNKfuDiMgLN Rv+qTqG6kq14lVIG7AYGllvlVVBxuC5UnmJ/s8Jl0WLDfMnG1UegQRNms8Y1f/4Lv9DsrOJ5U+Ok 9eDZ9ffdxzgQNvE3rtHq8RNWE+hHCK3OgBbpv+GrQZQ4gedGxNF5AsnYLwbT6M/P3aHJLTisxpVL /87P3eUJcmmumvQr9w75vYX54/spjoKu8+DEXCjFn+O1pz+sVXgtrGvuNdu4aj2ukvFFKTfcQThm dzD/GVe3vdfUUb8kNDQPGSmrVoq+lhnA69Zr93Hbpt394EWqLJ4vsItE3l8DQRxu3aTPnVJOqdSw A5+/AmW4loJTbgAtut5WEYg9nBczT82L+fubK7tTBbqQduMc8N43NbQgWVMNNBy4mqGKUwhDlUk7 oLMbqRhq95hHDsCMZng7eBYdhbPLe3cuYTrJushutmcotPW/cpNnN2Zx2F+BdZg9j3A43K6XwbND KaTObnmTEF9/vXjeK3KLpJLqzlaSvjF5YGQUuackd2xZ2kOYLg81diBlH20QBjCMGVhRlGavWtX2 7TeM52r3WZlUs3gAcstm05b5ealJYvEY8l3uiDzQgGNedpefMDCcy1UNjSSoQI1b2DG19wjaqUjZ 0Lq6UEpcj8MeV1DqC6inr/X+rbv1OISSomug3sLQo97iAF5hb1os9Ta6qACSsobBSCAHnVj6I0dU x7wOAcGvraRhyFRbR1Ax3c2wORYhIqAX/WCHymSNxUqX8hB5jUqHfqummUboCC2uTRX/kE36n0h0 p+MeH4KeCG9bvz7ZdGuU5Yv5zu9ca3Ax6xp8tBHqR3TMX7H4C2qAlQ1+AVkiG4bgMdr4qT+GsezN fcPF1oBxpjmB97jbWE424UE0TSWWdzmdg3dZf2qNlxWX3emlLqxUXQ65OZdL2BWm7K3H8vM+cliW y+qSvJvBwEF2GBVMgkTuYQB84mzcRd6SiyCgtOnywxUHNnJPBteiYo5Bmthpz6d687X7a9uOcPbx ZDurhtuyPk/3X/23iCta6+u3HztNVUz6yjnTUTCti6sepQA4yKy2LW/LSUi9uhohAq3hHcaO2/pk z/1NdDrHkp2d+w+H0UAF1tx6DNzGN+7JJmSp3xw6+tDXaCS23qVsuNOP0MkxdtRr95yoEHDVxv7y eB2Pmw5Rt9f6SrQCHWu/qJXFCKfIj2arb9vPosfGEFNNmU9DYWdf6Hv5xDR/GvT7KpBNfkW9yg8Q 7yYoiTztToj7TN6y7Nu1t26+yThSMapNgzqAy+CEWxPE0s9H/lnFO7vMuhXfpzBSh10FisUylYY8 dFyh+lcX8VfQVvzJgfPY6EVd/e1vC/OH5UI0mjO5ckazk6+D9DBc0CPfkCOIM9VTrWv63WWaMwq8 Cv/Xj95C8fI8adtw9bDaXkdl+PDsVcSTEPzMi7aTStZHndId1VUi2qov8e6S7OLCQah1to37Xyco c1C8it9V58UVNn7iFwn3WO75EQLIRkTU0XSO+vC4IgoqEIGrmH4Cy9QcaN9QzuMpvaPatGV4EEwM R8DOdl77251QByKg0E1mDFJUwMqpEH84J2ZbkASespKCGty2SxVvpe2lzvjexizM3kM8Wbu4tVkP i6W7I8RLo5LfDsRn7cKYNh72lyHOvCjxUwSSZJqiGqPSsbhzriykiWkptygKsYRrPuI27nVwHmID cXFsUJzhAjyTDETSBpbWRC6YvQJBuirJq39gq5Xm4ZGLKcj5+Udx7iB+RG3zKiKhk7tEMqqBl73d a19NYzmnsCkSVfVmDQcBDR18I9rVbmXSAArKigu6lmH4fJDtThjDCBYX2wzRCi7zaRvsOqH3d/F0 OT6TUj3LmeVkaxX+2vAN6U7Cn89MOhJDJ8PUYsk/CM//sX8GxIE+KdtxUEQguk5prsK8tuf/JHti acISZLs/6TPaDAbbgiykpTq5qwi5JHcnYu1Ugz/zbDrpoIj9JugWGeVIURZ+knviWrgD+RZtBvvH 54WWcBmH3TV5CMyHRm7a0/5dcBh4cNEl5xEE483YHVYOx05OEqBn/aYyj9FbrsM2IuKsbqdEDViP mnn1w6F3rxuHim9iQa62YhvaCd6K40OTx1HUhD+EaQETHq/0mEcGaAyKxlDeUrBwj5vWqM9pD+Vg vyCxSuAWn6rmZFr7ycroZI20TNZj6yT2p1aildMbHBBbjWBuhgVBUgxmqRK4AW0jRwENi4+HKb3o DevwAud3oDY4+mzqrPjmES/Vui75t7KtJ4G75ml0Wo1tBNAvFa3YOm73LOGEqlBdr2uxPjSt+Erz tH3pJ9q5EYrorAFUavxM0rK/NSM5m29cDBjKDI0yZliyJUbWGxc4GZMXhe787u6o3S72gMyLqJ6E kgxrVYLA827zVU5O1ogrnkjrJHFJ/aXUY2mt3qUVlgjwEBbjiv3HqlHwlLWN3yAIewS7s5jOTznP 41Eo+bM1rJ9bC6h8K7fN0bdoQXrClcrxxSEl1WA/nGhqU92cNH5cqvmqqSL3YuwOqHQTTSOkK2YB y81ZC57TsDh/1tEcAQwzXQ5WAK1evypKaTdumoVP1Y7yVJ/+oF/cEHrCAP9fJztUlH4MZqjcGf4B HmWch0efDFleePTLGmkVdpJuKBxdDuVqc7GWyljgUkaUtofsAMtO8seLyUtslKGTk9buWIpaCwgR 5sJiOPg85Tw7R4gn9YRn/1JkEa1MXKZpvjFaoEPnEpLiPo/65aMKz2CwHHw8GTMINnIqy8eeMkWF RNbMlINmqn6J8zmK5RXG8GnNFkqshLr+RBUQHBdnBueE+ond2879nWUAp5W3i+A+4g+Csib1fvdE zEBPGTFen08iVZDrY3NQo1mYy46zcnbss9MmYW6VoQbtaXCr4/dcXiQAyJHot7L+tzvgO7XoiNbt kCBce9egtVsVTmK21+gxJuiYRAWgGNxUf2JFP1IC6B6CIISp4In9C9p2H2snDgdMMunBXKTtk34V WNgNoSQ+YYjAIUxfXf/saDp1PNuz1/yOtIzXi/TK5KQeBCpcIKZwKSakVfNDNcsrIH5jXLeWWEyi JtF3qYerYldD53KE3YnTvxCvTPk54abpWq5C8L5jgzWEmEXhwnPGos+oQwX+d86cQTzjlVYCZEvb NzA2OKWR+HocVV3lK5JaVVxHzLLVP0zYPYfO/CUZYeDMx2TQn5IpK5ImcP1/hscyQmNgcg0YnMm4 V4N3MOI6wwax/bk/3fSfCpk4XjQ4cE2XDXAlmdIs6jdjiAqq9rrtiFBv7V0gEnju3icRFIwZHznl SjW6gHRnM/qfJd79NEJTko5s/XTmuRoG5UTDKEvlcgfsztlL0lXMnD5O4hQU36VRAZc8YUi6WV3s yIM0Or53GqZ8f47PbaRyLIZqX4NPCjuRgCDSU8K74EFnKXsm1oo2/8BI6kLu2IU1Iz6y07IgdlYg W2nnusGdrffJwssHoNElJZ7HPRXuMKrsvsobHuNKfL/Mu7p19XWbLQ9BSmXM4JIiv4TVCjCp3rM+ Lx5U/OGOaj2Vjp7g2qi1WabG64Yw8oBGGnKEuOey3jOiOdmJhx5sWTLOvjQQomwPJpBqEpkIgVI6 bfb9IoP+GIJCVki0uFAxosczoCwws9W0gMr6465I1GZLTc1tKvyOko+3JkhdId4MKSFJnR7yMKzB dHSrllASHU1DD2hLwt/p/VjN7nPx3QzOEPLd639eZQIoQZKm5B82fWDYwX2hMU+Hoi4yVu8V1FZQ Cex3Srn3i0YHQH0nSaAuCKdPCtgfg9eygEdPm/vq4k3kfLNTVD62+mbbpZrca49cv7DF+fNk/7GC WFSlj9J/+g2Bismi3ozzy7ESQLKkskYhAUkrYO6ZO7wDSZZUgYgxk0Lrr1MzLumOgReQcneJtK3L yMi0urAWr5U4sZXDYv00VUF4Z+wo0+yfr5ex95Uar1EwDM6jOkEEDj4OtzgDxo0flBDyICg/PYyg hxq8303yfopR4HuQPMUS/zY8y8Hq7k2aj4b1UEu2OGLzoSVxIx/x+U44lnF1OUfBvFOUX0OfIqBU Q9O4P8vuDzCllIOJz+kt6odPzHtlOaVAQ78f+D4ccsoIs6ISkEWGzzdZEo5wKG1le9dI0EdRn+KR s4p8Pq8owkZLm62jMCmwjGHqSwbObvt7ukWydoZymTbYPVa1OYfTdPYZEWQDFu6A+H+Wh6GTmZPz gXXHvJprGGP8tKi75RTnPCPv45zj8wfF/cdbI/LH2lqBsAy7aiiyTdWFWSpShuCgVVWpiLJqEKhb 4mOFxjNx8Dq5fmyI5QIfLCmrHhmfgT7Sj7IwnIzth0GsGo3Y7yknopcyKB6Z2sutd1xBEJicY1Y/ H0kR3cdHAyd8jSYLfntD0rTjRelpEoxrcHp+6+1flaO15Kvl1Q+zfmaDnyD1dQeTBsArk7awx2Sb 67a83C/YuG2aBii5IM5mY2NY5wjRgOXNDElbt/EnvzrVK3hQxpCKX+zZ9UJvhGC4x4m9LV6h78ru sh46gJCu4M0nxKUFN8iV8NPdLP9dyEd7FQBEyinPn3U0pfjAJia2ZxjK01qg/iEw3smcmHUh32fi iYoML+i390MeXQyCfTv1cdVGpOyhIWWXTfP85rBsXFA4xHEeHofkTnOdCk31rQAe3ghxVZZXvii8 dBHJLKBHXqqPPBrlWfB5eNdmCW0Kec3E4JdH3pY2tUij5EHbk2JCKrMMzm/fRsgDKJJuuXqknPoA hW5BE3scBDZJaqvudA3Ya55WK4dqoc9lp5p533IjtJFnCLAmGjbyadiaYqNu7OxoMhmNHpxJkzSR +xt+dH0U/yJvZxHB+0ueVRNiKol69Qwmfs9O3amUd3npazOcTkYT+wQ7D/eD3aJ3CKI1dj8WBYjG 5/9DnpG+zOpLK3tutBlBVC+t4P345kOhsRffSfs7ecUuU8S676wmy/yIoG3J4reeOQHp9rPjPFyi MAiLkUMV82l8yyjg3n/YgB6SF12k1QBrvLwyIKzvtqSmMpL1SOwVsKfJ7soKZR423hdluDBtIRhQ lqXDpCpYTMYofZtxErPrvJId3UV5yvIEAZzynqT3BxjLXMjHBD5UKli5xTBkOmxT0BkRiBsbN4Am 3ZlMy6z6UPG5+E+2lKhHj21iXAKQEOIw+Y+kgMCsdspCfU5wE7t78o7vNf9Y6Q1O+i2WeZ7N4elH ER62e7h4nXFODyK/02sWMiGN7aOrTQK/s7DdRd8ZqyfwJa0q3XJqwNO6p4x8su2TEvUh1Sw4BiFu DFwuuUBHAsZXmAThbGGyJtfVU7S/a50s3GWaVT7bB+9/SfBd7nsW+q9lDrtcH+MAgUtUZmMfervq MFAG+7JpxymGed5m6zl9fQNMX/9eHxAqg4a3V7C+yLm4rQoEc8pNRCRQ+1AfFPlpjHgiBTk3gWe6 nXs9sZsPYFq2OLdC95z/8aQf48gvtK20xasYFL034SdBv1+n1k+LR/r4wYdDarzFbumXyM/7WAuB u5BOiygtR+c18E6JdHFNpvjRfQP0sCcT1H8WQc6bHHZ3nvNUHvL5yJavhoReuuMsu+DDHRin9zIq SrqyZ+39KbDaEEvXJ11ExNMq3iX80GLw9b/jsKOWNOzPJvCa0NMKGOKRMkcA/ezA8TtRMJj2Ozf1 UuhAm+Nv4J620D/9otPwqNcD+xcPQj8UZC/E9j9Tw/XkHhMWiB0/mwOXJ7RdavlWUTTmx0yVFjG8 uLOhgAGHQhhkVyEMdRDTnhubYhGk1p2JKeiML1b31TymSCHlWSpNjA9lgXUrBZEvHKOC2efdAhOo gxFTJuqMgikyJ3eWpesD/MH9+8JpFdfAd+4iFJYd0on5dbdyWuEogWdJUN+kP9R+WmaW/OEqmSUJ AebflrAOdQUmgPut9RiSEh2GykPCIXNXcHB5mbADGUnjDvnWcWt2gg6pnqziiHvP/uHhq561PzD/ E0tGvKAxAzqeTa3N3Qx4clEH4bfv+C7ZU5PDEe1OSurO/Pf3qo5f5+I4Eur0b1WKt2XnzN2sL91j VQHDYKRWhupEu6tQA6BnlZtBauL1Zg02wJmHQnIj4B0RQotuBNo3WuzCzZsJtKDuHwFtk0767EIe 6d0PDcCAP68RAc51EwFCYh6/kH7F3eUt+5tiKTf7bbF9vIsFjX3vNk6aGV/mc69Ko23DZ/NsjqVI SoyTo51p9JVm/1ruB8gjb3R9M4hJs8VIj6ypggkKHd6ELfwvTQHcH2dqzxvLTEN9mL/Ra2l7d+UQ 9LndRgcQY+gqjoZZO0lUl933jPpB3/VcjyGIucc+Bg9O/xBpaNJ3f83bzD7Ktd3GB9nBn4HgovZB 7ATdR0qzXTsZ2o1J8g8ZFwEsBjcOZf5X7ybYlem4BoBX2+hxs/mJe7VkhzW0WYaXgMwzWQ2cb8Pm nezM7niccZyYV0fqDpeBJwro4hysn5/3VQEMd2zaHyV84+UkzHpmHXqWzgFiPnYhbam31Hdvw6Wz 8MvnEaVNwBoJunT8nGbYCK+UkQqBvtMum9q9MATdugJEjmRinEOE5Edq673AWSCm1V0wuZmjgE0p tahnBB/nmmrmxMD66P+iqb86DYiRM0DLMYIXCvtUrYrJQqx9qfg5fzm6zuwr+dZ9BhaIJK9BEMvU aRusw8tOoyW3dkKDurw5P8euJPLYPDgGvXunm7dS9d64jTlQTeZk/Fpwsm1CmXBfOMBZhJ+/p8rE k2q92v7v9E2BLeTTstAAbKrvg80fEy9KAgsEeo+3eEqLAWXgHpwUqcamtmxI02c3xXvmvFEz+UTs qGB5Afw02+wHoDot5NJYpc7R4gXvjeVaGu//fDXGcn3K8GX7+KU3s0wE+vEMq5vtQURLhUTd5rw9 wCWiUcUgw3oT5c64NsKOQSL23bHZ8Mi2MAh32ECfpIsDInmmQTZluw+wWXZez3bN2IxV1HqvyuCy u+tlLqMpjat67akzD15t3/rBhhO7Wuik8xs30qOEE8wYKF2RyhODOEtDEmQPtW879IrBiEFh/Nr+ WleR3mpT3J3/8BCWE4zKnQcXiCn80rnOEViMGcrAnnpy5MSqTlBxuqyPkpX+ZYcoLkDp6nn3tEy6 qZfLHbpzmvnRcJhNPH4aFVjr25rP1BR8xIAWV4AgsGo0/yqgZMg8g2DRtX+7kr99Mgh5E8dI0uUG dh86jbaWExwbxMxiUaKyETB3tMQepvMlRVk77jmfmwT56W3+exQlPmKVq9Dk+uKkkkBdqIMW3h76 hlozO+WhlPLVL+aA3Dc9qDqlk86eZo4HgnQhhZRWrJ70pPURP+TuWBUQEGfksxSz8uYk19WaXL2v UasQiasHI2UhhM0vly2YVZilX+yqN6bafYBQqyX5L5M/nH/nnNHXDv2W2PCi7P/oSmi5IBj8Wl6b BrdB3Z8Ny4QyMAXkklr4yB38+phlm9nR+bvPqe/d94UZFVvSTRQCCw4Z8bsohkWlT/syMFOvQBbF 8U1qQxDnCki9HT4OAsqvQ8PibclmOxvSkJE7+Sr4FsmdNKGpslGna50TomP6/obydbryBFVml4/Z 2HGS4BLZbqK1ZAuHadRg/xNul6qB8s+HSdOCOHpfTpR5hIXge5YxDsLMxzA0UbL0RwGZcRozpe/S EYxRquuhWBSs9SYExHUpe2+xftQORvVzqBaT+fDdXSiluKEdIwF/OCNCw2U2JkG/vhq5f7/Y+Mnq Z8vkU1w5pnBYCqEJpv1UL2PPxCVAj7kmbAZ9M39u0xspChVIHe8idzPy34CxYHJLa41KzOuCZ0HL NElNhU+9cRSh9lKOLSWjJdDrj9wz53fjq7+Xx91fVA5OWbvl8WiX47/EXXCqsfxxdJfu9hshGKoW I00dkVb/zMs2yQScxDGRgXMC+xn5suKIkoU7LQ4PDpIFaXPoFwjHrOnKhruV5GELO2WWgexRJut2 czllOPPRPvPyCDEStFhOhta0rj4H/bOgRpDNzJ3kTbFfaYyYJ4LrRI1JdM2jUp8AG8dw5ntl8fqh kj+3UmxMJIX3rBAj2oRNnen2PvtD+okEZ0tLB0c2aY8/j3t7UdQcUwL+dfCtgLN0e7jQfC5aIvEz p0HX70pDc17NCNuMpSeXF5/EHqBOcqetqu+cSesJKfIKg/FaHdjdfL/NrqQI8G27/W356Ns4p2Sl DoLLSf9VQWwziE8wAsIyYlXD5G1JkluRbpI6Odz3b94ScYW1BiOhxZUdmbN7UgkLZX+uCKammdZ8 deEcc/XPZlMDREzall0hbbxLTRFxvoOdDTVOLR8PkepfDjSgtMPj0v3bgncUPLl6bmS0Q+9yDG+t fVxZHDp54hpeuYVxv5iAIHvouM2xiGmSG3E3n++o9/ABbl/X+Q1LpE78EeT41q9yJTLBlLVTx1X8 elFrJe33wy/M1s3rtUXG8xl+WhYx/FZDQKo70e7tygswwKnnXXXW+Io7D54rMpGt0ZM/1aNzT/Rs LlgMNO0FthpulcD8REGP8jBIRlBxHQ9NiVmG1NV9yKO802PX+svR7XZrOvwZ3aKcTJBkP3FevyPg w3WTTbyZEj0vl3doHG53DPF+TH3ifWkcqxfYrxPWF95DTKiuTAbuHwcI1IkVai6nOZYfjMYKIjDd RpEFJToJg9zx6SjiGFq6rVPKYEFy9tfrF6Q0IPIT6oSmQkJyc8Bsg3/zwyf7R3vkOnxff9Y2YEYS I1dedDIFVRpQ6kntBdf1A1SjR4pEiIk8fTA6vUUjWaDf8mx0VccWn+T4HVgtJ5znofzcuZD59xWG ovqdSwPu17CzfhjLR7ts1YkE21ZE+7S+bkF+okJDQ0hP/Vm9QggcJMoQJaJ+lpZSg1WRTOs8+f96 4yVdCAaH8FCt6O4gcKdjjfnUO9bF6qCwyxtOTwL2dQxm7tbCr3NK2zCSSpPorumaQqaVL4S0Iugv Vx/kqwqD4XagBN4XX3KQ2k28GDtTm3NqMwhlViUx9txa0elitayJVIDL6Cb5ArTBOSiDZLoY+Zdr f4aDBfoVlk0gL0VI26HuJG8Mvx0XM/54oxhkWwlCJs9Fe41iCsaU4USMhkWwjF9ONE4J6w0LSZph 4tS2YdTQdQJv370jIsxHZgvZV+n2Ga9mQMkBiBy68eJGfF0VanhyDXyFj4dzeF51XW4KfDh0Z6jf a2PbWL8gtCBODXano1IpNu54XqWGBeTbenC0oHpypFgjVyJDARXm4vSyRmTmbUnuxxDkzho1TRvl nFt+oDXPvFwuWNETVdiUM83GxUjbiZkG40U24SzWDD2vUZM+B3enp4Rc+swkpGXYnz7JVID+jjsj i8eL7zP1hGAYENOjzEjb5nC+60BXKuZB1tdKRX0BPzi0ggiObYWkf7Jr+eBKNRRcX7YZorUc/Bqf 56hBFnFG+3KZDdMJJMOYVDO/G0iBBsnXxmDO2cc9Ygx8lJpE0+q9MtdFzoobkUyn3pLxbUFGxXwp h3sieIgXGVrdbgYpBANiPeE+//HI80lKkxBdoiE3OPtSQ1hbpFFpTxRsM+9kuby36FmZv4Wo0r+4 9puK0CCddCrCXiTqxfU/ePm1U3VpxoVTCn5dUKDnNh4QXvI+2TVxu972Ek0ArgZuMrlxM/qb23a1 anJAtSsWeozo1j6ziYIA3ILnvG6pB4BXfytfhF8z2Khu/TtgDyUSz/pQASu/uU0Gmoj/ky9AXLZp rCxQuHtR0OulaIyuiQl1/m7hCJXiTjGPNFT9+UWP0ybHxJ3Wek4uE54w+O/220GwQatJAEIwkigq El0F0MiK9wqcdVDJA9xG9jyRc6aQCSYX7zAjQPtjDH0WMS1wGu9cCeX/P+a/A5xu2td/vfvFPt0E nG0L6i4t1fEQUfBrmP+wfLs37KDeebPq9zk5wQXcQ7br97TFkxMUp2FsfwqOkl3lVW3l1GNIwGsB QtVv6xtOoh+9kpbz/E1LJ0hnX0c4VGoWTaEMCEOhtKWk6VGLjT+so/2fVTeeOkL3WAfmYJXRr9gz 4vFxx7TQZIRflcCONyiahPYCV6R7sf9S6+NS8DTOsbXZY2E9SCHcsP2GZNfXP5SwilheFDaQlLWx eSmwLvhYbAQJjbsyEhmaw6Z2qNUktMLD21aODe9WZve9TatX4kgynVb2Sg87Fyqbgcr4RcIpAXqc x1K/jfnq/VNkE0H/4FOrAZvTzGSDqlIDIaAWCbaAQ7/whSCjS+UQRsQdLqsw0j4QwbETE3qDsI1h XlQTRBzP27DQ67PuR9F9/ctqRGJNPO4qxihh2m55v/tSRQgE8cTAfWn2fqspNs0HKph5GGkkRtZO lE1afGl3sCxuDs890zAbfQXI2PhkpgF5a/yk77ZA2KU6H91pFPdXE/B8Vok8coGFkHnf7JbHxYpI MgE6d6B5i2b8U3oEoNhQQ/7le584dqS13UF4Ex97mG/ZllGlkUQUNxiGFbp+CthcTDcKwP/7EEAZ RHJu4GS3ngHYfM9aZY1NeISjGEizEQ/7fUHu2wh9r+akN/0FgnelVU/xbwkIwZoqOP1cU9e+uqBG i6K6148jmF7Gq7X1ZqTlEK8m/wO7RGUOzUBTkliY6ZYLWS9NH8LkQUPQd+v/qkqG0d5uKe32A51P 1OBzTCFPr0Sa4g+FC+v/B1QcvtsmGY1lpYUToy/fAr9AqByY9+/aDdYzxJGQdgTLtNRKB/2vXjAd f38fXhTQKll7kOXtD+CJEyBtP9m2HoKm+Aa2vB/zJ/4sCXrFzk5WAx29fcmY3ZQ8B6nSYWWfu5ZK jzW91KZD8nD1grtmIyvuaNVAysdwPr7sLfT1QZtMFLzR8uhX4ww2XDq2rYvCLgJxTq5IhnsKlblH GjqVbooR26Z6IE3LyRHo5z2BC6YRWoEjU5KMrPScHxl5PZG/qKXDIGqukdMQeFbwDCcI832Mdn81 EnZw2fnivinQiEQ3KVf8c01kl7lX11TLLHLQHD8aZGVoJrKFVQK2GRCKg3SN9vPK/rfL70k5GDaq j2ej64ESKlqJqNhJ2mmRaWqHoYb7e7EnEDQyzOV2FlK27WGqlo3qDlTLyx6ues2xNfd2PgWw9QBe +GXDmXGUSrlSFvsSThSn9M0OHpJw1fpiw91QSFr9CwoLlaawQHjh/b/M8XccWSzuV6AvBacvdCAH aL0kPnDeEVY6yc8gFJRLVm1CD11hhvrY1BNeS8s5erlI6p+fwbSHQXz+rMXq80/fHGuVbvSz9qwB nRLkHLoEsZWFIlFg4F4oeDJ+Or33nchF788CK71aNCezUqRiFUmRQbN4v/mxSwGQPshrMT6Q9awg wpsejYfhM4UA6Ed9tR9MHd+TzjHpJ5J85RpBUYPgPJusxYT6Lu/fZsWUGALC4tra3rHRtBjxq802 APA6lVDjYfWwhuxnzglkeSrzM4h1cTM9zo/p94ia8KTuBnaRUT71rjspYhM3Wvln4kFVW+SJ8RyV u5+K2TGOtAQOxbn3q7nnEdnQn01KFv9zWwoyi0u28CrwB3KayNsFu/bwJ5Rjm5bjxwbZtjqpGn8c mZIcidtmesRhpiGaFg+o0tS0WLSC+fJHgvWwWdsgNGQzlAx7Y8w+iNzZDnGm/0Q11WPu95QOSPjT CYjrZN651lTaLlD1GheWqma9i5BUfCPmmkvEgfCK+ig6cJJiIK6riYVUFo6338XTVWGZI5ad1t4m +YZnbo981IZe7H0UoZUKax7pypRf0ww61JGNKBaQsV4tIyM8yiNBLajgltoPGnXWHMJ1pBL2kTmL wxsuOP90gCO78OIBct/IPdMWiU3OPgzNkhWDGS6hs8Dby/x/3pvymZvpCbwy/kWAaZhtSOjnE/8w YteKCOGO5jpdaLN66JrcwaqkfLBQWjGJLF2xUN/NXBpvvRE8/qpAfvLbyjo45dP1GkqaOB8LElUl uohq8y5RNgN49YyYeZb6ZQLY7ER4Hs9qJZXzqaUKrxExLzSbk1/rvNgV1ySnbBI5qcRaLTX0KaFt ZqwxZIYPyYAPo+FQEw0I3/BGEf5zmcSKs2+mctrx+kBD9Kct6pS+8hj6ZGzuRTgas6LyD6KNkaqQ NLrH/UWP2+rzBjYSXwavUBkhs6Ist2na5IePxRHfLcIXwzA/7SwNtmKmZ7c8oDEO09nC9rMFgm8y +DNP5XTAGC+qpojbGNBsMNp58kVTtAp/qGOe7zhTWrErHgU3Tqq6jFKZDny1HW6MjA//yXqEfAiQ a7aYkvlPTO1g++kt2JTicNDBKlMPFH/qzLxt76z4IYuDlaP3wrLpHpspwJUs20Sk4+HHeHKJ4rsK JfV89IE7FbzGhl1UKi9DunfzXDJJOyfGRmw5LnkSY+PhPvRUY7wj2MNol9+CAoMs57eVsnHQcDbZ V93U7F9kDC8Lv7Ea0gpWv4OxFtSZjMPfcBpO9E0BnCsT0e0ksRQwGsoNG5xe8O9FS7jYTaXyfZp3 uRRipdvpxSxvObFNciZ3h+wVZiy4LxaDQszHCaCq6C7a3xDLr+Wr+obNrkgRAoiHfUIXRtfsHOTM Q4TTJQ7RV0n3OHYyVLgBLb+RSe30Mk4U/XiarVhdWzDusO9l+njzHg/9RYAY+3M6vZNZrqpBIpV1 vhiVX2XPYJftcvUKuP3iFflKb5+JXXVY3fcdrb7myX+sBMImmt+U2p+ucDjmsbzt95kjCdDfQ41E ZP9KQXyXqx9rKNh6Qo4TSr2BvpP5ANNsvBEhRVIdKGDrg9jeuk+P1DDPOYP5pIgmBHg02iZblpMw 0vpzEkJn+p6Bs2YEOKjjEXV0VShK5JUKAyTVZEJrGNwXJXCOiiNkqBXLcPA1tpTlFIIBaok5CG88 4qKN7tzTwE6o8xSp0JDhBjb4thdPC2LeNFbvqX/T2Ahr4Ur5/RsAoYr1zyDs0wOLfMSCMjnMna+l PvFcVFJz0o+wX82+bQNBrmRyvbCkEVNXE7ZMZtuPAJq4ZL1WsIjeMIn7HBzc5Uwf1XS/S70h/JFD uWPOwesa7y8asZqHbmSVSuq6d68H2Jg0hhyXVAzZUR6leOwJQORdOVALxmFWcZ3jOavxinvUXipc rxjZLlTkA5jVH01JUgXnd3hjBM38mH56a1nRh1XW+obVM/+5YRVuED5HKZ2XR4xmXGpGDsPqOdIl Hvf1pe70w7IL3XYs+DdJs4e+8QiJbj2udTrW+iTQBOyL0QUEGdUnAgoxHgJBln40bzFXU37nQ1Ue EycXLtYFZlW8gSOs2ytEtFZtMmYCjSMZa74OguzsoUS863uZ8ppDIVOPiJIdkGScyrM3QvAE9PVm Oq4LGGF9eS3prLZfXJ+PxR+LQHdOKJvFxdcbLwenSg1Qhkk1VOMVeWkSGMBpYBcBq4hXNIzLigxw sssNOqmwHSTGgQXJMHrUnuNf5dqtHVcifufL/lqi49yOa+sXXYbxE0PdmYYvd2w2KWSpCZzT0Ody dSWLeUBFeaJDHYFuz1i3RMNxAd2BbWlydXBiYHRGl9XxpLXcA4c+/ou5eImtQ/oQMVMGTbMGcOLw 19JjbjvCTARRydmriamI/w1oDFacUrWWncQGpnhNHwdpPNizIJWBpVBChgnLA4Y8tOWMpKIK9aU9 5X4vASI5VcHkyqjrmVLR3cuJcWTzFBwjLYHjrJr0+Ccq62F1iM8Z9Zq93TNXUCQeu8cAIUkHcMsz OWifxS5wDVZ51WYi/CMhm/QzNnqW8ygYHQaaqeMfkPLphjBWpPTaUzHdxAueZ8W5i43MZb91MjBA nENmEU0RwaxkOcfJ18c0FxycHJbnKhrgtwQnXQ8RCRORHDyd6ui9FOACKve+7EbQndpIVOc4pupw rKqiQyx9CNj/GbvhR7cjVP780Gem3TOsR/IOukOYrNwupIRYrNgfLO7ND/1XYTMD06mkR8miHhlE kDlCH5MBMzuQavOnCpZmfXJSracy9/Eu+rgf07dN6OPfdtgN9xxU+DkODzKyGBu84zis3yBBPHpq p1gmzUWJ4K+Cg0VouNzuLff09lH+Iwethhd/NwIhY5qHSKu1HGq/tsKuekV8o1ER4gOG+kGCIozx kK0MZmBbzFYR5eG7T2g4Gt90b2VH5ycoZ1PvbcVn7Dgwco+LAcyKDfCROcawt9vF48J8f4CwkLGk HFGPOGE+iacW+cMAB5GjDXVd9Ihpnl2Fk9K8YWClB2lGRRglke74MiXAtBcb8SG5awD+TZcH2Hm+ dawvpX+MuqR/vmADJ/bxHWsvqj9n9modchpkUBV3l1GJA3O06iD7jnGRq0zfTC2Sf9gFnQ1NeTXZ M80hxmXOFDyZ15D6e5GP4UHDCIyPo9ZUOaqwKyDR1fgYhWUfWoukIN8RE7g+aQ5zSqvgNQYsYqr2 RiaG90PVFXeB4S7S4cpj1kaLBoaPz97A9svsu/MewdMEv+bLDD9h19kI2fTCy2G5cIXA1lgYBJRC VNlq3Reb677/xcSOFAqGPjMFz1u3caakR7fzDDT5AKwuKWdw5I1gKrjAWFXPACf+Bl1Br9V6ATt9 CObFyjylHqdbn0SZuuowRM7r7xbpPWGlzzbD3/FRk2Qk/GYgQjCerM/wqRCmmfv7Z3Benj/0iy5c 5w55Dsfyflk9ATBvJCSNhsIluwcfTWCcWov1puSa7ZaX8ukb3n6z7d6fIRRrNlZ6Y5K3L4xxUImT F9Udfj37AcdGkGCTlgBctxtMGyZQ8UHhxsdebBDM/5wn1wN/3m9gOYYMlAiVPNpqUzZ2sGGSYO18 DUHldpw4HuWbmrOixqvCPF7hmq4Y1Jwm+kT9AG1pXpNU0S5JQQgS18kSy8cqxPIWu7zkW8TcoDD4 TAhsvDQGzD3snngOK8GogWJ/2je+c3gBzQYfbCWm9AUyBbcPhIa90KW5lF3cZjx/tMUdf390YOGm R80K1CkNt8VhYTwXCsY+bK5neBCXUiLLsDotx75UNck/Q+m6faa8vC8UKqbvm6GxT6snlu0784D+ ++NmEInN+1856erXaurx8aB1wL6nm0/sWr9HifxU1TM/PR9ZN8I/zD0yKV9Cw+R1EELhaZvdmgiP kRmgcVweeXFMVyb5P7HF0PcDbQfknX4ry60MLCK95W2GYDyGXB7iQvdS/qmLxnaalcFpnc/H4idR c67PSgbUHqf6GvfzHDwsncc54JN7Iw593pbsW3mklLZQ6crUEtUz7xNtWN6GS/F+0oEWZ3K5PUuD 0hadkH2Vq3ODatel56E6O1xpqmB4rGEWj7+K4qvhoqXqTldU8MERZgMqEw6xQaxDaqdp6I09iYr9 cAh2QkDWcpB9pTC6jetXccrqTiHl79ijhc1K91PqOMOdZb4dgaICyewagnT1MPTRBX/fRfPXir58 +5tExW52fB0UIInACZVIhWYOslKS4QevH4BKvs95ws7MwM+gT3liFYt6jh0dX3yhuNuZlKwpXPD7 lBf3iw4V9Zo+Jg4H0JegnNsQ9GiSqgnzxzt09opau1ELBKJUPOQgKQfVUc9uIqnxu2FpjCg0sZZj Wk51V02DlGEupxVYx62EmaIdC1fCQWYruIRmgBSaGedphoDSy6YA/1aULSAmOstWBPLWP2aBmqkI lA6hdVLIudrAOaYerBaM43+UG6jlMX5szYEkTpe6vOCbTtfobDjQKPNnOVwwfptlhiGLVK8Fegma CI/eUhMbC1wMMAYu5kQ0mFrwiKhy5EEcoXXW98w/n4M9cLWOG7OqZr4QGm4nT2h2kK8UIceNHRas 7X4Ck0lLq7osDJu98HwAC/qsAlNNKCMxsgc3R1UYSBYdi/lqCnj7eaKuNxgr0YRkYpDoK3EjM8XZ mZ0nAqGeCFA7dn33GzTtV7ERzxSdPigS037/38QKCUl4BO1zVMuaGUe38BUPdEmvoIRNflr4tLwj ES7uB1BAgrvHkMSKetc2b+u2tSEK6rDI6Hvx2w5U+d/fiVsFnjO/AKzm4WFp2ESwlfm6sni1qr0k r8241koKoFo4oYEXcu0ELAoGazGVLx5pDYNl7/gqLRch/fYCff3a6iLMDenYvjPpJ2flM0svaOBK B3l/sY8ajT42IfLOBa8DJaW3DCiCs3SvX3cBIB6n1Ad3LgHFz11Q302AhbOqqp9iRAIgHFbL7Fxn LlL2xgDp0JGqos1aLLn+FJ3+P6E9rXtWUqQREwgyf/cq8r5L+QblnMFMX7vef/JXXBLPNrtV7jH8 geUOgG6hpKcZ1HanHWhNqSGiBX76lf4dUjiNL5eDwvInrlI6Jm203V+Q+GTiEH84tAPILdZnL3Po JlTOVdY4G5NhIlb9BVFoKBsPcD0S9VypzRjt/K/WRv0cGQD49m17CqCuLDl9NDnb/C3kV6I9pRVe tKr0h3ZOzkIC0xaDSTm+2PbUPT3kmhDmM5u7AFCGnNYuVAPa4OSnkYllWJhCSYJXobz5xfpUZrmV UtYQxE07tMoHbh2yecrlAHHvgQJoNo8SoYOs+zVo8i2IdHnz1HDbLY7GwmVLju97xuP2KUKrA0Ab PB1m2Uu02ggnOoBmd0pGu+8kp/yTkG9T4G7/DTlgCF1q8q2K6DE3xndDPqtKNenOPVyPq1TUQt1v Bnspyduwx/g35r5Stpl7ATC1MLL2jmrt50nomWooKBUJFj2tLCGlCD55/+ZtP0cSJaj/vwDG2p4b 2UtBo+k7mIKCU40+82TpzJQJ07LRzZyT3I7Q/+FCe/Banz9/a892ZOnhFjw6K+7kHKytpOm1uLwt vmO9R3Eksg5XuqmCSfQ/ce9GPIYA3BhjsfViOd2eOTEp5jZYPmKHnIb++EKRkenR6euwPHNND+Vg oDSyBbMxOxw3A/JA7Q5VLKR0/W+6/AhDPyIH9GQ/qarKrCMTzPoN01X7gqW8ptohz90tQ1mzH//f ZG47Rcf8VgeP5EdpjTFS12uKFqi8T9v2LW0mmQhWV4bI06fg34N6COmxTc50bId2rpWFWKhuMBUp KtwD2eT4I9TrRIHM+/3vRrg7gxNwFQ05jBULKsyBl7pgGeXs8UGfhYygdBzs27o8ocgDgi9VkJdc FYreshPNAB1emETWrnw2ooUSaMXe85aLi3yJWICVk1ZFXSj4jVuSyLvlM390jC9u7vE8AjqAdhia 5AzT+3FOsLz1i+LyMpTbPkR2ZVuo8AxMK5oevD4dYjF+5+0f/2ArvtNBmeWpBITbn8fAmIpjpVWE 82zJdC8Tm+VWeSsQV4RKRca1vkURvj4WJEne0n4/ua5cSOQJlbJjtOW/FBdj9w40NF0UQhPvl6Jo niYLc17/NFTaOcw9Ik9wMNROXjzD+pFZ40/uXeOFTNH2Ye7FCH46K2Xv3ZHoYgsRARu1pRM2gg4o //8SQgsm8WJA1Kgyz9hsQ2U30SrSZO6/lVbWt/g5FQyelzxWuv1kPig6SyYTgWEaZ5tgTXvlXFsq cbfJ2snF6bms5ROQQF/N7nbxZyipOZiff3FzyrSQhNFgRNCJcJTB3vXg+5gkVLmwgqetvgprMAex /EygBv8CS59bzTS4zF+aa98jLKeJgwruUKh+m35r23vBkhs9qyqgDDKdeVFEGX9vsdfFfw41hXXr FQKIeqalYg7WOmp5BtQFWKMC2MPvbqKyKNv82rQOqcc7MM4ifY0lryFjD4yJQqvaXygaCSlvB6pN 2L3dy9XTRyilWwNqHLlwA4mqSwEiQyw206MYBE2C6qeqJb7x0W/qkMMOrJDw/kP6d6Hv5EN2Y8l2 iwYfhJ335Xl5v4YUxXWjLYkYC6NnVu3S94LDTJ2MTzwpIzuWGqFlATt4yeB3m+w4Ww8UaFFUX1IH NTaajBsSpX0tKBANexZYj8Ei0T9Oag3cMI/pEMna0OOTaHUL/pOSIjL1Mu7XcOApsZlbJG1Ih7MR 3klm5AIUF/5DOFMNBoBfwydxTgsZmn1h1xkT2kawP8UgJ7XZSJtGPXDqxmre5chUm49KkZJyukRB IQjfUqmkjQWtI5y/Ta9k/Cq7pZvPDvzTqs3+KqoVXi5lk1jBWUhNKKZGMsDlx0AN3WLPxF+tpxo7 dB+LX1iG1jPEhhIqF/CExEQYcGDbGAQls8SmWWZPN7kON1VDkBPaxs0gX2cqjRP8HVemve/0nY2k 6HNIsSzhsIOousu2E5kIhO8E+driPe7nHUJrqWl+dpH0vtHGzS71ZcFgurMjmGu41sy0olnKolmI BCofIY1iryYZEGj8pZi8pw01GZRMalQO6gKvzrVnoaNoVdWmRmULSo4ZqjkWZ3eYIrV2wIUv/mak JW/pFnlZgHhgp8gXnu/FeWbHDgy1OvZibkL8GvyMO5GoodjqT7Ot72uGwPosDAZF0BsMGEz4YSa7 wNUyygAxwVPZN/Hw9NV99wfdUdY3QwdlQGZ9TIy9vWHlN/nxVWQSvHfsRQg5vawvLY443bKCKLlS LmusUjlF5ziFIAhE+IY1CsvvBgeEA90XpgJEYx98JCp+iuYcusWPTLJ9rrc7u8iTpaUItocT9bIB 44XU4oB0//E5SoZCWis/mGmUORC/4Vkk/I89f2BC3yc0QukVFX/MV8fWDdGnVzUPivMyZsbRUSm1 BNcMOHHxqrsfK1rjBVZgzENAwSUby2s7DXzbc9BkKm3FhF3bt5+MQU31411nXoLtct8PyYt3qANN KFluW9rxOQjp7HXqnS9u3jrov1DVyd6DHAyAGmsF1m6jTcC0GO3V5WsqbTG3DMq+K9EDm4Qgguxr Q4f7LtfwyUNBziHIRAf0xIJjUiBRJERss+z5nfjIByKHEtJu8u9YPX4rafUj31iwcf6DK/wA4LO5 PlU1lZILR9Tqo5SWndqi/CoxGyR8Fk3j+FsaKvSWAHmU2KG2NG2ujZZlVjsG9dTQq4Lav5gWy+IU g2L72MAzGxC3KsJQ/GvnrtX9c42HYAjPXNGlebytKAVby1l4pOZck93pxok9JegBv0rlBZnnFrOy zCeCXD1DBIUYwz34iLz+7yHyhyzRj5n4q14xvSlDGA1ZBKZJAKMbkvmu3mVnVRYMRmUoLwC+cJAd /68Q6oFijgNVKdw20tukDWZIElMQa8SN+SwboJkAiMpsXUVlYuB1bQLcUAoYpVt1vptfRXUmtnfV e+r+GZnvf6sYGZ4l3bdX9IzwDlxyadm5ELFeBE9Cgt04BrfDVlY8dQLUYThCLTGjbj3gFZeo8aoK JKJGMm+G5nNIEf2K5MThKM7ZTP9dBRYbo24OVAEPnV3pLwlwMo9f4Mr2BXRj/xsJErRML2Yx8VVj UXtX491jCm4BsjYxJlDu5h2hMx1UkASxdKucBfG/DpTN6L7dd6W53FOpvLifBlAH++UsDcLpzras a7va0wL0LJWV4C1xf+g3NEGQ0+v1Lu6jpXRiCSXQTVm6ZVBf4eQViArZgw/05a+BZm2ARC37JWR0 HgX+agc8Sq9waU7iuejZhayi7c/VCtNQ7ln+7tDHNjEdDqpDR0XVF/BNXVOWhMyYBV51EYXyDmlE +87UrBel0nvc7UWqqIJ1tBq0EBhtfEHhLlKKR8LPGqNvAtrfL55OZ8VTuIVix8aLJ8T+81CNECiA fCTINwnRM8A+p+FdSDPp9QLDeM5agtug8G2PkBPQKFGQUu4NEqO6O4YK0+hgufiMxxy055vH0068 Si1mYBnu3dDO0izyYyWpfSZZMHv58H/DStbtoSUQX+TIiTF9Dbpc9F4ji6Zmym4KZMY7TM//szGM wHtiCkSWHKhBQznlu67/9KGLQoaZrlbuL2bXALAnLQa5qzl7Kvq7+fQ8rLMxuwKNCKev1+Fo5Zw+ T+oy0tkEJpCbdMri+S24EtLO6aeSOvmtP0uf+MsScmWGeYgulRbEwI8kq3E4SrQ0WNkpnjPwZTQP T5u9M9ujBIdB0XTKlp64cGZQtriaY9cQpwG9UJs2QEHw/zJ+PUbyZ/iANxqAJOzfP4SDeKHs0NG9 IcvgXuwjP3qYDFS/LzaFBacxY5ZY542x/eCYT2XXPHHUkwwduG2NhPT2SERaLqS0bSj6YghQFP71 iIr85HX2W2kz+C/rwFX4Xdh9T9k7jkL33TZE6rkPHVDfRwcs6EkN630aOQPm26GIaUJI4zEDPS+W bvLL9rRaNE9OhDt/awLXMTrt3D8f1fh8P8UOBZFwggQ1rgqnFkDrWp0IapnP0+1bEUhnuIkUxbI/ swl9gc22SBdpqh/Ebhnh9uiWEN3Df/6Tgb78BLshtdyuEXapHSiL9CgLhgJAfUhst5/4GTQ8675s nUELxmdLIxK4W7AR3l0hu6jh6WzzJJV4paWT7gg7jhWXN0bFQQN5cSRceS6MpLCcvNBOt3hT8ogb KNzqipnemBIQLLY1ay6vegkRX6nMnz0XmUq+AULr5G7jNOKktqS+rwBUUJyz9bDc5Fdm3UMXiBtv Fh8HweAUKpRzphmw+1m9hdXtXhXc4srOyuxXRMWO/opeoNBbZ9Yw+BUsIsSTnxkrO+dEzbvDHvEQ vKEev+anwWa/VPXMlCeQ6rR4bA2zx45dTs/qfGKssX9ReiGNfIt8G+Xw0XPpqcMGFw6BrXpy7oOD RLxPHgT5Rcz+v7a6yA8tARh9Cpdkqc91FhepeEkTpG2OBdwIq5prKvc3QFavg36thcL6BHLT9R0+ Kt3Db5oJ1Wtf+9W3bbRx9JmLLMYfToamtcOWZ2Jk1mtgjC5g0wzty/CflLA3oYWWoho4w4pvGLDv OCJFZ9De72r83Gf2ZGx8a5cImgHKWlUNQgHtR0TMsiWor4qliQwr6bcdCeKU+jfyjsTSDZEAQUH5 twC1qbj3YeBCnQTzvKgWqNISc3zsCX1PWr8zsSCPN2W8uQnzP6C5KLivHVPdmUAG0DJ/Xko/OlZe mNw9+9A3Ko1w4u/KO8RWEVGgDPmn/B7i3YRBlUsIQEJzxEgL6QvsL/v/THz9FiZWeP1H01IUR9WV FPn4bwviYLpb4Nv/DzI9n5wZJU/h0aSDTJDkt4JVRLyCrthYOmhTEWoFeZiYoLl3Ng0nTZUchHZG GRWp+c4m8PF9yYBvDCkCksmWGUB+BKiNVE+BKmDyZuPay8Y65HKb01lf1YX4CUY+Cghm9lDQ5z60 OQU8RCRTU7Bm8soGMhkNscgxCsEMLWnqEdx0wu3DlV7veFmzp5A4IXF0QD48IeNQEIZiNyPVFQo9 dEBmuqJi74zWx2wwg902dxd4IcH0WcDDtzx1pmR3OUw72DkkJXQlcwDmvnNoeI0boVPGbsWqfrc4 2zg+w2f9o1fzBHbQNIJ13yGhYC6Sh65D7d/3dIZiPqObRNFwEaH9cWNxXAOKfDmAqW5gnzlcpMWM X4OV49HzTLoktM2a5vVzLbUjEKJFT56vKdfxI0lcW84QgHCdhwo/0gYbbyFvlnf6vL+CHkxnxcqK aXj5MQ1L5QEUH/AfgRj4F6z5go5tUPfN607FarkEkeRrt/JWW9sUASzA6Nmm8AhhUWui0lDviuYb DrKpJ79BloKkPEC5J8MXYZhtRNfX35mNr4KtYmp22qDHL13BuCc2rS1TkUddszdZi0+yyBG5G5lk h+TJ56tQq6HRc1YshJR8K6rQRiCLWOc6ndAujMtrg0VuieqLHxesneCujs6bl7LOYH+2FxcyoJU9 4dTRfPR4nfsWq6taxPl4kr4St0Nw4ikEEaFnTo3gvdBCSGMMWjgfRTvTm/1bbzW5AbiC8E4qsPC7 7aDuVLIm2flzi6RcqDoZAOWp5LSqo8XD3p8pRji81JrsljA9PmezCcr0GQU5uJG/QkjnYs74tGQI qIWZoXXm9knJT5kage0/W5gCQX87cZcQBhfEGG0OAfXHp2pNVm+zFfDmlOgHo+c6IZmOaR9mxvTG OoJjI6sxqfkhw+V31WJjkqV5vRy5qkd8Gi2JI7lTgqNq5D1KcS9G+rYaqoE0IYkVq6r7plQSDUGQ MPQ4Wcadw0VMpXPsOF+iyPHiYWvw1jZVeacpq2OpAmJ15mA4Q6DbFJI/3yGA0pxgOvD0g9rK6zc6 Cc26Q3wZoxe7OB/SbsaE57Mx9mcB+gHXDn82mMmBSbHDAUWWJV+pdm30VRZ4lcyW3Vzh8+iskS6r uB7At8WElKA1EuBkFD8HWabf5cMiPDHWyOKKP4oyWQIWwnmIjNzk6yjNMY3I2a/AMrX0yH7LW1Bf 82FFx/rd0al5dU8uWdSekFc58q5HI/H8VsQh6aS3G/nQH1QlSItq/MEoquU7kR+RHTGQ8vBIIF2X tQJTXgIuh9OggVKpSBwnll6yBE8ZI70NZJ1CX2XrCa583zS7nC4oNMlgZtUf5BbVnMZsvHv3mMQv DEOGjn3wuvlmF+rUfWNCyDVI3fZc31uAeaWy3jrOm7KpXoRwcze++KbQTfbZuEwFgSWVBXg71hHV ATInjrW+OG1wCZnYEGp3KxBEfwn29Yvu9xUpiFeePbAk16muVmOqKhIfvaoomhaFgTgQdAXQXEl2 MxFRsklvQWvveIm1wzQVFqGNak7KMY20ISjDcHivMOtGDnepH89BKK31LZIIoCgXSGW1nWlzwlzE +TB8RhR9LgsDFt1v36zGfc8FctuuAyLna/+HojaIaIiAkaA+71++vfd7yD/mvizhDtPJckMoTbSM QEf5JCTCbSavqwpGqrCJhCQVW71TXrBN32SvH2vE/pMG7jGjkd+mJI/UOArj7BuJGYMGM1qVf1Pd TD/ThXzFaMw1DxkuS+NZu0Mt8CYtMOKiA8m0/2OFZgSHS7VhpeWwwEhd7UyIjHnNuozoCYPX+fqh Ly3WToi+K4N4aLko2MqWHUTzN25PBsBCgAh+sAtMxsU3yhq5/Ftwud+zetsXV6QnCina6zjC/q8q oum81j1wSQN5tyIfMDaYSSY8cZY95FKcmYs0ngB4XV7Bl/+6lTCsHmFs/IT1bbAuAFp0AbdyXdxi OD3oEpSplK21gdZcw00SuXX8taFIeQfanjwYTNSRRrflghKPxwuGaZ3z4nLd8TTlVkba9XhZFgeU MDD8Pu3W/AHycnMhQ3VvZg/fHNM6R3i0JA0ATMKjaS8cAwTcKE34avvPgNg75BqOMtAg1EhjgHZP 5rE+zZ6+S1lBGk3LLp2qqnt8wLw95+55VoTTp/wWuMboe2CATEyqgOYT8+KfsnRLDEmXUU8Rx8Ql N36CgUrU8Hc8QlDOvpIW+iby8ll43hUkSys5bCViw0d2RwhICkK5rycwZQFJyrQkiCj1uiIwdZkh alOF54r5tGExATO2tWPDD/YEzayTs+4MwWaKHY3CCvGdGces2thKLGT60aZhC6uXcIdZQQjXMNJO rsU8rqyOvkd6qr9bG0bC8o4++jGJz/CyfGMiNogcYaUuOEG5reqPV2DTIKUpjjDIkC+qOxSDuaoM ByBFfINWgA/Dw5RWH5aiuzZkO51Tw2sUCag3bOVpFjZkyJe7lyNsPKQggQAK/d+AewB1F4MP2n0u UXjq0uIoKc/kltHHti97MfxWOiNAkqlUnu0FGmNKsu0VfdqvRI85jHKw3D4kTCjEaiTFVR7jYqZJ WlzahOjL3sgaADnx14AHOtDc/FwS43h4XrdXE3AuJZGk7jrqGr96enx7Umg2lWqv3WrWkqnYv6EF p2PdOs7+o1pceUPbWwUs2izS4+LXdKqLwejIa7aCZOqiR1Vb1FXmXDFwzqvw/8l0YPTtV3Ykp3Y6 uctkoiU+hD2CywZLgrywSN+b6fIJbGtTMve95U8a0qfle95cT5rD+O4BfjKEGy+BMPj8dwgW7Sjl lpQVa+a+Mu+gf75vl8fqTCU/2ENO4HVJFuxlv5JQcENMUvb89M21Z1YytHKN6Fxu4/XrqeH2lU2H mLv6gU2CTR8OfhxaGRSroEHqRLaD5dDGNoO6lvCc/xToqTYv7A67y6HgHB1Qr6hzZGwaDbnIybks jlRf+U9d0dwkChjuK/PqV//T3pDBGZePPZ7fAAPi/UILwVBzfSWAetGpQqEQ0SKLrFiL1F9BToB5 c9CdyigY9vl06Km4NipXpFfPlEzX6FdGHHcOuscUHsz/DO6fzW0y3+5IZ8tTgwfeZIbhaL1xnNiJ QJXsRfAF8+VvadehssneoSjCppEfMB3xyufkSZ4xod82w9VdTBOz8chz3QxmRvqJ0BqUFwvYuXnE hxhPfBAVN6sUppWCJ4aYdQng/mEZovjZg5yZcTWEtwzxoabw23xQ/RViB9kVaFcWrfXP/JEx1O9A ypSBYz5pONY+hKnYq5Cwh3L+ei21M9mUrGrNpC5R+tjCpQ63G3EEyaZUlaP1ncZ0dRsqbH3RHnva fZC3Nh3J8fXeEbBaStPu7xE3OBwxweDWuozC3TMctHaaQWS91DzOkMKWMi+bErgkVgOBH/DnXNKd 5tDHtVNyM8Vq1A5wP/dpdNTyIt18FlhsXdXR0N79noEPTsxbW9yFxGLonyPO07Flu7a7JT8HaX6N snG3+UZqSXrsBVHdY9Qd/0GVSm5lhUcytOeTkIHkNPqhli7Cm0j7SBRdiEXYneYge+OERKfhw+IW 6IyP2N6ig41ff/W7YOuUKlsyh5Qz4s48aIi+RBdpGR2o3b0LD9PVnYk8m2p0rPO1j0ljvM6e1DAi lhgn7nUqjANwfsCNvgGdxWxbyuef4KvHsHh2+UWBvUJ7ZAshOHNNrjEkbJIF8k6lijFt/vBgAQZ8 +7mFppJ/jH2CyrKtF1pc4/TfTHcI29EfXihcnYi2v9CPkZnJMa+ybCZI49aFfbaw+s+rbdbPwiY7 kkhMdwS/IDU0lhbk2hY04KdNXOgB0cenQLxJb4D+KrMAUr2ZQOyFNX/CxrNeVEHS5XZDDAnDrdvu Zc2Co1pZXM9aiFGJEgjF+rcPe1hueM6x5oiNpbTgEbBDBqUYeP745hot0m/AV0BevFWE0fDuXq6N BOxRsMCygu0IVcyieX2Dybo7ywUcHdTybUaM5GdHnkTsMMG7a3Y7jyJu0+r8r6jGOHZ3sC6RG0kD YiecGRpRigrTOwyCrha4/y3roNUx/F94+uCMvvu9VzmtH+kIBS0dY0u6RjVE7HSekumsqaxJSKWx 07WYLPQVpECVIyBnRDzQ7fLJOXBp83d4q7M+7iFDU9HKvfFka/TNxhYQLtms2gTnE4pTdKrOEu58 dZE7V1VSCb8LmnQ4t/10RkJXEnQJPadBCM3Pj8Ober+bQZIwovmW1IJHkw2GS/nust52+KUwzrD5 kb6O/26vvw2AKNeK3MYRfRFOfQdw4p0qL4KoZUN84DZZvLeLvKygkHDFqyW4ONHJB1gYxX+ZQDju vw5rWGEUmfNJIM5FOvu2OBEn6fKFOf9ogUjMOobxdlq261COBHARCYCFcSz4y/r38tXu5u7//X9I 2DqQVtwjJak49hVS0f5GgGhhRrHS0xI1eRwNYwY7/4Rx2pEmwEZODk1SvSYuZyiSrLssncVOiIKR jDs2ccQUKjpWSa64mrybYFn0LNkYcNXaAmWXEWuBeZzthRcl96z5nT0DkQCv3FMlQvdyvnawUQ4P GsR/MIJqftiKaafFi9XkY7jlkWHKnl8buSuTUzetAeTB+ONxGLEBoLg88KbaE7edSwYCb1PXkgnU KqpCXuCdhBUtY2iTT7/XjIcbG5OlexhZPOaxaQFHwy+h/2fRn/MH7Vv2ZQLIN1Gzy+eBtgXV+UDe YVRU/sSsQcRRXip8OI/ABXqeUY876TvMyP9q2312WbtjEQ7Jwewc+ErBSuSJKtHO3bwLmrOm7n2D CVwtQvJb1HZ1KTSMUoPhxJNNS3qmqN2iN5CMTY9tHczwzhwnyHEoXZ2Vzw3zd+R41rC3pvhzNl7m 4fBYwCoRjOY2hfYffxA0agVjHNEyx1z16ui0npWz0WHA1VBlPhxv+ns5VqK/TCPu8NCGdp6WrPdL PRpmxAz8XsJkZF9LuJfzDIKiytRbi9GOIHtWmNwdPvPYj5LKV6HakyJfddDjDWfYDTT+MbThyX0S b1b2wvEV7cBhUL9wZgkwNS4utMc93v2ncfs5Z0NyHEqlgWN4SReZLzcGXAwRyQyjOUs/4gV6Kdul UGEwsUs+DizP+tLCzgU0Lgvycowhh1aeKFoizYxaW6B6R/loKjJVelpbAhIohIQDePwRDsiewVgt sVhgYd2LxOUk1TE/V2LDtuAozGQhMji/pzQeFd3/VuJQzE8unR7olRsgi0WAZr3He7pzrgjOTHKz cDonLmaCQenbrX1OyyX3U94rWel+SYACHeJI1x5fKDHvm+m5IEZz2uu/CWp1uCeRFUFQ1I5lT5AI aurFBFdBxszBHU6v05b46bF3fUCcpSa7cacpFYuaDC+wOIX2UD7v8bs417XbcCTzXMbkuZtg71yo beYeQdB3kX/NUC0HEotJcMm9tQ5VEDwxTzJ7AguTAi+T4f/3baIh0HyC8vxqhomJOVECbV5WBul1 GZ+/rPMNNZkOpNZPDABkyOKEaOg6p04Vro3kY/sasUm/P6lbnXAWU77sCQuy6wF9iZOS9IuZ6ihE H8TKdN3c7ri8JPWh+CbB5ZAxYt8wKNiVnBg4+obKCrFmnJTT4Y0S6aOt3wB/zJIspjBprz0Ku1jR 0OnBEWCrjDa4OYbH5vxcpZQp8/tQnMmiwGijz8S8xnRIEHztrVFTEi60/evw+X9XVhiyBojM9XOE itfjJWHaBTbn9QahN9ItSaVnXfKTcsLsawAZ8ZtIrogFTblUNu+HdSKk/U15E/O9oXp1i7/AYpnV ZoWtgvzCf/HLNvuDrkrsKjlMoDm4NCQppuY46lHvG08PsZvSZixQyk4Y/oEvrAdu2QrdBa3CtfNP 6ay2jcnVTdyayx344CyqWifqxdEcQh5NZSUtEK7tt4nxQiVfD7dAfDbwAbXq/ay+/PRP1NLATWf3 Z68Oj6EqmuJu/M2aCzq4N7SL7IDN55SlNiAjccl4A4CuGv2sCoaBhfYHUbKn07iVfW6oCVYPAbKg EdASoSwU4BCpPJ9NXMZjlkBgnxe3PEkrM5TnPFtcioTc8sHC+Mz0OkN0QSqPkF9WL4IYxam5FnUF yBuAeslAMOI+S8ZKKM3eFPB2zPNaJlnsKDV6hrNAn99Lvxm7Orwn+5cUE3+++nbfmw1vDtM+KPDK Ks/iQG8Fy6KeEy2uIocAaQIoaYGQZPHe6u6mAHLTrK1GirpB/tYo6W53xOpBoJDtr9MnHYuPE8M6 dSOgAHYkZU7tpSA+1BP+ePAR2LI85aIC6UcQKR700NlkLY2O7i0fCiOHvyVN43U2MFtuF3t334J0 lcyfRn0kbr+YOkMGCRS3TeMElf+KAs2gGOYBuFLWH38TTGYZpKEcTzyGkXf814U1GAhdG4z3lasz Nwsh/dQ4kMT7nrWg4ihA464jeAqABfIYShigcJ4T/ZYocLEaqeaB5CnaJXBsPXyKvYxktHH9Hcvm YY46W7DD4dVKBd3JrtJX6RPvc5OVKcfguBsb8gjSpeDu6zXO+nk5BCOfnUzTlw+4MR2RyuSdUqP8 UpAsIFQEnRA+px0vKCnPkuWjy5kOUyocw1Ol55xwDkTKGbbzjU3wy7oec0VD3PwneHDEfpfJRBHA JCjAmKgZpDHiZVKOysfbigIu+GPYgBfD94/kpnes+YGluPZ56Z/td8djRKNzl7xvtw8buNDHqVdy IyitRhXzJamirx+GJQOH84Bm9eOb5PyLuHDHMeCKnisOEB+GF4EgQd9RFg27j1hHuOdO7xbQA3u1 Nn7wy+n7Gf1AsvWGf49BMdMUe702qab+9v57UxL7PT+4kwuAd76CG1aG8qZcjTJHAiITHLMkLRwq ozXOUI+tYyU3iAWH/QZB+ovCrQS9LJ16zLLQTp5TApKscWNr9a19F6VFhMnEKIQhxj1TQ6vpopPF 11b8wPNiBUJHPe9WXpBZPfbfme9a0SqPknZmtZQyCD/Mu9IC4ns0PSus0JHPJzdwTl4eoPruHKry o15jr04h50qOWkFVeiXm9ot52sn6uVkL215gx2saHrdBQn5wTJIZBzQ/CzdoAHf9myByWRlDLk2V o/PNwu5J2XzBqll7eobP6LoaBEnxLmzOONirPlO54X63RdubqK4k4Xh5yJr9xrNutS50x08pZAmu lo14hsUHAUZoPfGx88yFXdbMkh1LypVwaA7GKWg6EvIgepnL5eLNzWlUcQCHwJCm7nooUcx0oGzV BeWfbxyKQXHq0/NILhLq28ChMVN8WFZ53LgfSNWLqVuX2NO1mjXmpIXKFjM1sDOAHy1Z7QDuE8Ue VKoQcSBmhekX7uFIJbNwkShLkUGvkYQjsW5GBfTAlg58s+ttO9vyPtUcNgRddsmfaHMgG54dMp// 6AfE0nOXYQqvMi+EJPZEO4d9+aHfn2fMXDLLfsQf6ULF7S9ZTPh+LZQ5YRj7NbbdyUccmXAVG4Ol kB5X+KIuKI9dLRjWfyyDpizbzfyZXAi79hoO8IMbRdvzSdhGN7rDwSTQ3dGQrrN4f5hv2O3uRpJq T50DDV6M5JgG9QVC8CW7BHyoG3K3f86W0s5wz/JpR19f7ILLKCJYXDA3caJ71dtNyYNhEmA7NqW6 Xk0hoNEgp2ELoOBd/yAzuk9CvyIuYq6TFtktXOopAGAdgzsfFF2tP2NeLA2+Um6J0LScsKjqEKCf COi6acEQf8Jq4+f3oTCNfYAOr7D9rI09E6PnM3h6hvDeOAo/WFyJohpiKY9trhqEIAS7z36TDArD jMRFb1GLGREqeM5IrcKGN2xoYrONPXSYXs6HhRKHLRkkkfMyiSVzYrmeRBPs1H79tCaPQ9zlPk67 +U+4uxgJLNhkXO+Dw9oDvol0T4r5BouAM7pYNOWmlpcHyhS27dhgEvGziHgA/E+WAUJCwAP8k1FS YonWMNs7Pyh4XDVVM1AXD5QJg09dnS1UBPkbJ08VeBGcbl5SWqMHjgOUflb/lvMC6A2AsCL+541z Y6BHSo+noRRXwCHBpGZqsoZy+srbXmqJR7atJrssuokCRDxFEJ2tqejvppjQbQFbED2jEFKtaSQZ /XZcwdhhpnSTqA11qcdr0MKirfCh9CxGeQFbxuAIstW1clFums6l39UziLqBp/fN/lHFsmwqpa3c tVXbsjnp8HHgWceQFPjPkl9U+91F651+rznfyYcjivQhKBCmEzJ7eNrigzz6G5sKII8Yx8tvYMAc DDQHFdV/yvw103n/m9+xJX9IMInBqb3f+EHDG+r+RFF8gI2/yy9u6pU7jxNIWM/lqegp9qnYVwYQ 9Zs8gEfhZHKtFCd6FXTnrzTuTLqFN7lMKx2Kq5YUyzwU6SZ9IG9Hk4qMIbmf5RA+HKKurtQLV3hs skR0j+ktFwU1roq1nOdrZVwc0H1/TU4dCFLGJeimy9U0T7T7DIytnMlym9iykP9RvLaMrXsCeGz8 yMJHDyIJ37YH6YGYdi5Nun4th15O3BsHHw2kq+fuC94QshIkRwynr9/ZT6v+4jGckLClKZq01uod +0yHKM4mjgsm1tYbg2NDIxx4xEt/G1iNB5mb7nX9lVJWzez8lScxBY647jddOa9aQaDlduRJpuod 7JzEvkhG2FC+DrZuJCiMY+rozwQ+JRwpZcT5PjKsCrGpR3S6iAyMHDN+nEhbhXdP4Hlx56cj/MKf /DONwo2Zn8XkYPSYNVV8Q2KJMaBd2KrZrPdV4mQ73oPYLlva5ROyntexhfFG6K/9WGG+g7O3w+O9 /ulM0kA2pr2J+I42VdzDexCnklwYcRkMidXZ9AeB+SzjRlU8P54h/0FmnPpY9DsYPwa9pSUFhBtw p8G9mu7BfrXnWqr6SiP7+zlfaJ0ZBQWN+iqa+NZfnWTFNW/uKgdlEu3p/RNMciJ1oxepoDOJyXrk hAXkXChtbqm90fyH3zXz/b9hhmVaWm+iqinuky/r3jLI3YiLOxJ102kyUC5bF+tBb0bKG3Oj4OCD 3tgK/fLBxs/Bkhzyx4maGSKMnZhNg0k0/w9sC5EA3IxhoYWGAQ+3N8wUplhBRyd6XVy/qmI+/OAm TgMazjcXBCFfPCwjdhXMmRCWWKji9+YY7mzQfD8dwxTq3nitpJj9/zHmf939W8iiO7+EUF0G7fhh zuw9xzCagg54kgBxT0sb0z8RV5iWzxI0WW9esaKXtHQFhcgduhvLNG1H2r6Ww1D86rD0H2pKiJDn KeYLzo/4IpKx657c3eqMz4NjqagFj4SDZuHVuMM6qsFjSW7+gtQbiH58TvTUP0ad7AVByAECF+1j 93K8MdB1kRIJS7DoV90vXk8bpY77f1m2UQ2H+cuu+WJVkDm64ied6AQaSSkZ7rzU0ug6fuOhGpgv cw6gn60t94v0AanCQ1OOstP/bKPNJK1KP4o4MxHZlQwA25froINJvD/i+zhkn9ZU6oPTy2nXzb0i s1wanbwyl7HSGbgDLxP6DbsOlmcGYhXNIjOHZuNA59oRVd16zYpDUFW5G4OA6eHmtXjZqKv0Lwco 9ALZ7lLa8w+FG6Qs/g0KZgCviMEuobNmqFn6QZ+a8cVz52sgTkKhMSdCdV68psLkEos2lUavtac3 CE4aCTaP0StnMMdHe3JSLEQ62SpsZdsP+JTIf/LKXZKbkjNJ3veljrAPOUmZGjL5I0aDx/qQV02Y 7AqMeAQDoDuulYqC+sNYycMiOro2OOfiX+zAzred5xQUW3W9iHqG3ho1N2coWJc6RkS/dQRHPXb/ aNxDMGTLBmCUxg2ozQ34fkG4TApG8mR2p1xmVeAmg/1EyBGVfVhZn6/caUI/jIIoR9+Z6hw3O6U+ saPpkizSZUkxu1KkqFomDyeW3sh36P4R8t1U+9fhQLmfj+2egGYXZThiZAZeSCLUo7l2ZxXEMOQv q0pQvQWpPhnRjkoRGFCiHsnb0rI2j0kW8VdzbMqNXUXAgc5jQvucDY8HNFagW6ZuBqiyqN9S5Wmk +/fb/TfgbA4kCdflBUUbSgPVhrZAo04e9vOu703x1FPc6efFXW6Gha8Li8vVzMyLCRrVisYgcuyz zF0PXph0PERHCRcoE/j0PikmjUj0MYm1HnW83+cxm+IoZx3gHyz5Gew17ymnUMcAUzY0rlBt6wv5 y37RCl+ICHf8Gbp/nk4KfaFn2YI+DK70aaTV1ykRCjZYNCdJ8PsQ/igoicVTWpRy127r+YKzK2vH EsI8T/DYAO1p8ZmPzROEeaqUg1B97Ti9O4mb7A4rjWKREjzODpAx9nKX1cQ8OofuHHvQni0sxctY P4UsKV/C4ABoCnWWN/ubPDGIi7ibU8BH/+4LPcnEZFWyf/Moo8ZfNQKVgFLl/OQs1H0mir/y9adg hgi91zJuixM/dBrVGowtQ9G+RworRyRtcg== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block NtlIsBe5F9YzubYpAO/fSHeixgvLpg9p8gQFt/OQ15TQB26mSjNJ0nmRIQnTCB/s2nsvfRmBFgmE V/a8QL/UhQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block C5w101+m7inas2AWe/NPg4QE+RBDCesyQduQAvz/L5wqqLNFs6DOfYs3u04Rf6J8bnrfQVfLGv50 aAo9/0fzG7ocNM66/XcGmQX/W2wGLGg/rBHUGneHRV0nY4IzS7tQjJ06mtET53iAA/TKih/UThdt GXwkGKi043FBaqrG3UA= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block IrdoVQhIm0l0p2BFrzVlIqs5lZTffcI0vENlEyyhHSmb3Ki5dc2KC5Yzwuiit0EhMoKvrwVsCT1e JG3s3gV2r2/l5zOQMII+ixUWp1c/Uq5V9qTYpU+ufpE3a4r6df50e6pI/cAkjcrSs837plaBPVlk /tcSgs23L4TUhDW4eK7v/52TjfcFp/WkKKL0xU8akAjcp0N84gn0ChRLBAKFyfD0tzO7ozU/KC3L 68q/O4IKveoL94MPKmIFXifXqfQ7ZS/ZbtDCT8DGZJmqPZ9KTa6KuxM7pS7msaAkWbLpMn58bUs8 YVnOV0e/4LQmnCvcIvSC0ZprxJIvoPff5JQv3A== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block vOFSsmedqKgCVrikRzVIvd39H04bL+XSenLDYl/O7O35bROY1V3U6ifAfqn3uYB3oG0Tia1KkSPu GG379cevPbfzRmQ9q9v5EX60Rok/MkIS4zcjVJ2h2p/dPOAElJBN5omznhkPYV2hHS2MnsQ9+lPM SWxdCQNqGC8gOFl0c2I= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block dQFarBlt3CeoQ3dbYVIOw+0PGMJ1QXimw9a57ecsfSTOk8mKg6vGygDi/cYDy7ysZRLpn1jlzIp3 wBhK2IYMJ1etMkKrUuuDuJUZOt7YNXA5J0U+8XErFPszzuN86ugNSWudAxliJOqjo8QbTuE6o724 JZmrnGXN46xEKsuGdEhx4o0X5ZCFnRHna/fq6r4GGCP96fT1MqE0RPf/w6Wv3cTCGlT9pYvQfq16 TaGvjQO2HCB7ltdvI13J25Ngrmbrh9h8GWXafeSpbpEkAg7ZnGEcE9TsIKNy+IkenJgsoSE2PCgy XBwyMCUA3OadTEC0Op8FWzR8ElrWecxpER7DZQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 71104) `protect data_block e8K6d76gaNxync54wiEjlhBPVhybFHTwE8p5Q8mErFwZNul5RrRCKxEPr9uJc20A5k+c+XaN5hKg PZvSV6ba+nwGwEIqqdKGDfJO9ZAxLdl6bjFP/vHgGXeO5j0HnkThV0E4bq7wvYnkoME/RQ5aRGe2 jKO7+btCvvnzphm9rei9WRISibwoPMK+Ef59TXVpE0/Z640aApAVZgZuNTTkw/nRqRFlu5otGdF5 PVWSUZV45AwEuNtB0033tOs7rA1os1L+xZHM/Spdj2jph4dtkNkVeKNwPdfkMik2Wnlxcrvf2odu 9EhLq9mQtNSq5Wj33PnrsV6MxEORxv79jyPknIUaabBTZ9LdXgA7hSbriM41F48NVcOwmL07vVit /b+OmBnFTu8ptmgxC6H92ndnw09TrV0En8dkWUtwiXtFz86QOpHw21z5gzIcqvoqj3YA9syR1Evq VoBxye+GKFFqHCI2ZqwuEMnq6FR+fTNtL7k4Yw/pvkClTcHgD4nAqqAAJfbYe2QTgGIqgaNliNkr sO2jlz0fm4dUwX0mvyXCN2GuZ1U6t4p6VEpaTGdsXwkOq7Q+7j4TXRjoqDW6Mg0lr/Gy0244x2qc DqsAxpj4Ai4pkYIWMi8Tdr2jc7qeUfenbCXOwmL9aWu3QAk9UX3NrLVm/D+pmPbD+9m4dIRMXg5u ArU43JokZhKkg+bEuP5yX1giJJ/rWmNMgB4LZ+HQWJxhOiTW7Fi8z3cDPqYUmnXFdO1J1Ik/Ft0z GSOQd9mcMnzNC8BcjyfqomsnBtCa6ZSd+ijFZ3x6DaOarIltLtUBdIi5DK+RgyzQTUNBdBTbAqxz TCpx3/W3IXtw9ESbtMV/i0VRuNoZbb1GWCF663X9hS3P5dhYIDEMqy46vuwkObnbZGr4iOG/XTDc wsGQmlV/xinjNbDTDJ0C6uM5hVJT83fDuqrjiQCttxx96zHD7r6ajxeAkvWgj737+iXIOHfiYy02 FYZ0rgzAQxxOEbyVhblHX39difSrJz+L3wGcNhhw5a60Ec8rYBzJvmzlJSnPq5mjt2ODSxXICLQ0 sQ1H0NEypIqKQkMtHZcgg027XfPR1k71+Bz+M3giHhSuLAp7S8oqxEPsqsOM/PocZZrWPmDRPtCW 0+yN/PG1p86nXMvZan2yGUa2k5vQLKmeLJ6gOJ6aP3ehpsVIHMaduV/lk9tfP1uLgCPwBHImKdfC 8guvmfCozE/ztPuM2gYTaRXJPZt8Sc1z3ltG7JsA6+hsCXIHIWEIpFA2yUtOERLUaRmQhwyUmRhL ICQaSwAHIaf6mPj+EvO7oOg0YxkMWv+TdGheG9+pEv2lrNPthYsiPstUsBGIxEAbven+fCvIf9cQ OpNvCK6lv9+hNBTrd1evWQ7al8sWJD+Yx3KL4RZTQJi0nTu4b+n0CFpvhcB1/p9kODArftLvs+T1 WROLZO9UAe5u4thUlVgoQdXQaGETPGgOKl9w0/J+AfebmNezRQf8K/h5OZUA+tepRhxiQiuZAO6E C93RKjxf9VYmoGaKnUuf+hsSBgNoAiyZtL3d6yWF8Bozx6tey38tYsYK8y1bP2WCNTlGcPMeEWNH MJp6qOn6E39MNckkXQKx64aZrpFHrXVAAOAqP+gMAxqU8VwFrkNsO3AYcrkVUVDEfi6pA8L9t71Y ttVvo+tlnLPdSwBAeUDToPj8T2obxmFCg3ldQRiSxm59EI+BNtn8VphufKecEw7iYXucoSSlQuAN sabVMUMmxemKCHAlibMdwyh9bgGHQ/0nEYnv+tmgbAu8bFof9ZP6fZbW/yk6GqJcJTcAD5Jkx7VY joD6q/SzRKFO5ROORvf2vtRQy8ht3BMnbELKSgx2tYNFBIyqcE5q5DoEBSdMZI5uKregs6clhHWr 6i2EwR3CeyZS27+nParazTNU9P3JatcPNSfp+4aM1Tc13+d6wQbxr7fuHVscY8k8tfd43zPAil2Y S/GGxCAexkoDSdK4+76cnRR94VTV2MNWvIP2PycScu4E8CEjPPoV5NeIx/yDcNseYkcTwjhs+oOS 8y8e+EVODRW4TSKo/8mMBIfKInzYp+rzQBv/S2PYM+L4AzE0LYZr+zLXJ2kMKlR6pookWHK42T1n eDS5qOjHockvUWx/nKo7rTqRv4jhZRGTWq3/g90cFBoGdM8oaKJPkhvb1SMFb8iSMvtO02GhRcZX Pv3u+GJONneNdWYd+6bGpcmlNNW3RNKXl+KT+RnAQNp8AMY4miOODcSo437ukO5IeWK4OAUKfvXi n4thJmaVkOUPc2zmfsFafvT7fy7r5G8oeEEWgn++kmy5e56rKAZ2tuhe45r9Y8IA8Bfcs0CiLFjm wgxO0xXn2vzzQs+K2vqSJ2HispbaTFwjP1uPJ4kLEOUQrDXe+pNZDH34W1tjlA9xdbuFRxSKjGPG kswjmGiKGKMOJo6JZW8yUqnT+IvKBGGP1CBrQPwFuQR9eGzmx+QbNhbHts9MLfnGfyLLxFAhItvE LBHERqihBhXBWeozUdAU7BmIB69FYt8ezEkKL/sim/8mE6bwI8uEHjJgaPB/UbBwa9tmuosTm16P kS/L8KSpJ1sXsANir6AbR/g2Mp4tWM406EleKoKY6IqypbLncKiW3T5MdxC0YJdit7Dwx1CNND8h wCWkNexv61ZJAzP/bQ145v4zoHs2lsT/O/Decd1fqsLuAwNf+ikQpZWxSz6tyIam4bMTb7mbasPg DWiXX3CGEVNHxf+Pp3/b39tM/kjdIZTYFkOjWe9Mbc2vpRlExAAsYP9Z4EI3+XGX6YHga3aQ511b PIz/6t0XCyii7Dvv4C9MVeqtMmEFZCjVtiIy8yZX/uloLWumIVYyrclGVRpKvjI//zZBzKJxS2do hqfxMwNTC4jzIUfnxWP3GVUaSW63rdx0MkH5FiZZbCU8YKsDhbMp1N0B7tJL2NdvuS6eYvm8sD0J Q8ctnyqtDVJYRahxG81HOXRC5jiIqTtFlPJ+STu/ZXQEPO1A/jGoGtpcQbs/8/ur6L0L7quvHf06 XO4pJh/AkJeyOPStpmb9nFNztLwUezEqmMK1HdBO3BPkvU8PKDxWCna1os9eNJAF95o/FfikxnT/ ZvJMmSdMqd4WM4/WRs17gjvpnaRYrT/UrtH702GNd/pivTLnxSI1+SdyDJP5kvYFSVEYQaS/kwRV Nwb+RQswY2bY8jPYTKQhLPLKYX3mBbd7PXCjaXmarJry0zx6MsSHnbECtOIgIDRWhHkDREBSiKkH 4YCtw06ftZx7sEZE+G5kGopg50Ng0qMYJ4CU35JBwJBNDYed/84YZ8wsZbM/Slubqo22URcsj+nL ALJcaXVNQ/yw8K59tf80yponIXRbIz0sv/tHWSbw5fK8p63Wtwov8zt6MBG3m2q6F5VNkTBTu9Tr IeY/NWMO9j51gtcMSXu6CxguL3RuxeqpRA0o9rolLzhgRM1U7HPjPc8WTP6kOVWeuEJMbXuhw18u TGSCRWmttkGgdLY/AkQxExGTmg++nUJeECKXE7zfsi0Zxn1JVrln9TrtoOrK9IyRvsDntk3f5YAM Crd/LuKIwo33eTJ4uBoWbj7KJGgXoqn0d+8ntN9oBwXF8G3uKZHVnFhowQlaZZ7OM6udPM8274xs 2MfDCV/e7FWl+54vfEiOI+gxH+DEKDGCYfTG5RX1PFlCkivrBc6kd+DOFGKLFP1VwjMFr+9XuTRC HjCuSP9malPLjYy1yj2c5+Bd1ZQPTf/hDHV9xd44lh6AXHqgO8DcJcyjm+OzGOdlU25Wm0tB9Tlf 13B9eUZH+fqh3qH/wg0v6Pi9ob4U4kYYACn1Wo63MljUDb1Out05ZzUEJWsWY+8wuZ9XRHm51b2p 9NwEjtSNkZ0wSlIdkoOdtCZ8HAyo6gBuh5FochFtr4RGxQAgAX+Gy3nyXENBUiYANggpBjj2+lMT sMUvUmV8PdsI1YZ50u0EEzTZHQycLAGm+2qn7oOUHXAbCEnDC2H9HwnN1iwTRxSUZcAntqTpNGGS AdWl3ykNGrtYCpdJ4K/CaNq+VwjocB3F72DsDITAqpeItSSJvpq09Ug7u2RH38BpQq7vfcn83NPs /k0Th/tGD3JnaEWo5oTu5mk5TjFp/PG+kDDV1WsHGUq081WF9BqwPTTPEb6Joobskis/bOse4fsw i7dm1d/ZvCwrm6mRqGD8GB7vVjwj5FSGxa5kMi5Rn+Br+jpwD/LF5fTwiJGs3feFmT27xAPzHM8+ SBxnGnCiZUsNyp1J4Djil9mHwt2AqTaLUbNT4+56TRCqxPwNNYQQfnV2/gmdeE3xK26/vt/rPT7+ MC4BNXHTjV5lzzlIxiMQMR4qqPHdXMy0YiN/DGoySc6ikls4SL1DfeyX3TKWkQUOrrL78Lo5ENwR HqV59zdhhF4n8ASsGU9LukusKWMdSE0PlOPP7Gyc0izKQ2kONG6BuB9GuKoEnenYeA4ocTcl506a YYDhiXQqrCBrGqRQoF6ZWsEG6yJ7nxeTySyspAPNArj/Po/497uGhf1OiZdI35VTAkH0+50ly66g rw6bx2DnRsNeVLsNvVguDGMQTxFNQ7OEzmx4gSlczyRUiuIIfHsoJFxuAqTrLYJpFDo7+0prf0qR 2S+kayb8uAicRoBoGAAZVSkveJaJYKNpDQ6pFrfaBSzArFK+8RGk72pqN5pRd4+IwUMMzetVXUBz nIbY48SJlHbmXL9gd/Fbb6taTCMIWrQzm38B4Wtl0Bm3iqlLCN2phJTjWgtMn/CW9PYcPxGhwRl+ 6NXzavbtNczdt/FVlLQ/jGrNj+aU4F4qbloToMPaNDFr18wUgEqYzQEejAFIQQ0Vw7SW6O3IG6TQ t3NqLyEmZ8sjGoqIramLZptWEn3YoW7J5DNGjs6IAL20qrishFIJ7rpEj3mPLKMSBUVFymOFRXw1 6yD4MGKbiQO7VS3zodaYUD+j8bQMXpuOmBo136vV4v9Bak343+tr9ExuDJVgkhOKp/MNb/kvj8uL oGE4iB3GmPqCoNklcRBM3rkYewltKtiVINtTYY3Ytp7mAiW7CtmCqC3fkCM5Z9slM0AIDo4R8oN2 iDCFByMo6aNUXhPOyyEpM4UycDQskamyXMIsA9F6Vv5+zG2O5Ojq9008oxmLmu03Aqu86vaLAI9e h6Y+azPHaqMDQEJDUFlBVZ0rBDskdKXdhpRes3B7H52LnFxFknkjsWfhPOxLqDWsdWVeZibEdK3L SH+ReZve8GnkGwuZL6Xe06oJdChkVz4yx6H7j88banXD326R2A6mjq089UHiA3oulp9ThpZuKJoq 7N5VGw4vdPwUWaMHLV/PMkH+JEi8W138/vLHwngfk4TCXFZ3BrhZiLFN2CW+LsbGv7P7W9+ditD1 jrRLqSrztX5mVLq8ajolk/zSeVix4Hq7DUgCRuVPlxMeN7ZidLNpdiyIM2pOXj95a/JpZh1lBEvO eoEO73SzVG2oyYAT7APGZRZo1X4IoH/YRRLGOF8jVP+5ZPpIOEP+gknVziyH03T0a/x4Lf1DCu5T +2BczP/uYsqJXmOgvNOcL3gCFJpi6H/3N6M/1qvYR22+sPbOerjO40dyYHYaovHmTu+KwJ6c2Okv BdxT0KW+A7ymXWF9dUpocw8ABy58uWRdvPGWUUZP7O11UmTqbNH0UPAonad/lkqKZbm3wBacMbDl X0gcGow0OvRq5oT160UqY2fijZZ/rH1q85/A8lXHKMOgOWFsgmf+uI+ALsVJbHxJewqoTnTDD7PV cuDJkn5lAcktLB7BQzNMWBKvlBT6Wunct/h56DjXbDthO3A4NklfFcGFIOLvN7FVQbkJ1Iy11CAX kNlzID8dhcKijnEeFB0Pjn7yrQRvZDQkFGpVdmMwF9JDWjPWUW/l5YhvyaUd4QRSpAtB/Zlm1ilu js+9MWebHvyLR2W1PreTD0VJl20IEQN6F87/68Q+17AyOssfIb+EExcdHHcPlBceGyqHDrv3Kok7 EUw7M1a2U4SnUKxAMEpsbZl1n1b37mXVIlp3o/7s3PfCdSVx31ygPX2RHzPIihEpHBMIuhL/rJFw I5VvBDUrr9lfw/tiX2CcqLu9GiOSgpXN1pFKhstIwQ2vLvAqP/hq1s5pyaX//2SV6vtkBv5ImpBC 25RBMZc+32djzE0KYwHJpkDx5iCnYZxquh9/RjXytrcPa0l++ISavWlzJAFsOQOhCF2TDAZYeLnB 4RQBqV8f4g8oSmHAT/CeNL2K8W0C01olDITYL1FP4LMy8WNT8KhQtEv4Z8621WX9rsqMHWNlIere nwM74boWp4wKyDyzt8iB7KDojECqLvmyPAuUVg4Pgbed7NsU7FOVxxmQ+r8Ffi+L77LwVd6d2nF2 8fokwG1ZSUAlpGYoz/AhAbtYumIR/zRBgvCh20Vad1cfcSvKBvQcURcDuinptnTfLcHxWSc8U6KP A7R2JB6hubxajDHovn/VDBQiqPCcPWCp+MKV3J3b15wDs1PtxUWdMrYARebonVDUfKEph60xzG1V aKSm6lA/6IpOrWI64ItGMIq5zyT3AIvIqpCyp8i1b67S3/swC3rODS6bmoeT3MY4d5TiW5wyku0v fHlVITUIe4rtu4NTM6wZHxDik/ftBbV/HaxqzHhfZ0YkoFQGdjIEKTghv49gvD/JNnst6WiehDbJ ZLLj4LNLw1CGgY4B7K8fnbUo0ARg7346nztAwqnL74No50yfqXAa+yDazhYQRcTBIWqfvpxCzYkj C9rRj01h3Nq/Z0eeumVsMZtVbcLIfeMl2stGDQkxoKA4L8qBNWsTPGlDd1vEw+JCLwtjvaabOb+7 PlaaKbMgVmM55AEVACtsd27afEgySL70nQbwgL8YOHxCpbFSOAH1dK1cOB+qt+C7IbDBMC585gqS Z93kvxr2kWm/btx9o9tdsJq+XDt02mTzjZdHFRlapLTahznT/JMLqOMv2MwtTGsBmTjUb/OHReJ7 j+HZv8x+PVddWXh0AtD5aGnSjjXE8BtcC/BCLR5eMXF4piZfXmJtFVjqSi/FSpP337PDdstjJsyP ZRJHSxLeG0Q1pmDV3tbtFozaKIgV31AAkKObn1UzttJWLZYY8QdQb7VRvkRSAxkORPOGzy5qr2+6 DS7JdpJo8B1J4R5UEnwaDxu/F9dH4eUVgYup/CyBzqj5+RBW3lUgUdBGtq016+j3+CapXZpjghes 66X6sjkKdsvCqBUqogCUJdu2cYzcwU8HD45v0HKcwUatgxtV7r1VzX7En11/pBLjqLA/BRM4gweZ IW/gddPKHV6Sky+YikaqCbOLWP+QG6fbD+bYxoPA+0g8b2hp9xjV7XgB2BC7XoKf0gDhDomlnK/F rUwzPLuEw6YITZ8G8TDqaSg7e2V5T2rLxVp4v4THCUHTM6egGM8Vjfi3i6n+SszjVCL55cfaRgJT Sk2PSZz2IykRGcKr/6stnkOOiSwZFwXZWcMYODblLlIXu82s7MqpqC5H1jyqKs8vq06I/FfvDqsz 7TLgv5P11Kn3qrlnNPulgqpzoGT4Waa16JEQ/Uc2+b9gCGp5DQQ63shHNE2PYZ7+nY6M1V0RyRgy Pwy7C85py/eIsOnjCIjmPPwnA3lFvzafxbHZOTIFf2yWNSYBVG13E9cAp+sfz2bud4JkkLPo0Eaw 8RFB7khf5EhLdP7/mTf8mf06ci2T4zI9dj88YatftaLRuBm9M4CMZbGUGx2qiCP34MarIsMAyH3V WZyE5muwlQ3E8PiBBbMzLw0t38axob069Oc3jow5RuuTEtHIEvOf42dSGZxQzw7RBPLJCj6V6ztQ tY5AkPNjUutj6TYYmmm+IL3j+24YvnbEsmKyDsTK7ZMWHIbev71qWohcToDnjRpEIjTbZq6jq1Fi IakQ2ImFIu88MsmhiEDhfXxKZKifeKPZaYcED1Z+wvDj5gzoi7lUaGKC4PMHvDwBrGVxjHYW6W0g SmWWgWaaGQbN2aQMsdfn81oSWrUn9Cegjkn/605PCcEjVMGS35X6yFL1/dXveMLeKYq2EBu3PcBL I1rTZrQ3oP/FIiKJFvFtthzf/uX55etoh9mWcTDHFQeQh6uMHjAoikpc6jTxEA46q/YQm1FTOaez 6OnUx7LudtA21M4C3HNX0fCsi1ppl7pXkDu0HxfkCNetNZ39wJ1AaMmjj+O/8Je6vzUnXrMBTeFa 66ZDxuACIS3eh6Sc6A9v/cuPbSz+8wgcK8fzKLshheqhHhJza5bkGofK7c/Qvy59VSeoyU9XitFy t5czDYPFEiOqxu3gkoKR9lRo2SJvYTJbAM1tM07nhqmKrQwhWnst3sW+KqaimxlCLQdD09fPEY/C 31pFYDB7W3VkGLHYDaMLtHZvIOmfHrT2EbIcCo5YVZMNhKEL5E6KAEuEcDd39u5pB3Wjj8/3/4E4 8ILk7sklU2oUBhsZFG1B87uO7PSOF5ajwIzNkuHPekVlSCm3GEhzuTunnJT/l1nxy1KoAqvs4jzp RnPYYTNvnswLPm2/JveQTtJzq2ifRPNPbqG7iL2dA3H8gwVwCh+5AJ6JTe8EL2mHMfJwM3MoVKTI TGx822eMcpcFWFQv2dmMcOjEMlbda5MQEB0zAnPxx1Ny1qb5K+/lZHFEycG0iopTGTVYufY0Hf9O oLzZ/5ApL10ab+IbWh2rAPHCDqUo3UstJF93IRGAP4qXtnYGMTUz0YfZdx/cnpq+ZLJfsjyPV1eT uu1EXOsFaaCNEUrzGI5UkBDQxdwEb9tElVHE7MuyG9CgnscxLDESiXHUsYqna/UYem3/aAJQLzku Qi0t82PlinnahVfrTMIwrIATOaaxqGFLKDVH4xnFPnXmhhrIxwXKK3a+1nZx4Zw2QGF2O8t6lNM5 BknwCVxrubZGXM79vC1pAuPiRLb/gqofm6Q8Fhr+ilGkGKqUXsPDSTqxqhqeoroZqMOQC1C9Z5UG 6p9XYiXweVNz9QNnU1V+X7hrKEviFnaO0Zvtbug1EQqbHa8o0KDGdjz1Q8CV+PwTOoN+PUUu28uU 1rvZ4a4wZFf1PIISXfUUPUkJxrFSCqT/jZp48t9v9Mjs+/Bq3XHQ172bv4sgQIXLsT3i5hsHIfMw xj2wKVG8EVglvKfs+5Umy3vSuli/lxCztPoJwOxQJuxp82FqBvnWmLWI7T+j4EbeDLhIwFZEjUWS 0CKUSkwuZOTQ4Gnqn2W2OsK20nn6Sdi9ZVftF1xMihC87KgcpVRNoc4qkpbOVVc98f9OM8iIz7GA 5zpUCeZ6lmvI5MThNgZQFOu7McEivwqDy8Nif3Wn74TWIdIks3NE2AKG1RWdCQ7zWkrmGUm/4P+f aXYwa20dp+Guz2p5HFbF1M809wGEMjo6h1ecIic+WLxBVdYYvr9hIWVAXvIDjqVp2KE79OwCt/7m 4Y1M8g1a7XrlGzOc52UUx1Um0Pq1uk6YneWBYdCrgCIyQHVG8vwMrq7Dsyx+1X2fcm2qZZmizqSU FZXm2SSgnFxqnZTzJzwkmp0yOgxQv/1DF4PTDGHwpp0KcmOPszWrI0NVJKcz3giuB49sL8iduBpw pjlDw5sY7CtS4priCZbXRmWOg4Nz4prP1bGXhTin07rEesm15vw9gW7r5wZ3fbXsorDXUfH279eg UVwjUCxLqAX4wVcG1QT+bAksQTWJlwiZKLtmr0nTxi42kKRGeTnquNb2TkWD2hNTbbtJSmHVmWlM 4Q2ebFngYLgEiMuvOvEGOssurk1azsZsAhLw7cnt0NiasGqY8mDHyMzzUriWDbr0kSkbRx3lP/9X HN70tDbHsZ7Q6Z3eo3LV4W+4ofsS+NVmr0TJbSWUZOPkOxXEZWg1zF3LtYeeKjAlvFFwiY4OXgop XJIMytwbXhsb6fHSNeWLIhRSUcQUguTe0eBgsTecz4KTHjAGPoT/WLs6sZXMxISdO3Ird7bT9omf UYuh6pJ+M8vgzR8sKKzfN76ejY9rGmyM4IcAVif+AKOxN0VpVOGdN4gysdFcoRTpHS6JKaM1KUas utB4k24iAxGhP33YqVXUGc/Fk0vgvOtWuTd7X+SQAYw7iRAuIZOeo7s3JtZh6EYRqK6yJf8ilpR8 qHbT4tMWxPCDSh4FPH9/7W/yzzOiro/mlIwoIaCjAIPagpU6qJJzQ2Qby59tBOPb3Gsp7H/Hfau9 NDPv6rz7dEBvWwvZhdYXl0nQGj3T1kTbk2nf1OTy5BwOJbKbRC2tH+8iy9qyq0y0ljJvZ/pnavQo Nvqd76uB+BDrV8upBeo7VlOkcYaDycca3pXlXaW8TwcrJdzCaKhGDiRQkGMFsQHj4nrUA/0wu8Fl M41jcsGB3uViH+yPq0YnwrhWmMpD0I+7EjOTVKlsPh1IaLOl5dHhBTGhOurAgsgdY5Pak2kM9W3J o8ahK+J7gjJgkZ5JKJyCSoF+hfeSHijUnF/EfFle8n/rSMePfsnUdZmCO7+tV2RcEukXc8zaNgk6 ewfRaX462I6aFFduXRl2adjeGvYWjQg6pNmlNvDtdilCJP8PKpRozXR+/TSa62T9ZJ2ubLuXwdHe 2gICqIwNcU78+xapmuQTWF4uwPCZOZxLpklr1sL9HPE1fmV90LcBJRl+GyfbQCIuCieEWLnbwzog XdyzlBqlxDSE1NeLOf9Sk/NVvcOF/nFFOyTpomVP5UAYhpzW+sRUIP5OVV5YDkW023GEJoqps7Xu HKZRLDvzZ2tyI+CZWPauuyGzNJy7hB2XVns+4az1qPLH26aNtz8js6gg5TOEil6zE1PBAD/zCJAf r22XR36Md/H04uGAosKoTVXZwNQ6+dET+LDOBupD5wL73GwcKdNBSCABT7br3i/z2tfyqOoMmayP dnNhoYUEd5Jn5Jvz+bMHH2iwcHH0/s7gJSif18TVEshd9TePho0jXnvl1rsoSDpjwtGBUSWxAlY7 CZswcSpOeXvXgK28blSvNd7BoR7zeCiZyF3psQ3mL7f0U6kEPqjTCl6I+cv7cCQj17GYrdnZOSIW 2a5Vqct9H6eXXVu0WAwaoHQYujnLeIGLEeddj2EdEVpBdjNC+9zmEN1Gx5wQB+0WbKIdzF8XhRsx konDY0gYoeRRZkBozE+zCnx+l7jNQbRrU8VnxEqO3YzKC+B4OvG/hYHNv6vRO6QI75VFN3Z9IPNI LMGNpeq7MA7UtvjTWtpS0lBvjRUItx1DoR0R/Py8dYETrZnosK8ii419KdxX87CU3X6eYkiKof4J PKsJa+IoTGogJaASeKZJO/9LiIBv3CHD5qffzZs2d7IP2vadmwo7kPFvizLFLdmLj2aLXPewLFWB oNM+vt1+9mhBhIbksYdH7EA9U/hiACFrc97BM4JqnIVZwk1Jwzqm0To5c9koB47ObZ+yfFo5GZ8B 4B95+eG7QDfLanpU7wMKmLZ/rlX1fK3SXmfPOjnwBqqJ2MZqnjarJTBQ3d6fm0NNlzzeZ2DM6avC eI+A17K2DLs///g+qHK6InhlNZCIEr+W8x2OsRPxSweO83YA0zSNwLK8SFHk1rd7vo0gcCyC/0N6 uGme+6zOFDvH0xSrHF8D+HDSM+41i9Bd7+1EWvwGMs5tnMJPYVVfpo7uPIXHO6AOlw0vH4zY/lMk DZ3etMm3SH7laIJqpIq7KoSNTfClQ2NG3wiNxpuhRVuCKw50jhh84XeeAuBeKZLNFKsbJPdMMRJA K0iYggkitkdM8JxNa1bRlJuik5lL1Hldi/F3aAlxtnRlKBnQtav/lzcLV6xYqhx9D6zzXeMbt7Uk lpyrm0f/40TsL4R+3F2XbxrGjrU+HughjFnRTeqYjInhhxYXOY/GC6ckpFq5UqwzBjHXbnHmfsDq vK4bjXyoGdKw8WDYI7rmsf/GOoEXrNA5Rev3MLUc4K8hHZT74B8GSxW1wlX/O0RuoJMOIWWw2+Wc 8U16l2Joq5tYyLyyChOzPbCxAwaHcOnIkqRKIHPu1IKxp9VN9PxJnPsgLJYyz33W/TIXPQJ6X27z mxRACZkibLs9FyZog00Phm3ZWCDh8EXbhml9AaxdkOgmmw8rr4XR3iIJUK9JMYDNi6Qwg3vwwxZ9 rnG8elnzM32U2Ibc753/5NbOzgXtWuR4QwjKTKcbzka343PHwa+L0sfEiqJehlG4xBWPZATezApM TNeCAhOpvOfOHbrWtcV445hdv5gyhCOIJEb6KVB6xR/gjuvJBr/1KgxEFnxHD1Uu3AsEthCrVKA2 gWVXzvKIVTkGK57thNKTH1YUZg3LitKHSM34qoRzFTAktyy4azSUB99zG66tTXrr/LwNr0guM8qI s0s3D+VP5lvnAd9vSoEZPvov1H70lSxADn8BDpLYpD4q7kJrnaIlF0XSlk+XSdUy50RHiXtB3GvI Z1bUQ00fJ+mvRAQz/twSPwVLMDlLt+89oo6gRdqwGoB04fiLKibo79OpQcv8hlN77jK5DcT3cRY9 AtuexznVCU5QTGwQmPBH9KtCqe9g3QpXydlo3tkKU85QgOudd/wJ6absxeTY1taX3g9Ix8RjTYCO BleqU0AmTIaZFgXQWiEOl4ry+UdeX9BmhVnDwz61g3K+VzWtFR5h/2vdNIyFYLbX8VWw6wV5IGuq FD6e6prXT3Hl4ngXBdPPQr6tXoNLLY9kF9nZ5dYxWTt4bIQaqsnXvZ2WQRqG6HvURV/6CHkAIjtN PweRlyyJKdRkxW4HhoIBlfXJSeftrknEFLWoUN33ed6O+YqyjiPA91WdeILoojuXWhydGqoVYUFm WR/YI/ot2y75snR42NinXxi2THjkB7EiCkBIFCpZ450qHrNSAbU58t+anV5PGG82iIZfmwN240l0 4TZKhCbRfVDNHG3usgF8/xaxknHPC8O6f6mWS7qcC9mzmqRXGLKBRvXLZj/mHxjYxkhnKHQFQHs1 2jdqFUMcYBKuYcq96hl3+MS2GPgOGauhImSlpgIlKQnad0/zisj7Nfd1vzAXMS4unxda1OL59Qcy sSrxklVFfJikpb0hMuP/vB4sq3GdYeH8nN5kGwWwj35Sc0fO7sISNWDSrbQim45bGqY9mR6btyWQ g0WdhvKPcymiWE2kOovIuJgfLWYW/OOl58XTbcqIbaq8F3k4d87RzoSo8f5QhbYOEoGfN5WOy/z5 TxZtd6dEIgEx3oOVUNz62zMWsIaxjuf9P95+s8OXQmaP9LOFPBkN/7Necrq+DV5sEtYQ3ZVQoIcz DOu6hr44yqi34RmJxdV6O222bDwzkKjgj5wSFegAknph3cKtg3TJgNXW5ASBM3xqRIqdtVkbEBE2 FtMYaHb1HWUd84NnrK6kOsiTXM/vrlSCg1ll5qNi1NzLrQwDKpfDwd6oYZ5g59tBjG6onfsE5M4q M4ZuDOZHWVCSigR2S83J2OmQUg7jP9gSvKJgnxSlycZ0L1saSF/bF9cGrAg4dgmrrcYG7Cv2NIGU jUt31cnpKDQam9Vb12x2k5t1yNMCuF8VvA8xwu4YsS8zaIW5xvWxcStORMe/ePxZXRXnhd5/uFJl kFOvuBzxfzvrWZtt5N1QgV2jO30JOEo4BcQ6Vw99CRaPT7L4FMbhQE3viOf+6CwFelVANCHt4eM0 bb6xNtLvdJNUc+K366A59ZZU/kEHk+Rk5MW7IohR84eJSpP1ENtF/UGDyFHBgRh6F6qzdvGKpkE9 qzzB45rFxcIOM4r7A4AzVqJPEglvzg6kB2ujSJIyMHoGv3ZDbAotT9yInD9s3e3ZHVhEQnq+Vvuy W5nEUTJLeT/aSG0yyxArFuS+Nn6mN9AvnFUuGZsqCL3E99f1K/epWBhDiujrV4zwF+ttyWRsPCQo 2PopPa09rOpRoRV9GnbHtQBEcgCkOVW8/zA4GI3Y5IObtMDr3WkRBqeY5z/sUysZf2A24szBSNE5 zu+adynOSzoM0UtAhEZ8FbeUlMBRSeMF1gq00roq1eKf2McxACiwH10uaVwuYzMQ856hm4sWdFPp wMXhrYbW141AxLZ7ETQBEOdxwBcqOowjwSK74xZcaFazGgHBuxQ5ATFsKwN/kn0fQbecc6zGiih2 uryKNWY6tOxy8Z7Rxru4XJFCn7Govfjy/qGqVSY+6EtWIqyhOmv/tVV3XiOFTS3HgOKsndO4ZExr +s6AwLjN1p9MC2obnkyF3oSfICqw7C4ZcXyWgOyAPm+doLM24FmF6hixAP/AieYM8lxoNdohXoaI 70jDvOXRVXEEh/eLKynvq5E0n2el3CdONH3pqIpR/dA2X3TiqzdfLSIVh+MjXrXX9/ruG0WOz1po JRF4XWs8XLZXmlOcUTLr8MmAmXKONR6ZIp8BlOfhqHWLqHcrYrB635GZ6n4Q6Aszkj6AZLd41E5/ NeIig6MKHkjqMqdnn4AtOwkXy8kPp6/cJXoLz8rpYHa8NqT5uUwZ4Rk6keI4x5wk+WBJRH5Uv2Ev A5JS06GVTgLeDW9uYSIRt9Im3eQ8VoWh8tfwp5HJBupsYQbBD15rtiy2mYXObxxZX2evI7/N6q+E JPmZGfGzzZem6QuORlZ6Pi3IY1Y4gBXOE5ftdvUC/gCt+sgz1uZcRqluh3UAJP/NXCcgxZUZOSuG YdTujXaPggeplA2IBe8hOfoQEwNYO6IUtTlWpJtQ7dJpuYEc6ygq4gzFp91lcouzhiyu+IAfofN2 j30vGI9qGC8sYlT0SP68JG9ObnhKp3ZrwIwdKd5Xucic2WiHaA8vIsI9RnrjfZ+cXYHzrhr9Qlnw 15a+bAJuXzVnZuy6f71QgqWqv6T8n/s02jGxegYYbYDqSjShxDxtGTq93ii2g+17oui3FLLNODZ8 jO4Jd/0w+wlgMjBdkdh9wQogfMoA9LieV0hOWKjrxTgDimN0RPwKtEIdSg8tlpPRU2WlwqDEDGTZ ebUzHMyGMn8e3jKU3iW/dXuwENraAHTcOoAMbrh5LyRCC5aWQBntpUDYYQ06UllWig2FRaI/BGVp 67J6YjxyVFtQkKWGSXz9sOIrBLF3Y/eLLLYbJ2oiPYASJ3jKIHarJdPIUHlGMz/W3HB6ea06/ffs CAsuzIMPfoOMYOcuWbul5R5GhyVgSXcn6Cijlf+fmCtQJW/NawPJ2jkNigpHYNbx7OvKJpsl/PIa eB5tMQ9hVFa7xmCtZb8mVnlxeSQpbaDdFdysgzueFn89ycFr9jHKUJ6jZinuk8yV0AES2JphJBBq AEAVSd41TVTyv//Kq9A+xA4dhisvKGe/sHZkkF50s+SVG1bmCoNdEpgPWn494aEU3G+soiIA1asL VjP/wkUD+H9GctDDSNjPGBSj4e4jjuxoPqO4UEo8TIn1DD6wCovFAY5ONXPhdxhrtSP4oak9OqUe FMU6Zrtafmbne2eJn/+T61f97E5mWV8PRr3UAQ0pZPKQsNRAQNPXrhf/3qd5LyaWZHEE0h2o/ucj HXbuO8C2+U/1vdSmhhC0d2/VqpHp4WvLQtnFBHA6SlQZ1W5qaZlRFv8MBhL7a93rGCvpoFKr5Uiv jMb30ZxJnZDlRSjkId6luZLEt+pXmT5ydgcfhmxGNJS5fiVpBpPQLd6MQuBzyAQw0U8l8TAFvCm8 WtA4uVwipklME1fz39PXvUduMAYTeZcvmY8P9SA8x6H9WVfq6XjC7M4zaoxhDhUk0ypgHRkU99QQ PwSf66MFOIbLmkDCGw5ZuH5w16i31zoAmQ4wb0x5TVE9JeFiEqQ0p/acTcHdOIuNfr4De4A+/Mde KZxNF3wPrYGVHDGcnKHdtdrCe9M5Tb9V+pZ7q7MEQ+wIZHe29prjpxrBjejmbjHb2RKaNWknJ520 Rxwfj1WqwLeZ1Fu2DkZimgfhgUWFbRcCFLrLmvGfbwPyHAFsSobD4pInynG8a1M60QhopDz0V7qr KxW4XNF1YAjLuZyCZ2bLxJO8lZl26rEZSqQcp5yYKSt0BXbQf0+mIokaYMfiKTKJoTPzEz2Beg/N CprmZ0Tg5LFUvMzxX2Ck9un2sWnPeuMM50+SwjYoyvyHdlV0128nEojSPPlm5oCeARTjfsnVv2zo OPUpD9y+anGPriZnlQB6TXC9eCZ1i9m4o/UL4CApjwIyABCXGeFvb/iYvh3QrFI1PbkXqNlMvO+r amsbSM7/6WaPAJIIz4qocLz2YKCr0kjSZ+U4EFqiD5DlaJ3msKT1k58vowUwibnuJTdWBuBcW2Vq xu4939VkkyHGPZ/OSxM592k+2T2MDYcdW2kGfbzrRWDUPjQuG1USovVnHBZkj/4oVrTc4SLlrCax j/LoU2GslKoqZ6aHVK2iOTC1iAQyoCG+8n2xpV/BAtS3OJtD8FBtOSDghrtzfA3u7aABEynX/9vq 4nMmoLPvxjjHo6N6qOc6GKmZz++9kVQEgC95QGnxq2kz67wUVgFnQNuxjfKs5+nCOua8xdUFBuW7 L3xLyinyGD+b5Ukx+ELHCSvZS3U3ZM/f0dDUJq1c0p8pQyQEoHZSS4pgh/L0tGuig9jB+GqNPlYH u3LyfHj1/BR9Jop6Z/EpgBVLGmWX/fK+LUP8BhrByG5U8bUY/rjVeDgt68vCaS8PEwTFYakqk8fW aI9nZJrrBW/BXc++yjvFOY9D/BEgKurNEArltdzibCRs8mivRIsMa1f2WR1Fvt9ZhRr8FDyr8Hcf ImPLKhdSaE+jrw7gY33+Gyy0pb9z9tKplXJbvPemWiSxlh3cqhFdDdJ7JWeg7Oqi8LXTDQ1XSHwd EK9OQXJtIOqqZP4Vagoe1+WMCa3iClAt1809B+Qqz/FK601XrTPvK3i1vMCl+3ZFSH5nCnN+AXot SiSB6SJRehOLJ08M0Ugg7e1TSHJRr63ItkidbqKEeiE4aLwouescMxoOsBAKLwqi6AoE70+vT3Ip MuUSyTvUQsAFBungqYg/Z4oGhSIHpllPBUyvBiNZvIrf1w74thXY6xboYB9RBlmQ1j9UAJS5Wq2K rqYLr5hkTvw8UgYx5HrUnbM8Bb2UNUOOwPL7TicQqXLAHTnWPu9cCy1yiIweFKkosHmqVGK4SVXP 40CPcP2R3JVkzkXmoylNIKgJ5N7VU1SFTgXaQad7eA8cbcc0WigcLIl+35sIumOa+zG8vyykKmDZ cJ/9cJHDcFRQUH6e35769Lj4qYM1v5oig5K5xJ/O+VUMGnhjskVUo2Pngd5AijtvQrPVzIwFnuaX fV3+L5v+Cpv/d5YbLSmAKwXAJBBhMy2t/BD3aazqQmwmH/kAbdtCUnh9fGlTtEVMOvRk460cSc2U RbZg6f3j61Gyac0fxXvl72tk1GQD5hZqShzunXyFPyrkz7XaLyAaCRQlIDGbpGqWaueloXYwiFes 2zIyPFOe6p0pdx2A6NUuZy4qwmntpL0ZyEvy4d9A4dzuCCPZrry2CJtKMwciTturJIKmp/b5+L7d alsBYoGop0W/PfF8+IlMrgsLiOfz3Kfs6Z96LJU33hB8HOUrRnbZBzkZNouAI61/P6S6uEnhNw7u e9hWjsENW+2tCkjMPBn3P7kJ3C6fMDE/j8IMDmCl0BwpJAnA4NB+Q85VDWbgiO89EmO7MSOPDsL6 A9b/cNg0UO2ERXQJFyN4X0Qti6XJLjcJXpncXsvoOCLL4FGkuqC+SvkK2XSpFI6KwdZdRzqp0y+Y 3DCHg+FtE43Lmba099JwJBDPJsHnKGAbE/DMu2tVug2a/6RvZU/2KgBItNTeJVHCgRIaCMZVwlVw x+xk5/SE77KRLUMTpb/a6/6sh4md9LQ9w2orkxUIrgiNR4XtodDfOA21VMnI9xugesUrIOWZtqDD JznKE3u4JE+KIP1Jf8OCfLlVGDRmemprJn8COptTkY+cgwoD8mK/V914rbNbjeLvXyqevfX1dUju 3VpLcmeb910avDVOCHoMmn/U77/7D853ij3TUZdIPCv7qdmL8tEA6ZVNhizCjKUcglZRfp3MDfFI jnH5XzhsQFxlkOEMsKgIaNoKvpwx+uTtR7pzBgWJLgfDuwWIeBAF7ZaoEw2m1LHsHZufTtZfFr7C +J2HWTS/ZwuQEG+VpkLWGzpdPK9SlwAPpcnEOc0nPfN5BsV7fAPZ1Ol2H4ri0p9zBakp8yZFh5En sNBsPdcrgcd3omj3JgQ6Al1ik25ieh/OhYqu2qmAolkBkZNVVlhdLqWvGniwvIPpzIzVdKKjTR2F Wi+FtRmXYB/dd7OiXXZDdsyW0qDG9NiR2IPIaWz9bTjJy4DCB2bJjMTB8bLsBH7nB+JOZy9mLPKm KKzCECl/dOlh/3XUHTL+ySciLOFTrdcVSvEfap27QTTfDYONIDq9WxUGEdVurJ/9qqn9mh9VM/Bq gO4bWaNaCBIz2QP0qZ17+STkIypdgv3mPR4yxm5u/LYYlQUmj2zAVMMahN5uTePln1fYg+4VfHiN BojSxNKHA9H2js5lxF5R15BHiQ46OJlfGEf288f20ixo9H+IIGOWO6IjImFlPzC1LO/Qk+1WurSI 9anEfcRPxu64PwKP51tL/sib2awfeeaQ464ohh2QL+5LVrLkqi07u3LeMHtauQWjJC0FHFBQlJfn Gdb0aRcoJUubdzfEDeSQLsfc0Du4XieEwFBXNgnZHYhgBraofIeslCUjtlf+yVfBLVLEGqQSj/+/ U9bk4f/BA7TdvqkZRdoQkHQk9sHbyuSjVuBt/rsTgjZqFIoXYe8iCZMpJulc7wHZnCUzniFfN6gT fe3p18J6opQArYF4OBDK8w5HqoLjnbwmQ+3rM21HatjADW1IZleyheziSIDDgv1RHxWcy6ZzqOSc V6bf+HpH124Yq0lEwtVoP2tGmWoHxkak7jYhxB4fGJPfQ4CEAUFCrOouR0SayRM3oPyjHb1/2b4U kjZTD/7d7sudJa9JPylLQwFCZBI2JDee6oGTLI2inNhW2dqFsUqXfsNuTW9N1/Ikv7JclHRjsX4s 0PV+90SaYwx3QK9IkU2FJJytpsTsd5C/Fe7SK5xyySsTbN4hiwU3XD+twa67O7iwKsn0xVN/HaSq gbk0JBDgw2DcT8/WzNnvyuYsSBgQdvfj6kfQylZh8YBjPm4ihqKyfzB6l8Dt72vatu18PXEj+IHi ey4bLJboyDirgIHXARGmPAErcTLvc9Jap7BY1/N+Kmw6fm558CoPWgceE1DmmHYfwFiWWjIyTgga P2lLwz1+GJAjt2sNXYTINMnrhAoX+nswMqBPY+trOkxzHXlucI0j5pJWj5u1jYFRpLV6OBkXkjNp KjiM+1upzOs5+AmhMaA+q9b31dL9db8DwugZDdVPsxAo558WkUcflFCKaa/me7aLRCfzz3RZ3mV6 Fmnh9bdq/5nH3DWcI9aLkwsuEHB9t9WE/Et7wDSlZyti4t8UNbMGVmMyKii4F9rZ56I1PNqoCq8E uLCc0OEFl+rH9ciJxvzSumGSVWbGxgIoPANPz6L3VXAh55EZ3WOuZ22X6EOwODAHa6qN/IKXibza q+bcE1L3XFTiGrGw7PFS28XVgT6nuP5Sx+2VzhJeJbkWvwFoJemZX4Pej2Ubxz9lOv7BuEnVSi7I 8VrX8CMbC+M92I5YeTxrLxEDNZDJFCbEHlRuJ4/cIHR35TqsSYWkXuEobl3nqEtgoQ18YzFezgTT ix0Lh0NHPMV18nBHB2WkCW1mXhxrKPayma1GV1l1dStvEUgUm9T5ow4OzxmO6xAx2NlF+nMSQJpX JTDd/Ber7srp99AU+LofAeUrcR/fIcnHAglSSwupRPSGZDXH9w75hkBv1x8VanQD4PX+hEtjZUA9 xz4YjCRbtIAfW+e0yWjI/pdpvVv81FQ5eQ67Bp+NQdDNxAxYGo1Jw0zoxqpJ++Bi237T2o/IXndA Bm9aCwPCnsSgCuoqB37U7Lt7SZeK05wcaYhdGrpnSHEXc549PwebxTlrfZ+osX22HF8W9cbNosJG +yGZVQeidlukUS2ruV+++XFDgUXVkZCYqwC9S1UvavLoSVsrVP0ROmYUEPfep/zTdfxtUkWmj0Xt iT9arIzBNU/t0zy8EYkpZoJtFrkVpDKnNUXxMnXpk61JnKmEJcsLLx7gMBSsFMXtFjt8TpxTgm4w rm+u7k+1Q4tjbjnxvzHPUJt57gRfUQsh3Ps/N/yCNYUTejGeOutUDOKgkl6eUYswO4YrC9Y3JKiJ R1IDdpei1J2n5LFlMR0SsBbfiA+0KjIqATzmm0aLJJVhD5O9+SO2FB1TMb6GnNlNrg8zfs+ltj26 8iNbS7E6AmCKKYDfm3/4xpbIOvU3U/ACvndw7VWGvofgSx5ksjyW5Njrb7gCGCpZivjF72wURINE pIHLzTvXPiGccXPfAkDR3XJaH1eery/VKsbzhA5uYPtn3u+h86qsMuZYltK8o8Yo8UvU+RiENOLK ZxyVP2kdmlio3kOMuGnuyY61mfM/fYqY4CuTdzdF56VOmkTdS/OIFT5u5S32RZHC5e8Nnd9Tfc3O xfKlX9iI70/LCajkIckiWlJaD672KRKrRKgHcOHFJuPocKcD3ipemwnnOOCuf+ND3NlwgYykLVmc FJ3Iv/u0/PyHF9Hk6A4D0gTuiBzzMnELivGjNHwpOowueAaHmaUPQic7QGpHT43ZiUMs6zP6a518 2/i4m0aErqseMeuOgQ4Bvtmd/LfJzcwKf3JLvp1lUMBEYb7RVV0LI9CFK+M552jml8K9P0eEFNpw f9wsYaj/X+y+yZYtRYh2UNYylLK7lqCdkMpGYeiZqXdlVN4mqCwAwDuci/9lWy7+0Skgs2FFplWN 13hVioi4EaQdNTUTb+YcvZBrcBoM060bAZgdPGCJlMHtUUi1uUyTrGYU37LOX9ZrHV5CEC5fb1H6 3FGDyYzxl7GKJNiDGT+p8ZHkztNPLYkVVjHBZFmSKieRAN7wmWhwc9UhrD/jdTOdb9X081BOo6wn 7yk/EFRLkqjkQwou6t5ZSRXc6QCucfrkQagl997DHkysgUI7LU32XloHerMhONie1NIP0IvPN1DY 9zHMIYdroUwqJMtNV68dPrOOY6LMdtK06K1uY9C9e3xMemJHNJanvYtnTkJUsEaHVASscGVUCFe2 1SqazKEzSF454hAANkSoaw16hAP7nqrZCIYgzbfMOG1Aum49d4GectYESZ4ZVbsQ7TMn+NqZKWyv 7ozNi/D74t79dlLvEXe/MOs7UxENFnnSTIzBzkAYTozx8kjemoYVeONSxVn7B3l/xqPdvG8PNlVB jXCRVKrwwsw96odGKdoEPUt2Idz85nGMm2BzdMW5BqjAy/GdQQAEFO5u061DBRUJGg1v6FYnbZre AUFsXKHPwMRBDfmxI+pu11P2ClyvnonxzyiYyiS+4jPK62sGcOhCjOBbjM5+SOt8V+pNPFftPUHl htjxJYIWUnUoh861ddhlew/XaMnVtk81upQRNBJVaZN8ZKgcM6opP1BicIAf28k2rLrktYtxz2hG b+mRVooqo0RtQLr7dOkb00NAxXDxeQyltIeRK+mSR4ZtPphJnRHy9ipBq2gNxoc+TbFdJ8VAXiQI rpwIwKzCBvmqDVtGwj0YOc8mf9pMSq9tF0CHdtXGQBNHY8lYW3uOEE7ZPGHPGzSN7A/kcvxIkWXG IGzcZahwmS3zmUfksrrWPJU27xNuNWfT9knff7s/KrOikQtKTIQGm3yCVJpHE4dK14HiqBgZlYHY XgQDTK6vOFlbsaqD6U6D7zhVl0ZNG1hozOBncdOs/ns94I0dNjqcmRwmYNNASSzhZgS6ogRF2elm 3D4TXyJZbaMji/7WOAEk1Q/erioiUOnB6kXi3VAs/oJO8EwLQRXuDysJKG0m7vvzji7j+dRjQFoa ezbDxKpY7xPLqEg458Mppw3k2a9mA70vnOj0LKm2bXbLlsFSElRhyu1FL8bOqeDk4/SLRbKNx1gL lW16vyFKtNKpEmQTMsj6DDkUAymrWeQO8rKAOed48ewJbatmxrS6X4+aQEmjPbOS4ULrKga/JKqm mvuvx5yA/NrZva+BmjURBmjvFx9xrVLK3gsPEBiRL8hnI4X3aGeI64EusfW6EydGtsJpa/ji3QPS +Hgxs+ZU4DVN6VLkn9MqL9us33mtcM5WDYlWzZn11ESiNdTnV1anCVd4kyJlhUSqthH7R5o3ozKy IPn5k7Fr1Du6i7PSyWrCgBn6qdT3ELBCu2+rhPEfvw+cHp7dl7s6ARBGhzs+eWugvk6TXAB1bpNG gUdh+HKeQXarikVnf7VDG3KOlUjRUcXzSQNJRjm/9w3xK+wHjKTkKYh0HDBncdugwRYIfzk5mAwO W/j09q6OcqvatJFv4A5Nmez4QNORUmbQTyHeOPTTe610gyhjn5uzVecarufPX6I6OfhrnVw03Rlm wYZSGW0H37MxXgSYBwXu3CxlqHjhTqBPHsX6BY7K76x78ncxzDWsg+35l+2MOGZbCO3FNqtmKJJb 1M4sQnWHNLAC8bLIgnBxqHphXGUf8Dlu9qBfoJYKnYPLUiEPKWxxWXA+QmeLvSoFpwfTSEi7qm5X Diia95qrzrjblKRBLn/1fd5jobXpEItcofzjni7AVzmUuOBNqni7nHx7n9v8ODoRvTRB7rLGUbTY 5hXZn909hKSrH7q9I6yB8+UNuZj8/l7n9IfCGGEcLkihIEC/4BewCx5yBX9EDFG3g0C/VoxM5GfK L5FVE2NGEaqHBMzyD7GAA3nfpNi4cB6rba/foGz4FrLERI+g5kn1rPnkqBhREG1qmUBJnyCNsz2b OVDVT2OC4UYoAv7b81j4ZvJgHYKLDVF8aSN6YvfIFMEtuDQafezQuFeYC1kkA2EP8VB4GD8C9A6a MHhMxffjXeSShbVNzns1rZcACwOvyRYEcT/1P6tXUljAE0AEIacoXAAytbqvh3PBg7rtLh+z/0lt zA4WhxNth6uYDu11n6K+HpEFl1ym1TG4DMo5X/JZyhpxqQKlyn/L9EMIr08oznIhTZoF3XvvJ+9M mb7Z+WU+VH85wlS/UkoNKyMhFB/0mGaCfJGQRq6JuPWsPMfXF4WduS7ugTXvl0yMegGH4Dr14Vv2 EOdbVzq9GM3nVHn77Y7KqmCdNCrxhSB8Lf9RJ+Se1GPOeptfSyG4vX5zrcjVkxnictaYCRhbzNwu ErvY1B+svyhS5aK9gfk5HjHpN2/tCpEa5IKYoPbpjeFGfV5/8xAzylq9Off3kRtZ9PQoawe/SkQh iVlwAU0rZ0nZr+7zC497bsTsC+rl8rYhBtC0dSYFHXt0n9EsRr0LEzoRbABVMHpBAE1SQ2xQ+7kr 7fIpU1A+Mlt7jjxav6lTNKDvOriKHWaLlkZKaE1/AKTakgeRUAVF94FqLVWZsTZTPbXHWIF5fuHm sJ7j9WnYoxg7z/A4yoVJhnz3U/aPbt8RYlDi7hFme+t1IwoBNIqKZMC/1F6fsB4a16RPoKUlQnE3 ss8QQxW28eHbRCJ4tfgWnSM7xSS/US7m9HiQ2nAWqmI+qBSRKQndxxhFWd8xO1kjjDEP2RXSrzBy kjoLXL8eM8V7g9t8c3DCH4+1TFWzt5xqK5umucrLrECkHKjNHwoSxhsaxSV2vkdZp7KeL3GNUfV6 +iS0G0cY2L7Vtri7aELJkXYzKxzhyrPD4WgmFaf5Vkyjlli8UqTYtMK8Ta0wNCFhZFe101Nsz864 e8An0sV1TSVoUPGLcFfvuBBGNkBLG3ivtilVidBwiHZW1log9794V285tbZxGjJH73BfnZKJxXDU DFf4ReSNMig0WM5+JR2gZJO6zFVK6ike/CsXklNuu7XsV6+HgJ0TjluQgZi0GftGhzrNNrEXTgYx 1a10Kc3wK2rTbIM0PyblSvn7KxRCUEfsDehCbWJUJnKa3vd6A1+EOCC56MyIbndckA/nssyi7R2t eMMnRYBt07Tucnxa/jLD98OXlmmj/+NVZqTAX7r6oYWaqbOlHiJFOkm/HJb/cv2Zz9Jnhdsdrjx/ BraG3MlGWC2qCb9f0pJQBeZeJbCq6Bs4FPVsBkDEbWe8DDhT8cHDat0BsfnAmW9df2ulKS7uTMiB PDRePPh+rw5+Pes4HxmrtApM8iP9zSg8ksm2xc5zx5bd7/pPv28EuCI8DCOqFtscWQBkLxZoacbG oCgYVLEz+WNDnQ6VroJ8d1hFCn2kadtr98it10APmLtBErovgnDDvCSg2DJg4Ar2JUizv78ZkJww YxJO2NjQzfK2oO4i4p50SAngnzy1G5ZokCiSMGpgWpzjVSNXTrnoPhi3XOT8cYzrYd0d8W32LsHK X+DQwFwDK/6h1rcK/N25W1WGSnuAAnr5iG9M1odHzUsb+tOGrcS36sjKJaok4W0LgzbcLCi1XRam a/bY2cN5ddDxCJzUAWHCLGVfDgnZO1f2NlRB6texDQINQ2rx6uXzoiJJE3OZAJjr/yzLYQAkthjS E9XQdXKZsgDNN2rrfQzQVu+TdkYumdwvK6l5qldM31pc/JSwolvNB/AXsbO6OiwtV9+UJApGrlSE fuhEbRyYM5AQcg0zmzoWWxjtF36BFeTjXD3OjXADyqiOe5HHHcv/2i5hU/IEJF5CapWX2KmvOeXN T+dFkSiY70UQI66IRAurGb7pzXpNM5j4pl4FfiXKE4ovl4CsrZUj1DTeQcAnKmnR7XaI3EArPj0+ YSYWjsB+pfH3A7oUH5MuW8Isul7enL+GWhcGHr1q1wC7bz89g5wT5ugDCcpZaxSxEUrigBc/eWJW 53Ogs0qmi1ZFW6VIGqRWlf0YBg+dusoW918uXOQmRcnfDKF6svGufDWYWhDkk5fhACZJ5pe9gD23 Gmnss77RQY87dbdlFOZrlIoFQRY8ZqiGsnBIiq9wsPdHK3t2UI+aNukSg94uF6uvpBX0HOzEj1rp bl4bC1qtvpAu3vEbonteDtRrdzsXF0s+iDDpMiPbWrvpvu8mlS6SmRvN4yOIR6x+tPeSFC/j15Sa AQW/oSTaVGIbHXnG1sj+PzI7MElfCcrR1yZwED52tz5ny90uF8uWu+G1FJRTiC5YhSXcvH66fkTJ DhuBN1J578/FQBUgLTWBOY0tW8q4iZ8Bh6pa77AS3PaIcPx0uEue4BeHhoUgC3hx5f9gbITTMpKz iItwHLPulI0Icr0CxnZp0miia5w/q6HMg7QZ7GIBn1ITFFBF1+5+BgmXdUNn4ZySkb0pI71s1qKg 9EOe9ijertWPOzFA6XAKBAwFBe1yrbcmzQ32WtgsNI3jkPFotyY3n2sS1RVqzh2NWiClbjvtlq39 beTD1Ews7XvsrNih0Bh0ri8+RZ0KIFgtHfVbn36PaitJGasKc3p/GQaPgAnwYJ0alsrwiNhBJ2xj 72b4Kk4EOSyUPu224ni6jtaa6ov6vGibdsbPMgqrAMVLejbqmAHD4Z2UylAeMIDRW6JVjR2n6PFZ fMLOOyT/Hu8QafcP85pbW6euqb9MvXGjAgXadNy3a/qLDNM//z0ORGsHz6bFxl6oMQTuKVxrc+Ie 8sc191apYvBxQSNBTfjv2Zwn0ga7vxM7wRs0h72FNHNXdxTiu+cLZtHQNfOcCZY1Lcrp13TJeIPq FbtUoiHsXcAOT17a+I2jRtnf6C35R7bmh04B1LpjmappTqn4QkV/wSN7sI0sYUw/arWL46GXA0hB S+fz+VwSjINspL9AfQquJAP/AqvuGFfN+Q/zpht86o+2MgrCvKdQSGbfPWrKP2+2IqOQjnX4jk9o Q1lk1YM1N5sMeLdoni1U/BAxLdNMRcZBQeTM721svRhELaBsg8nIDNHn3xgsKNMOhatyOkbdnweC z08sz7Yj/9P9BEI3zYn8okYaQaxNa4GFKLbVKiFX19vpWJoqxWIo6YlyiCoJsB42bsaLTnq6lzxo N5y/gRmtizkExKojX6OLjL5slB7uTqd2pgLkk28JSx+06+P3Xw8ZdsBYEPM5aUtTnF2AC6iqNRlK NDWqj+Y4W22VOtFlikCk4jXG6Vg1DFlWx6l9zSpNdTHAPc5lotovdZUPpZAKYAIEEcXcDFpbydrP Ko3UIUOEuAPrZQMRvmiEnVFhMKbxG/0eh29fn+CohbSsPgidyDZHnuUGnQQHzIR6DPOuAWkCuy9H T+AXfXXkI07+Qk47BOwDUol7oMku2uN7CxOx78VXoCUrELMILg9rUCsk4FF58ctTCHh8qDgC1hL9 fUJTBlVrzeG6P2f3ipFjh3Hi2VGYggA34DeArwYuWJNJ8ezPA1OLb4kYJUELpE89eUQBEEWGIq9u wgt8faOIV8GNUztSPSadslxhLgMqLXzUAGHbVp610tDQCWX/8uGHKRfw9fWM6Jrx3FcgJvkqE/8v 8MhgP4enI3FCKIhb4Bp6UUpnEvPZXQ8YHO6Wdo5uMdhB+P2od3IcthVNbuhJgeLAsuvqjo3UYRCc qM1S2neUo7HwTFCTkHbsGKDUWDZZbSTz4l9ropB95JYGQotocoBJcUhL0RFndWZlh/UcAtWHeOQt qHU8CuUK/LjKG83xTBWiMpgpciAqFbf0wuFBmSdI3H/hmyxo+gAR2qFyfF1yn5dpYY50vwMW456I MzBjpGl1dkTP8bVHTY3Lb9vKVLtaTr4aBdI6Tj3YKdxF5CeliJr3jJVSEnNCANxVUYuMjkAZ0xG7 WSe1+OrSifluGmsE+fyVbOkmZZHEIlWJxocNMuLnQ/6cND4pTtIKtsRg7NLx8mPgvsM6vt0UnusT ivtJda3QDjI1Dp5Aa4E4x4xk5zyqWdGFDXnOKrZCVcrUzDzrxw63SEHFrgqw/aHRD2r+BAftimyb k2SKyDO4QOO++xzpGVs070XGq7YuHLisV9i1hMaKDsgLEbwwWGIGgqRkPvjAdl12oomJ5NPiy5ZL x2nem1bVJRmdMYoXY6Avuks7iCL/wnQgDFxK8O7/NDJjd3ECH1488vhag741Dc32IUffCxrGFwHH Fi68/xZ/WlyU/CayNHO2/Of0Kf41nGGErEquvt6BZRW3zXbg3K8jDV4+T/W5sY3wRvstYTfrlpkb hU89KFpprnmYYbOv/K7b6494oGbHjAwz/TT0twTJxlwm1GEcVUt/XcP/XX0zBV22md9xIU52JLkt 4c49Zx9DK8BTqh6/MhxcqrmyhIQhMLk8evofCtRjiBM4IcftsuBCGk8GBCE6BH0FiPotAEdrXZU7 dgdBGGW27OMZKZadfyJ3qkbApwyhrJ4HO5Q2lopgLQeoPN5IbV8OwhPwAGX6gUjAn5vRSPUCt8Md /lRLxFzBQpUlNTPJt8Uvfsry8ViYwNzHMhF40dz5ggYp1L+nJnCeQyo+jt3OAbWMo2rdZQ8Uwziq jLa6ZibTS+v7/YJAlaZ/S668FeQ60OIx7Go+Hhfy0V8XfDmU5P9Dy63tH60oPXU6jvXAQlA3uxN9 juh9aDXY8DeES/c+5l97ZmXhfQcDInwiS7enLazwts4Royt/j8qC6MKI9Tvoi/4fqNcpDkRBVhKI 7WONx3GCB4abGrW+ysmUrHUj+Kk3n18ghyi2USENBQ375KiGWdpdu/99gZKDLVijJkUHYZ3RB97Y VM/eylr6cCTMdiy1mH+EcSCKqEcBpBz6Vaefon98g1cWv78SdzuGVqaBDxhJxOh8mCD/Jawl6mGM ShfQg4J7gyNHern2+wP/FIBQgpKETW5D1WXXvviRBeiznw53KA+qjD3sS87lr0Va9MEDxkjEjlRl 4Dr1kzkQbq0cWqNgsf2WoujPWWeXJumXP53GIZD0Im2ThIUT34DG32GDgHM9BbaOvUOCjTzBQcam p43R0ktnl+37sZvhXoi/TgNPikysg3Dv43ObwhT7fk8CgiFtE4dkIPlb0oVl04arA+noULHenLFT gpkqZ0yzVZiBcuOoWOvOxj+QySaBtu4KvGWftOdQ/dVGjDRuuHVrUM2ITCX4drqha07nOOiu+ooK 6hPiDpT0fJaJNYe77nm6AvFvPeDA+np5WGrOkkv8rTZqiKnLbILiIDE6aHFVTcEIOAA1HW+VCce/ 5KqUlwwGt/CBGD1nhNsrjyRfNBsTZF5sE4TF4/JtAzd7nXh/uDGpjjKA7/ES9FviAooCJn+pU83b ld/4I7PKq+Kk7nopkzTEeUbKFronWOYaiEOF3ROQNKQJdGInRq0YxBqboXAM0UunyXJ1lrxeyh8L aSMrgbjwkPTmBrfLsbG5ssDzXeF1wWt3sxL5kbOlgDkX7hrqr/lRcunS5M0iB2NWGRgN377MdsSv LcIzQ+sLcNNGV5DPgutrWSePda2YcUoBr149cl1p8ZGnlgB6H77MIbphHtVajksFeDsjpqE/f/eu 4jzOjmQiOUEicMXPIgdrSfLKM5ZNgNCMpGBKWAVwZv0yEc5FRDVtMddMhUN8+ggvTLy1B1cEcEdE K1WDaaBrTTjvCorQkrpw6DjAvYs3EgXoOGeadjCeZ/sJONSWvb3GfvDcJw/0zpifvjq6e6NmvdBf /HpSMbNJ5DD+v10LgbzN9K0TDYRCb1IG41OTL1tdNwwT8LMIgmeX1553mlgmVJxoDEEb1zEukNeA FdMxj+J+VYy0HuWHgmVLkzkP4sdfCXD4I3lDU5vCk9xKVH2rM8U8uTPX/R5zEYB61gHM9NvFn3nd st+AVGuGtxlODsH5ipFYDrfznuWjrmXURGUGwPbIIr8Z/oWtGCuS0OJvwJ3U05Rm9AuAuJ5Mzme/ dWSvoS4RVjO9MRBRokO8MCJIYwGUBE5IpFk15Wh2CdnImWg3VBIQQEv2KBzxhkqayf2rq5m8YRlC 69sI/rR4osvcaWjKeyKJCwQTd/ul1wiuUAikZWFjivNTBmrgEmxmQwcwjnm344WP/TcXLsADepRT 0Yxaeql29hxKsN16p4NTVYwA6Ds0E6wra9GArHiqVNpPCVNlYVdq8HkWXUzpAV+ywUW8A08xulc4 KQuVLM7oLADdbBqSNR40dWyhMUldHizhMmw5nKGLt3Y8sF8SV7OVKkWCt+KG6hqhTica8yHzGuj+ rqFxltoQoPxmwY+IGZekGqDTBbvF07+eYEyBfS/PjXsOk5jeGwPaFsv5BazDsddYoqZjP7l998VQ +uBFN9JgLzsVbAGYIXuKeDJ7SEk5320+/8+GDADxtV5tM7o98YF0lcLFA22vDj9rpxm77JV7Bi8C w9LCNApQnXgfYhloUkdlCEVOwYHddfxdJjJliSgKwiku1Oq9UK42b4YWTQFdZmlQh3p24hH7ikbl zYokN22yI28PWcd/AeHrI/pwR8wdb9EY6rFyZCNDuSvtQ2lUa0+mopbCAsaPjwrpZ0qKVZFmFmk9 Cqe0mPoSY6FQKdmiMDSvUh6hPuTLKOqCgwsGIaIL5yENFfgysXfw8vuBMN9inYCQzM9KFxjnNBpZ PfGmgn8OU3tJfhVceELkXaOCaluPRsw7DRtXskbICTc4kG10kMS+QbKrNV4bftmBKESqMeIvLd2O ifmge8rmkESpGR5Q39IH9aI9Uwduq9KHtjn28IZwFs7KXLLMR0yuK0KwGT2tWP2T6h3FyZC6LpgP wY6pNZ1L3ylXl3Jll8G/Mej5VpQVfgcTm5KDZv3BcEZRDF6/0JnIYVsznOnvILTSGFE0r18V03Rd vAhlUGuRbVl9AsiNUU1gsJ5yphhtIj6M9XupTVGHpmX5LkOctYCeclg25GpIcxjqDvqavClIuG+j zolbrbxb9Zg7FipMSvlBij5rWizcAzQrt3zALRKKMUUhjg0Ltk7Be1FpQ6Us8oVw2VyaRYzjl0jr fHec9IU7uELzZNMm/fVnTxFylFdi/mmtqmoTiA8vkDD+FV6JEDiH8NC8GDhTqj2+75NkShzC8zJS vmKua/gGLWt5TI2xMg7UX3LNjzI42qwzcG7/Sk4BrlY+pfcN914f7CG2BzigzRjWUAciUztCaILg VCQD5BGa0nyCgWNKBoOpy8AINcjlPh1l0fJsiIA8NVpbEWUQ3KIM3bOdVSvGG6Bgzo92QrPn3SKZ iJeNdWMg1XWnpBG8Jh8N1Pnkgg2Cg7qgGSjwIFmIBSx25XKfIp0CSG4eneCNmiJTPh6p1AwtinGD xNY38hEuud5X7i9xL0AVWonviwmEMI6mdJWBsRJNbgQsXOarnZD7LwgIQJoi1pM7kh3EXKJVZfJ9 k6SAMOkXEXsmPW4MNALQbP3gopTIGwYLbYG7UWFjlm7+KmoMzcBaPpZPuxLJI2vnExmm1V429CSC MydEmQcgMVillHqZdQ4yaQiDRhJwnzDu16z3YYTdkuSdBFBIY+ExcEyw4VXrGnd8CZbc/p+AkkZy xOdTmp7MqV28dXtNvUaLjbkPpLQCzj6KWIsnUi/zAfT9WAh+wSKy0L7RsDhnc6RLp4vm3/2HmCX/ OM3J1ats4pWGB7k5R47ufXtHV3of+rlwplIcH5E7uE/Nm8PWSkyvb/hvVzY1xgAz/8Rw3rN89ubO QpdCl4U2yxDFNCuwPd2gQz0R587mw7SHza0dtEolDJ7bb4nYnuTLhrpW2bJw89ExopbbOlbbIOCo 7aAPV6+TMKqUA7PVXaTnaMXdVNEURQJw/R8hXQ87OrApX7G2P/fa3me9yMmOQ4KgvTPv3si5UHuo T/U/2lqozr5RLuC691s4Mc5PSQOT4mqQJNHCpY8W5ab8T2lJ/80u/u7mBul4KcbVCRK4b7WZczuc 36Ev/74YKb+zidvRmQp7tnGefjPGbWaZwHWkvHD+KaOmJiKyQvc45cwd1/OO9iKXSPXz1mZB5d8L U/2+mYKAzMWgi0eW7XhRwDooI6RGbKhXk9htckNR8gMtG5GMgOTLEbgglid5p4vwBZNIcp5ibcg/ 5SmRaFwAJy0pe7dnUBpjWiUXkJ3KpgkuEIcqXxxYp2k6tpySWwZ0yXQO3aKv5D0hz9NyWj7H/gg2 tsnmXzu2Wj55xTocJe+66Kox7ILuanwQgx3XpmN4pOdMuurja99X7fSCa04o04Wq2EO8XUz5PfqI tIthiJOWDezkfNnVOLzALK7mf8Jecy6jqmcsyCx5jTQQyfuVl7/l4iZBhMnV5GvONUmRiwvzQS3D AyKvmZ6Y4r2rB3IHra/H2FjdDbXqgMuSVmT2/CrQ/Qc1jJQrski3wmFG3iRPYZi8yJ/fHy6NgcEa MnaGGiRFWrxdTDf+ncfqRG4e7XQAVZOP9xz7yufCTxJK/0bekjVYUIFZlKiK+BTCzR0wmeAFt1gc 4MTyC206PmT+wxsoYOi/oI588OY4amiLbMOg+reDnfkYN2Xqbc106Amfbavcv22QW009uJKieBYy 709ThqCFeVkZmmfNZPItfTiIPJdjXZShqPt3cMwN0XQ2QTIo+SgsLM0b0RalJl0h9ZlmI02BKygh lcA5dbbmmLCxgZ7ldVGtUPK/elso70zmqvUpvyhFcTSrmy9f/gWoAfTH/0xR5v6+1TXRW09sQX45 A4kyqQTF9GrgMMJACIX4qKD4BeimRJhPH78XmCQui/Ee1zm/QLqLkK3kDm9gITP+jzGy4MqOr3Tt /4AHezko4hZzlDhmRUUWJdbLBaF+N+QUZe+z3RgPViQ+0HU2ygzlboBH5J02c0eaDv22X0aXf4oC 81Q8K/k2HkquSLaOr7zsHXD0whTIAcE1bvRGQA+GJnR3okjrjUa+csbYRvBGJD+tnjW+YG2apex8 PAcnC44ktwzfqf2NW7n9pHkF/d69Mn4WosJnigc+U4pMdaoxcVpPQc+VXkqerHzFrB8L5Rbc5rn3 XwlV7N64UD01UBJeMz++gd9XjRF0RNX/YiUgcJ0Nu8z4pT7OOFGdhqfZFj/vdwlskhJ71AyoUsTT F2s+dCajf5tcdVjy34JW4Tfdd3nSBzpzBo2bXOqSqbFuagFpnkz/IEyImNTtl+JOEsB8TUPOjKDZ 7NV3ND4yQ2v5Mr8oXn27b5ny5kQuYG0mA+G3zd8L5buECutXN/q5Mky1n/GIxwgMV03dYfgtPiD9 Y3hXhnWupED2drgweZL67bf/HDzQwPW17bMUKB1fxAr7lLhK2LwMdMP11BBv74Lv0DkKwDaLclYF 7/preEGehZ5LoGEcV/zJhXRLVLpkQbgx916MrLEEb//V4Pw7qqreuNluZZlip60v9buTMqg7vFqL JDV8qr/RX6nRXAJgF6XVjO8logpU0bAdi+Qz5d1I4v8QIXF1+P3xd3iZz9yXZJO+XCObFN8rnRx4 3lHRJ94yJDw0jOptgYnY/D9/IOJW3tmwaPeRjijOUy80ZENn5ysPR77X9eGvHtHEt6lpCEWhUp4V PeS5v0tUZJLyZ8hu2FXYr/Sof/EFJ+HYvPHhEFP31zrOuXomMMoXpHGNEUgYQICjjjlJ55/gCRf7 N9ACRg5lz3RG3FEF5f2rj7T/bEkcziYEebXXTm2RQVB6PHEl3+sPMWLuHT8eCH6IcLIq9+GqOLex ZDPqlQ7dLKQcsrUff8Y3gHj6gEd+Tjw0hrP4Wzr8x9CMwXmsHQAyJ9rlX6G4FgaaLYKgCFHSPP9s aTyzmD9Ugo9qaOo2kLh/z1yLrmD7IirooUwl3XiceU7t0h7zOqRdA25Phz2wtbLAtMqK18aMhSYD G9pctPO7vwzcBF16NbsOybkoXfhSPsYJmOnVkD1gnysyM/SGUzPC8oE6ih5cAHrJ4uBZcwDzUJgX N8rdIQoBn6Kp0XbhZGcnqrYtiVAUfFcuc4rZJcp4k+Cg1ab4emrtnjdjatPsNIZ1RBe4t5UrXTC6 F7kW46iNY+DzRy6W7YnXBMuQVaQdqRevmxDYCaP1CaDKHBhXidJGTYbKe4BpCx8kT0pT6TSYGw8/ rISLf6VmHX0UW0foLaiwW578lnziP02OSm5Tk0uqVFB/1vtSeixzMusFAQeE/zM7os365Jnb79jX AjumUH8KUGuZtEY9BzMSUBTs+M4otboio2GwSEvFrmToSfpVvlDTIMUk0YIRwf8qKUZ3yt58eIm2 7X/3bZcYYJF8u0waR/kv94eDIwj5nMRUvfxwbL0Xdps40iya/p9Q3zLpSMnvxxHl2iE6w+DidnYb QuwdC8HzHPUIZncu8VyqJ9Z3rlC0ac2JbnpOef51/08OREBXmCMiPIxkyOiZYGRD1tzgXgQfszPW 4Zo7k9yVUhi/LJXDOOESWzS0uv+BDk8SrZWdib+rIf3M+C7CbJv5dx6j5MRDheaTrzEHIoJMcuR+ LSQo/mrIFcUO5G+ZQToJk+PEIAkx4l92k7YO64+0qfwHfHrH6/c7yenUwx90+v19bjqonTsiBwvA LGp1ASSaLOuN/FmoyHO+LIZYYyOC8sTMv4Tc7CtWhowlSo4NkNqmFpSkWwNQM/QhRi1ZW5rJ4h1S /6PT+lq1G05CuSmT7RdAhLXvZHNB6Pyx6jJ7PqBie5odK6Q1H4PUbpYYsVA6RKfywNgb4L7hqC05 V7UviUwLNXLtfogidOP3JKUeyNN2tzGa48dv8TJ44ZJ8PQ9YAF+m9vhhsVNYDIybqKzBik16Xlpg yopXhPcb47TkttkMWlwvRUZRHyPk++sCKPPhY5+agc1Yp7JLLLQDnKenuF8rzWp6jWYIus6fSuw/ b2VinmUMf4vNVxlngKlPa2mD+ly3YRmI9yEnjT2UUKXeZaGl8DGHbC7A/qRXA5p1ab3wMtPyvfET mdylyYiZFJO3QyIKbcsbrOGqajXOvjmnaqvaJQv97VEeRzgMHw/R3hsjIOQqOQwX8uvDjjZTHtoT ITxcreapru3PK0yHgvZYzbCPFW1xi2aczbUG9TqnS9A2as5v0X3d9NSxOxvrvvk+kOUXm0Rn+RPO 8BoVilBIzb3O6n0UUPY/oD79tWo95ZTH6Q47qK+l1YOJDj2gJGQ04reVYIiKYeQzprT4LL2nHr7Z 7LZq6KhZaU2SeHrHNKTQp6rvBk9EYX5Y/hLk3CLi8TQQip49qXxcdRgD7pnKAgj9TPNVcUoPEjOi HFE5WGCWUqi5fsADSZ2z88WRQaIDiru+rIop3WcSglUd0ipHmFWztWqUnHLCRx9pNZimg5kvSmFG ZNHUOGyIGepADNSvUMvRMuJl6Ye68ux7GtEIfOEGwPwiBxCTKPiygmsiZQB+glZICEkAs++Su7c1 sWbiBvyX7VxYYMBM6gVaH8eEJbGtfMbyvOtQ6eM6e4fhw6XIFaI7mu9b6skGYA04sWXVUUsfFWy8 w0KVXKtnMiggTrHFkwvpmWVsy5Du4nOykQPiVqdkdq1BGseLIJiIqnnnGWeTZmi0Gw0Bl6jsKmOJ Bd4oJVKzvRMcXWOJaZ7WBSwA0WOg72snAPRd1cdj8ik6bxEIYB1dA5GljbPbTen5/nQDTIOFcjrA 4BrAY/qn/5zNeorMOnaw+5uDqKlkRRKHPcaLSfVboJRN02qANhAKm6+CreuNxBHOKsQBpZIP2b3O H6tLFiIkopgeQWahuuKyaVEkYOCeZGADVl/2ah9AEo6N+mFygkH13cpBH4KQTpJnnUCU7x6GXmde as8HzzBRApNphmdVasfpjb43WWx/zfAlG1xnzLZJevPJy0jMAE8xltE8ltZmDb3WgGOS/eaXIo/d oW+uykoWLMrISEc2MJIeOcQTKDu5P7Q/W6Dxh5Q4O5ih87rEvNHrKXnWnrZYzvDezsR8u0JiXBx0 c2tcBfl42LggRCl3hgRm/WBaR7sS2Ud0dNI3fzskxgKM1bM5VLMp3VQqmH3gyOib1KBeH2+ZamyN aIulOd3/7fDclb86rXr4B3HP+gLPj0CMvEpQv8I/NN0w2pV5OspepKnFWw0Ynr/u7kqvPvXnPYxb yhV6BuEr7LScS3Bb3U5gbDTI3WCVcfYJ0tyv339muXcNmhcUYiqgGXcDOqmLDXci+lGMaNm8mv8i jANXw2R1/hJg44rb250s64B7SvF4v1sEUIy/CLJ7VohyEta27UbcOnK1utu+ovMiLadnB80l7fFc ygCjvdjg4CM0r1NXfGyiJlW+UF4fReB8N+QmrAEDkHe56d/EZCVBNMXDsXiVfRnFGipxrHyhdN9k 6Z5CEXy5JOlRNFq3zKvIW60HF67k6Q91pEBnIN8brJefaScl5/Fz+j43aceQaHr8MwH8Db9nV6Ab Tj1UkFCjo7qAdK5DG+/W2zPWYMXB7qZ6s7XOMGYQjXYBLNSPX0dsXBKFiRqEGoZnkQ1PGd74H13Y 7pYgzVQy5niuOC3t8nhkLpxRVE3xoW5/hQ9nXp0blCXLxBmmVgyAlcNu3rcJECBADaiSsDZTX3UY 5xpzh6wopgHRm0m/xLVwjYnm8yDFeUV5Dr0TpJq1HQfdPtrdqUq7Nzj5OLSshiKgsE9WFNStjm1w wUs7quHXw4B9uZ/S3UXESpeqTdIJQ/dvMqU8amKawynH1YL+R1hCbGC8V9U1TkShvhyVSmaMckNC xd0DmNv3cOHPLWPWM949qDHwUalyyWb0pBaZKsFKR9wLHpUjueaDeeFRgsxLT2wJjv8cpTWiS5JI 9/392bnE6oHXoCTw89gzqflhyWG0Baeihlnc15lx6HZTg3EKxhiodZoFdC2t2sVBckmQjmMp7qYi ZHyrldAmQO2hpshKBK1V5+aEMpD9deIZKLtY3OfSsYW7aTm8xRS5+Xq/rK1hg1ymhRsb23P2N/Xs 5nBXovAx+PgQdfr1RHiomAS+GvBuUlGTdMDnZdfeMl6pcB4Plcp+npLHAeEHMoODSpTfLCbnAjmY j2cCROBkNIxg5LsZAhpXIDid/hBdlAi8sUeAzmTWlipTM1iFjzumlm2Id7A/4J1flLYbkU7sZJ+W YsDnde68aGJ18XmzVAzv4fFrnHOdExiazEEnbx25QI2qoNXTrHOKnYU8wkfl8SoRAt1uJvVyG4PA YtaVrq1k3KSFvO6yRbGMx2SFolgLMQG14iFMD3IOWY3eUP6Zu0KQjQAjtT63HKxt6E0DPNAtmO/b wQalyqPI5XMfSm5NVbIvXbAs224CIbJcj7rpXDEMUvWYR6+RqE0wjSW6yecQs8X1N8gDKmEPvOnb ddA8UcxjbjxmAYTpKShP8y5ZQSqvsFiRk9ToS944cdbGAxicVOlWmFclXUx8iT8UeJz4ymPg0qtW OqdgvhYGISw235ltWOp7SOdOsDi3Zcv9HIWkjvsvtXrcubD7NK+NB/Kshh0G0Ejb6mlFYs58lDDd L1eOghGtVsu6igRrvp06KgMJX8ATZo8LyJON+U7r9DyVaVb1za5dMhQVUqnAG5pW99JI2rlyxvMn YixE/pjT+wQ83+053QRu+nOt2rWOLWkiz9lW7iwHdG82F6L5wdha9nGl0P9GJQ6vVlIFLLxMwu9f 6McHGSa9A1G45eLraZ3GNjnq3XYDD2cD2f5CLqKDJD2dE4yHL4pm5OYvZjf2b+oYZgQrMYHbYd+x R/f/xeNSSKCvAomn7Begdm/Igru+EtPNeHvLI+UqFlogAIC4Dgv45VYqyP2NaFV9E9FNGtAsqKzj MQaUgz+IoJvJEKDduqXeE5c5JyvYNJEYTHPedrrTS1X+4yvEnZGtnUztpGAB/cLyhNXqsMBAtatN mtkTNMSG2kA2Faymgf/7s7KH9gcYSZYNXoBALWg6cxh1U3jJCWV16dCgCnProqxWPmE21zarJXru ElKKVR3RPMayKyF5QrCCzGBgIoVMkRTIBw+vfopLd9E+OXguGEvNsB6sUq0KmJQA2qrnAYUyQre0 YKZn0XvETyH8Z4Kbd3vxML/Q7I7flVtKJGx/6vg097twPs28kQNJQuotvcTvPAiEpgoxQKXY5C19 bioZPtBQoBhXqGXXV24OCHCWu03j2RGA7RqQVAYXtc40SXG3pDsyjfOvjmkk+nuvl4yzaW9Zu0yP 82JHWixmzNr22jERgI36kPYw1P0jhrdLXVblsP4JWIn7auWXv+mJ8oaYQOLJP1OyEnN3mTZ6irFV Tq9w0jQqVxSSckz9n97V/FrnL+RW5t7/wZ5gcf4lfynYXTOnDZ8E0sTn9RHMvYsgU3mtf79oGb6O XkqX5IyUwzuB9kYprJU9pPlCFV0fQ4azGcNEeY5pnA9+7Ke/SynQjFuo1eru3jCzdYA0qgKCNok8 ptqMSvi/3Yj1BjMx4v9C8jUorkAA3Zxdl3VnKBoG7bcqzRUEo8ZU6MsoPKBwpiyKu5KMhd5fouy0 MGqjPCObk0GzGLHyyhUO2fToiN8465J2WVqSgdcE7AFSTMAqd9fjlYOkV684CP5KY9uVSbHUC0FV rc3rXlm6wXEmQvqQt+DYjm+gxdYHMUTH4j7mXk4GJ6VdEAXO5nb0MMOMj/AZaJOoxhjpgbdNPKgI GENMMVdSQ9QYhBR6bYBYqlPsKPBFKfpwqI4O/Awu7eioabfZ7haC6CYYePBkZNG5EVW0XKcCDd1U nIj62Rg+lTfY3sSeIvzoQ0MvwG42HDbG+tBknLkm/AfRlaPPZtHyssKAMhOQQZtN9WogJNISm1na bxiwyt/N+82P2jLq0kqrIQXt81brngC6736ywzLdiSXn5l85ljyJTusG32N+9Uq31IHfRehtOTUB c6XQVO+t81n2Dg1xibqUBfIEWejjAgFns+xNahmoWpWcBLAE+irN2wvh7A5DH0C7BRSsXtY1RchB ipH7WgaER1kZqtj6dNJUXeOS8OEfPBQvLLA4bWf8sTtnwMZ6IvFKAuuCvzXbZ2IQtk/Am8/8rCCt X3b05Om37k7j4f3oa46y3lh5rArRV9EQ3mUNFj/2r5vGGRIMc1TKQqSTWuQ4H1SjLukhMjEmpgfD mzwTnkOZQAysR/Gh00SYLeXJHZuGS56Aol25A58MmQdCwlktMg2XBID/yT+iJ1K/LH2dxyxAJM4Z kOgf+7yxdOu9Ek+pO+9hSTRXi63qPSTf4WNEi/B/lrKJhMU1CooNRQmfhKCWHMe2OQYYRp92H66h tj1HNEwSJHVjd5fJ5qZ5+lY01EeOncRlVKwwF/RWpGrrV00o7i22SShjAO7oMOwVE/UzyrE4EG7M ZTF7REK1paO/Kx6sPTM9/PhkbJJd+UwGrzN2hSK+C4aZmahURT4jImVU+1aSpKWuMPcODmKGsbBW v/3vOiAgkf7OV/gfjmKWf43ERtxgOmENIi9cC2D13ZBQ8Mj26Vr/FI1tcfk/hPa4hwvDi92mTT+p 26rL7WyeEq9iWOGJ7s+Q9m7U8CZPId2TY0mYkuSiL7NxJFxWahgkA/SAI5o8g9zRwD82WYvBk4qH w34U+K7iDuH678OQVD22h6ShaQhQ7U1XYwvmypxEBDQxRAn2h3tDLOuDASdNC/sedpubyQC11iY6 5+xWyBSoEIa8bk3eqCf7QmK2xI8VZrlUU8MSXHgpigrxMM83twwae6vkFGQhacH8GoB0//wTkrP4 PWwj8bEyxydqSBAAgScAa5qPBoe8E5kjTLAQVT0uL5pr8j6PMNsAVY9Fxn0NLy63Rj1GpVSBAcyV oJlJ0pfIImyw3JFnH2/xK9TRfxQULuwhPBB92CIkJcQ5NLbzDlmAr5ib7uSM4P9jWwve0B7heELP 4FmgDVg+wQTESt4fdJ2SF+H1TLOvIJs4YWiMMnsGpIPkp2Em+e+lS8vs+hNVW6W3XH/lbmcDQKAx qGlyoyzLSw8nEqY/Jleq2aempdRgQ4TA0uRqOwIfLyLlqEQY5NO/L41yt9PP3rPBS2h5FifjR4kR 3SNoSEhAMoYpvWuFAEizz5N5S35KoFsYaa7fM/SnAbZ1MBRHn4gNX6z4LmUu5I2x3j2Yxsef4Hly 4PTTNOEo9Tb7yDjDmII1lkzJU7OhGaSJoB7SQlvVOG1tOT1LmREJXfQdbhfpl/43ZWrsvP4sK5Ei 2iEngPApG+W3C7jgXBn87ZzkQgoqAwnGuAdIlwSlr9kJwgs1LDD9XMrv5CQtnwt9T209Y7hQ4ubz bWYo8w7dOI8vr1VC5d9fAfddDK7SU0ybtAOoY5YGzf3JEwcqz+DYWKoUadD79Jt6yDIwSyfMN0KF QgaWyFOZVqD/lrIM0O666Dje5l7dQtuqIXYT3a1JsWI4625Mx0HQb+PKU5DyoyJAJPd5t0xGA/dd +mp2jofHfXiOCmTUx/2s0F2lTs0i4vXeiPRPX2/dszG+80VdJU/V8dRqhOSPOCWxBlqSD7+Zo3lG xA5M+J5pxCdfL/oRV+EAkppvLjS3eW8z05dlo3luY/YNnsW5h8ZaUCF+P8nLubXQOb6LJzu4L9d3 UKw20JrXbXvRt9BTKu6NFqYQY2i6X6bE42ZTNf00cyLD7P/kMFEA8vEuAQSAH37e0yn38vaW7Rt+ cA/6Yh52Y8DZlTic2V1xPnX5vuMUvTaPLE14EoCwv+dmxXpPnByr0SUw2lqOVUDchd541xx6zwkj pnDPY/3MKGejSkyZigqSDhiriY13pVWmaV5qTnYlVv4IEBUvBq8pW5Qiif+aXMZstM69OzCjkalM 7B3seUPMSWN75vbmULhKiKtfFCF13eaeG35QTrH0KbIYm8MEQV7PYpaHJCu85uRROikHtmOnTiaQ ZMimBz6GB0OBVbw0qPUKSEvvyobfbhi93/5zbI7qW0FaXyleSL40k7+U2kdKHR8t2l5LUj7k+CBM JcGzV5xypecK3DfhZcGaak+mY6iZQ2pH2k55mXtOoZ0nmmiIXPvtojFFtC27fUgZsuV2DdMd4Rll pKuQ6T+zatam0MBei36bvpTPmdIl6GVDzEy1RlYn5/lpuQYlbuY/7umcVwS09zYkywcHynxAJvKo topRb0GdouLmOxB8Tdo1oUOWrkaBfI1JpiubCnCoKWZP0jJP5bfIotG5ut75N21NjoVvij1KrUEd BZX2c9gX9LH78gTpVvpytip+bxKOF8523cVe6b61OVxPMiYoWUwMsRB/IxenJyqAeGXUlk8r0Jx5 F/Jh4W0kgoQtINmQoE05XXnVLO657CCxr2MBLP6FkS1IMXBZwsR42xJ4YzQhMEf1DPEhdT07LR/g Yo9xx5/WB5lWbkMFA3+5WwZFvzHosSS77e5t1V7bb4lyy08xi+hoPUVzOHqjDSuS84Ec7UUXqOhV nNvXeR0oywO9kkx/+dslpPgEzNFFoN7JUEXFoK9JSVR/+Zg6+M5lKF+qKsomBR0SXgQWDIN6ddTM PKiKm65bOkzOftfijUzwR/zDf9ay1F81crNlu9LFD6IFuATHTXJvG5A+SR8nEdzQ2ko0o6VUHMyG pqkebEcWI2ywaSdd9LCcIEjYS+ED+GRShi4oQ2sfLk4Dxr8m1ntqUGp8jY9VxBXSKKialotS57DS GUbx67D7Btn2kcdogWRDoOJL+PIEAUdWDdBPQbg4rWy7b3dt5ShxZvYoTUG2zApaf+xZOmv7aKb9 0bGFYn9SOyJtS5tGrFptnI4Gf08YhtGfPzxMLbgiFijFydMUQrxU0jUqzh4M+si7QaAtqdVMeQv2 +lWPn024/fKb1dsrkjfyzIWZW201PKxFZlazJd7cBNITPNUn6rW9h+htZQg9aedNA2q9b94fUpIq dILGW3rvZzGZtKXkDX1UkQYxMcvQonnOPNVYwtgG2nRuKmNBle05+IEficqGLObJC8hqZqWdMFI0 YCGeOa3F2778+RoMfsRiZPkvdoYGH9njrj8kokGEckpqdZjgs5Iw+rrbmnN4JIjxV4FYj7+/v7lD k1GLVuoWWat0KeLCBP9ZRA6SyuzWZuMuPweKiUAebRnlNgMQyIpm41boZ5BegMNvTyx0dsKuyX/P H9e2vheTLnLDPCyqVDCtkYEeHef/6ggmUGvEJkGKV0zUr2xDTQ4xv8vlrA5ot4YCtlwbpgxmEyA2 oe1bMrnRQT04BSg9/ZW3DmAegjURFl4rpQ7WfsK8iLXMYFK2YgQbIASWV9XHxgKqDM1oHuMMBUfV Blp4LyTCdllYZhTWhrzuGh9cqHeO8qUkfsYfGmEE39KMsw1w12EHcICMege8GD8PtDr3KIoe2P2O gBGMGIXAFaFN6VwrUfQO4/ZHVMjBsh0j3+LFDapdtWDAe0SXzJPbkOCggk/41/mW7dKmQOFXvYg5 yM0842IPFxNkfoOtmPXcYzmDW6ncDbNCX4mq7UZ030/W9ieQAgcXAsCR3wQdj5cbY4ZzObpi2Axe eMIohdbf8JrJBlA8vLDeeKIHziDR/81mxmMEO5rTaUCHTt1eH65WRL8twcqBpOAQoCwi3BW/ugvr f7fPeVDEAJ24R+MHSO3723zQFddvFzTSoWiyprXZNUzOizJNfWncOIGRf/QmJrp9Pld1dHo/2XqZ gxMSNaGNAtJQqLAUo/iHz1j8ak71MVoMzmspX7RWoxsH3Gwv8Uh2x28Lqxd+M/wEVj9NcGFIjRP+ oliTO2IF2OvONTwWdptu+Glwwg07/iYMn7BuTqweaL90OmGVkIuZ2ZORv6ME/WM+I+oRVVTXs25Z Clu+N5WOyppfKdL6tPbkZ5etQlhcC2DSgd9x3/tGstshpJ88Vt7ryfPnFROfIgO21qxsI/Nwi/kl F208L7lNhMmECSSpXrKVBmBTFrkGZt8gNEIFqCcOCDVh+DhEt8z3oRmJNfYZgD8Ut8D2BY3vUllC D4s1xVCfTc5PZT3Q6TyR3mYuQt79eEj2XUuqevZt+NGmEGJ3FSTbZHQXYFJdNhHb1aqkci1PIj/V GhBSjHqpA0j1PNNwbLTVV22q5WIrmirh+tAU2I8hkWDuohLsv/GtVbsurJEn3Vc+FXrxuocSJkGR PNh+FJwso9jhoqlNF07zlhFnefDah+l4RmcXh/e/NKnG0bf4afLp93FumTATuxIPC+DpQwrSv9dN 2mqZvJdLNt5txHbkNLiyilxq1D7rHUfgpBl9Um2rY2hrTmUTMWE4CB1FKULSauphXU9vM9D1SseP 8ATi6vxWE2A8D2ldeRgOuwqKgyRSN58lCv2e4SRtKkbRB2p6ww0Cp4q0D980+mljDXt086azfDQ5 6gVb7uzygRWYjOYDCNIO9yzfofnB3aGBhPsYqm8p/w/uSJ++5aWqHAr07CoP/WC7EDJcoqKd/rz9 9LrwUqxxSwSEvytFb4VjxZYMdmO3AMV827pxVyw1GjlT4tufxOSgecakyzSzr3Si/thEKwH9V4QS gu1R1E88LaI1X2dSMcceTPJmfTAPJjNyLKK538PYQB3MeWp97lhoKmrxPBpbQ9tjJ3j3ZpVi6tVW 4lvG9VsitkgTFb2TfWJS6E9gfevDFn/XmCVVi11SQqncTD32kWsEdYxHjWtF0OREZ0eicCXb3oLE ncrwRO7nyLyjH/ldVQmSE+tlPns3LX3zfcho6c/TKuaRBjM7uSOHw3eh3g/2knw5917r2eYOQLJe UoUBiX+0MnYnIB3VDBo9SMHnGqRYy+7Q3PKfKHcIDDT/I3RyumKGcwhGKD7YzOXiqro23WKkss44 3PxEtruh6jDJEDHAArBDSSgIdspjmooFqtLzGm3J4Ll+jCF+0NIQ3hJ/l7iTbKpXg/06TeVlrurE uYIK70zccmvy3tPKziTg6q3T1U1c83gOcYbrzELl8UkZtKK2PBZXq42T8m9NWv/IL73t7fYAomAh rtnMtky7U5/mE+JprqRsLV0RJifO80DsU6G9iMCcBSlHPbDTQ727IAnnzDQPuCKDbHbgHvy62kNP bgHOcHNnYFEPKVS+0c4J89zHPTrjd+Vy4MLLs09sCDZgT+EbNITF7LflW51uVLRJ/guPH4Qp7pN1 JfyholIMYncHbTCxnRDfQ4lW0lB49ZJ8rhmZwBRhcf5Ko8jWm3jrt27gDdEq44gVL31z1AL6sgkZ cPCp9oWbWRzm+F+GcrPOovnsd9YVKVq3VcRw1vJ97bAvaKlZMM87mFuliHmN+acCB9M1hgbHH88b pG2x/rtnSzrRqW/UZdk+6np6ELQyPpMncTakp/TQMS0F57Fzkrx/SZQHwgVRSHfKqOxsC+fA29RP 3nZrUh8stPVLi/ykPOnWCmkNQMf2TOGGxM21iqICzThuNsB6rO+wvm9mTbnMzrE0/HaxkWbvCXuo xaL8x6fTs9wRqAXtPuLK37gJwRgHDcgBajGNjgPmNEDA/MxYyCyW/p7Kd68wArNH45MHi7DDF63o 2c2KY9VAAo/t66ZnDFvvgCBFwnjC6KtqvE2ujAF9eOtZhJz0LQ1NYECIFRa7gfELI2g76ajXXfux inhXyRNTI6xkG7iOD6CaNnpoD6e79qgJR/JEm+HkvyZ5WjiG/PbxMcr6u5rwmDd1qatSaC1XramR sbaIvQGLBGIOtmbv4VsMGf6N9V5nYU2gZilVfl3RzZTbtCZ1H8M7/7Wnhqs06/cBEIxoGuyDLnnY IR4hIep+nvBl6FouTdxzSYT0pCmx7f3RVcdsle2MMB2F7EvkUWE4NIny8CMjrjty1dEt3WijnKfS 7xg68lwkOmcuEZnXU8vkZU7e4y3cs20MXEzepQXPEBV/KZWTCYK84yn0EIKSTCIjLPr2znC/0d+9 l6cRz6hkyU2wb82/3EdaJG9MecoJEfWnY4XKWSwQKpN5TatBlqZJStEdtPTquki2Ap06PTEztu7+ 54dgqraZQX/McP6x7LduCB1lrOQgZ/BkWS3exyuAB4nmjQELpSNLgmF8yus54aBnkq9TJItWyNoF H/GD96gdC/cl15c3IHh5+sNQ/ogrb2cECrdNfi/Q9WjbNY5lfiTB3IMyxxTg643LalHo2QKN8kP0 q95/nSI8BXDsRjhccNnU4ORUEjLQyjUe72W42IAq/Zc2ANFz9vCkN68hQp3IcYHKG662pT585eMZ fJ3qHT58cCpONceshwMCdgDWb3+DvT9wveHNKnprkTcPDQyvJ0MO81AC6eP+E+fSqFmoPXGILs3C p1kOOk6bpEvAB81Ac6awY9UHaP+k+HFV9+0CeJfbIODsyx7yyplhU66I2v2tmAIh/w3BKyWUa8fJ ZSRfSREHfFcc6Ddnp0YfZ7JWIPCNJhe9BDlc0p8CS/f+973HdUAwwP7nmVEvklx/xzmo0nGefFBk P9V9u/qxuxqtLelpCxEN8asBSrSFFuQmcGIRTld1sKosVuSo7We/pLPBd1dQBV3dWbrnntv/s5cX wrUVcyQrLH+eo/dthwJxMSiEo3tPb+RFmUl+viFIQlqzffGlUKNMaPQBRXoeqJuly6eygXfw0Llw VX7rc4WTW8+QJwyMyg5zBKCR9HbuUXmXWyiHAH4v35CiiMiLNLAlxZAUharu1SEs9TQWorCaGrbm NAiKtDSgYfOHumIKawIueancchqDP5Sx/P8C7o3LbDofzCXiJavNfJ1FJbUVvMAAOy2BsT2PVZo2 NV+2YpM6oYTg7x40D7rQ1zV0kYF95zQoQEi/AayjMvLlk7QOBDSf0nhiDHIkwgKc0riwb4ZPrgqk BGoL/FPxPI762hAqj1qg0fXua8tH+SSSHWOJ5K1IcBxtg+fxU1jXXiZfpw5PuGlqGJ0rkxYjkZXv Tm0Hl5YDbfTVpFkSPQchvoeemCrLsCWRJWxS0/gDl+aC/DV/mh8J4pJ0N/Mvsx7Ks3BCENpl+taU 62/08jwcvRunZHvszCpuibcKN/jyQUpfcuJfCdDzATDvGe9BGoaoxC0qbrRzd9CUhwZzNzMgFv3U QIxdIQmK47D41+rte7Xpz9qibHnRFBFA09KDpcQxCrK6XrrDAriCwMjxHPIpn5RZOc5bloyzBaSs 4PSNzbneexLh9DbfjCa1T13XleElBLJBVIGHz9Z2ofTt/rzIE+CSB6H98B97I49esRlN/7fDRYPz efLRPdYRZsAbM1NcJE/IJb9nfEBH7zb35unM9pmM847ZQAVsEuLQ2tcaHWLoNEVPFH6+kU8NSQlU dVBYSGL0AK8shoNly1aBhsY86xOeZdwZZV9sRxM0lGt7AUBZ6iSCS+fpMeuf6w04ob88v7tY/jNf aSuY5xnynGj1+EY+a8AEycqXiIAKtnkPIpxfstcfKMUmgZo5lhd+CjfusM9yxzTh5hDL1itWrWEj 3R0hsxTLM85PPr/1hgirUhxgdI3Zmw97sBagC5TnzW0RE2gTt6Icd/dji00QsZVRmNyaTqVMQCsV d3Oh6a+tdKq0RgDJLLECulFV1qWRLdqfUB8kFtDt2Hg21fI+qZ2nlwbu2oBkBTFEny2IBDcMlEzT ts5rPU37Yy6we20pa/Wokni3eVjslEt4Pvo20OBhG/5WVUe20LFv9WFNAPrcgoObYzf8+XmuTu4r z1DX0ujRCZu57U01e/QQ/ylKvgjkZZAPfpiUax+RvVLNK12rdZH7G5IVhn03Bxns6mKHISBYLk34 JYqkchabJls64OObpUyEVkh7Oi56V8rZnu9ZbDxCFS/Nou4JQAjLvMFCCNDCObbpTmZMGerz42uJ 3AOMnlprcqfPCV7kfDJFXbFJboFyzjcVBg+N1L1oSJdmGmPsHD1Qba2oMlV3H0n6MV3NjAweN3ai ARgiNk4bIM4WGmc6qMYXKPkSItJxOkjLNYSQH/pSS9zvcEkxtdrfeQ0jPOTRapx62nd9J06L1F6E TztY/96SQScAveKzVIdAcuq2aJv657rl30OKLLytomKIPf68mDDzosal4vupsgkKyVlFhtWkIYNf O1N8CiBGsEn1vIktgajS+Xqm1GOI+QRDuxndDtDxHHIOHlDQTXtKlX8VsWmPTItGeQi28OcqLJpp v/aoCRbQ5prl1noSYSFExU0D4qpbHvdk23pFtp98VSBbEb9IHvufj7H6ZEeeulgTGKdWU8zXWqeO r9/k6ck89Ex8fncStTot+HGOCUj9RyQEjN3H8HvSflV8o1CE25z2QBul5ndeOw5jy5R2k/CLtfqI xuiK3vNO1VK1km5Q9N2BCBCtZAtVgGp55MN4GjMJ4ZMTvEUU50JagMiKLh4HrgoMuB2Me08zzQVH 17W7rytUnt8CkD44afsD+WxVfSzYHYzjTHKfjp20n/ZlUJRn+tU1L2j4Wugds7s3GN9EOWMmNsOs 6n/qMdUn3ph73KJ16wOZa4h1BEDxWQbaoOy+p8iU7w7PU727yhUwOXpSNUe00iFex2Pf3Y+k51ko pb9TYwOsWc6zKzRmSZRTNjXC3NvSM0xZs+OPeZ/HLYo9NLg01ZriKHujP1h0irPHwhFt66eRv5Ne 8S1LdaA8ZVDV2+HkHzL8v9Cy2uK+2FCPLsf8wPyr1zI0ddxS+LDl1YZx1WjTajyk3kKs+D6+poc4 eeLEzi55/4j1WV0H5W8Q/kpKjK19AeEIWX/OLYFZ0e+iq0lIjsNmThQSwIykg3HUINFkYOivwm7u ikV0x3sINDhquAH0iKOT/R3Ql9IbTCy21heqCqk9utDPu2ad8Upysp5mx7zEdDGamyGitsCbwujq +ZY7FHKwvZY+gAa8UxpBCoAboXpW/m0cPFyZk55GSqWMuWfYiR2oeVH4SJeidXPvU5WRI7MSkJAo DT/6AI415NAfmwdF9CmxWM3wmzd+yIooCRzQUIrxlUL/4FoA+W20ekaZvbwq5LH6YJ3Uy9i14ipb 7sIh3kfrXkvmQIJPwqZrboINF/4M6xNu1Wh8K1YhWZvuVh21I/OqQfJeWMWm1hUsHEc9rggz4+U1 i9qkgAy1/o9xXSD/k6q17CaBeIaTPBOKyuuvl/b/WQ7hhWMxkDKsyD58FEpK9C6XoukuXo/2dY7y m7oJWlzXqv2qcyl8VAnrHsXpBPKb7k5n8M4MdjJIblAY/jGmqNcclw7+ahT3PKpFswIVOIvHIZh/ BtibgoSrCOaxgszTwX8OOVmDyohq2NtE6p4V87co1uRGO0lnge0Ob9G6KDryaZbm1Dyi50GrHUgY a3B5fzP4LHGed044zKe25liGAq+fehpazm0dD44s/UXcDDgkxIxSKSaxQck40nFIrMIZ5k06ZIHC Q26dj7vrYoE7hA3lfOlfxC7NSB0vxJbTyDt3W/h4TSJf9g6g9hExXQ+lH5Jss1gKK6S6msKdSniV jTu79PpsR97OikxWmSYfkkfpl7TpcZ+5wMyfZSTBNcA4p6PnxWodkCND+vbk1ZCYHdDHl0qBA8oK pFRbnZLiAO1JphZXODq6enMbQRuaMq/UBrGdjeQoIDgy/3uSU5Au/iczBBzoYHvIXiwXgr3/eJm0 SJI6t9n3owOT6AlulWWjjCCpaE3wLSvZkv1oLUHuXHnW/25JTfVHwyk9f6DdlpaBesu3HVSIvOlK xMDuZFnioRmtCwcCjGwJ2SITX1Y8oIXBmGN2cUXtOwL3QNcuaWys9N3kSoDfBdkjSZHTf3WdCJyq LHxCjcgaYrQyMts4HLK5UTx9L8UYTlb0c0DXkbFrsvvp/vDQ1ge+DMJAXrUhmHwUXjs0zMCSu5uf u5PJpXuMsyQ48NTJJ2E9h82rkhZj3qo5c+gVeCCAkgRvEArZJeEg6+b2CXa1QsdQ4eBPCNV2QUlY tqDPzXtisuU2jg9ooUyBmu+Px3AZCuG8wxvF7ClXe0Jr2UG1u8kQUgs0gtXXQVrdUf2ZadJH28ri BQ3Uzb3ZDU8YtpOlIBA1uYiYSwOOOGvNN3MKZtRNhBNlN+TVOZy0AM8Zigqc3nJfCD1q29PftbwN k/8lchl9GEvbvGvgU85veq0rKhD4btyzhYAqkPPc0qA17IZQ3Xb/FQw+mbTNDdsURJJGIOC0IN1B vf0MGICn8Rb7uMfErn3jOp7q7X/ZRycAMMpgfeuOe6pyvWHiMjHVeUeXpaU+P0QFe4xIPbDdKctU 1StDIlXcfXiOXvI8Ewp5a0OqFqZP+d9eAnb8XDV1KnTLC55H3wUMs97BRgqwq1E1MXw2xjYVbhH+ aOiBRMh8nJtuKSak6nkm26qWBOa1zmkwdUdJ+qjics2ypSdVKNXbCiGwYzkChzMSH9Tbg6u6/e4M 7hXoC/rS79n/jSjcqAzhx2XQCT1X9peeBO0sf+PLvXrm0YT0hXYazRZMAoBoZ81ALIDOVfRLtapt B9Il+bDsdx4h4zEc8M8VjRY+gQMCwLg170p/HrB0JWVBOFLZUBeKWON8trKyloBP3EPkTy3xCRKw celuzGAtaeJpcX7hMnu+ScrnGqeiUO4kaIK9GzxiMLAYnDmDAyFTHRP3/4RroHsh0z2oZcRmAyKE unIRDfYc+mI8FNFE058ftE6b8PSyP3LQ48RTCMAwZrSfquXraZJYAZlo0kXNO5kdz4zfdYCYvNhh qebe7gHfYsKhh4YVaAIDLtxpmpCFdg6jqrqxmevhMX5SBVxyfEcrCjo/tr01KgHcP5RQ0Y3+A68u 9tQDKiPBZIIji6NAOGuIgsIlRQnz9dtQ9GMTCCN9wp9YHIVlkFn4M6LI2ZzAEDOKNjd6Me0cQf6Z EHoCigchuPYGlATR0BAAfGl+dZeddrE6YACxrVWBl1FN9fxRHu/uf2WHuFnRGqAVW3Y086mLLpZA R2gi90uxW+rpas5GWh6nLDZQs9cKXwYjWbpGZ5iJ2bSC/1gZRz9qMYAP8jqXWtHN8il2wbs63bWB LTuSoVZcaIe8qgTEFrzDvpvvTNRYjWv8qW2uJ3K09jTSMtdlJI3MSJHpnP3+qxdaDGQ06AXlI4q7 LZiT0jkwhnX+IAlfKSvLjbBIISTt4AoyREbKK+8fPYI+baEr9sDhSt/LlL9sYZS/YWVsTq7kh/i6 iPGtkU0IhsHh32FawtPE1nzJo1C/bpJ4B5r1F6/Q/RhGEZuWxlS8LxU/DZtycAn/eLKfsp7sEJhA bK1htNnHF8mQbXqQQM/0tVUDqEXc/u6bXN5jjyBfH7xfYYb7My68cLVm9M4qvrlkqdGK3OJP9GHO pFyBbvnR8/V74LFPn6bQvSKQegS/HnGSkcxCOgRdIYAz5kf716VO/8QLre2R6hIeguIyZg/9a6tF 7jDEfOXmJO0JeSx+0z13yXXayvt25UT1CdoC0vn77+j/Aqgu3JK5I79Qry+jVmIOZ7dAFwRs+SG+ kYLeFaysebXT2/pHhWqVeUMWbZYR26xFI/vWzfVIXbx9AE4qno2V8G7he70ZqtBHn6aUZlLyV5mb xwMqQNrojA2p5eJdx+6313gW3Czg346rQ3ePwmRfR5ubRWZYb9IlxbR5yMZ/IdNn4u9KczkGTi7w 3DlfVypzfOR2r9gA8z/OVnRmjZzj5461Pdmcyiq9UuFVxKR71B2P5NoYCaaDg3lcwZLOfjZ4MfOe F9DLZ4NMEX83jrrFHu+BXp9icG++PLmvuo0g2AKJ5O0951o29j29SIwMHxybAl6DIf1MSJ47//q3 WRRnGmM28KoYAfTnoq9k+aig1cufFP79FhUJZcGtBgmHF0SH9JwtjQ0UMsWbX5n2EtkbWpbWrjjV l/L6QtYSbioTtqx8otjp+pou6fMqP3X4RKJc3Tybpeg7Ya0Y/XwaVAIMRJOmGaQnxbz0FAcLTAWa RG1oxeQE8X0f+XzqOm7yS370XaIhfyuJHj3wIxfB5XMGds5LMfLqef7XlSZl/jgY5T+U6W0tfMhi QuLg0vcD4RJTz0t/qxMaPEhPIwtieYsWT/IrZWrHYf/Jvx9n2HHRCleZ1O7qax0PrL+L5luCpV+R WI7dy6W5Y3FMhr3w9iwSoBhb3aX/fgCDm/nWiaDOxJ8ib8kjtDxSDDfj9ruVIRpvrh9MZSKPh+kK MHMkzpd1jsw32AUzazPXweiN9jCu/d8cVEGXc/BHwE9ypJ8dlj6l8BZw5UJ4S7f4C9s3YT9ohlxN aso1MUSZi+bZxydhUXzNf1NwOHq9Lz729DMM7TrSL4Vyz4Q8jZEpd91Qt610GeySvUnf1AcQEZDH smyttk5vZx9H66AMsTN0UQYSu6oBDu7Vl2Cnxn3dWNzUdQ/1kOy3ZcnpysAh8pfnnZtOsi7ZtTRz VDWBOKPjgbYzH1wcc969u+p0Q5tJUQf7zksBqy5mppncOQoQSdgTEjxF3MU5/1PeWuRbpq9yobAe 3Oh22jAjBY//UsJUAe5DEf7prdIHiRbMv3MKdGJTdDC8sXw1aZ1XFwL7tRurU0JQAx7ggk+7aJDE /xp6WE2FyrPHYQOciJvuC0u9SIzTGCOgMl9ylytNnU4f14XzGaw5vdIA9jeNcviwt0IVP1GHcHO4 0HYpvU/A35Dku+rFndyT6Nv2c06jN8YS1QhtW8Dkccc7H0hjHhzbhetYkYPDOktgexKN6eC+wDBh tag+FVR5h33wUE/Lny4vNoc6TW5TbcTIOqoAEq2gUYyuKA39UTmMWj5ZK1eqgfxNestPWc3vloZV tkhsMTpUAsVvKZ/W8/UCqf+yVSiIGhQ+Kxvp1hIdHVtHSLIbIjaJJ6nWvFZP8G72KsX8QD6CyStc m6ORM0ZXArnM9opOfW1akGMznwIzk9KsCbYgY0D45aKY5NbU64R8A3SRGEjMXLYjqnRyniGFZ940 TL7HleBNDmalI9/mLIBQIsk0m18D1q4vSeN9cauh2X3H7pFGqMVxlfDuO/WoFxoqSMYy9y9t9pOT STG/dsbvVs/DdvYBJrFx99M0T9rzmyVUQw9mtUE+YWCTnPBB0c6aicTtOfhd6dQ57piQmFOru7xX +OPSUOYMR+aZ7B4u0WSIi0SGkHZOAgUfSYsHqPsS4pPhjrHXwqxR1hhIiTzyXop5ayZw/QcKeNNW MqvVusHscC9tisrFTg6Rv76QoyB7IDWLrSPurbefqMyre5jZg9jscwQvVL1UmO2O46/ylk0ColpQ TjBJLPlk4nSnKvGzykDUhc9EWnVZqXukHdDc7xR9pP3BLCJSy0bEBtFaWnp/ziOkpbnttC2GrHNp Jr9A0kP7XJQyVAd75Cn0pbvXDeqROUqirVLOWjE1kUzqHiVCJGCdGhf+BpYHjFQzBV/uy03eDnE4 plPQTRYlHSN65hSRw871qoMKMDZF17fsrQMBDHYg3Z/qL7gRdmWO5FoyGGHSDqV0iTO24juRbXla tfwXAIir9HcP6ZMgQlFPUB2PCPwPuueMq/DIW/v4fzoRfw/gBtyR7bG6Zo34i5kyxR6AiMSfHDdW CvpoTvEvlaRyd2K+3CAd131GDKTrEIx4z/AZ5VA7VjdguNknAeL+2Iirw22Tp84cIbeFllZlc770 KzLqtEoAzzkr28EdsJSeOntRlRqd2DASYkI8n4bhP2BadEgeBMwtmMiU9NCTzS+AuAo6zFKFC/F8 Gs3jRe2tbeBZ5H3eQEZ+ohBo9HDsSVVNUBTbIJynzurWm6nfaTcVudfBYsyqt1CFyPjlXSLBWZXS eTw81uBya6zlZ/8SIDbw6J76RtwLrEGQaEFkon2NbRnW4OXdRzSsAP6AK8UUu92kAaPpOdsIxhdn ctKVeGjKfI0fo2nkQjIyIuI3Zv9uK5dC8E+ghfhugt5gM7EFF7gCbPSRKZNtXvXQ5e2VvRu6Rtgi XXPPqvLx5Ft0hR4PYgBUzXTNuHzNjAPMPgf5CNG4FeaByyh/AljrJ6uTbueb+/UCQGzhDfttVJE8 1gqjIQHQg3HnO2ledHi6pa7ICIhvQLrpKUIyGaNGe6x+MJ1QDfgQmsOENnxzXzsSXoKvfR2YIm+8 0RKkdy+Ej9q2PXOLLGFHyP+Q5b7ERpLZAovFHM5iZGDralxcAhycKOr2UMkjkdEUSgTAZ36ykMYN X/boFkRVdxwAzBmxyzbpqDghpZnSNGXhRmUe51uoa5I0mEw3AqQVdyauCmwStHOJHMFujss3+wDo XvZXQ8kKDze0a1+LnXySo6rPXVa3R0pCdHCh6veAmaQLT+oYUaQR/H3f1febnRlls5LXDB3uRuQx gqJ1bzJ9xHVNwkNqjJnYODXq0Ycv9bf70T5cMEzDhWTDV3N55SzUBDkmzmZh5VnhzzNB+g5Z4Ay3 kgHVgoTSOw1Cavt2d1xyi/4TSRyA0p6AEgc8hsCleMPJy0snXdFRD2a5NoYd7cazBcFlpXa8qdQq 9sMCHep+nVWTQfCSK8tUInkoxb3Sg6fLlK4q1meC4W6Z/YwxSt9lnKIJMXEm3Gokzf3GeDXh+aGv g2DzjGJKBFptTiibnFI4aqb6LmOODpl+zbFVzJ2bHHRech4/KHGwe6qzCpCeC7KjSABVW1u6lwbh Yt42L/pJcXe8OIje3Kf2cgaw5kkI47MKdlhQw2oy2tgKpcpgFg3l2M/ByITKnsaQEqBMi/R4exLb +GnjdU9LBR+5lNtaJeYOk2oCPP8n8KrUl1h/xC4+Q9SCZUnTkdBp+DTJANM59eCB5ojY/NAgLVCz YD+KfspU8vBucnU7rnDwVuXXUo94H/RQV80nEXujyHP37Zg4qGWZwk6rhrdWNp7wZSwIbBy8Oe44 uA1B79x07GQMOP8aI2Tq4BzxoKeSQRUd9ofkTQwhw7NB3FiZp/Bjtjo1I4Y++Z/Zq1WGVuYB6RGI AjMSYsqib5xOA4ZIBrxgWW9TYFnk0tcuJmlD89KbelXSIHQetmPRVWYFd/1drhic29Gns0ZPQX+q vEqLyetxWgMfXDxOu5H7nkSGaxAqSr0/tFflL9NaUphBeGiEaEUn6U37aVgIimAyvzEqknX4NN0z CSMJ1/+Ry6UXG8DX6UWsq0leOxv0fFFx/Q/x+hZKnALINuKoqTrEC3BzuKVC5oqoo4cFtxa/EQ3X jaXhC/uVNo41+jG+yuHI1+VcAm67rvohQ1TLvVZ/Gk//MtWc0s3XktWAdHc+hlssbRV/ohSI/xgA 1gtSxvRp3tM0AYRxUrMDFrvDiTOORWedGm0lIRFPmux9vKJQ5mAIFhWOC3SExv2hWcRUB6+tpit8 DjAN7rm6g7nwHMPc6MDmEEkY+GnyjuNKgPHVy3qDIPz0T/6O7eJIPmQXwM37brqfMhpPtp3W+yG4 gV3aric+H0dUz4lfLMGgMPZAoUIch7+9Q4EqZe+fxtvKHVSGVlxE5NXCPefuk3ZLQnZidmxWTYG6 CB1yo3gxCVZ/2S9mZcoxgLiU31AprbPNzyPDqirL9WJiNNbZ81lRpayOoUz4uTj/KENozhhsA9sa 18xhpmfSssrFfjRUxsp6YZiXVAxWxHC9qaBUNI/N3okWPEgD0bsKZn3/+lDhR9sTIbR6wwAjCAIH MTPuKgvqcIdelRThYh2cCKcDoozW2z9PCkbK01Q7xkqZhFpGqOY06md0DeasQxWwzL0y2satv3U+ Pooicpo4wlWvdrS2DGhgm5YpieYQsG8kJVi/I8SC6tzu9L1//Q+fcIbAj+AMc4UQ62nX8Q/HV6YB oxxTm5npmc4qGkjhrAF0av3QeWPTdPsQ/LM58OvMsVHY3ul1Yxv6/IEPPYvLLgdV2C82iajGCWI3 8abPRHnAAJ18ecFpmO/CxFXLztPSICmcZfqvhM206ERr3iGOZBnzDdH992dMMVIVXtSyKLYC7Y0k ulvcuduBwkx/D599kC1CPcyYlNYOG4/4PQARa34wtQQscwfuIN30G43RXnNY3/mbCfhf3pc7vUKV MpexErxybZ7PCrmHxVOdH6hStQTA3NwgY/1W8JSWZ6EF/GBpklrlszhW8f+E7YSs+DW6pGXw7k6W hN5d/emfV1/R1E03KOVkmjlsmeWIIq1znU61m8g7QQ0YhJ0MKFBwiGis8TdIy/FqhyL+nHc2Qx5o Me6ezDyzWleoMu2qwBOMNLaHntodII8dDVbugYa0NbKQSESzdnm6BTwRlotKGWfbAFU687bH7pFL XV/XIzTPZL6SqG19+wDv6Fy1+N8spFpJn1wQqCfhTnf77DfE252qqsmI2cjWpOwKcpdvwyNr7veB iBIoMxLjgjmlmHLrjm8HChNqz+VM4W2T7p7/MDLBWYbPFcdujZ7VD2Lptc8FRlW3wfS+CRCtbJhS OKtMvE4d8Cjw8bdgXyZW4p6WNxLeJH8l34K/KJQXF7Ky/N0MiILEHbvrFnRTbbw2y0jE3ViGBCfc zym8QFOXJhWglUyTv1+j8+JdgP3YLp6fGw+McDraaQn6n2MonLOM8kX1JkpyNJvrsrHSLNZtbsZK 3fn2nZnCd4ywFOsvbs1kudJHm9ETbm5XFYV+4IeIjxU4dV/e0Ud1ZDHw6bJvMuOdhyQ5f4R4mN/f Hvd7In10IXXQswadEz5aw7dUajyU1VBE+lzprPIItVzOHu1r/E+E/qxJM6GQTdjFLuzHXZ2mFhKt n/xuQ5OMajJySuQfjh62nGoQZ8rrq1m259Vc0wdk6FG1DJtTjlg7H0Vfy+iGeujMDX3Y7VxQnZ2p VTwdR5QYaK2h6E32JW3aij/cOoEQuIfqYWHkuUqicxMpKtOSEr4lueeXRI3vkPXgTNh0fXaDtoKR wF6hjptBpXAe5jv53J5HWVFUWvd4pIKHEKURCU6Zsa0SfRPB1XSJqL1EX5Z1mbti30CJif4qGCxu CNv7cqIFNs5QzpcB95VNYKYyc6a4HdTYRFT5VhK0eT2neXZD/w58HvhuFnobMrLBhYQBTIiNvL1M kUb3h4A0/cx+8kj+rZXstQBiBrR522QSCXg5aRgH91g4nD4beiozVXLwhENAwUMl0u43C8AtPAxa lVHdTO1dOyIcwbgey6IzCYGXqOICSubk9iBGLm0WbRo4xJXs3q19ks7YNEYaL8dhPh7eO2EwwMre ajunz0huSSJpwPyf2cJlVz0w1RyVkED2eqpxqJTGouFbPLAHn4V4/LFSNJUfu3ONgIhXtbDvyoSU ezdXhMpnDCau9cnC2sb+v2NBXmhYR4+VfxLUO++W3GSMW5Kr+EP6ImTKc5BPGEFOe9wLkFagOely OLD7y8n9M2sSf+AO1/c19doJ0fvF5buxjRBVN0s9NRSXaw6V+VkHr28YjedBVz5SwWHB7ZoC3IQs So7rXSIgO4v8aar1VkS/iFnc1qiByUUsoVPN8gdLsc7vLcbN0Eie3Ykf/4O4xIGNyyJ/bPWuGqhX 3lrPH6Ht1fahR9WY90s9DweIfZiQNFeLJmkUpAb2G8T4nwEXIVAfdfX01YVn6ypsHTk2fZGCJS7U 7rrpejek7mJakzNjzNzY90hae8ewyyb1CK9jnomJ6ErGbHInj6i/69578XAax8I0A7GGfp1QdkCE 5xiB+bdhqa8QDSyrAo7zAPMbkh2oYc9YqKaMPO1AXBccKSYnXTKYthxt3UyLJX9GQHKh/HjqZI3q mnxGvyB7U3s4v19+kts6F3AtecoRgDj8FV7qGtfHOBBfsKarHvkLLbZdbY0XRoI5ZHwI2qpIrk5J 6VHfgXP9wMAqeahODJ5QWRMolWNFIFYQkPIJqDFjn0LBDawCxjFUMqyw3sDzk64b2I+vZ6sPd2x1 4hcjUmhdqkeLg3gNIFU9cJ8pYXBL1TAdfnTeRQSNzRPPZGZgxFXboOe8GDI0a+/uwaCsBxIkacph SrI/zV8MOPmXhwp75/qRxSk/ergRnOIgLvPqz10xBfXGBhOOSozUo2UVf40zQ6n4mDP4E/AMeHN4 TMst0eFCM5pvvlpcgW/kFLcbvr40DLve3SJNCUoSAQyx4yaiQnUu1CkOJNMeoCvTg9jrMppmm+7z Zt0e7xwcQVQ02OEPDxncQHKRekm4pSq15qCS7r1jRB+FbOMb2oKxyvlQBhUzqE96+8WQ+geqqb0+ IQtwAzipypmn+ZKD/kSQFDfBDST0ph7i8XbwzRckc7KYLxxhb7LA/w31D9M+bd/xaAZcRxs9/H+7 /VDKLiL2CsHxKbGYp84vCaFuNL9uUU/QDdCjEzsCeyM2rEdDNisQCsJGXg5q5tYjowRcweQyyNq/ /LbVsza5GbklFyKbhb06qfR0cIBLeDg5bDhxLiYb4r4Lx2I2grWfjJXdG+m47mmoEgpCXXB7woIt qupm5SsawcCHxfSInAp/oQd9jx5Pt+jqKIQ/uFHmgwBhEjpers9lBa0OpOvrNbV0E+EMQg469FLA ylU5/wJ8dhR0AwrdFo5AwQ9wNa+S5OqpA9CftWI+SnNDyfRxR6Eg2mwhxA9XcTUDmsjJRSsQLrj0 LxKjVYJ9OmymofRTEtWtiPfd2J0GnjPs1Hm+qJZT8ek6HKrF+YGzXCxD3P8XDkiFVJ5jCp1ytWFY lATvE+jI2a34/U60/YKIqiSusXemn92CY0Kff65rjrF44eDUjccEX+E3KLU3dgiWP7dVbXsZeNeg Zxz+apgBm2ug5zXW92jdY3/ZGPO35JrhEdkxRlaoBu7oiJSWdh4eSuUU4sTv0n+sT2jEBgpYxGN6 LEQjxFMVj8x82HPPaXt90R14pWrgrHEw8vcd8Y38L5HKUvgqbJmSY1CIPKX0j9NvdZMz3fCYce6r lSoOAyv/++264bhujtd7z5zCmupkvFe06s4SlbXwrsPro96Fifl54hExCxacv4T33iga4w4DO0ml ClNgsaUQ2/R8CHKJW6XekKb1PyUr0akGxmuvWIswPngIycoFJYFQd1bT+FWoJCacWQzEHeRrVjyF cajpFwCNPrqj8+3WuQ80VsJsltHi7ezlruzzRwW2l0kkn9UmMG07kQqUNFXvh2r7uKxxB0UATbXZ eWQV2Zq9uvakwJ9h2/qW8iOV83JU7cheP0ra8t1aNe1qzEnswK/AfsNKWWSIyNGOR8EoGVptEuBo KKv+FKkFkcdQCZJZSJ+39sEehjKMQ4iRUs1yGLLI6nFXFJtD82cy3RE0CTdqu4gwZ2zaUVp6bnmO xXiFeBTwSrwar8uPF99rKL4QdDjTTrWyhQKogQ94o+MTNrcwTuSqRCKNxeas51mdS1fUQhoK5QUg KlP6sN0zb4fU6tuQbtetGYGpG3wU9og45bpS3NH0Edj4u6NaYBCaT6m3U0DwOMCec51aveBJZcce 8a5k/YKhfh0BSk/q1POjgCYBjX4jpI4Nj5K6j1ZuM78m5CeD9ZDhKPfGXAUzumpHYMr8fYe92UUn OEu07PUFTwankHwNtwmtfiz6P5wN3BzlVUBYse2CkobqRQVvzcrNNeOYw4i1O7EDFsf2Hti932+Q cktdMjrnfULDnqNV0gceaRws/FE/B1lNKVQGipdd6l9haSKbW12HUNRr+dRYQ+RX6MaoVTdQ0VKs zLn1FwsuPva9XSBb2yeg/M2IGc1gM8SBM2j8Z4LDgwMMDjAOfmr1fUvhMeqP78MzVjGdwnJolIx9 fKRxImNWChKwTYoE5OyEVARkyFewu/Zi+PclFDyH08Db5mfRXGbZ1IPJMuNy3D4+sxzuvMvUHscl u/2CVV28wxvIvbLGIg6n4m2oZEtoJRYwiDQXnLfldecC60OPIB/f/uP+D8r4NAasnafamj9EI3Yq hCrFu6BEV9Bwv8PMPp9t8e1rrzC8DlpSLMwUyHxrKKFUKE1dQbILPY6eJNpzanXmX0pSCxTG/viS XCxBzjjPv4ha+bR73Glrf1oA/v/lLxnUuvKgc3wOdg8HH8qqdKzF/MR9Ns5JfLixDAfPz1fZZ6C4 m1bYlt/Dbxadkfz2/oi52wzZfwJciycolq2Czn48VT1QM90nFBa4spyAUIfHonRcSpQVlZ7tJRti 4O9oGjjPM9KW6jUegM3NZ98rrQIaZvXJ/ppP1a+CORCBWe14Kx3f0Ycxzt8RDK2XMNN9J6uU3RJ4 tlIJFknvxe/mvVbM9BXzVDPq3lcScQXkZ9CZo7w8MtgwpmPX0a/nYtlwxoC5e//UIlKtx7LvF8A0 L+o59wp+VakMUP6J7EbuZEcKkJlDD3g79MD1yhx29qoe89cuudyzwh/PxEzkPBbWk3iNaUP4DKXB UDk+0ciOmO97k8FmIzL2MUW1Aw0HOdlPRJIpGUKJiZwTyMjJLKTAqBwpK/gwXCfv0kh2NSdWGI9d zHiu1lp5qzdyN/NpI3bXhP3MSWzau1K0dGFl+LHPiG+JgM3KoXhvslWQTJF8ipgTTd1yhFI0r9DX c5p0zlc788w3k/XJW6Ko6xdrrW354FQvEJIyHu4N39GakRTnBu4+Zc0THCn4Ej31d/CyVrPlHfQJ Tp5Os0WPVeOrthUzZxTS9cBxHlDNFrIiR4388Y21e415pWhxEk81XfIrQ7kL2ECohCHlKgXwpw4G 2xJp/+wsWBA8T9GNLeQ2XtPdsmFdDXr6MUJXXfRVfFmdIeZqu0smTZMtiBk7nEcc7CaJMleTmTz7 +6yZQ40R6/oFQkG5XaUxavWgNKG2ceVjGcgcFLS/2nj6LlMTpYmFq0OsyOBoRvsBSBo81IsYUFLI l6nZdeoLi7n5xXlOk4b9XW2WSHH9JJSfgYzov4tFvzPBDtMlh+ZVaNuWHdmNBOoEaRTawwC/m1sD fz2Xk67X28MAHmZCFwwLI8TYtt/QtiFr6GdiBZi39L2kwYS0H62+F5L42HeJm6kx2kcHPykGUR8K PnKEXXiXvvFEcBcRsw+4yRqmKH0m3Ek/z7EMlxt6Dcqmrri5Da1x97znt4fE+mSkbBJQguaBehU3 Cu1G3Cgs6byWy2PpqAQk3k9qUJcf9uvf1fKdRXlA/VFyotGWe2ATU2N17tC/YwF5LGd1pFH5olk7 HgaOIOF1BuRd84MdOhJCrpQdf5lWpRA8UGgdQvC7wlAx8NKkyOVAPeN5LYDDmZDXkC3cAqy/OtRC rUUyteCZvVoSUij32fEQ8Mem67fV7dvkfuIw2k/bMb+zjQacfd/oXi6REhbvem0kxyLmgFrQuvYN vj8St13+gGjLYX1VWtymLejRFIlAXR2gwFLPAU1Egx2wzU5WPueH3jor/SSNKnpR2ER0ICXcTVaQ CNDgqDtK9V64S2OxUxnSr4Rrifx9zqvw0zWwEwg4XNFAOYmWhVGezHeT72sjBaZN0b8F35QVKcq7 /Wubcr7blLf0frpepBICQwsNvWiorb2w3OZN7v2nWXp04szr6LXgeIvz8pEnaI4blwn3QuRwcGJy QJ045Z/j/TTB/ACyc1oH2wLiAhAOZkWHYUSaZdVfcmX2glAoXMXpjUFyfRY0fcy35FJMTrSj7e5H O9EUog9BjoAUOZNw/v8EWHLJOery6gGmdosQSlErxpx4PCFDH8E09j0HfcnmYWkvkfFSFXALsrND fMFQTUOsSDVUjbKmpP77PM+slmVZ0s1/85+8tmfkZrf/Ll6mTlQRHuTVMLWv7nsxQnaoailV6vUm 8HMIrt7p1RPChups5fu9F/XDDtyq84QM2JbrR/uGYvqj+Y1azVZoROzqHuvwbI4h22WNW7x/NadL WzKi8BJgN+n8A7XEbc2Zwzu1hTEZWsH5cVPmP0T+pjWc9sqPM10bbW7ne2om0CjaxD0g4afaNugm W9P9/ajgs6h7v6ANXGSAMYNzRi6f9eddZZ6Lmb9A2DShSd6VQItuVs8OCdv9dkdtubP3wjuY1WPs K/mK+fNyM8mV62GD3cO3eqF0Nhu/dvBlVimiBh5ZBRJHF5dzLC/e1Qn/7BdqFaRgN9N3LzpAomqk aJoKdwz6Mv3OY1y+V3Gpt1N8kvCOVPn410vIt4eRknmdj5v0faoNCYHjXBgmMDsYOOEGPf4nDoEG fIyuqPsS4PzvSExXbNJNl8pH9Cyy9Kz3ASSkLCKOdp1F3wi3uXRfjp2e/ljD1/Vimppfnh0fJTC8 04h1UYEmCJQl5YD1tJmwzPyHdq4EzGzZswAKqFHkrMnoB6D4JUFn0Fz6zYwa+ZI7mqUeAoDvFBKv siJ9/9GbMgwhC4pZKjNLp1NWBn5IBOEtiEtNrFxgWdNtmYFLqjXH4jdRaNmpyW5Gmd1tkqWYUYcv m52AWa9feQx3Kc+w7GFrG8XYqxWtNfH1L0GYr20PVNBvCke+twexh6UE2Q/pll0Fv3GLhLFFxUed mDnpFRO+7XDrbMHnwxhLKYb9SMrEJS+PKfuqVKkPuj/TODC8m2+mkDBLHWfQQ8LPyPjyt7/bkkKY GnWIAXpkMJyIpAEgpvHvdKCRU79NTStfMyDHabTTS5Z5ZdcgRQzqeGpGxtC//4MRtR/mPRCiR1xT nSz7KhpGaY0/PKdghDW2WZHHCngAwG/KzC4ACV7LoBTYYft74pb7mhKo1K9PeZS7x3Tra24CqNAz iRLs6hbtQFsqGwtZCwGlqrEU7z8cv/RIhZJKQDSVU1DqSBqBkGf+RyP8Rv2Az9d1UylUAA9ZSC3P MimFfikIRssukN/C/vhsMqe5PxBi0V6hQfbQ6tIKnfoUveEAElVE1SUyLzW83H5dqDt0ZkkwWdsb onbDW3bhmiiSClQsg3PdtN4WX7Q7qNDGTICtDJxoknX2duDq/K0qmst3NGp9d//BQY7U7Yu4AG5Q CXrT9RHnExtAptINg5PE0HV8d1jwnQEQTM+HqfVh/52usCzFyKk1JxeEp8xXQ3x1NRRkSwWO1tQf fkn0a+HnI99Fn46QzX2A1F886N8Iv0ke8PI701fj3c8mO6BFo3EKnDYMKNocaMiYTa+4AVQZUyM0 InYoLmmN50un7yCmYI0g5kiUr2Kj78cTiWDETtb5nMEZ9u7++Kct1wVBi/TicVbkLUgVGBjA/YpW zlC2X8+0fjFnn14VkiCpCL2mkg1eKcXE+b/B1P/dvIxjr+ETvEYWiP5KR9U2nowq32zBOzWIpAuX SGxgb36P8IEWgXxMyX+VGQNzkLkaL0bHghqV8s5FKSwIyk3rkee+zRerpkvEUN80X6+3ofYuePGv L2iWuvw4uLZUT/hOQyatZ07d3lJJtptQe+K7lWoObhY21BZ16/Fk5rqFAJt6idnPRE2nUzfOsFan 70tuo84NElIBJjTSpgINVbxfAtkVx+wOAiG4eoSU5zUDjNRY7fLqqE7S5wIAQDULVsbtTs/A6imi SDNnk7LIA7MsX09ApGU8wRfRBYK1R29YWWx0pZNZJ4xQzSRW9QR5O8g/eI4cEly3YdXptwUY9v9b 0DK5sA3YAR8dKMLa48apbhlMUODgsScnn4M4Iy1Nj9E/2FZ04ziDWxoSNL9E0zqsRiinOVE+ANLK 3z7sHnY0mWjXD+znKWQF2ZbnbNNnEEeJc7y0gkASNgfM5nyYqY8tYd+0cNZDFh3+Ogv+BTMwgFcC c11jJ2eHCfCZQD93IEi6FkrFT3asPl02FYGc06u2Vf4SCqvy53OgY/P6XClaL3RZ2WrJ2vzoFZ6L a7aC3l56R3/d1U3+W2PJMV0ruvlKCZeLj3TKq+hGmu96Pb4/b345o1uzAgjkiCPUqprO+s/vcHbI Jo6CyxWOaVvbcY5mTfayEhnc2KShImzJ+ShU1LyXllBbHFXnRv8TdXyHiLBl1gNr1QcALHq5OBFM 9H2zt1SCdUYuXqEaIKaKlrvLm59VrhGbNaa2X9OSYMTcs/zKKqyxkMYsi0fB/2DjHXQ3kjvbwD6d hbn7OiMnjgz7tM2zKlN+Rpqt/97ldtOSO/xmRf2NCSc5QgG6eBros6bmgOt4q7Odta8GVOCoHrW9 q109nvstVNdQ/yuVWJKRF17k2dedJTt3GzM+1qG+jKLABByN9buV7D9bZO+FkD/M6Qm91KWuBDFn Sqv3Bzs9kxZnf8Ebjto8Z5Ym8WQrsVJbsUy+BkZMoA6XCXlDT15FqSkkvC3M3gFG6DKk6dBgjKCT 7nHjfWOeXg3774dAJWipwbqxtQFLMyYOsrIYQdZ06hK/G5MapRBiIE4/DtkZZbGmAc71P25bCwvd sLQCkAqFr+OeFm2MXK8aagKhuaf+Idz512m2VLoIFB08lnnFD5RbmAEITV9C+yB2umtwZRbXO3os jJoE8TDUbUUC0toalvL445O9GytSH7/UkQWlOW1yTM5v8/EEH3z92JyFSSFaWadGF5MDs98Mqec8 5TzmnwUAVbV1lvOIZ/nJ3LGCnX9CALm6CnZ80/gfTshVkfUtE1lHf/5li38sAxFNC8IpBwNaqhdt XbAABlUgmd2Mr+5wtS6om1z6Alv6mHt2anAPITnq1q4NFG9MkXKu5BFfO9rRjhPychdvhVpE4SO1 g+Yyg+2kZoF0O3C6LrlU9qdWbsQBh/Gw64BBOEh9HMg8PSSTvRHtNRjje9s/kxg8D/3i45jQNf+W S+YIyLVT6hR0IuxBH/p9mNohLQuknlvgKAkiXsbBuCHOxPoudwqE1kQjy908AzqfCPv46aP/o/oT iuaUFopvwbLe5mY6lJX2X+R2nN2u4ye3DPa4A4TOHrYPrbs0cDUIRX9eh+5CDpWzoVfRkEpUxeJI Cig2Im6gbzgoq/7bLKu9XL6H0i8dFbj+DZNbe5nUnUpwikWsd9AdOYDG2ef+K9DdQSVEmz/t3koV DTP91TljE8DyCROagk+vSIB6H2m7DPvIENwzzdGFB42ZjWUPOtS9FYee3kEDvXd0Mlx0h8lkbbB+ ZLpI0sq0IomacJHKa202zZ2h30V3CknbBUd5lFsBqX221pX7GZO5arys+tkxlF+VGPiG6xPqSg0R BjzZnXMRs65k23UzN/UoFIcmb5ZOF1fG9bGOqcpni/CrXpOQKDmtwkgb8VsVuJZhIJnR802snbWp hkQ6vWAiGO0vm8Ey2KPKQB4pmQ7/neccNuFtQ+RRf4ntSax9/Zee1V9AIHCtRwqu3Yr+SR0QEwZ3 /hybkynytOLgv42lTSr6uBCglNAQ4hAL468wv9ifl/8a1B3nnsuQ3Pz0cHlqBxh7FkhMnItg2VYh sxAeZjJSYv6IkeAFWNLZw9T82ISBbtOs8EgnFwkjqtqlYse5vkX/KYbZlCBTfJu4kmMECCo5PHkv VXZXDN9AYwDJOgXiekboqtTEsUmXDhDS91uiSbicEkckGSrhIVK50dl0TSczyvZwQp3KlNOhDo4J jaPxmTBbttgXPFGq5a4GZNLK+VKhDwRtzR0TlzNtic+TFDmbz2sVdz2l96zjv7ECcQipCVsV4zV5 +7EGnJ2A7KI236WSNbbtVhRENdpHgbZWEwxQPOdXJDBPJi7XRvFLsyGQ6KR7Sr1fcl3j9u5CJViX OvasP79J3hSIP7h9vuyRuzwfmO7hhP8hKeVAuEievTOdc+ALhw//Ga4TTalC3DJYjdCszZqDx6og dTZp0Pvh/bqzMf6BwxiDUqCir0GA+lZ3crjnPI/XTTpTN+VtFSjvbJGz+YaHcDbstdiKY60x8HVV tmmYO1qnaLH05CYDA9FpYNn2FzyGm35W2RA0rrr3dQ7F9jlhifRnuJUo6DXd/5VE0C0eyoncYt+2 F/3Bat2wFPrk7cLMKPXuvi9yHbcBcp6E9dXGs/Ug5EbLktvCOql0bSU8+S0dFxuFALKPYwn7aj9u gyAe+0pbb6GJMqF/hl4KrThycbpofx0ioi8QzjONf2uVhxzkXafX5LdG0hyg7e38wNhDLcHj4594 krdFHrBFZRADpJR15jAfC1uqNwUv5EI2QPyuU4KK6SmL5VZIfLiAXIy9aJcL2Zym2sQY+EX7ClSO 5IdSP69YgOA6PaMtMITit7wsVxqfyLaZYUNkgcQjjT1jQlaRA9iYOBeP1CSUxZJI5oK8lVPzLI0s p0gMdY68lbS0OpeggbqxFzXTmcpxlFoLTmtPm723lj9Laai1WdsmUbH4KrH5GU1gh+fnei/0aiCK +3rJRAh+ooFd0DRdqOuKZxWVgT4LJdOGFOfvDrB9CCCN9tkcLNUH8kmC38WcSlvph35jSwtjBAsR nEASiubbMEQCKhTox3Q9MuTxbQwxEaCpopVBAMwfLJHRiJFsKu26eLkZn0lqyVIUJJSBKSsPxKG6 1kybP91TB9k+3xPzpPvmnsI/KYz4623N/jxJbYYGMHrOkmlUM5AjaIOuxPOhjjA82GNKfuDiMgLN Rv+qTqG6kq14lVIG7AYGllvlVVBxuC5UnmJ/s8Jl0WLDfMnG1UegQRNms8Y1f/4Lv9DsrOJ5U+Ok 9eDZ9ffdxzgQNvE3rtHq8RNWE+hHCK3OgBbpv+GrQZQ4gedGxNF5AsnYLwbT6M/P3aHJLTisxpVL /87P3eUJcmmumvQr9w75vYX54/spjoKu8+DEXCjFn+O1pz+sVXgtrGvuNdu4aj2ukvFFKTfcQThm dzD/GVe3vdfUUb8kNDQPGSmrVoq+lhnA69Zr93Hbpt394EWqLJ4vsItE3l8DQRxu3aTPnVJOqdSw A5+/AmW4loJTbgAtut5WEYg9nBczT82L+fubK7tTBbqQduMc8N43NbQgWVMNNBy4mqGKUwhDlUk7 oLMbqRhq95hHDsCMZng7eBYdhbPLe3cuYTrJushutmcotPW/cpNnN2Zx2F+BdZg9j3A43K6XwbND KaTObnmTEF9/vXjeK3KLpJLqzlaSvjF5YGQUuackd2xZ2kOYLg81diBlH20QBjCMGVhRlGavWtX2 7TeM52r3WZlUs3gAcstm05b5ealJYvEY8l3uiDzQgGNedpefMDCcy1UNjSSoQI1b2DG19wjaqUjZ 0Lq6UEpcj8MeV1DqC6inr/X+rbv1OISSomug3sLQo97iAF5hb1os9Ta6qACSsobBSCAHnVj6I0dU x7wOAcGvraRhyFRbR1Ax3c2wORYhIqAX/WCHymSNxUqX8hB5jUqHfqummUboCC2uTRX/kE36n0h0 p+MeH4KeCG9bvz7ZdGuU5Yv5zu9ca3Ax6xp8tBHqR3TMX7H4C2qAlQ1+AVkiG4bgMdr4qT+GsezN fcPF1oBxpjmB97jbWE424UE0TSWWdzmdg3dZf2qNlxWX3emlLqxUXQ65OZdL2BWm7K3H8vM+cliW y+qSvJvBwEF2GBVMgkTuYQB84mzcRd6SiyCgtOnywxUHNnJPBteiYo5Bmthpz6d687X7a9uOcPbx ZDurhtuyPk/3X/23iCta6+u3HztNVUz6yjnTUTCti6sepQA4yKy2LW/LSUi9uhohAq3hHcaO2/pk z/1NdDrHkp2d+w+H0UAF1tx6DNzGN+7JJmSp3xw6+tDXaCS23qVsuNOP0MkxdtRr95yoEHDVxv7y eB2Pmw5Rt9f6SrQCHWu/qJXFCKfIj2arb9vPosfGEFNNmU9DYWdf6Hv5xDR/GvT7KpBNfkW9yg8Q 7yYoiTztToj7TN6y7Nu1t26+yThSMapNgzqAy+CEWxPE0s9H/lnFO7vMuhXfpzBSh10FisUylYY8 dFyh+lcX8VfQVvzJgfPY6EVd/e1vC/OH5UI0mjO5ckazk6+D9DBc0CPfkCOIM9VTrWv63WWaMwq8 Cv/Xj95C8fI8adtw9bDaXkdl+PDsVcSTEPzMi7aTStZHndId1VUi2qov8e6S7OLCQah1to37Xyco c1C8it9V58UVNn7iFwn3WO75EQLIRkTU0XSO+vC4IgoqEIGrmH4Cy9QcaN9QzuMpvaPatGV4EEwM R8DOdl77251QByKg0E1mDFJUwMqpEH84J2ZbkASespKCGty2SxVvpe2lzvjexizM3kM8Wbu4tVkP i6W7I8RLo5LfDsRn7cKYNh72lyHOvCjxUwSSZJqiGqPSsbhzriykiWkptygKsYRrPuI27nVwHmID cXFsUJzhAjyTDETSBpbWRC6YvQJBuirJq39gq5Xm4ZGLKcj5+Udx7iB+RG3zKiKhk7tEMqqBl73d a19NYzmnsCkSVfVmDQcBDR18I9rVbmXSAArKigu6lmH4fJDtThjDCBYX2wzRCi7zaRvsOqH3d/F0 OT6TUj3LmeVkaxX+2vAN6U7Cn89MOhJDJ8PUYsk/CM//sX8GxIE+KdtxUEQguk5prsK8tuf/JHti acISZLs/6TPaDAbbgiykpTq5qwi5JHcnYu1Ugz/zbDrpoIj9JugWGeVIURZ+knviWrgD+RZtBvvH 54WWcBmH3TV5CMyHRm7a0/5dcBh4cNEl5xEE483YHVYOx05OEqBn/aYyj9FbrsM2IuKsbqdEDViP mnn1w6F3rxuHim9iQa62YhvaCd6K40OTx1HUhD+EaQETHq/0mEcGaAyKxlDeUrBwj5vWqM9pD+Vg vyCxSuAWn6rmZFr7ycroZI20TNZj6yT2p1aildMbHBBbjWBuhgVBUgxmqRK4AW0jRwENi4+HKb3o DevwAud3oDY4+mzqrPjmES/Vui75t7KtJ4G75ml0Wo1tBNAvFa3YOm73LOGEqlBdr2uxPjSt+Erz tH3pJ9q5EYrorAFUavxM0rK/NSM5m29cDBjKDI0yZliyJUbWGxc4GZMXhe787u6o3S72gMyLqJ6E kgxrVYLA827zVU5O1ogrnkjrJHFJ/aXUY2mt3qUVlgjwEBbjiv3HqlHwlLWN3yAIewS7s5jOTznP 41Eo+bM1rJ9bC6h8K7fN0bdoQXrClcrxxSEl1WA/nGhqU92cNH5cqvmqqSL3YuwOqHQTTSOkK2YB y81ZC57TsDh/1tEcAQwzXQ5WAK1evypKaTdumoVP1Y7yVJ/+oF/cEHrCAP9fJztUlH4MZqjcGf4B HmWch0efDFleePTLGmkVdpJuKBxdDuVqc7GWyljgUkaUtofsAMtO8seLyUtslKGTk9buWIpaCwgR 5sJiOPg85Tw7R4gn9YRn/1JkEa1MXKZpvjFaoEPnEpLiPo/65aMKz2CwHHw8GTMINnIqy8eeMkWF RNbMlINmqn6J8zmK5RXG8GnNFkqshLr+RBUQHBdnBueE+ond2879nWUAp5W3i+A+4g+Csib1fvdE zEBPGTFen08iVZDrY3NQo1mYy46zcnbss9MmYW6VoQbtaXCr4/dcXiQAyJHot7L+tzvgO7XoiNbt kCBce9egtVsVTmK21+gxJuiYRAWgGNxUf2JFP1IC6B6CIISp4In9C9p2H2snDgdMMunBXKTtk34V WNgNoSQ+YYjAIUxfXf/saDp1PNuz1/yOtIzXi/TK5KQeBCpcIKZwKSakVfNDNcsrIH5jXLeWWEyi JtF3qYerYldD53KE3YnTvxCvTPk54abpWq5C8L5jgzWEmEXhwnPGos+oQwX+d86cQTzjlVYCZEvb NzA2OKWR+HocVV3lK5JaVVxHzLLVP0zYPYfO/CUZYeDMx2TQn5IpK5ImcP1/hscyQmNgcg0YnMm4 V4N3MOI6wwax/bk/3fSfCpk4XjQ4cE2XDXAlmdIs6jdjiAqq9rrtiFBv7V0gEnju3icRFIwZHznl SjW6gHRnM/qfJd79NEJTko5s/XTmuRoG5UTDKEvlcgfsztlL0lXMnD5O4hQU36VRAZc8YUi6WV3s yIM0Or53GqZ8f47PbaRyLIZqX4NPCjuRgCDSU8K74EFnKXsm1oo2/8BI6kLu2IU1Iz6y07IgdlYg W2nnusGdrffJwssHoNElJZ7HPRXuMKrsvsobHuNKfL/Mu7p19XWbLQ9BSmXM4JIiv4TVCjCp3rM+ Lx5U/OGOaj2Vjp7g2qi1WabG64Yw8oBGGnKEuOey3jOiOdmJhx5sWTLOvjQQomwPJpBqEpkIgVI6 bfb9IoP+GIJCVki0uFAxosczoCwws9W0gMr6465I1GZLTc1tKvyOko+3JkhdId4MKSFJnR7yMKzB dHSrllASHU1DD2hLwt/p/VjN7nPx3QzOEPLd639eZQIoQZKm5B82fWDYwX2hMU+Hoi4yVu8V1FZQ Cex3Srn3i0YHQH0nSaAuCKdPCtgfg9eygEdPm/vq4k3kfLNTVD62+mbbpZrca49cv7DF+fNk/7GC WFSlj9J/+g2Bismi3ozzy7ESQLKkskYhAUkrYO6ZO7wDSZZUgYgxk0Lrr1MzLumOgReQcneJtK3L yMi0urAWr5U4sZXDYv00VUF4Z+wo0+yfr5ex95Uar1EwDM6jOkEEDj4OtzgDxo0flBDyICg/PYyg hxq8303yfopR4HuQPMUS/zY8y8Hq7k2aj4b1UEu2OGLzoSVxIx/x+U44lnF1OUfBvFOUX0OfIqBU Q9O4P8vuDzCllIOJz+kt6odPzHtlOaVAQ78f+D4ccsoIs6ISkEWGzzdZEo5wKG1le9dI0EdRn+KR s4p8Pq8owkZLm62jMCmwjGHqSwbObvt7ukWydoZymTbYPVa1OYfTdPYZEWQDFu6A+H+Wh6GTmZPz gXXHvJprGGP8tKi75RTnPCPv45zj8wfF/cdbI/LH2lqBsAy7aiiyTdWFWSpShuCgVVWpiLJqEKhb 4mOFxjNx8Dq5fmyI5QIfLCmrHhmfgT7Sj7IwnIzth0GsGo3Y7yknopcyKB6Z2sutd1xBEJicY1Y/ H0kR3cdHAyd8jSYLfntD0rTjRelpEoxrcHp+6+1flaO15Kvl1Q+zfmaDnyD1dQeTBsArk7awx2Sb 67a83C/YuG2aBii5IM5mY2NY5wjRgOXNDElbt/EnvzrVK3hQxpCKX+zZ9UJvhGC4x4m9LV6h78ru sh46gJCu4M0nxKUFN8iV8NPdLP9dyEd7FQBEyinPn3U0pfjAJia2ZxjK01qg/iEw3smcmHUh32fi iYoML+i390MeXQyCfTv1cdVGpOyhIWWXTfP85rBsXFA4xHEeHofkTnOdCk31rQAe3ghxVZZXvii8 dBHJLKBHXqqPPBrlWfB5eNdmCW0Kec3E4JdH3pY2tUij5EHbk2JCKrMMzm/fRsgDKJJuuXqknPoA hW5BE3scBDZJaqvudA3Ya55WK4dqoc9lp5p533IjtJFnCLAmGjbyadiaYqNu7OxoMhmNHpxJkzSR +xt+dH0U/yJvZxHB+0ueVRNiKol69Qwmfs9O3amUd3npazOcTkYT+wQ7D/eD3aJ3CKI1dj8WBYjG 5/9DnpG+zOpLK3tutBlBVC+t4P345kOhsRffSfs7ecUuU8S676wmy/yIoG3J4reeOQHp9rPjPFyi MAiLkUMV82l8yyjg3n/YgB6SF12k1QBrvLwyIKzvtqSmMpL1SOwVsKfJ7soKZR423hdluDBtIRhQ lqXDpCpYTMYofZtxErPrvJId3UV5yvIEAZzynqT3BxjLXMjHBD5UKli5xTBkOmxT0BkRiBsbN4Am 3ZlMy6z6UPG5+E+2lKhHj21iXAKQEOIw+Y+kgMCsdspCfU5wE7t78o7vNf9Y6Q1O+i2WeZ7N4elH ER62e7h4nXFODyK/02sWMiGN7aOrTQK/s7DdRd8ZqyfwJa0q3XJqwNO6p4x8su2TEvUh1Sw4BiFu DFwuuUBHAsZXmAThbGGyJtfVU7S/a50s3GWaVT7bB+9/SfBd7nsW+q9lDrtcH+MAgUtUZmMfervq MFAG+7JpxymGed5m6zl9fQNMX/9eHxAqg4a3V7C+yLm4rQoEc8pNRCRQ+1AfFPlpjHgiBTk3gWe6 nXs9sZsPYFq2OLdC95z/8aQf48gvtK20xasYFL034SdBv1+n1k+LR/r4wYdDarzFbumXyM/7WAuB u5BOiygtR+c18E6JdHFNpvjRfQP0sCcT1H8WQc6bHHZ3nvNUHvL5yJavhoReuuMsu+DDHRin9zIq SrqyZ+39KbDaEEvXJ11ExNMq3iX80GLw9b/jsKOWNOzPJvCa0NMKGOKRMkcA/ezA8TtRMJj2Ozf1 UuhAm+Nv4J620D/9otPwqNcD+xcPQj8UZC/E9j9Tw/XkHhMWiB0/mwOXJ7RdavlWUTTmx0yVFjG8 uLOhgAGHQhhkVyEMdRDTnhubYhGk1p2JKeiML1b31TymSCHlWSpNjA9lgXUrBZEvHKOC2efdAhOo gxFTJuqMgikyJ3eWpesD/MH9+8JpFdfAd+4iFJYd0on5dbdyWuEogWdJUN+kP9R+WmaW/OEqmSUJ AebflrAOdQUmgPut9RiSEh2GykPCIXNXcHB5mbADGUnjDvnWcWt2gg6pnqziiHvP/uHhq561PzD/ E0tGvKAxAzqeTa3N3Qx4clEH4bfv+C7ZU5PDEe1OSurO/Pf3qo5f5+I4Eur0b1WKt2XnzN2sL91j VQHDYKRWhupEu6tQA6BnlZtBauL1Zg02wJmHQnIj4B0RQotuBNo3WuzCzZsJtKDuHwFtk0767EIe 6d0PDcCAP68RAc51EwFCYh6/kH7F3eUt+5tiKTf7bbF9vIsFjX3vNk6aGV/mc69Ko23DZ/NsjqVI SoyTo51p9JVm/1ruB8gjb3R9M4hJs8VIj6ypggkKHd6ELfwvTQHcH2dqzxvLTEN9mL/Ra2l7d+UQ 9LndRgcQY+gqjoZZO0lUl933jPpB3/VcjyGIucc+Bg9O/xBpaNJ3f83bzD7Ktd3GB9nBn4HgovZB 7ATdR0qzXTsZ2o1J8g8ZFwEsBjcOZf5X7ybYlem4BoBX2+hxs/mJe7VkhzW0WYaXgMwzWQ2cb8Pm nezM7niccZyYV0fqDpeBJwro4hysn5/3VQEMd2zaHyV84+UkzHpmHXqWzgFiPnYhbam31Hdvw6Wz 8MvnEaVNwBoJunT8nGbYCK+UkQqBvtMum9q9MATdugJEjmRinEOE5Edq673AWSCm1V0wuZmjgE0p tahnBB/nmmrmxMD66P+iqb86DYiRM0DLMYIXCvtUrYrJQqx9qfg5fzm6zuwr+dZ9BhaIJK9BEMvU aRusw8tOoyW3dkKDurw5P8euJPLYPDgGvXunm7dS9d64jTlQTeZk/Fpwsm1CmXBfOMBZhJ+/p8rE k2q92v7v9E2BLeTTstAAbKrvg80fEy9KAgsEeo+3eEqLAWXgHpwUqcamtmxI02c3xXvmvFEz+UTs qGB5Afw02+wHoDot5NJYpc7R4gXvjeVaGu//fDXGcn3K8GX7+KU3s0wE+vEMq5vtQURLhUTd5rw9 wCWiUcUgw3oT5c64NsKOQSL23bHZ8Mi2MAh32ECfpIsDInmmQTZluw+wWXZez3bN2IxV1HqvyuCy u+tlLqMpjat67akzD15t3/rBhhO7Wuik8xs30qOEE8wYKF2RyhODOEtDEmQPtW879IrBiEFh/Nr+ WleR3mpT3J3/8BCWE4zKnQcXiCn80rnOEViMGcrAnnpy5MSqTlBxuqyPkpX+ZYcoLkDp6nn3tEy6 qZfLHbpzmvnRcJhNPH4aFVjr25rP1BR8xIAWV4AgsGo0/yqgZMg8g2DRtX+7kr99Mgh5E8dI0uUG dh86jbaWExwbxMxiUaKyETB3tMQepvMlRVk77jmfmwT56W3+exQlPmKVq9Dk+uKkkkBdqIMW3h76 hlozO+WhlPLVL+aA3Dc9qDqlk86eZo4HgnQhhZRWrJ70pPURP+TuWBUQEGfksxSz8uYk19WaXL2v UasQiasHI2UhhM0vly2YVZilX+yqN6bafYBQqyX5L5M/nH/nnNHXDv2W2PCi7P/oSmi5IBj8Wl6b BrdB3Z8Ny4QyMAXkklr4yB38+phlm9nR+bvPqe/d94UZFVvSTRQCCw4Z8bsohkWlT/syMFOvQBbF 8U1qQxDnCki9HT4OAsqvQ8PibclmOxvSkJE7+Sr4FsmdNKGpslGna50TomP6/obydbryBFVml4/Z 2HGS4BLZbqK1ZAuHadRg/xNul6qB8s+HSdOCOHpfTpR5hIXge5YxDsLMxzA0UbL0RwGZcRozpe/S EYxRquuhWBSs9SYExHUpe2+xftQORvVzqBaT+fDdXSiluKEdIwF/OCNCw2U2JkG/vhq5f7/Y+Mnq Z8vkU1w5pnBYCqEJpv1UL2PPxCVAj7kmbAZ9M39u0xspChVIHe8idzPy34CxYHJLa41KzOuCZ0HL NElNhU+9cRSh9lKOLSWjJdDrj9wz53fjq7+Xx91fVA5OWbvl8WiX47/EXXCqsfxxdJfu9hshGKoW I00dkVb/zMs2yQScxDGRgXMC+xn5suKIkoU7LQ4PDpIFaXPoFwjHrOnKhruV5GELO2WWgexRJut2 czllOPPRPvPyCDEStFhOhta0rj4H/bOgRpDNzJ3kTbFfaYyYJ4LrRI1JdM2jUp8AG8dw5ntl8fqh kj+3UmxMJIX3rBAj2oRNnen2PvtD+okEZ0tLB0c2aY8/j3t7UdQcUwL+dfCtgLN0e7jQfC5aIvEz p0HX70pDc17NCNuMpSeXF5/EHqBOcqetqu+cSesJKfIKg/FaHdjdfL/NrqQI8G27/W356Ns4p2Sl DoLLSf9VQWwziE8wAsIyYlXD5G1JkluRbpI6Odz3b94ScYW1BiOhxZUdmbN7UgkLZX+uCKammdZ8 deEcc/XPZlMDREzall0hbbxLTRFxvoOdDTVOLR8PkepfDjSgtMPj0v3bgncUPLl6bmS0Q+9yDG+t fVxZHDp54hpeuYVxv5iAIHvouM2xiGmSG3E3n++o9/ABbl/X+Q1LpE78EeT41q9yJTLBlLVTx1X8 elFrJe33wy/M1s3rtUXG8xl+WhYx/FZDQKo70e7tygswwKnnXXXW+Io7D54rMpGt0ZM/1aNzT/Rs LlgMNO0FthpulcD8REGP8jBIRlBxHQ9NiVmG1NV9yKO802PX+svR7XZrOvwZ3aKcTJBkP3FevyPg w3WTTbyZEj0vl3doHG53DPF+TH3ifWkcqxfYrxPWF95DTKiuTAbuHwcI1IkVai6nOZYfjMYKIjDd RpEFJToJg9zx6SjiGFq6rVPKYEFy9tfrF6Q0IPIT6oSmQkJyc8Bsg3/zwyf7R3vkOnxff9Y2YEYS I1dedDIFVRpQ6kntBdf1A1SjR4pEiIk8fTA6vUUjWaDf8mx0VccWn+T4HVgtJ5znofzcuZD59xWG ovqdSwPu17CzfhjLR7ts1YkE21ZE+7S+bkF+okJDQ0hP/Vm9QggcJMoQJaJ+lpZSg1WRTOs8+f96 4yVdCAaH8FCt6O4gcKdjjfnUO9bF6qCwyxtOTwL2dQxm7tbCr3NK2zCSSpPorumaQqaVL4S0Iugv Vx/kqwqD4XagBN4XX3KQ2k28GDtTm3NqMwhlViUx9txa0elitayJVIDL6Cb5ArTBOSiDZLoY+Zdr f4aDBfoVlk0gL0VI26HuJG8Mvx0XM/54oxhkWwlCJs9Fe41iCsaU4USMhkWwjF9ONE4J6w0LSZph 4tS2YdTQdQJv370jIsxHZgvZV+n2Ga9mQMkBiBy68eJGfF0VanhyDXyFj4dzeF51XW4KfDh0Z6jf a2PbWL8gtCBODXano1IpNu54XqWGBeTbenC0oHpypFgjVyJDARXm4vSyRmTmbUnuxxDkzho1TRvl nFt+oDXPvFwuWNETVdiUM83GxUjbiZkG40U24SzWDD2vUZM+B3enp4Rc+swkpGXYnz7JVID+jjsj i8eL7zP1hGAYENOjzEjb5nC+60BXKuZB1tdKRX0BPzi0ggiObYWkf7Jr+eBKNRRcX7YZorUc/Bqf 56hBFnFG+3KZDdMJJMOYVDO/G0iBBsnXxmDO2cc9Ygx8lJpE0+q9MtdFzoobkUyn3pLxbUFGxXwp h3sieIgXGVrdbgYpBANiPeE+//HI80lKkxBdoiE3OPtSQ1hbpFFpTxRsM+9kuby36FmZv4Wo0r+4 9puK0CCddCrCXiTqxfU/ePm1U3VpxoVTCn5dUKDnNh4QXvI+2TVxu972Ek0ArgZuMrlxM/qb23a1 anJAtSsWeozo1j6ziYIA3ILnvG6pB4BXfytfhF8z2Khu/TtgDyUSz/pQASu/uU0Gmoj/ky9AXLZp rCxQuHtR0OulaIyuiQl1/m7hCJXiTjGPNFT9+UWP0ybHxJ3Wek4uE54w+O/220GwQatJAEIwkigq El0F0MiK9wqcdVDJA9xG9jyRc6aQCSYX7zAjQPtjDH0WMS1wGu9cCeX/P+a/A5xu2td/vfvFPt0E nG0L6i4t1fEQUfBrmP+wfLs37KDeebPq9zk5wQXcQ7br97TFkxMUp2FsfwqOkl3lVW3l1GNIwGsB QtVv6xtOoh+9kpbz/E1LJ0hnX0c4VGoWTaEMCEOhtKWk6VGLjT+so/2fVTeeOkL3WAfmYJXRr9gz 4vFxx7TQZIRflcCONyiahPYCV6R7sf9S6+NS8DTOsbXZY2E9SCHcsP2GZNfXP5SwilheFDaQlLWx eSmwLvhYbAQJjbsyEhmaw6Z2qNUktMLD21aODe9WZve9TatX4kgynVb2Sg87Fyqbgcr4RcIpAXqc x1K/jfnq/VNkE0H/4FOrAZvTzGSDqlIDIaAWCbaAQ7/whSCjS+UQRsQdLqsw0j4QwbETE3qDsI1h XlQTRBzP27DQ67PuR9F9/ctqRGJNPO4qxihh2m55v/tSRQgE8cTAfWn2fqspNs0HKph5GGkkRtZO lE1afGl3sCxuDs890zAbfQXI2PhkpgF5a/yk77ZA2KU6H91pFPdXE/B8Vok8coGFkHnf7JbHxYpI MgE6d6B5i2b8U3oEoNhQQ/7le584dqS13UF4Ex97mG/ZllGlkUQUNxiGFbp+CthcTDcKwP/7EEAZ RHJu4GS3ngHYfM9aZY1NeISjGEizEQ/7fUHu2wh9r+akN/0FgnelVU/xbwkIwZoqOP1cU9e+uqBG i6K6148jmF7Gq7X1ZqTlEK8m/wO7RGUOzUBTkliY6ZYLWS9NH8LkQUPQd+v/qkqG0d5uKe32A51P 1OBzTCFPr0Sa4g+FC+v/B1QcvtsmGY1lpYUToy/fAr9AqByY9+/aDdYzxJGQdgTLtNRKB/2vXjAd f38fXhTQKll7kOXtD+CJEyBtP9m2HoKm+Aa2vB/zJ/4sCXrFzk5WAx29fcmY3ZQ8B6nSYWWfu5ZK jzW91KZD8nD1grtmIyvuaNVAysdwPr7sLfT1QZtMFLzR8uhX4ww2XDq2rYvCLgJxTq5IhnsKlblH GjqVbooR26Z6IE3LyRHo5z2BC6YRWoEjU5KMrPScHxl5PZG/qKXDIGqukdMQeFbwDCcI832Mdn81 EnZw2fnivinQiEQ3KVf8c01kl7lX11TLLHLQHD8aZGVoJrKFVQK2GRCKg3SN9vPK/rfL70k5GDaq j2ej64ESKlqJqNhJ2mmRaWqHoYb7e7EnEDQyzOV2FlK27WGqlo3qDlTLyx6ues2xNfd2PgWw9QBe +GXDmXGUSrlSFvsSThSn9M0OHpJw1fpiw91QSFr9CwoLlaawQHjh/b/M8XccWSzuV6AvBacvdCAH aL0kPnDeEVY6yc8gFJRLVm1CD11hhvrY1BNeS8s5erlI6p+fwbSHQXz+rMXq80/fHGuVbvSz9qwB nRLkHLoEsZWFIlFg4F4oeDJ+Or33nchF788CK71aNCezUqRiFUmRQbN4v/mxSwGQPshrMT6Q9awg wpsejYfhM4UA6Ed9tR9MHd+TzjHpJ5J85RpBUYPgPJusxYT6Lu/fZsWUGALC4tra3rHRtBjxq802 APA6lVDjYfWwhuxnzglkeSrzM4h1cTM9zo/p94ia8KTuBnaRUT71rjspYhM3Wvln4kFVW+SJ8RyV u5+K2TGOtAQOxbn3q7nnEdnQn01KFv9zWwoyi0u28CrwB3KayNsFu/bwJ5Rjm5bjxwbZtjqpGn8c mZIcidtmesRhpiGaFg+o0tS0WLSC+fJHgvWwWdsgNGQzlAx7Y8w+iNzZDnGm/0Q11WPu95QOSPjT CYjrZN651lTaLlD1GheWqma9i5BUfCPmmkvEgfCK+ig6cJJiIK6riYVUFo6338XTVWGZI5ad1t4m +YZnbo981IZe7H0UoZUKax7pypRf0ww61JGNKBaQsV4tIyM8yiNBLajgltoPGnXWHMJ1pBL2kTmL wxsuOP90gCO78OIBct/IPdMWiU3OPgzNkhWDGS6hs8Dby/x/3pvymZvpCbwy/kWAaZhtSOjnE/8w YteKCOGO5jpdaLN66JrcwaqkfLBQWjGJLF2xUN/NXBpvvRE8/qpAfvLbyjo45dP1GkqaOB8LElUl uohq8y5RNgN49YyYeZb6ZQLY7ER4Hs9qJZXzqaUKrxExLzSbk1/rvNgV1ySnbBI5qcRaLTX0KaFt ZqwxZIYPyYAPo+FQEw0I3/BGEf5zmcSKs2+mctrx+kBD9Kct6pS+8hj6ZGzuRTgas6LyD6KNkaqQ NLrH/UWP2+rzBjYSXwavUBkhs6Ist2na5IePxRHfLcIXwzA/7SwNtmKmZ7c8oDEO09nC9rMFgm8y +DNP5XTAGC+qpojbGNBsMNp58kVTtAp/qGOe7zhTWrErHgU3Tqq6jFKZDny1HW6MjA//yXqEfAiQ a7aYkvlPTO1g++kt2JTicNDBKlMPFH/qzLxt76z4IYuDlaP3wrLpHpspwJUs20Sk4+HHeHKJ4rsK JfV89IE7FbzGhl1UKi9DunfzXDJJOyfGRmw5LnkSY+PhPvRUY7wj2MNol9+CAoMs57eVsnHQcDbZ V93U7F9kDC8Lv7Ea0gpWv4OxFtSZjMPfcBpO9E0BnCsT0e0ksRQwGsoNG5xe8O9FS7jYTaXyfZp3 uRRipdvpxSxvObFNciZ3h+wVZiy4LxaDQszHCaCq6C7a3xDLr+Wr+obNrkgRAoiHfUIXRtfsHOTM Q4TTJQ7RV0n3OHYyVLgBLb+RSe30Mk4U/XiarVhdWzDusO9l+njzHg/9RYAY+3M6vZNZrqpBIpV1 vhiVX2XPYJftcvUKuP3iFflKb5+JXXVY3fcdrb7myX+sBMImmt+U2p+ucDjmsbzt95kjCdDfQ41E ZP9KQXyXqx9rKNh6Qo4TSr2BvpP5ANNsvBEhRVIdKGDrg9jeuk+P1DDPOYP5pIgmBHg02iZblpMw 0vpzEkJn+p6Bs2YEOKjjEXV0VShK5JUKAyTVZEJrGNwXJXCOiiNkqBXLcPA1tpTlFIIBaok5CG88 4qKN7tzTwE6o8xSp0JDhBjb4thdPC2LeNFbvqX/T2Ahr4Ur5/RsAoYr1zyDs0wOLfMSCMjnMna+l PvFcVFJz0o+wX82+bQNBrmRyvbCkEVNXE7ZMZtuPAJq4ZL1WsIjeMIn7HBzc5Uwf1XS/S70h/JFD uWPOwesa7y8asZqHbmSVSuq6d68H2Jg0hhyXVAzZUR6leOwJQORdOVALxmFWcZ3jOavxinvUXipc rxjZLlTkA5jVH01JUgXnd3hjBM38mH56a1nRh1XW+obVM/+5YRVuED5HKZ2XR4xmXGpGDsPqOdIl Hvf1pe70w7IL3XYs+DdJs4e+8QiJbj2udTrW+iTQBOyL0QUEGdUnAgoxHgJBln40bzFXU37nQ1Ue EycXLtYFZlW8gSOs2ytEtFZtMmYCjSMZa74OguzsoUS863uZ8ppDIVOPiJIdkGScyrM3QvAE9PVm Oq4LGGF9eS3prLZfXJ+PxR+LQHdOKJvFxdcbLwenSg1Qhkk1VOMVeWkSGMBpYBcBq4hXNIzLigxw sssNOqmwHSTGgQXJMHrUnuNf5dqtHVcifufL/lqi49yOa+sXXYbxE0PdmYYvd2w2KWSpCZzT0Ody dSWLeUBFeaJDHYFuz1i3RMNxAd2BbWlydXBiYHRGl9XxpLXcA4c+/ou5eImtQ/oQMVMGTbMGcOLw 19JjbjvCTARRydmriamI/w1oDFacUrWWncQGpnhNHwdpPNizIJWBpVBChgnLA4Y8tOWMpKIK9aU9 5X4vASI5VcHkyqjrmVLR3cuJcWTzFBwjLYHjrJr0+Ccq62F1iM8Z9Zq93TNXUCQeu8cAIUkHcMsz OWifxS5wDVZ51WYi/CMhm/QzNnqW8ygYHQaaqeMfkPLphjBWpPTaUzHdxAueZ8W5i43MZb91MjBA nENmEU0RwaxkOcfJ18c0FxycHJbnKhrgtwQnXQ8RCRORHDyd6ui9FOACKve+7EbQndpIVOc4pupw rKqiQyx9CNj/GbvhR7cjVP780Gem3TOsR/IOukOYrNwupIRYrNgfLO7ND/1XYTMD06mkR8miHhlE kDlCH5MBMzuQavOnCpZmfXJSracy9/Eu+rgf07dN6OPfdtgN9xxU+DkODzKyGBu84zis3yBBPHpq p1gmzUWJ4K+Cg0VouNzuLff09lH+Iwethhd/NwIhY5qHSKu1HGq/tsKuekV8o1ER4gOG+kGCIozx kK0MZmBbzFYR5eG7T2g4Gt90b2VH5ycoZ1PvbcVn7Dgwco+LAcyKDfCROcawt9vF48J8f4CwkLGk HFGPOGE+iacW+cMAB5GjDXVd9Ihpnl2Fk9K8YWClB2lGRRglke74MiXAtBcb8SG5awD+TZcH2Hm+ dawvpX+MuqR/vmADJ/bxHWsvqj9n9modchpkUBV3l1GJA3O06iD7jnGRq0zfTC2Sf9gFnQ1NeTXZ M80hxmXOFDyZ15D6e5GP4UHDCIyPo9ZUOaqwKyDR1fgYhWUfWoukIN8RE7g+aQ5zSqvgNQYsYqr2 RiaG90PVFXeB4S7S4cpj1kaLBoaPz97A9svsu/MewdMEv+bLDD9h19kI2fTCy2G5cIXA1lgYBJRC VNlq3Reb677/xcSOFAqGPjMFz1u3caakR7fzDDT5AKwuKWdw5I1gKrjAWFXPACf+Bl1Br9V6ATt9 CObFyjylHqdbn0SZuuowRM7r7xbpPWGlzzbD3/FRk2Qk/GYgQjCerM/wqRCmmfv7Z3Benj/0iy5c 5w55Dsfyflk9ATBvJCSNhsIluwcfTWCcWov1puSa7ZaX8ukb3n6z7d6fIRRrNlZ6Y5K3L4xxUImT F9Udfj37AcdGkGCTlgBctxtMGyZQ8UHhxsdebBDM/5wn1wN/3m9gOYYMlAiVPNpqUzZ2sGGSYO18 DUHldpw4HuWbmrOixqvCPF7hmq4Y1Jwm+kT9AG1pXpNU0S5JQQgS18kSy8cqxPIWu7zkW8TcoDD4 TAhsvDQGzD3snngOK8GogWJ/2je+c3gBzQYfbCWm9AUyBbcPhIa90KW5lF3cZjx/tMUdf390YOGm R80K1CkNt8VhYTwXCsY+bK5neBCXUiLLsDotx75UNck/Q+m6faa8vC8UKqbvm6GxT6snlu0784D+ ++NmEInN+1856erXaurx8aB1wL6nm0/sWr9HifxU1TM/PR9ZN8I/zD0yKV9Cw+R1EELhaZvdmgiP kRmgcVweeXFMVyb5P7HF0PcDbQfknX4ry60MLCK95W2GYDyGXB7iQvdS/qmLxnaalcFpnc/H4idR c67PSgbUHqf6GvfzHDwsncc54JN7Iw593pbsW3mklLZQ6crUEtUz7xNtWN6GS/F+0oEWZ3K5PUuD 0hadkH2Vq3ODatel56E6O1xpqmB4rGEWj7+K4qvhoqXqTldU8MERZgMqEw6xQaxDaqdp6I09iYr9 cAh2QkDWcpB9pTC6jetXccrqTiHl79ijhc1K91PqOMOdZb4dgaICyewagnT1MPTRBX/fRfPXir58 +5tExW52fB0UIInACZVIhWYOslKS4QevH4BKvs95ws7MwM+gT3liFYt6jh0dX3yhuNuZlKwpXPD7 lBf3iw4V9Zo+Jg4H0JegnNsQ9GiSqgnzxzt09opau1ELBKJUPOQgKQfVUc9uIqnxu2FpjCg0sZZj Wk51V02DlGEupxVYx62EmaIdC1fCQWYruIRmgBSaGedphoDSy6YA/1aULSAmOstWBPLWP2aBmqkI lA6hdVLIudrAOaYerBaM43+UG6jlMX5szYEkTpe6vOCbTtfobDjQKPNnOVwwfptlhiGLVK8Fegma CI/eUhMbC1wMMAYu5kQ0mFrwiKhy5EEcoXXW98w/n4M9cLWOG7OqZr4QGm4nT2h2kK8UIceNHRas 7X4Ck0lLq7osDJu98HwAC/qsAlNNKCMxsgc3R1UYSBYdi/lqCnj7eaKuNxgr0YRkYpDoK3EjM8XZ mZ0nAqGeCFA7dn33GzTtV7ERzxSdPigS037/38QKCUl4BO1zVMuaGUe38BUPdEmvoIRNflr4tLwj ES7uB1BAgrvHkMSKetc2b+u2tSEK6rDI6Hvx2w5U+d/fiVsFnjO/AKzm4WFp2ESwlfm6sni1qr0k r8241koKoFo4oYEXcu0ELAoGazGVLx5pDYNl7/gqLRch/fYCff3a6iLMDenYvjPpJ2flM0svaOBK B3l/sY8ajT42IfLOBa8DJaW3DCiCs3SvX3cBIB6n1Ad3LgHFz11Q302AhbOqqp9iRAIgHFbL7Fxn LlL2xgDp0JGqos1aLLn+FJ3+P6E9rXtWUqQREwgyf/cq8r5L+QblnMFMX7vef/JXXBLPNrtV7jH8 geUOgG6hpKcZ1HanHWhNqSGiBX76lf4dUjiNL5eDwvInrlI6Jm203V+Q+GTiEH84tAPILdZnL3Po JlTOVdY4G5NhIlb9BVFoKBsPcD0S9VypzRjt/K/WRv0cGQD49m17CqCuLDl9NDnb/C3kV6I9pRVe tKr0h3ZOzkIC0xaDSTm+2PbUPT3kmhDmM5u7AFCGnNYuVAPa4OSnkYllWJhCSYJXobz5xfpUZrmV UtYQxE07tMoHbh2yecrlAHHvgQJoNo8SoYOs+zVo8i2IdHnz1HDbLY7GwmVLju97xuP2KUKrA0Ab PB1m2Uu02ggnOoBmd0pGu+8kp/yTkG9T4G7/DTlgCF1q8q2K6DE3xndDPqtKNenOPVyPq1TUQt1v Bnspyduwx/g35r5Stpl7ATC1MLL2jmrt50nomWooKBUJFj2tLCGlCD55/+ZtP0cSJaj/vwDG2p4b 2UtBo+k7mIKCU40+82TpzJQJ07LRzZyT3I7Q/+FCe/Banz9/a892ZOnhFjw6K+7kHKytpOm1uLwt vmO9R3Eksg5XuqmCSfQ/ce9GPIYA3BhjsfViOd2eOTEp5jZYPmKHnIb++EKRkenR6euwPHNND+Vg oDSyBbMxOxw3A/JA7Q5VLKR0/W+6/AhDPyIH9GQ/qarKrCMTzPoN01X7gqW8ptohz90tQ1mzH//f ZG47Rcf8VgeP5EdpjTFS12uKFqi8T9v2LW0mmQhWV4bI06fg34N6COmxTc50bId2rpWFWKhuMBUp KtwD2eT4I9TrRIHM+/3vRrg7gxNwFQ05jBULKsyBl7pgGeXs8UGfhYygdBzs27o8ocgDgi9VkJdc FYreshPNAB1emETWrnw2ooUSaMXe85aLi3yJWICVk1ZFXSj4jVuSyLvlM390jC9u7vE8AjqAdhia 5AzT+3FOsLz1i+LyMpTbPkR2ZVuo8AxMK5oevD4dYjF+5+0f/2ArvtNBmeWpBITbn8fAmIpjpVWE 82zJdC8Tm+VWeSsQV4RKRca1vkURvj4WJEne0n4/ua5cSOQJlbJjtOW/FBdj9w40NF0UQhPvl6Jo niYLc17/NFTaOcw9Ik9wMNROXjzD+pFZ40/uXeOFTNH2Ye7FCH46K2Xv3ZHoYgsRARu1pRM2gg4o //8SQgsm8WJA1Kgyz9hsQ2U30SrSZO6/lVbWt/g5FQyelzxWuv1kPig6SyYTgWEaZ5tgTXvlXFsq cbfJ2snF6bms5ROQQF/N7nbxZyipOZiff3FzyrSQhNFgRNCJcJTB3vXg+5gkVLmwgqetvgprMAex /EygBv8CS59bzTS4zF+aa98jLKeJgwruUKh+m35r23vBkhs9qyqgDDKdeVFEGX9vsdfFfw41hXXr FQKIeqalYg7WOmp5BtQFWKMC2MPvbqKyKNv82rQOqcc7MM4ifY0lryFjD4yJQqvaXygaCSlvB6pN 2L3dy9XTRyilWwNqHLlwA4mqSwEiQyw206MYBE2C6qeqJb7x0W/qkMMOrJDw/kP6d6Hv5EN2Y8l2 iwYfhJ335Xl5v4YUxXWjLYkYC6NnVu3S94LDTJ2MTzwpIzuWGqFlATt4yeB3m+w4Ww8UaFFUX1IH NTaajBsSpX0tKBANexZYj8Ei0T9Oag3cMI/pEMna0OOTaHUL/pOSIjL1Mu7XcOApsZlbJG1Ih7MR 3klm5AIUF/5DOFMNBoBfwydxTgsZmn1h1xkT2kawP8UgJ7XZSJtGPXDqxmre5chUm49KkZJyukRB IQjfUqmkjQWtI5y/Ta9k/Cq7pZvPDvzTqs3+KqoVXi5lk1jBWUhNKKZGMsDlx0AN3WLPxF+tpxo7 dB+LX1iG1jPEhhIqF/CExEQYcGDbGAQls8SmWWZPN7kON1VDkBPaxs0gX2cqjRP8HVemve/0nY2k 6HNIsSzhsIOousu2E5kIhO8E+driPe7nHUJrqWl+dpH0vtHGzS71ZcFgurMjmGu41sy0olnKolmI BCofIY1iryYZEGj8pZi8pw01GZRMalQO6gKvzrVnoaNoVdWmRmULSo4ZqjkWZ3eYIrV2wIUv/mak JW/pFnlZgHhgp8gXnu/FeWbHDgy1OvZibkL8GvyMO5GoodjqT7Ot72uGwPosDAZF0BsMGEz4YSa7 wNUyygAxwVPZN/Hw9NV99wfdUdY3QwdlQGZ9TIy9vWHlN/nxVWQSvHfsRQg5vawvLY443bKCKLlS LmusUjlF5ziFIAhE+IY1CsvvBgeEA90XpgJEYx98JCp+iuYcusWPTLJ9rrc7u8iTpaUItocT9bIB 44XU4oB0//E5SoZCWis/mGmUORC/4Vkk/I89f2BC3yc0QukVFX/MV8fWDdGnVzUPivMyZsbRUSm1 BNcMOHHxqrsfK1rjBVZgzENAwSUby2s7DXzbc9BkKm3FhF3bt5+MQU31411nXoLtct8PyYt3qANN KFluW9rxOQjp7HXqnS9u3jrov1DVyd6DHAyAGmsF1m6jTcC0GO3V5WsqbTG3DMq+K9EDm4Qgguxr Q4f7LtfwyUNBziHIRAf0xIJjUiBRJERss+z5nfjIByKHEtJu8u9YPX4rafUj31iwcf6DK/wA4LO5 PlU1lZILR9Tqo5SWndqi/CoxGyR8Fk3j+FsaKvSWAHmU2KG2NG2ujZZlVjsG9dTQq4Lav5gWy+IU g2L72MAzGxC3KsJQ/GvnrtX9c42HYAjPXNGlebytKAVby1l4pOZck93pxok9JegBv0rlBZnnFrOy zCeCXD1DBIUYwz34iLz+7yHyhyzRj5n4q14xvSlDGA1ZBKZJAKMbkvmu3mVnVRYMRmUoLwC+cJAd /68Q6oFijgNVKdw20tukDWZIElMQa8SN+SwboJkAiMpsXUVlYuB1bQLcUAoYpVt1vptfRXUmtnfV e+r+GZnvf6sYGZ4l3bdX9IzwDlxyadm5ELFeBE9Cgt04BrfDVlY8dQLUYThCLTGjbj3gFZeo8aoK JKJGMm+G5nNIEf2K5MThKM7ZTP9dBRYbo24OVAEPnV3pLwlwMo9f4Mr2BXRj/xsJErRML2Yx8VVj UXtX491jCm4BsjYxJlDu5h2hMx1UkASxdKucBfG/DpTN6L7dd6W53FOpvLifBlAH++UsDcLpzras a7va0wL0LJWV4C1xf+g3NEGQ0+v1Lu6jpXRiCSXQTVm6ZVBf4eQViArZgw/05a+BZm2ARC37JWR0 HgX+agc8Sq9waU7iuejZhayi7c/VCtNQ7ln+7tDHNjEdDqpDR0XVF/BNXVOWhMyYBV51EYXyDmlE +87UrBel0nvc7UWqqIJ1tBq0EBhtfEHhLlKKR8LPGqNvAtrfL55OZ8VTuIVix8aLJ8T+81CNECiA fCTINwnRM8A+p+FdSDPp9QLDeM5agtug8G2PkBPQKFGQUu4NEqO6O4YK0+hgufiMxxy055vH0068 Si1mYBnu3dDO0izyYyWpfSZZMHv58H/DStbtoSUQX+TIiTF9Dbpc9F4ji6Zmym4KZMY7TM//szGM wHtiCkSWHKhBQznlu67/9KGLQoaZrlbuL2bXALAnLQa5qzl7Kvq7+fQ8rLMxuwKNCKev1+Fo5Zw+ T+oy0tkEJpCbdMri+S24EtLO6aeSOvmtP0uf+MsScmWGeYgulRbEwI8kq3E4SrQ0WNkpnjPwZTQP T5u9M9ujBIdB0XTKlp64cGZQtriaY9cQpwG9UJs2QEHw/zJ+PUbyZ/iANxqAJOzfP4SDeKHs0NG9 IcvgXuwjP3qYDFS/LzaFBacxY5ZY542x/eCYT2XXPHHUkwwduG2NhPT2SERaLqS0bSj6YghQFP71 iIr85HX2W2kz+C/rwFX4Xdh9T9k7jkL33TZE6rkPHVDfRwcs6EkN630aOQPm26GIaUJI4zEDPS+W bvLL9rRaNE9OhDt/awLXMTrt3D8f1fh8P8UOBZFwggQ1rgqnFkDrWp0IapnP0+1bEUhnuIkUxbI/ swl9gc22SBdpqh/Ebhnh9uiWEN3Df/6Tgb78BLshtdyuEXapHSiL9CgLhgJAfUhst5/4GTQ8675s nUELxmdLIxK4W7AR3l0hu6jh6WzzJJV4paWT7gg7jhWXN0bFQQN5cSRceS6MpLCcvNBOt3hT8ogb KNzqipnemBIQLLY1ay6vegkRX6nMnz0XmUq+AULr5G7jNOKktqS+rwBUUJyz9bDc5Fdm3UMXiBtv Fh8HweAUKpRzphmw+1m9hdXtXhXc4srOyuxXRMWO/opeoNBbZ9Yw+BUsIsSTnxkrO+dEzbvDHvEQ vKEev+anwWa/VPXMlCeQ6rR4bA2zx45dTs/qfGKssX9ReiGNfIt8G+Xw0XPpqcMGFw6BrXpy7oOD RLxPHgT5Rcz+v7a6yA8tARh9Cpdkqc91FhepeEkTpG2OBdwIq5prKvc3QFavg36thcL6BHLT9R0+ Kt3Db5oJ1Wtf+9W3bbRx9JmLLMYfToamtcOWZ2Jk1mtgjC5g0wzty/CflLA3oYWWoho4w4pvGLDv OCJFZ9De72r83Gf2ZGx8a5cImgHKWlUNQgHtR0TMsiWor4qliQwr6bcdCeKU+jfyjsTSDZEAQUH5 twC1qbj3YeBCnQTzvKgWqNISc3zsCX1PWr8zsSCPN2W8uQnzP6C5KLivHVPdmUAG0DJ/Xko/OlZe mNw9+9A3Ko1w4u/KO8RWEVGgDPmn/B7i3YRBlUsIQEJzxEgL6QvsL/v/THz9FiZWeP1H01IUR9WV FPn4bwviYLpb4Nv/DzI9n5wZJU/h0aSDTJDkt4JVRLyCrthYOmhTEWoFeZiYoLl3Ng0nTZUchHZG GRWp+c4m8PF9yYBvDCkCksmWGUB+BKiNVE+BKmDyZuPay8Y65HKb01lf1YX4CUY+Cghm9lDQ5z60 OQU8RCRTU7Bm8soGMhkNscgxCsEMLWnqEdx0wu3DlV7veFmzp5A4IXF0QD48IeNQEIZiNyPVFQo9 dEBmuqJi74zWx2wwg902dxd4IcH0WcDDtzx1pmR3OUw72DkkJXQlcwDmvnNoeI0boVPGbsWqfrc4 2zg+w2f9o1fzBHbQNIJ13yGhYC6Sh65D7d/3dIZiPqObRNFwEaH9cWNxXAOKfDmAqW5gnzlcpMWM X4OV49HzTLoktM2a5vVzLbUjEKJFT56vKdfxI0lcW84QgHCdhwo/0gYbbyFvlnf6vL+CHkxnxcqK aXj5MQ1L5QEUH/AfgRj4F6z5go5tUPfN607FarkEkeRrt/JWW9sUASzA6Nmm8AhhUWui0lDviuYb DrKpJ79BloKkPEC5J8MXYZhtRNfX35mNr4KtYmp22qDHL13BuCc2rS1TkUddszdZi0+yyBG5G5lk h+TJ56tQq6HRc1YshJR8K6rQRiCLWOc6ndAujMtrg0VuieqLHxesneCujs6bl7LOYH+2FxcyoJU9 4dTRfPR4nfsWq6taxPl4kr4St0Nw4ikEEaFnTo3gvdBCSGMMWjgfRTvTm/1bbzW5AbiC8E4qsPC7 7aDuVLIm2flzi6RcqDoZAOWp5LSqo8XD3p8pRji81JrsljA9PmezCcr0GQU5uJG/QkjnYs74tGQI qIWZoXXm9knJT5kage0/W5gCQX87cZcQBhfEGG0OAfXHp2pNVm+zFfDmlOgHo+c6IZmOaR9mxvTG OoJjI6sxqfkhw+V31WJjkqV5vRy5qkd8Gi2JI7lTgqNq5D1KcS9G+rYaqoE0IYkVq6r7plQSDUGQ MPQ4Wcadw0VMpXPsOF+iyPHiYWvw1jZVeacpq2OpAmJ15mA4Q6DbFJI/3yGA0pxgOvD0g9rK6zc6 Cc26Q3wZoxe7OB/SbsaE57Mx9mcB+gHXDn82mMmBSbHDAUWWJV+pdm30VRZ4lcyW3Vzh8+iskS6r uB7At8WElKA1EuBkFD8HWabf5cMiPDHWyOKKP4oyWQIWwnmIjNzk6yjNMY3I2a/AMrX0yH7LW1Bf 82FFx/rd0al5dU8uWdSekFc58q5HI/H8VsQh6aS3G/nQH1QlSItq/MEoquU7kR+RHTGQ8vBIIF2X tQJTXgIuh9OggVKpSBwnll6yBE8ZI70NZJ1CX2XrCa583zS7nC4oNMlgZtUf5BbVnMZsvHv3mMQv DEOGjn3wuvlmF+rUfWNCyDVI3fZc31uAeaWy3jrOm7KpXoRwcze++KbQTfbZuEwFgSWVBXg71hHV ATInjrW+OG1wCZnYEGp3KxBEfwn29Yvu9xUpiFeePbAk16muVmOqKhIfvaoomhaFgTgQdAXQXEl2 MxFRsklvQWvveIm1wzQVFqGNak7KMY20ISjDcHivMOtGDnepH89BKK31LZIIoCgXSGW1nWlzwlzE +TB8RhR9LgsDFt1v36zGfc8FctuuAyLna/+HojaIaIiAkaA+71++vfd7yD/mvizhDtPJckMoTbSM QEf5JCTCbSavqwpGqrCJhCQVW71TXrBN32SvH2vE/pMG7jGjkd+mJI/UOArj7BuJGYMGM1qVf1Pd TD/ThXzFaMw1DxkuS+NZu0Mt8CYtMOKiA8m0/2OFZgSHS7VhpeWwwEhd7UyIjHnNuozoCYPX+fqh Ly3WToi+K4N4aLko2MqWHUTzN25PBsBCgAh+sAtMxsU3yhq5/Ftwud+zetsXV6QnCina6zjC/q8q oum81j1wSQN5tyIfMDaYSSY8cZY95FKcmYs0ngB4XV7Bl/+6lTCsHmFs/IT1bbAuAFp0AbdyXdxi OD3oEpSplK21gdZcw00SuXX8taFIeQfanjwYTNSRRrflghKPxwuGaZ3z4nLd8TTlVkba9XhZFgeU MDD8Pu3W/AHycnMhQ3VvZg/fHNM6R3i0JA0ATMKjaS8cAwTcKE34avvPgNg75BqOMtAg1EhjgHZP 5rE+zZ6+S1lBGk3LLp2qqnt8wLw95+55VoTTp/wWuMboe2CATEyqgOYT8+KfsnRLDEmXUU8Rx8Ql N36CgUrU8Hc8QlDOvpIW+iby8ll43hUkSys5bCViw0d2RwhICkK5rycwZQFJyrQkiCj1uiIwdZkh alOF54r5tGExATO2tWPDD/YEzayTs+4MwWaKHY3CCvGdGces2thKLGT60aZhC6uXcIdZQQjXMNJO rsU8rqyOvkd6qr9bG0bC8o4++jGJz/CyfGMiNogcYaUuOEG5reqPV2DTIKUpjjDIkC+qOxSDuaoM ByBFfINWgA/Dw5RWH5aiuzZkO51Tw2sUCag3bOVpFjZkyJe7lyNsPKQggQAK/d+AewB1F4MP2n0u UXjq0uIoKc/kltHHti97MfxWOiNAkqlUnu0FGmNKsu0VfdqvRI85jHKw3D4kTCjEaiTFVR7jYqZJ WlzahOjL3sgaADnx14AHOtDc/FwS43h4XrdXE3AuJZGk7jrqGr96enx7Umg2lWqv3WrWkqnYv6EF p2PdOs7+o1pceUPbWwUs2izS4+LXdKqLwejIa7aCZOqiR1Vb1FXmXDFwzqvw/8l0YPTtV3Ykp3Y6 uctkoiU+hD2CywZLgrywSN+b6fIJbGtTMve95U8a0qfle95cT5rD+O4BfjKEGy+BMPj8dwgW7Sjl lpQVa+a+Mu+gf75vl8fqTCU/2ENO4HVJFuxlv5JQcENMUvb89M21Z1YytHKN6Fxu4/XrqeH2lU2H mLv6gU2CTR8OfhxaGRSroEHqRLaD5dDGNoO6lvCc/xToqTYv7A67y6HgHB1Qr6hzZGwaDbnIybks jlRf+U9d0dwkChjuK/PqV//T3pDBGZePPZ7fAAPi/UILwVBzfSWAetGpQqEQ0SKLrFiL1F9BToB5 c9CdyigY9vl06Km4NipXpFfPlEzX6FdGHHcOuscUHsz/DO6fzW0y3+5IZ8tTgwfeZIbhaL1xnNiJ QJXsRfAF8+VvadehssneoSjCppEfMB3xyufkSZ4xod82w9VdTBOz8chz3QxmRvqJ0BqUFwvYuXnE hxhPfBAVN6sUppWCJ4aYdQng/mEZovjZg5yZcTWEtwzxoabw23xQ/RViB9kVaFcWrfXP/JEx1O9A ypSBYz5pONY+hKnYq5Cwh3L+ei21M9mUrGrNpC5R+tjCpQ63G3EEyaZUlaP1ncZ0dRsqbH3RHnva fZC3Nh3J8fXeEbBaStPu7xE3OBwxweDWuozC3TMctHaaQWS91DzOkMKWMi+bErgkVgOBH/DnXNKd 5tDHtVNyM8Vq1A5wP/dpdNTyIt18FlhsXdXR0N79noEPTsxbW9yFxGLonyPO07Flu7a7JT8HaX6N snG3+UZqSXrsBVHdY9Qd/0GVSm5lhUcytOeTkIHkNPqhli7Cm0j7SBRdiEXYneYge+OERKfhw+IW 6IyP2N6ig41ff/W7YOuUKlsyh5Qz4s48aIi+RBdpGR2o3b0LD9PVnYk8m2p0rPO1j0ljvM6e1DAi lhgn7nUqjANwfsCNvgGdxWxbyuef4KvHsHh2+UWBvUJ7ZAshOHNNrjEkbJIF8k6lijFt/vBgAQZ8 +7mFppJ/jH2CyrKtF1pc4/TfTHcI29EfXihcnYi2v9CPkZnJMa+ybCZI49aFfbaw+s+rbdbPwiY7 kkhMdwS/IDU0lhbk2hY04KdNXOgB0cenQLxJb4D+KrMAUr2ZQOyFNX/CxrNeVEHS5XZDDAnDrdvu Zc2Co1pZXM9aiFGJEgjF+rcPe1hueM6x5oiNpbTgEbBDBqUYeP745hot0m/AV0BevFWE0fDuXq6N BOxRsMCygu0IVcyieX2Dybo7ywUcHdTybUaM5GdHnkTsMMG7a3Y7jyJu0+r8r6jGOHZ3sC6RG0kD YiecGRpRigrTOwyCrha4/y3roNUx/F94+uCMvvu9VzmtH+kIBS0dY0u6RjVE7HSekumsqaxJSKWx 07WYLPQVpECVIyBnRDzQ7fLJOXBp83d4q7M+7iFDU9HKvfFka/TNxhYQLtms2gTnE4pTdKrOEu58 dZE7V1VSCb8LmnQ4t/10RkJXEnQJPadBCM3Pj8Ober+bQZIwovmW1IJHkw2GS/nust52+KUwzrD5 kb6O/26vvw2AKNeK3MYRfRFOfQdw4p0qL4KoZUN84DZZvLeLvKygkHDFqyW4ONHJB1gYxX+ZQDju vw5rWGEUmfNJIM5FOvu2OBEn6fKFOf9ogUjMOobxdlq261COBHARCYCFcSz4y/r38tXu5u7//X9I 2DqQVtwjJak49hVS0f5GgGhhRrHS0xI1eRwNYwY7/4Rx2pEmwEZODk1SvSYuZyiSrLssncVOiIKR jDs2ccQUKjpWSa64mrybYFn0LNkYcNXaAmWXEWuBeZzthRcl96z5nT0DkQCv3FMlQvdyvnawUQ4P GsR/MIJqftiKaafFi9XkY7jlkWHKnl8buSuTUzetAeTB+ONxGLEBoLg88KbaE7edSwYCb1PXkgnU KqpCXuCdhBUtY2iTT7/XjIcbG5OlexhZPOaxaQFHwy+h/2fRn/MH7Vv2ZQLIN1Gzy+eBtgXV+UDe YVRU/sSsQcRRXip8OI/ABXqeUY876TvMyP9q2312WbtjEQ7Jwewc+ErBSuSJKtHO3bwLmrOm7n2D CVwtQvJb1HZ1KTSMUoPhxJNNS3qmqN2iN5CMTY9tHczwzhwnyHEoXZ2Vzw3zd+R41rC3pvhzNl7m 4fBYwCoRjOY2hfYffxA0agVjHNEyx1z16ui0npWz0WHA1VBlPhxv+ns5VqK/TCPu8NCGdp6WrPdL PRpmxAz8XsJkZF9LuJfzDIKiytRbi9GOIHtWmNwdPvPYj5LKV6HakyJfddDjDWfYDTT+MbThyX0S b1b2wvEV7cBhUL9wZgkwNS4utMc93v2ncfs5Z0NyHEqlgWN4SReZLzcGXAwRyQyjOUs/4gV6Kdul UGEwsUs+DizP+tLCzgU0Lgvycowhh1aeKFoizYxaW6B6R/loKjJVelpbAhIohIQDePwRDsiewVgt sVhgYd2LxOUk1TE/V2LDtuAozGQhMji/pzQeFd3/VuJQzE8unR7olRsgi0WAZr3He7pzrgjOTHKz cDonLmaCQenbrX1OyyX3U94rWel+SYACHeJI1x5fKDHvm+m5IEZz2uu/CWp1uCeRFUFQ1I5lT5AI aurFBFdBxszBHU6v05b46bF3fUCcpSa7cacpFYuaDC+wOIX2UD7v8bs417XbcCTzXMbkuZtg71yo beYeQdB3kX/NUC0HEotJcMm9tQ5VEDwxTzJ7AguTAi+T4f/3baIh0HyC8vxqhomJOVECbV5WBul1 GZ+/rPMNNZkOpNZPDABkyOKEaOg6p04Vro3kY/sasUm/P6lbnXAWU77sCQuy6wF9iZOS9IuZ6ihE H8TKdN3c7ri8JPWh+CbB5ZAxYt8wKNiVnBg4+obKCrFmnJTT4Y0S6aOt3wB/zJIspjBprz0Ku1jR 0OnBEWCrjDa4OYbH5vxcpZQp8/tQnMmiwGijz8S8xnRIEHztrVFTEi60/evw+X9XVhiyBojM9XOE itfjJWHaBTbn9QahN9ItSaVnXfKTcsLsawAZ8ZtIrogFTblUNu+HdSKk/U15E/O9oXp1i7/AYpnV ZoWtgvzCf/HLNvuDrkrsKjlMoDm4NCQppuY46lHvG08PsZvSZixQyk4Y/oEvrAdu2QrdBa3CtfNP 6ay2jcnVTdyayx344CyqWifqxdEcQh5NZSUtEK7tt4nxQiVfD7dAfDbwAbXq/ay+/PRP1NLATWf3 Z68Oj6EqmuJu/M2aCzq4N7SL7IDN55SlNiAjccl4A4CuGv2sCoaBhfYHUbKn07iVfW6oCVYPAbKg EdASoSwU4BCpPJ9NXMZjlkBgnxe3PEkrM5TnPFtcioTc8sHC+Mz0OkN0QSqPkF9WL4IYxam5FnUF yBuAeslAMOI+S8ZKKM3eFPB2zPNaJlnsKDV6hrNAn99Lvxm7Orwn+5cUE3+++nbfmw1vDtM+KPDK Ks/iQG8Fy6KeEy2uIocAaQIoaYGQZPHe6u6mAHLTrK1GirpB/tYo6W53xOpBoJDtr9MnHYuPE8M6 dSOgAHYkZU7tpSA+1BP+ePAR2LI85aIC6UcQKR700NlkLY2O7i0fCiOHvyVN43U2MFtuF3t334J0 lcyfRn0kbr+YOkMGCRS3TeMElf+KAs2gGOYBuFLWH38TTGYZpKEcTzyGkXf814U1GAhdG4z3lasz Nwsh/dQ4kMT7nrWg4ihA464jeAqABfIYShigcJ4T/ZYocLEaqeaB5CnaJXBsPXyKvYxktHH9Hcvm YY46W7DD4dVKBd3JrtJX6RPvc5OVKcfguBsb8gjSpeDu6zXO+nk5BCOfnUzTlw+4MR2RyuSdUqP8 UpAsIFQEnRA+px0vKCnPkuWjy5kOUyocw1Ol55xwDkTKGbbzjU3wy7oec0VD3PwneHDEfpfJRBHA JCjAmKgZpDHiZVKOysfbigIu+GPYgBfD94/kpnes+YGluPZ56Z/td8djRKNzl7xvtw8buNDHqVdy IyitRhXzJamirx+GJQOH84Bm9eOb5PyLuHDHMeCKnisOEB+GF4EgQd9RFg27j1hHuOdO7xbQA3u1 Nn7wy+n7Gf1AsvWGf49BMdMUe702qab+9v57UxL7PT+4kwuAd76CG1aG8qZcjTJHAiITHLMkLRwq ozXOUI+tYyU3iAWH/QZB+ovCrQS9LJ16zLLQTp5TApKscWNr9a19F6VFhMnEKIQhxj1TQ6vpopPF 11b8wPNiBUJHPe9WXpBZPfbfme9a0SqPknZmtZQyCD/Mu9IC4ns0PSus0JHPJzdwTl4eoPruHKry o15jr04h50qOWkFVeiXm9ot52sn6uVkL215gx2saHrdBQn5wTJIZBzQ/CzdoAHf9myByWRlDLk2V o/PNwu5J2XzBqll7eobP6LoaBEnxLmzOONirPlO54X63RdubqK4k4Xh5yJr9xrNutS50x08pZAmu lo14hsUHAUZoPfGx88yFXdbMkh1LypVwaA7GKWg6EvIgepnL5eLNzWlUcQCHwJCm7nooUcx0oGzV BeWfbxyKQXHq0/NILhLq28ChMVN8WFZ53LgfSNWLqVuX2NO1mjXmpIXKFjM1sDOAHy1Z7QDuE8Ue VKoQcSBmhekX7uFIJbNwkShLkUGvkYQjsW5GBfTAlg58s+ttO9vyPtUcNgRddsmfaHMgG54dMp// 6AfE0nOXYQqvMi+EJPZEO4d9+aHfn2fMXDLLfsQf6ULF7S9ZTPh+LZQ5YRj7NbbdyUccmXAVG4Ol kB5X+KIuKI9dLRjWfyyDpizbzfyZXAi79hoO8IMbRdvzSdhGN7rDwSTQ3dGQrrN4f5hv2O3uRpJq T50DDV6M5JgG9QVC8CW7BHyoG3K3f86W0s5wz/JpR19f7ILLKCJYXDA3caJ71dtNyYNhEmA7NqW6 Xk0hoNEgp2ELoOBd/yAzuk9CvyIuYq6TFtktXOopAGAdgzsfFF2tP2NeLA2+Um6J0LScsKjqEKCf COi6acEQf8Jq4+f3oTCNfYAOr7D9rI09E6PnM3h6hvDeOAo/WFyJohpiKY9trhqEIAS7z36TDArD jMRFb1GLGREqeM5IrcKGN2xoYrONPXSYXs6HhRKHLRkkkfMyiSVzYrmeRBPs1H79tCaPQ9zlPk67 +U+4uxgJLNhkXO+Dw9oDvol0T4r5BouAM7pYNOWmlpcHyhS27dhgEvGziHgA/E+WAUJCwAP8k1FS YonWMNs7Pyh4XDVVM1AXD5QJg09dnS1UBPkbJ08VeBGcbl5SWqMHjgOUflb/lvMC6A2AsCL+541z Y6BHSo+noRRXwCHBpGZqsoZy+srbXmqJR7atJrssuokCRDxFEJ2tqejvppjQbQFbED2jEFKtaSQZ /XZcwdhhpnSTqA11qcdr0MKirfCh9CxGeQFbxuAIstW1clFums6l39UziLqBp/fN/lHFsmwqpa3c tVXbsjnp8HHgWceQFPjPkl9U+91F651+rznfyYcjivQhKBCmEzJ7eNrigzz6G5sKII8Yx8tvYMAc DDQHFdV/yvw103n/m9+xJX9IMInBqb3f+EHDG+r+RFF8gI2/yy9u6pU7jxNIWM/lqegp9qnYVwYQ 9Zs8gEfhZHKtFCd6FXTnrzTuTLqFN7lMKx2Kq5YUyzwU6SZ9IG9Hk4qMIbmf5RA+HKKurtQLV3hs skR0j+ktFwU1roq1nOdrZVwc0H1/TU4dCFLGJeimy9U0T7T7DIytnMlym9iykP9RvLaMrXsCeGz8 yMJHDyIJ37YH6YGYdi5Nun4th15O3BsHHw2kq+fuC94QshIkRwynr9/ZT6v+4jGckLClKZq01uod +0yHKM4mjgsm1tYbg2NDIxx4xEt/G1iNB5mb7nX9lVJWzez8lScxBY647jddOa9aQaDlduRJpuod 7JzEvkhG2FC+DrZuJCiMY+rozwQ+JRwpZcT5PjKsCrGpR3S6iAyMHDN+nEhbhXdP4Hlx56cj/MKf /DONwo2Zn8XkYPSYNVV8Q2KJMaBd2KrZrPdV4mQ73oPYLlva5ROyntexhfFG6K/9WGG+g7O3w+O9 /ulM0kA2pr2J+I42VdzDexCnklwYcRkMidXZ9AeB+SzjRlU8P54h/0FmnPpY9DsYPwa9pSUFhBtw p8G9mu7BfrXnWqr6SiP7+zlfaJ0ZBQWN+iqa+NZfnWTFNW/uKgdlEu3p/RNMciJ1oxepoDOJyXrk hAXkXChtbqm90fyH3zXz/b9hhmVaWm+iqinuky/r3jLI3YiLOxJ102kyUC5bF+tBb0bKG3Oj4OCD 3tgK/fLBxs/Bkhzyx4maGSKMnZhNg0k0/w9sC5EA3IxhoYWGAQ+3N8wUplhBRyd6XVy/qmI+/OAm TgMazjcXBCFfPCwjdhXMmRCWWKji9+YY7mzQfD8dwxTq3nitpJj9/zHmf939W8iiO7+EUF0G7fhh zuw9xzCagg54kgBxT0sb0z8RV5iWzxI0WW9esaKXtHQFhcgduhvLNG1H2r6Ww1D86rD0H2pKiJDn KeYLzo/4IpKx657c3eqMz4NjqagFj4SDZuHVuMM6qsFjSW7+gtQbiH58TvTUP0ad7AVByAECF+1j 93K8MdB1kRIJS7DoV90vXk8bpY77f1m2UQ2H+cuu+WJVkDm64ied6AQaSSkZ7rzU0ug6fuOhGpgv cw6gn60t94v0AanCQ1OOstP/bKPNJK1KP4o4MxHZlQwA25froINJvD/i+zhkn9ZU6oPTy2nXzb0i s1wanbwyl7HSGbgDLxP6DbsOlmcGYhXNIjOHZuNA59oRVd16zYpDUFW5G4OA6eHmtXjZqKv0Lwco 9ALZ7lLa8w+FG6Qs/g0KZgCviMEuobNmqFn6QZ+a8cVz52sgTkKhMSdCdV68psLkEos2lUavtac3 CE4aCTaP0StnMMdHe3JSLEQ62SpsZdsP+JTIf/LKXZKbkjNJ3veljrAPOUmZGjL5I0aDx/qQV02Y 7AqMeAQDoDuulYqC+sNYycMiOro2OOfiX+zAzred5xQUW3W9iHqG3ho1N2coWJc6RkS/dQRHPXb/ aNxDMGTLBmCUxg2ozQ34fkG4TApG8mR2p1xmVeAmg/1EyBGVfVhZn6/caUI/jIIoR9+Z6hw3O6U+ saPpkizSZUkxu1KkqFomDyeW3sh36P4R8t1U+9fhQLmfj+2egGYXZThiZAZeSCLUo7l2ZxXEMOQv q0pQvQWpPhnRjkoRGFCiHsnb0rI2j0kW8VdzbMqNXUXAgc5jQvucDY8HNFagW6ZuBqiyqN9S5Wmk +/fb/TfgbA4kCdflBUUbSgPVhrZAo04e9vOu703x1FPc6efFXW6Gha8Li8vVzMyLCRrVisYgcuyz zF0PXph0PERHCRcoE/j0PikmjUj0MYm1HnW83+cxm+IoZx3gHyz5Gew17ymnUMcAUzY0rlBt6wv5 y37RCl+ICHf8Gbp/nk4KfaFn2YI+DK70aaTV1ykRCjZYNCdJ8PsQ/igoicVTWpRy127r+YKzK2vH EsI8T/DYAO1p8ZmPzROEeaqUg1B97Ti9O4mb7A4rjWKREjzODpAx9nKX1cQ8OofuHHvQni0sxctY P4UsKV/C4ABoCnWWN/ubPDGIi7ibU8BH/+4LPcnEZFWyf/Moo8ZfNQKVgFLl/OQs1H0mir/y9adg hgi91zJuixM/dBrVGowtQ9G+RworRyRtcg== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block NtlIsBe5F9YzubYpAO/fSHeixgvLpg9p8gQFt/OQ15TQB26mSjNJ0nmRIQnTCB/s2nsvfRmBFgmE V/a8QL/UhQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block C5w101+m7inas2AWe/NPg4QE+RBDCesyQduQAvz/L5wqqLNFs6DOfYs3u04Rf6J8bnrfQVfLGv50 aAo9/0fzG7ocNM66/XcGmQX/W2wGLGg/rBHUGneHRV0nY4IzS7tQjJ06mtET53iAA/TKih/UThdt GXwkGKi043FBaqrG3UA= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block IrdoVQhIm0l0p2BFrzVlIqs5lZTffcI0vENlEyyhHSmb3Ki5dc2KC5Yzwuiit0EhMoKvrwVsCT1e JG3s3gV2r2/l5zOQMII+ixUWp1c/Uq5V9qTYpU+ufpE3a4r6df50e6pI/cAkjcrSs837plaBPVlk /tcSgs23L4TUhDW4eK7v/52TjfcFp/WkKKL0xU8akAjcp0N84gn0ChRLBAKFyfD0tzO7ozU/KC3L 68q/O4IKveoL94MPKmIFXifXqfQ7ZS/ZbtDCT8DGZJmqPZ9KTa6KuxM7pS7msaAkWbLpMn58bUs8 YVnOV0e/4LQmnCvcIvSC0ZprxJIvoPff5JQv3A== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block vOFSsmedqKgCVrikRzVIvd39H04bL+XSenLDYl/O7O35bROY1V3U6ifAfqn3uYB3oG0Tia1KkSPu GG379cevPbfzRmQ9q9v5EX60Rok/MkIS4zcjVJ2h2p/dPOAElJBN5omznhkPYV2hHS2MnsQ9+lPM SWxdCQNqGC8gOFl0c2I= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block dQFarBlt3CeoQ3dbYVIOw+0PGMJ1QXimw9a57ecsfSTOk8mKg6vGygDi/cYDy7ysZRLpn1jlzIp3 wBhK2IYMJ1etMkKrUuuDuJUZOt7YNXA5J0U+8XErFPszzuN86ugNSWudAxliJOqjo8QbTuE6o724 JZmrnGXN46xEKsuGdEhx4o0X5ZCFnRHna/fq6r4GGCP96fT1MqE0RPf/w6Wv3cTCGlT9pYvQfq16 TaGvjQO2HCB7ltdvI13J25Ngrmbrh9h8GWXafeSpbpEkAg7ZnGEcE9TsIKNy+IkenJgsoSE2PCgy XBwyMCUA3OadTEC0Op8FWzR8ElrWecxpER7DZQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 71104) `protect data_block e8K6d76gaNxync54wiEjlhBPVhybFHTwE8p5Q8mErFwZNul5RrRCKxEPr9uJc20A5k+c+XaN5hKg PZvSV6ba+nwGwEIqqdKGDfJO9ZAxLdl6bjFP/vHgGXeO5j0HnkThV0E4bq7wvYnkoME/RQ5aRGe2 jKO7+btCvvnzphm9rei9WRISibwoPMK+Ef59TXVpE0/Z640aApAVZgZuNTTkw/nRqRFlu5otGdF5 PVWSUZV45AwEuNtB0033tOs7rA1os1L+xZHM/Spdj2jph4dtkNkVeKNwPdfkMik2Wnlxcrvf2odu 9EhLq9mQtNSq5Wj33PnrsV6MxEORxv79jyPknIUaabBTZ9LdXgA7hSbriM41F48NVcOwmL07vVit /b+OmBnFTu8ptmgxC6H92ndnw09TrV0En8dkWUtwiXtFz86QOpHw21z5gzIcqvoqj3YA9syR1Evq VoBxye+GKFFqHCI2ZqwuEMnq6FR+fTNtL7k4Yw/pvkClTcHgD4nAqqAAJfbYe2QTgGIqgaNliNkr sO2jlz0fm4dUwX0mvyXCN2GuZ1U6t4p6VEpaTGdsXwkOq7Q+7j4TXRjoqDW6Mg0lr/Gy0244x2qc DqsAxpj4Ai4pkYIWMi8Tdr2jc7qeUfenbCXOwmL9aWu3QAk9UX3NrLVm/D+pmPbD+9m4dIRMXg5u ArU43JokZhKkg+bEuP5yX1giJJ/rWmNMgB4LZ+HQWJxhOiTW7Fi8z3cDPqYUmnXFdO1J1Ik/Ft0z GSOQd9mcMnzNC8BcjyfqomsnBtCa6ZSd+ijFZ3x6DaOarIltLtUBdIi5DK+RgyzQTUNBdBTbAqxz TCpx3/W3IXtw9ESbtMV/i0VRuNoZbb1GWCF663X9hS3P5dhYIDEMqy46vuwkObnbZGr4iOG/XTDc wsGQmlV/xinjNbDTDJ0C6uM5hVJT83fDuqrjiQCttxx96zHD7r6ajxeAkvWgj737+iXIOHfiYy02 FYZ0rgzAQxxOEbyVhblHX39difSrJz+L3wGcNhhw5a60Ec8rYBzJvmzlJSnPq5mjt2ODSxXICLQ0 sQ1H0NEypIqKQkMtHZcgg027XfPR1k71+Bz+M3giHhSuLAp7S8oqxEPsqsOM/PocZZrWPmDRPtCW 0+yN/PG1p86nXMvZan2yGUa2k5vQLKmeLJ6gOJ6aP3ehpsVIHMaduV/lk9tfP1uLgCPwBHImKdfC 8guvmfCozE/ztPuM2gYTaRXJPZt8Sc1z3ltG7JsA6+hsCXIHIWEIpFA2yUtOERLUaRmQhwyUmRhL ICQaSwAHIaf6mPj+EvO7oOg0YxkMWv+TdGheG9+pEv2lrNPthYsiPstUsBGIxEAbven+fCvIf9cQ OpNvCK6lv9+hNBTrd1evWQ7al8sWJD+Yx3KL4RZTQJi0nTu4b+n0CFpvhcB1/p9kODArftLvs+T1 WROLZO9UAe5u4thUlVgoQdXQaGETPGgOKl9w0/J+AfebmNezRQf8K/h5OZUA+tepRhxiQiuZAO6E C93RKjxf9VYmoGaKnUuf+hsSBgNoAiyZtL3d6yWF8Bozx6tey38tYsYK8y1bP2WCNTlGcPMeEWNH MJp6qOn6E39MNckkXQKx64aZrpFHrXVAAOAqP+gMAxqU8VwFrkNsO3AYcrkVUVDEfi6pA8L9t71Y ttVvo+tlnLPdSwBAeUDToPj8T2obxmFCg3ldQRiSxm59EI+BNtn8VphufKecEw7iYXucoSSlQuAN sabVMUMmxemKCHAlibMdwyh9bgGHQ/0nEYnv+tmgbAu8bFof9ZP6fZbW/yk6GqJcJTcAD5Jkx7VY joD6q/SzRKFO5ROORvf2vtRQy8ht3BMnbELKSgx2tYNFBIyqcE5q5DoEBSdMZI5uKregs6clhHWr 6i2EwR3CeyZS27+nParazTNU9P3JatcPNSfp+4aM1Tc13+d6wQbxr7fuHVscY8k8tfd43zPAil2Y S/GGxCAexkoDSdK4+76cnRR94VTV2MNWvIP2PycScu4E8CEjPPoV5NeIx/yDcNseYkcTwjhs+oOS 8y8e+EVODRW4TSKo/8mMBIfKInzYp+rzQBv/S2PYM+L4AzE0LYZr+zLXJ2kMKlR6pookWHK42T1n eDS5qOjHockvUWx/nKo7rTqRv4jhZRGTWq3/g90cFBoGdM8oaKJPkhvb1SMFb8iSMvtO02GhRcZX Pv3u+GJONneNdWYd+6bGpcmlNNW3RNKXl+KT+RnAQNp8AMY4miOODcSo437ukO5IeWK4OAUKfvXi n4thJmaVkOUPc2zmfsFafvT7fy7r5G8oeEEWgn++kmy5e56rKAZ2tuhe45r9Y8IA8Bfcs0CiLFjm wgxO0xXn2vzzQs+K2vqSJ2HispbaTFwjP1uPJ4kLEOUQrDXe+pNZDH34W1tjlA9xdbuFRxSKjGPG kswjmGiKGKMOJo6JZW8yUqnT+IvKBGGP1CBrQPwFuQR9eGzmx+QbNhbHts9MLfnGfyLLxFAhItvE LBHERqihBhXBWeozUdAU7BmIB69FYt8ezEkKL/sim/8mE6bwI8uEHjJgaPB/UbBwa9tmuosTm16P kS/L8KSpJ1sXsANir6AbR/g2Mp4tWM406EleKoKY6IqypbLncKiW3T5MdxC0YJdit7Dwx1CNND8h wCWkNexv61ZJAzP/bQ145v4zoHs2lsT/O/Decd1fqsLuAwNf+ikQpZWxSz6tyIam4bMTb7mbasPg DWiXX3CGEVNHxf+Pp3/b39tM/kjdIZTYFkOjWe9Mbc2vpRlExAAsYP9Z4EI3+XGX6YHga3aQ511b PIz/6t0XCyii7Dvv4C9MVeqtMmEFZCjVtiIy8yZX/uloLWumIVYyrclGVRpKvjI//zZBzKJxS2do hqfxMwNTC4jzIUfnxWP3GVUaSW63rdx0MkH5FiZZbCU8YKsDhbMp1N0B7tJL2NdvuS6eYvm8sD0J Q8ctnyqtDVJYRahxG81HOXRC5jiIqTtFlPJ+STu/ZXQEPO1A/jGoGtpcQbs/8/ur6L0L7quvHf06 XO4pJh/AkJeyOPStpmb9nFNztLwUezEqmMK1HdBO3BPkvU8PKDxWCna1os9eNJAF95o/FfikxnT/ ZvJMmSdMqd4WM4/WRs17gjvpnaRYrT/UrtH702GNd/pivTLnxSI1+SdyDJP5kvYFSVEYQaS/kwRV Nwb+RQswY2bY8jPYTKQhLPLKYX3mBbd7PXCjaXmarJry0zx6MsSHnbECtOIgIDRWhHkDREBSiKkH 4YCtw06ftZx7sEZE+G5kGopg50Ng0qMYJ4CU35JBwJBNDYed/84YZ8wsZbM/Slubqo22URcsj+nL ALJcaXVNQ/yw8K59tf80yponIXRbIz0sv/tHWSbw5fK8p63Wtwov8zt6MBG3m2q6F5VNkTBTu9Tr IeY/NWMO9j51gtcMSXu6CxguL3RuxeqpRA0o9rolLzhgRM1U7HPjPc8WTP6kOVWeuEJMbXuhw18u TGSCRWmttkGgdLY/AkQxExGTmg++nUJeECKXE7zfsi0Zxn1JVrln9TrtoOrK9IyRvsDntk3f5YAM Crd/LuKIwo33eTJ4uBoWbj7KJGgXoqn0d+8ntN9oBwXF8G3uKZHVnFhowQlaZZ7OM6udPM8274xs 2MfDCV/e7FWl+54vfEiOI+gxH+DEKDGCYfTG5RX1PFlCkivrBc6kd+DOFGKLFP1VwjMFr+9XuTRC HjCuSP9malPLjYy1yj2c5+Bd1ZQPTf/hDHV9xd44lh6AXHqgO8DcJcyjm+OzGOdlU25Wm0tB9Tlf 13B9eUZH+fqh3qH/wg0v6Pi9ob4U4kYYACn1Wo63MljUDb1Out05ZzUEJWsWY+8wuZ9XRHm51b2p 9NwEjtSNkZ0wSlIdkoOdtCZ8HAyo6gBuh5FochFtr4RGxQAgAX+Gy3nyXENBUiYANggpBjj2+lMT sMUvUmV8PdsI1YZ50u0EEzTZHQycLAGm+2qn7oOUHXAbCEnDC2H9HwnN1iwTRxSUZcAntqTpNGGS AdWl3ykNGrtYCpdJ4K/CaNq+VwjocB3F72DsDITAqpeItSSJvpq09Ug7u2RH38BpQq7vfcn83NPs /k0Th/tGD3JnaEWo5oTu5mk5TjFp/PG+kDDV1WsHGUq081WF9BqwPTTPEb6Joobskis/bOse4fsw i7dm1d/ZvCwrm6mRqGD8GB7vVjwj5FSGxa5kMi5Rn+Br+jpwD/LF5fTwiJGs3feFmT27xAPzHM8+ SBxnGnCiZUsNyp1J4Djil9mHwt2AqTaLUbNT4+56TRCqxPwNNYQQfnV2/gmdeE3xK26/vt/rPT7+ MC4BNXHTjV5lzzlIxiMQMR4qqPHdXMy0YiN/DGoySc6ikls4SL1DfeyX3TKWkQUOrrL78Lo5ENwR HqV59zdhhF4n8ASsGU9LukusKWMdSE0PlOPP7Gyc0izKQ2kONG6BuB9GuKoEnenYeA4ocTcl506a YYDhiXQqrCBrGqRQoF6ZWsEG6yJ7nxeTySyspAPNArj/Po/497uGhf1OiZdI35VTAkH0+50ly66g rw6bx2DnRsNeVLsNvVguDGMQTxFNQ7OEzmx4gSlczyRUiuIIfHsoJFxuAqTrLYJpFDo7+0prf0qR 2S+kayb8uAicRoBoGAAZVSkveJaJYKNpDQ6pFrfaBSzArFK+8RGk72pqN5pRd4+IwUMMzetVXUBz nIbY48SJlHbmXL9gd/Fbb6taTCMIWrQzm38B4Wtl0Bm3iqlLCN2phJTjWgtMn/CW9PYcPxGhwRl+ 6NXzavbtNczdt/FVlLQ/jGrNj+aU4F4qbloToMPaNDFr18wUgEqYzQEejAFIQQ0Vw7SW6O3IG6TQ t3NqLyEmZ8sjGoqIramLZptWEn3YoW7J5DNGjs6IAL20qrishFIJ7rpEj3mPLKMSBUVFymOFRXw1 6yD4MGKbiQO7VS3zodaYUD+j8bQMXpuOmBo136vV4v9Bak343+tr9ExuDJVgkhOKp/MNb/kvj8uL oGE4iB3GmPqCoNklcRBM3rkYewltKtiVINtTYY3Ytp7mAiW7CtmCqC3fkCM5Z9slM0AIDo4R8oN2 iDCFByMo6aNUXhPOyyEpM4UycDQskamyXMIsA9F6Vv5+zG2O5Ojq9008oxmLmu03Aqu86vaLAI9e h6Y+azPHaqMDQEJDUFlBVZ0rBDskdKXdhpRes3B7H52LnFxFknkjsWfhPOxLqDWsdWVeZibEdK3L SH+ReZve8GnkGwuZL6Xe06oJdChkVz4yx6H7j88banXD326R2A6mjq089UHiA3oulp9ThpZuKJoq 7N5VGw4vdPwUWaMHLV/PMkH+JEi8W138/vLHwngfk4TCXFZ3BrhZiLFN2CW+LsbGv7P7W9+ditD1 jrRLqSrztX5mVLq8ajolk/zSeVix4Hq7DUgCRuVPlxMeN7ZidLNpdiyIM2pOXj95a/JpZh1lBEvO eoEO73SzVG2oyYAT7APGZRZo1X4IoH/YRRLGOF8jVP+5ZPpIOEP+gknVziyH03T0a/x4Lf1DCu5T +2BczP/uYsqJXmOgvNOcL3gCFJpi6H/3N6M/1qvYR22+sPbOerjO40dyYHYaovHmTu+KwJ6c2Okv BdxT0KW+A7ymXWF9dUpocw8ABy58uWRdvPGWUUZP7O11UmTqbNH0UPAonad/lkqKZbm3wBacMbDl X0gcGow0OvRq5oT160UqY2fijZZ/rH1q85/A8lXHKMOgOWFsgmf+uI+ALsVJbHxJewqoTnTDD7PV cuDJkn5lAcktLB7BQzNMWBKvlBT6Wunct/h56DjXbDthO3A4NklfFcGFIOLvN7FVQbkJ1Iy11CAX kNlzID8dhcKijnEeFB0Pjn7yrQRvZDQkFGpVdmMwF9JDWjPWUW/l5YhvyaUd4QRSpAtB/Zlm1ilu js+9MWebHvyLR2W1PreTD0VJl20IEQN6F87/68Q+17AyOssfIb+EExcdHHcPlBceGyqHDrv3Kok7 EUw7M1a2U4SnUKxAMEpsbZl1n1b37mXVIlp3o/7s3PfCdSVx31ygPX2RHzPIihEpHBMIuhL/rJFw I5VvBDUrr9lfw/tiX2CcqLu9GiOSgpXN1pFKhstIwQ2vLvAqP/hq1s5pyaX//2SV6vtkBv5ImpBC 25RBMZc+32djzE0KYwHJpkDx5iCnYZxquh9/RjXytrcPa0l++ISavWlzJAFsOQOhCF2TDAZYeLnB 4RQBqV8f4g8oSmHAT/CeNL2K8W0C01olDITYL1FP4LMy8WNT8KhQtEv4Z8621WX9rsqMHWNlIere nwM74boWp4wKyDyzt8iB7KDojECqLvmyPAuUVg4Pgbed7NsU7FOVxxmQ+r8Ffi+L77LwVd6d2nF2 8fokwG1ZSUAlpGYoz/AhAbtYumIR/zRBgvCh20Vad1cfcSvKBvQcURcDuinptnTfLcHxWSc8U6KP A7R2JB6hubxajDHovn/VDBQiqPCcPWCp+MKV3J3b15wDs1PtxUWdMrYARebonVDUfKEph60xzG1V aKSm6lA/6IpOrWI64ItGMIq5zyT3AIvIqpCyp8i1b67S3/swC3rODS6bmoeT3MY4d5TiW5wyku0v fHlVITUIe4rtu4NTM6wZHxDik/ftBbV/HaxqzHhfZ0YkoFQGdjIEKTghv49gvD/JNnst6WiehDbJ ZLLj4LNLw1CGgY4B7K8fnbUo0ARg7346nztAwqnL74No50yfqXAa+yDazhYQRcTBIWqfvpxCzYkj C9rRj01h3Nq/Z0eeumVsMZtVbcLIfeMl2stGDQkxoKA4L8qBNWsTPGlDd1vEw+JCLwtjvaabOb+7 PlaaKbMgVmM55AEVACtsd27afEgySL70nQbwgL8YOHxCpbFSOAH1dK1cOB+qt+C7IbDBMC585gqS Z93kvxr2kWm/btx9o9tdsJq+XDt02mTzjZdHFRlapLTahznT/JMLqOMv2MwtTGsBmTjUb/OHReJ7 j+HZv8x+PVddWXh0AtD5aGnSjjXE8BtcC/BCLR5eMXF4piZfXmJtFVjqSi/FSpP337PDdstjJsyP ZRJHSxLeG0Q1pmDV3tbtFozaKIgV31AAkKObn1UzttJWLZYY8QdQb7VRvkRSAxkORPOGzy5qr2+6 DS7JdpJo8B1J4R5UEnwaDxu/F9dH4eUVgYup/CyBzqj5+RBW3lUgUdBGtq016+j3+CapXZpjghes 66X6sjkKdsvCqBUqogCUJdu2cYzcwU8HD45v0HKcwUatgxtV7r1VzX7En11/pBLjqLA/BRM4gweZ IW/gddPKHV6Sky+YikaqCbOLWP+QG6fbD+bYxoPA+0g8b2hp9xjV7XgB2BC7XoKf0gDhDomlnK/F rUwzPLuEw6YITZ8G8TDqaSg7e2V5T2rLxVp4v4THCUHTM6egGM8Vjfi3i6n+SszjVCL55cfaRgJT Sk2PSZz2IykRGcKr/6stnkOOiSwZFwXZWcMYODblLlIXu82s7MqpqC5H1jyqKs8vq06I/FfvDqsz 7TLgv5P11Kn3qrlnNPulgqpzoGT4Waa16JEQ/Uc2+b9gCGp5DQQ63shHNE2PYZ7+nY6M1V0RyRgy Pwy7C85py/eIsOnjCIjmPPwnA3lFvzafxbHZOTIFf2yWNSYBVG13E9cAp+sfz2bud4JkkLPo0Eaw 8RFB7khf5EhLdP7/mTf8mf06ci2T4zI9dj88YatftaLRuBm9M4CMZbGUGx2qiCP34MarIsMAyH3V WZyE5muwlQ3E8PiBBbMzLw0t38axob069Oc3jow5RuuTEtHIEvOf42dSGZxQzw7RBPLJCj6V6ztQ tY5AkPNjUutj6TYYmmm+IL3j+24YvnbEsmKyDsTK7ZMWHIbev71qWohcToDnjRpEIjTbZq6jq1Fi IakQ2ImFIu88MsmhiEDhfXxKZKifeKPZaYcED1Z+wvDj5gzoi7lUaGKC4PMHvDwBrGVxjHYW6W0g SmWWgWaaGQbN2aQMsdfn81oSWrUn9Cegjkn/605PCcEjVMGS35X6yFL1/dXveMLeKYq2EBu3PcBL I1rTZrQ3oP/FIiKJFvFtthzf/uX55etoh9mWcTDHFQeQh6uMHjAoikpc6jTxEA46q/YQm1FTOaez 6OnUx7LudtA21M4C3HNX0fCsi1ppl7pXkDu0HxfkCNetNZ39wJ1AaMmjj+O/8Je6vzUnXrMBTeFa 66ZDxuACIS3eh6Sc6A9v/cuPbSz+8wgcK8fzKLshheqhHhJza5bkGofK7c/Qvy59VSeoyU9XitFy t5czDYPFEiOqxu3gkoKR9lRo2SJvYTJbAM1tM07nhqmKrQwhWnst3sW+KqaimxlCLQdD09fPEY/C 31pFYDB7W3VkGLHYDaMLtHZvIOmfHrT2EbIcCo5YVZMNhKEL5E6KAEuEcDd39u5pB3Wjj8/3/4E4 8ILk7sklU2oUBhsZFG1B87uO7PSOF5ajwIzNkuHPekVlSCm3GEhzuTunnJT/l1nxy1KoAqvs4jzp RnPYYTNvnswLPm2/JveQTtJzq2ifRPNPbqG7iL2dA3H8gwVwCh+5AJ6JTe8EL2mHMfJwM3MoVKTI TGx822eMcpcFWFQv2dmMcOjEMlbda5MQEB0zAnPxx1Ny1qb5K+/lZHFEycG0iopTGTVYufY0Hf9O oLzZ/5ApL10ab+IbWh2rAPHCDqUo3UstJF93IRGAP4qXtnYGMTUz0YfZdx/cnpq+ZLJfsjyPV1eT uu1EXOsFaaCNEUrzGI5UkBDQxdwEb9tElVHE7MuyG9CgnscxLDESiXHUsYqna/UYem3/aAJQLzku Qi0t82PlinnahVfrTMIwrIATOaaxqGFLKDVH4xnFPnXmhhrIxwXKK3a+1nZx4Zw2QGF2O8t6lNM5 BknwCVxrubZGXM79vC1pAuPiRLb/gqofm6Q8Fhr+ilGkGKqUXsPDSTqxqhqeoroZqMOQC1C9Z5UG 6p9XYiXweVNz9QNnU1V+X7hrKEviFnaO0Zvtbug1EQqbHa8o0KDGdjz1Q8CV+PwTOoN+PUUu28uU 1rvZ4a4wZFf1PIISXfUUPUkJxrFSCqT/jZp48t9v9Mjs+/Bq3XHQ172bv4sgQIXLsT3i5hsHIfMw xj2wKVG8EVglvKfs+5Umy3vSuli/lxCztPoJwOxQJuxp82FqBvnWmLWI7T+j4EbeDLhIwFZEjUWS 0CKUSkwuZOTQ4Gnqn2W2OsK20nn6Sdi9ZVftF1xMihC87KgcpVRNoc4qkpbOVVc98f9OM8iIz7GA 5zpUCeZ6lmvI5MThNgZQFOu7McEivwqDy8Nif3Wn74TWIdIks3NE2AKG1RWdCQ7zWkrmGUm/4P+f aXYwa20dp+Guz2p5HFbF1M809wGEMjo6h1ecIic+WLxBVdYYvr9hIWVAXvIDjqVp2KE79OwCt/7m 4Y1M8g1a7XrlGzOc52UUx1Um0Pq1uk6YneWBYdCrgCIyQHVG8vwMrq7Dsyx+1X2fcm2qZZmizqSU FZXm2SSgnFxqnZTzJzwkmp0yOgxQv/1DF4PTDGHwpp0KcmOPszWrI0NVJKcz3giuB49sL8iduBpw pjlDw5sY7CtS4priCZbXRmWOg4Nz4prP1bGXhTin07rEesm15vw9gW7r5wZ3fbXsorDXUfH279eg UVwjUCxLqAX4wVcG1QT+bAksQTWJlwiZKLtmr0nTxi42kKRGeTnquNb2TkWD2hNTbbtJSmHVmWlM 4Q2ebFngYLgEiMuvOvEGOssurk1azsZsAhLw7cnt0NiasGqY8mDHyMzzUriWDbr0kSkbRx3lP/9X HN70tDbHsZ7Q6Z3eo3LV4W+4ofsS+NVmr0TJbSWUZOPkOxXEZWg1zF3LtYeeKjAlvFFwiY4OXgop XJIMytwbXhsb6fHSNeWLIhRSUcQUguTe0eBgsTecz4KTHjAGPoT/WLs6sZXMxISdO3Ird7bT9omf UYuh6pJ+M8vgzR8sKKzfN76ejY9rGmyM4IcAVif+AKOxN0VpVOGdN4gysdFcoRTpHS6JKaM1KUas utB4k24iAxGhP33YqVXUGc/Fk0vgvOtWuTd7X+SQAYw7iRAuIZOeo7s3JtZh6EYRqK6yJf8ilpR8 qHbT4tMWxPCDSh4FPH9/7W/yzzOiro/mlIwoIaCjAIPagpU6qJJzQ2Qby59tBOPb3Gsp7H/Hfau9 NDPv6rz7dEBvWwvZhdYXl0nQGj3T1kTbk2nf1OTy5BwOJbKbRC2tH+8iy9qyq0y0ljJvZ/pnavQo Nvqd76uB+BDrV8upBeo7VlOkcYaDycca3pXlXaW8TwcrJdzCaKhGDiRQkGMFsQHj4nrUA/0wu8Fl M41jcsGB3uViH+yPq0YnwrhWmMpD0I+7EjOTVKlsPh1IaLOl5dHhBTGhOurAgsgdY5Pak2kM9W3J o8ahK+J7gjJgkZ5JKJyCSoF+hfeSHijUnF/EfFle8n/rSMePfsnUdZmCO7+tV2RcEukXc8zaNgk6 ewfRaX462I6aFFduXRl2adjeGvYWjQg6pNmlNvDtdilCJP8PKpRozXR+/TSa62T9ZJ2ubLuXwdHe 2gICqIwNcU78+xapmuQTWF4uwPCZOZxLpklr1sL9HPE1fmV90LcBJRl+GyfbQCIuCieEWLnbwzog XdyzlBqlxDSE1NeLOf9Sk/NVvcOF/nFFOyTpomVP5UAYhpzW+sRUIP5OVV5YDkW023GEJoqps7Xu HKZRLDvzZ2tyI+CZWPauuyGzNJy7hB2XVns+4az1qPLH26aNtz8js6gg5TOEil6zE1PBAD/zCJAf r22XR36Md/H04uGAosKoTVXZwNQ6+dET+LDOBupD5wL73GwcKdNBSCABT7br3i/z2tfyqOoMmayP dnNhoYUEd5Jn5Jvz+bMHH2iwcHH0/s7gJSif18TVEshd9TePho0jXnvl1rsoSDpjwtGBUSWxAlY7 CZswcSpOeXvXgK28blSvNd7BoR7zeCiZyF3psQ3mL7f0U6kEPqjTCl6I+cv7cCQj17GYrdnZOSIW 2a5Vqct9H6eXXVu0WAwaoHQYujnLeIGLEeddj2EdEVpBdjNC+9zmEN1Gx5wQB+0WbKIdzF8XhRsx konDY0gYoeRRZkBozE+zCnx+l7jNQbRrU8VnxEqO3YzKC+B4OvG/hYHNv6vRO6QI75VFN3Z9IPNI LMGNpeq7MA7UtvjTWtpS0lBvjRUItx1DoR0R/Py8dYETrZnosK8ii419KdxX87CU3X6eYkiKof4J PKsJa+IoTGogJaASeKZJO/9LiIBv3CHD5qffzZs2d7IP2vadmwo7kPFvizLFLdmLj2aLXPewLFWB oNM+vt1+9mhBhIbksYdH7EA9U/hiACFrc97BM4JqnIVZwk1Jwzqm0To5c9koB47ObZ+yfFo5GZ8B 4B95+eG7QDfLanpU7wMKmLZ/rlX1fK3SXmfPOjnwBqqJ2MZqnjarJTBQ3d6fm0NNlzzeZ2DM6avC eI+A17K2DLs///g+qHK6InhlNZCIEr+W8x2OsRPxSweO83YA0zSNwLK8SFHk1rd7vo0gcCyC/0N6 uGme+6zOFDvH0xSrHF8D+HDSM+41i9Bd7+1EWvwGMs5tnMJPYVVfpo7uPIXHO6AOlw0vH4zY/lMk DZ3etMm3SH7laIJqpIq7KoSNTfClQ2NG3wiNxpuhRVuCKw50jhh84XeeAuBeKZLNFKsbJPdMMRJA K0iYggkitkdM8JxNa1bRlJuik5lL1Hldi/F3aAlxtnRlKBnQtav/lzcLV6xYqhx9D6zzXeMbt7Uk lpyrm0f/40TsL4R+3F2XbxrGjrU+HughjFnRTeqYjInhhxYXOY/GC6ckpFq5UqwzBjHXbnHmfsDq vK4bjXyoGdKw8WDYI7rmsf/GOoEXrNA5Rev3MLUc4K8hHZT74B8GSxW1wlX/O0RuoJMOIWWw2+Wc 8U16l2Joq5tYyLyyChOzPbCxAwaHcOnIkqRKIHPu1IKxp9VN9PxJnPsgLJYyz33W/TIXPQJ6X27z mxRACZkibLs9FyZog00Phm3ZWCDh8EXbhml9AaxdkOgmmw8rr4XR3iIJUK9JMYDNi6Qwg3vwwxZ9 rnG8elnzM32U2Ibc753/5NbOzgXtWuR4QwjKTKcbzka343PHwa+L0sfEiqJehlG4xBWPZATezApM TNeCAhOpvOfOHbrWtcV445hdv5gyhCOIJEb6KVB6xR/gjuvJBr/1KgxEFnxHD1Uu3AsEthCrVKA2 gWVXzvKIVTkGK57thNKTH1YUZg3LitKHSM34qoRzFTAktyy4azSUB99zG66tTXrr/LwNr0guM8qI s0s3D+VP5lvnAd9vSoEZPvov1H70lSxADn8BDpLYpD4q7kJrnaIlF0XSlk+XSdUy50RHiXtB3GvI Z1bUQ00fJ+mvRAQz/twSPwVLMDlLt+89oo6gRdqwGoB04fiLKibo79OpQcv8hlN77jK5DcT3cRY9 AtuexznVCU5QTGwQmPBH9KtCqe9g3QpXydlo3tkKU85QgOudd/wJ6absxeTY1taX3g9Ix8RjTYCO BleqU0AmTIaZFgXQWiEOl4ry+UdeX9BmhVnDwz61g3K+VzWtFR5h/2vdNIyFYLbX8VWw6wV5IGuq FD6e6prXT3Hl4ngXBdPPQr6tXoNLLY9kF9nZ5dYxWTt4bIQaqsnXvZ2WQRqG6HvURV/6CHkAIjtN PweRlyyJKdRkxW4HhoIBlfXJSeftrknEFLWoUN33ed6O+YqyjiPA91WdeILoojuXWhydGqoVYUFm WR/YI/ot2y75snR42NinXxi2THjkB7EiCkBIFCpZ450qHrNSAbU58t+anV5PGG82iIZfmwN240l0 4TZKhCbRfVDNHG3usgF8/xaxknHPC8O6f6mWS7qcC9mzmqRXGLKBRvXLZj/mHxjYxkhnKHQFQHs1 2jdqFUMcYBKuYcq96hl3+MS2GPgOGauhImSlpgIlKQnad0/zisj7Nfd1vzAXMS4unxda1OL59Qcy sSrxklVFfJikpb0hMuP/vB4sq3GdYeH8nN5kGwWwj35Sc0fO7sISNWDSrbQim45bGqY9mR6btyWQ g0WdhvKPcymiWE2kOovIuJgfLWYW/OOl58XTbcqIbaq8F3k4d87RzoSo8f5QhbYOEoGfN5WOy/z5 TxZtd6dEIgEx3oOVUNz62zMWsIaxjuf9P95+s8OXQmaP9LOFPBkN/7Necrq+DV5sEtYQ3ZVQoIcz DOu6hr44yqi34RmJxdV6O222bDwzkKjgj5wSFegAknph3cKtg3TJgNXW5ASBM3xqRIqdtVkbEBE2 FtMYaHb1HWUd84NnrK6kOsiTXM/vrlSCg1ll5qNi1NzLrQwDKpfDwd6oYZ5g59tBjG6onfsE5M4q M4ZuDOZHWVCSigR2S83J2OmQUg7jP9gSvKJgnxSlycZ0L1saSF/bF9cGrAg4dgmrrcYG7Cv2NIGU jUt31cnpKDQam9Vb12x2k5t1yNMCuF8VvA8xwu4YsS8zaIW5xvWxcStORMe/ePxZXRXnhd5/uFJl kFOvuBzxfzvrWZtt5N1QgV2jO30JOEo4BcQ6Vw99CRaPT7L4FMbhQE3viOf+6CwFelVANCHt4eM0 bb6xNtLvdJNUc+K366A59ZZU/kEHk+Rk5MW7IohR84eJSpP1ENtF/UGDyFHBgRh6F6qzdvGKpkE9 qzzB45rFxcIOM4r7A4AzVqJPEglvzg6kB2ujSJIyMHoGv3ZDbAotT9yInD9s3e3ZHVhEQnq+Vvuy W5nEUTJLeT/aSG0yyxArFuS+Nn6mN9AvnFUuGZsqCL3E99f1K/epWBhDiujrV4zwF+ttyWRsPCQo 2PopPa09rOpRoRV9GnbHtQBEcgCkOVW8/zA4GI3Y5IObtMDr3WkRBqeY5z/sUysZf2A24szBSNE5 zu+adynOSzoM0UtAhEZ8FbeUlMBRSeMF1gq00roq1eKf2McxACiwH10uaVwuYzMQ856hm4sWdFPp wMXhrYbW141AxLZ7ETQBEOdxwBcqOowjwSK74xZcaFazGgHBuxQ5ATFsKwN/kn0fQbecc6zGiih2 uryKNWY6tOxy8Z7Rxru4XJFCn7Govfjy/qGqVSY+6EtWIqyhOmv/tVV3XiOFTS3HgOKsndO4ZExr +s6AwLjN1p9MC2obnkyF3oSfICqw7C4ZcXyWgOyAPm+doLM24FmF6hixAP/AieYM8lxoNdohXoaI 70jDvOXRVXEEh/eLKynvq5E0n2el3CdONH3pqIpR/dA2X3TiqzdfLSIVh+MjXrXX9/ruG0WOz1po JRF4XWs8XLZXmlOcUTLr8MmAmXKONR6ZIp8BlOfhqHWLqHcrYrB635GZ6n4Q6Aszkj6AZLd41E5/ NeIig6MKHkjqMqdnn4AtOwkXy8kPp6/cJXoLz8rpYHa8NqT5uUwZ4Rk6keI4x5wk+WBJRH5Uv2Ev A5JS06GVTgLeDW9uYSIRt9Im3eQ8VoWh8tfwp5HJBupsYQbBD15rtiy2mYXObxxZX2evI7/N6q+E JPmZGfGzzZem6QuORlZ6Pi3IY1Y4gBXOE5ftdvUC/gCt+sgz1uZcRqluh3UAJP/NXCcgxZUZOSuG YdTujXaPggeplA2IBe8hOfoQEwNYO6IUtTlWpJtQ7dJpuYEc6ygq4gzFp91lcouzhiyu+IAfofN2 j30vGI9qGC8sYlT0SP68JG9ObnhKp3ZrwIwdKd5Xucic2WiHaA8vIsI9RnrjfZ+cXYHzrhr9Qlnw 15a+bAJuXzVnZuy6f71QgqWqv6T8n/s02jGxegYYbYDqSjShxDxtGTq93ii2g+17oui3FLLNODZ8 jO4Jd/0w+wlgMjBdkdh9wQogfMoA9LieV0hOWKjrxTgDimN0RPwKtEIdSg8tlpPRU2WlwqDEDGTZ ebUzHMyGMn8e3jKU3iW/dXuwENraAHTcOoAMbrh5LyRCC5aWQBntpUDYYQ06UllWig2FRaI/BGVp 67J6YjxyVFtQkKWGSXz9sOIrBLF3Y/eLLLYbJ2oiPYASJ3jKIHarJdPIUHlGMz/W3HB6ea06/ffs CAsuzIMPfoOMYOcuWbul5R5GhyVgSXcn6Cijlf+fmCtQJW/NawPJ2jkNigpHYNbx7OvKJpsl/PIa eB5tMQ9hVFa7xmCtZb8mVnlxeSQpbaDdFdysgzueFn89ycFr9jHKUJ6jZinuk8yV0AES2JphJBBq AEAVSd41TVTyv//Kq9A+xA4dhisvKGe/sHZkkF50s+SVG1bmCoNdEpgPWn494aEU3G+soiIA1asL VjP/wkUD+H9GctDDSNjPGBSj4e4jjuxoPqO4UEo8TIn1DD6wCovFAY5ONXPhdxhrtSP4oak9OqUe FMU6Zrtafmbne2eJn/+T61f97E5mWV8PRr3UAQ0pZPKQsNRAQNPXrhf/3qd5LyaWZHEE0h2o/ucj HXbuO8C2+U/1vdSmhhC0d2/VqpHp4WvLQtnFBHA6SlQZ1W5qaZlRFv8MBhL7a93rGCvpoFKr5Uiv jMb30ZxJnZDlRSjkId6luZLEt+pXmT5ydgcfhmxGNJS5fiVpBpPQLd6MQuBzyAQw0U8l8TAFvCm8 WtA4uVwipklME1fz39PXvUduMAYTeZcvmY8P9SA8x6H9WVfq6XjC7M4zaoxhDhUk0ypgHRkU99QQ PwSf66MFOIbLmkDCGw5ZuH5w16i31zoAmQ4wb0x5TVE9JeFiEqQ0p/acTcHdOIuNfr4De4A+/Mde KZxNF3wPrYGVHDGcnKHdtdrCe9M5Tb9V+pZ7q7MEQ+wIZHe29prjpxrBjejmbjHb2RKaNWknJ520 Rxwfj1WqwLeZ1Fu2DkZimgfhgUWFbRcCFLrLmvGfbwPyHAFsSobD4pInynG8a1M60QhopDz0V7qr KxW4XNF1YAjLuZyCZ2bLxJO8lZl26rEZSqQcp5yYKSt0BXbQf0+mIokaYMfiKTKJoTPzEz2Beg/N CprmZ0Tg5LFUvMzxX2Ck9un2sWnPeuMM50+SwjYoyvyHdlV0128nEojSPPlm5oCeARTjfsnVv2zo OPUpD9y+anGPriZnlQB6TXC9eCZ1i9m4o/UL4CApjwIyABCXGeFvb/iYvh3QrFI1PbkXqNlMvO+r amsbSM7/6WaPAJIIz4qocLz2YKCr0kjSZ+U4EFqiD5DlaJ3msKT1k58vowUwibnuJTdWBuBcW2Vq xu4939VkkyHGPZ/OSxM592k+2T2MDYcdW2kGfbzrRWDUPjQuG1USovVnHBZkj/4oVrTc4SLlrCax j/LoU2GslKoqZ6aHVK2iOTC1iAQyoCG+8n2xpV/BAtS3OJtD8FBtOSDghrtzfA3u7aABEynX/9vq 4nMmoLPvxjjHo6N6qOc6GKmZz++9kVQEgC95QGnxq2kz67wUVgFnQNuxjfKs5+nCOua8xdUFBuW7 L3xLyinyGD+b5Ukx+ELHCSvZS3U3ZM/f0dDUJq1c0p8pQyQEoHZSS4pgh/L0tGuig9jB+GqNPlYH u3LyfHj1/BR9Jop6Z/EpgBVLGmWX/fK+LUP8BhrByG5U8bUY/rjVeDgt68vCaS8PEwTFYakqk8fW aI9nZJrrBW/BXc++yjvFOY9D/BEgKurNEArltdzibCRs8mivRIsMa1f2WR1Fvt9ZhRr8FDyr8Hcf ImPLKhdSaE+jrw7gY33+Gyy0pb9z9tKplXJbvPemWiSxlh3cqhFdDdJ7JWeg7Oqi8LXTDQ1XSHwd EK9OQXJtIOqqZP4Vagoe1+WMCa3iClAt1809B+Qqz/FK601XrTPvK3i1vMCl+3ZFSH5nCnN+AXot SiSB6SJRehOLJ08M0Ugg7e1TSHJRr63ItkidbqKEeiE4aLwouescMxoOsBAKLwqi6AoE70+vT3Ip MuUSyTvUQsAFBungqYg/Z4oGhSIHpllPBUyvBiNZvIrf1w74thXY6xboYB9RBlmQ1j9UAJS5Wq2K rqYLr5hkTvw8UgYx5HrUnbM8Bb2UNUOOwPL7TicQqXLAHTnWPu9cCy1yiIweFKkosHmqVGK4SVXP 40CPcP2R3JVkzkXmoylNIKgJ5N7VU1SFTgXaQad7eA8cbcc0WigcLIl+35sIumOa+zG8vyykKmDZ cJ/9cJHDcFRQUH6e35769Lj4qYM1v5oig5K5xJ/O+VUMGnhjskVUo2Pngd5AijtvQrPVzIwFnuaX fV3+L5v+Cpv/d5YbLSmAKwXAJBBhMy2t/BD3aazqQmwmH/kAbdtCUnh9fGlTtEVMOvRk460cSc2U RbZg6f3j61Gyac0fxXvl72tk1GQD5hZqShzunXyFPyrkz7XaLyAaCRQlIDGbpGqWaueloXYwiFes 2zIyPFOe6p0pdx2A6NUuZy4qwmntpL0ZyEvy4d9A4dzuCCPZrry2CJtKMwciTturJIKmp/b5+L7d alsBYoGop0W/PfF8+IlMrgsLiOfz3Kfs6Z96LJU33hB8HOUrRnbZBzkZNouAI61/P6S6uEnhNw7u e9hWjsENW+2tCkjMPBn3P7kJ3C6fMDE/j8IMDmCl0BwpJAnA4NB+Q85VDWbgiO89EmO7MSOPDsL6 A9b/cNg0UO2ERXQJFyN4X0Qti6XJLjcJXpncXsvoOCLL4FGkuqC+SvkK2XSpFI6KwdZdRzqp0y+Y 3DCHg+FtE43Lmba099JwJBDPJsHnKGAbE/DMu2tVug2a/6RvZU/2KgBItNTeJVHCgRIaCMZVwlVw x+xk5/SE77KRLUMTpb/a6/6sh4md9LQ9w2orkxUIrgiNR4XtodDfOA21VMnI9xugesUrIOWZtqDD JznKE3u4JE+KIP1Jf8OCfLlVGDRmemprJn8COptTkY+cgwoD8mK/V914rbNbjeLvXyqevfX1dUju 3VpLcmeb910avDVOCHoMmn/U77/7D853ij3TUZdIPCv7qdmL8tEA6ZVNhizCjKUcglZRfp3MDfFI jnH5XzhsQFxlkOEMsKgIaNoKvpwx+uTtR7pzBgWJLgfDuwWIeBAF7ZaoEw2m1LHsHZufTtZfFr7C +J2HWTS/ZwuQEG+VpkLWGzpdPK9SlwAPpcnEOc0nPfN5BsV7fAPZ1Ol2H4ri0p9zBakp8yZFh5En sNBsPdcrgcd3omj3JgQ6Al1ik25ieh/OhYqu2qmAolkBkZNVVlhdLqWvGniwvIPpzIzVdKKjTR2F Wi+FtRmXYB/dd7OiXXZDdsyW0qDG9NiR2IPIaWz9bTjJy4DCB2bJjMTB8bLsBH7nB+JOZy9mLPKm KKzCECl/dOlh/3XUHTL+ySciLOFTrdcVSvEfap27QTTfDYONIDq9WxUGEdVurJ/9qqn9mh9VM/Bq gO4bWaNaCBIz2QP0qZ17+STkIypdgv3mPR4yxm5u/LYYlQUmj2zAVMMahN5uTePln1fYg+4VfHiN BojSxNKHA9H2js5lxF5R15BHiQ46OJlfGEf288f20ixo9H+IIGOWO6IjImFlPzC1LO/Qk+1WurSI 9anEfcRPxu64PwKP51tL/sib2awfeeaQ464ohh2QL+5LVrLkqi07u3LeMHtauQWjJC0FHFBQlJfn Gdb0aRcoJUubdzfEDeSQLsfc0Du4XieEwFBXNgnZHYhgBraofIeslCUjtlf+yVfBLVLEGqQSj/+/ U9bk4f/BA7TdvqkZRdoQkHQk9sHbyuSjVuBt/rsTgjZqFIoXYe8iCZMpJulc7wHZnCUzniFfN6gT fe3p18J6opQArYF4OBDK8w5HqoLjnbwmQ+3rM21HatjADW1IZleyheziSIDDgv1RHxWcy6ZzqOSc V6bf+HpH124Yq0lEwtVoP2tGmWoHxkak7jYhxB4fGJPfQ4CEAUFCrOouR0SayRM3oPyjHb1/2b4U kjZTD/7d7sudJa9JPylLQwFCZBI2JDee6oGTLI2inNhW2dqFsUqXfsNuTW9N1/Ikv7JclHRjsX4s 0PV+90SaYwx3QK9IkU2FJJytpsTsd5C/Fe7SK5xyySsTbN4hiwU3XD+twa67O7iwKsn0xVN/HaSq gbk0JBDgw2DcT8/WzNnvyuYsSBgQdvfj6kfQylZh8YBjPm4ihqKyfzB6l8Dt72vatu18PXEj+IHi ey4bLJboyDirgIHXARGmPAErcTLvc9Jap7BY1/N+Kmw6fm558CoPWgceE1DmmHYfwFiWWjIyTgga P2lLwz1+GJAjt2sNXYTINMnrhAoX+nswMqBPY+trOkxzHXlucI0j5pJWj5u1jYFRpLV6OBkXkjNp KjiM+1upzOs5+AmhMaA+q9b31dL9db8DwugZDdVPsxAo558WkUcflFCKaa/me7aLRCfzz3RZ3mV6 Fmnh9bdq/5nH3DWcI9aLkwsuEHB9t9WE/Et7wDSlZyti4t8UNbMGVmMyKii4F9rZ56I1PNqoCq8E uLCc0OEFl+rH9ciJxvzSumGSVWbGxgIoPANPz6L3VXAh55EZ3WOuZ22X6EOwODAHa6qN/IKXibza q+bcE1L3XFTiGrGw7PFS28XVgT6nuP5Sx+2VzhJeJbkWvwFoJemZX4Pej2Ubxz9lOv7BuEnVSi7I 8VrX8CMbC+M92I5YeTxrLxEDNZDJFCbEHlRuJ4/cIHR35TqsSYWkXuEobl3nqEtgoQ18YzFezgTT ix0Lh0NHPMV18nBHB2WkCW1mXhxrKPayma1GV1l1dStvEUgUm9T5ow4OzxmO6xAx2NlF+nMSQJpX JTDd/Ber7srp99AU+LofAeUrcR/fIcnHAglSSwupRPSGZDXH9w75hkBv1x8VanQD4PX+hEtjZUA9 xz4YjCRbtIAfW+e0yWjI/pdpvVv81FQ5eQ67Bp+NQdDNxAxYGo1Jw0zoxqpJ++Bi237T2o/IXndA Bm9aCwPCnsSgCuoqB37U7Lt7SZeK05wcaYhdGrpnSHEXc549PwebxTlrfZ+osX22HF8W9cbNosJG +yGZVQeidlukUS2ruV+++XFDgUXVkZCYqwC9S1UvavLoSVsrVP0ROmYUEPfep/zTdfxtUkWmj0Xt iT9arIzBNU/t0zy8EYkpZoJtFrkVpDKnNUXxMnXpk61JnKmEJcsLLx7gMBSsFMXtFjt8TpxTgm4w rm+u7k+1Q4tjbjnxvzHPUJt57gRfUQsh3Ps/N/yCNYUTejGeOutUDOKgkl6eUYswO4YrC9Y3JKiJ R1IDdpei1J2n5LFlMR0SsBbfiA+0KjIqATzmm0aLJJVhD5O9+SO2FB1TMb6GnNlNrg8zfs+ltj26 8iNbS7E6AmCKKYDfm3/4xpbIOvU3U/ACvndw7VWGvofgSx5ksjyW5Njrb7gCGCpZivjF72wURINE pIHLzTvXPiGccXPfAkDR3XJaH1eery/VKsbzhA5uYPtn3u+h86qsMuZYltK8o8Yo8UvU+RiENOLK ZxyVP2kdmlio3kOMuGnuyY61mfM/fYqY4CuTdzdF56VOmkTdS/OIFT5u5S32RZHC5e8Nnd9Tfc3O xfKlX9iI70/LCajkIckiWlJaD672KRKrRKgHcOHFJuPocKcD3ipemwnnOOCuf+ND3NlwgYykLVmc FJ3Iv/u0/PyHF9Hk6A4D0gTuiBzzMnELivGjNHwpOowueAaHmaUPQic7QGpHT43ZiUMs6zP6a518 2/i4m0aErqseMeuOgQ4Bvtmd/LfJzcwKf3JLvp1lUMBEYb7RVV0LI9CFK+M552jml8K9P0eEFNpw f9wsYaj/X+y+yZYtRYh2UNYylLK7lqCdkMpGYeiZqXdlVN4mqCwAwDuci/9lWy7+0Skgs2FFplWN 13hVioi4EaQdNTUTb+YcvZBrcBoM060bAZgdPGCJlMHtUUi1uUyTrGYU37LOX9ZrHV5CEC5fb1H6 3FGDyYzxl7GKJNiDGT+p8ZHkztNPLYkVVjHBZFmSKieRAN7wmWhwc9UhrD/jdTOdb9X081BOo6wn 7yk/EFRLkqjkQwou6t5ZSRXc6QCucfrkQagl997DHkysgUI7LU32XloHerMhONie1NIP0IvPN1DY 9zHMIYdroUwqJMtNV68dPrOOY6LMdtK06K1uY9C9e3xMemJHNJanvYtnTkJUsEaHVASscGVUCFe2 1SqazKEzSF454hAANkSoaw16hAP7nqrZCIYgzbfMOG1Aum49d4GectYESZ4ZVbsQ7TMn+NqZKWyv 7ozNi/D74t79dlLvEXe/MOs7UxENFnnSTIzBzkAYTozx8kjemoYVeONSxVn7B3l/xqPdvG8PNlVB jXCRVKrwwsw96odGKdoEPUt2Idz85nGMm2BzdMW5BqjAy/GdQQAEFO5u061DBRUJGg1v6FYnbZre AUFsXKHPwMRBDfmxI+pu11P2ClyvnonxzyiYyiS+4jPK62sGcOhCjOBbjM5+SOt8V+pNPFftPUHl htjxJYIWUnUoh861ddhlew/XaMnVtk81upQRNBJVaZN8ZKgcM6opP1BicIAf28k2rLrktYtxz2hG b+mRVooqo0RtQLr7dOkb00NAxXDxeQyltIeRK+mSR4ZtPphJnRHy9ipBq2gNxoc+TbFdJ8VAXiQI rpwIwKzCBvmqDVtGwj0YOc8mf9pMSq9tF0CHdtXGQBNHY8lYW3uOEE7ZPGHPGzSN7A/kcvxIkWXG IGzcZahwmS3zmUfksrrWPJU27xNuNWfT9knff7s/KrOikQtKTIQGm3yCVJpHE4dK14HiqBgZlYHY XgQDTK6vOFlbsaqD6U6D7zhVl0ZNG1hozOBncdOs/ns94I0dNjqcmRwmYNNASSzhZgS6ogRF2elm 3D4TXyJZbaMji/7WOAEk1Q/erioiUOnB6kXi3VAs/oJO8EwLQRXuDysJKG0m7vvzji7j+dRjQFoa ezbDxKpY7xPLqEg458Mppw3k2a9mA70vnOj0LKm2bXbLlsFSElRhyu1FL8bOqeDk4/SLRbKNx1gL lW16vyFKtNKpEmQTMsj6DDkUAymrWeQO8rKAOed48ewJbatmxrS6X4+aQEmjPbOS4ULrKga/JKqm mvuvx5yA/NrZva+BmjURBmjvFx9xrVLK3gsPEBiRL8hnI4X3aGeI64EusfW6EydGtsJpa/ji3QPS +Hgxs+ZU4DVN6VLkn9MqL9us33mtcM5WDYlWzZn11ESiNdTnV1anCVd4kyJlhUSqthH7R5o3ozKy IPn5k7Fr1Du6i7PSyWrCgBn6qdT3ELBCu2+rhPEfvw+cHp7dl7s6ARBGhzs+eWugvk6TXAB1bpNG gUdh+HKeQXarikVnf7VDG3KOlUjRUcXzSQNJRjm/9w3xK+wHjKTkKYh0HDBncdugwRYIfzk5mAwO W/j09q6OcqvatJFv4A5Nmez4QNORUmbQTyHeOPTTe610gyhjn5uzVecarufPX6I6OfhrnVw03Rlm wYZSGW0H37MxXgSYBwXu3CxlqHjhTqBPHsX6BY7K76x78ncxzDWsg+35l+2MOGZbCO3FNqtmKJJb 1M4sQnWHNLAC8bLIgnBxqHphXGUf8Dlu9qBfoJYKnYPLUiEPKWxxWXA+QmeLvSoFpwfTSEi7qm5X Diia95qrzrjblKRBLn/1fd5jobXpEItcofzjni7AVzmUuOBNqni7nHx7n9v8ODoRvTRB7rLGUbTY 5hXZn909hKSrH7q9I6yB8+UNuZj8/l7n9IfCGGEcLkihIEC/4BewCx5yBX9EDFG3g0C/VoxM5GfK L5FVE2NGEaqHBMzyD7GAA3nfpNi4cB6rba/foGz4FrLERI+g5kn1rPnkqBhREG1qmUBJnyCNsz2b OVDVT2OC4UYoAv7b81j4ZvJgHYKLDVF8aSN6YvfIFMEtuDQafezQuFeYC1kkA2EP8VB4GD8C9A6a MHhMxffjXeSShbVNzns1rZcACwOvyRYEcT/1P6tXUljAE0AEIacoXAAytbqvh3PBg7rtLh+z/0lt zA4WhxNth6uYDu11n6K+HpEFl1ym1TG4DMo5X/JZyhpxqQKlyn/L9EMIr08oznIhTZoF3XvvJ+9M mb7Z+WU+VH85wlS/UkoNKyMhFB/0mGaCfJGQRq6JuPWsPMfXF4WduS7ugTXvl0yMegGH4Dr14Vv2 EOdbVzq9GM3nVHn77Y7KqmCdNCrxhSB8Lf9RJ+Se1GPOeptfSyG4vX5zrcjVkxnictaYCRhbzNwu ErvY1B+svyhS5aK9gfk5HjHpN2/tCpEa5IKYoPbpjeFGfV5/8xAzylq9Off3kRtZ9PQoawe/SkQh iVlwAU0rZ0nZr+7zC497bsTsC+rl8rYhBtC0dSYFHXt0n9EsRr0LEzoRbABVMHpBAE1SQ2xQ+7kr 7fIpU1A+Mlt7jjxav6lTNKDvOriKHWaLlkZKaE1/AKTakgeRUAVF94FqLVWZsTZTPbXHWIF5fuHm sJ7j9WnYoxg7z/A4yoVJhnz3U/aPbt8RYlDi7hFme+t1IwoBNIqKZMC/1F6fsB4a16RPoKUlQnE3 ss8QQxW28eHbRCJ4tfgWnSM7xSS/US7m9HiQ2nAWqmI+qBSRKQndxxhFWd8xO1kjjDEP2RXSrzBy kjoLXL8eM8V7g9t8c3DCH4+1TFWzt5xqK5umucrLrECkHKjNHwoSxhsaxSV2vkdZp7KeL3GNUfV6 +iS0G0cY2L7Vtri7aELJkXYzKxzhyrPD4WgmFaf5Vkyjlli8UqTYtMK8Ta0wNCFhZFe101Nsz864 e8An0sV1TSVoUPGLcFfvuBBGNkBLG3ivtilVidBwiHZW1log9794V285tbZxGjJH73BfnZKJxXDU DFf4ReSNMig0WM5+JR2gZJO6zFVK6ike/CsXklNuu7XsV6+HgJ0TjluQgZi0GftGhzrNNrEXTgYx 1a10Kc3wK2rTbIM0PyblSvn7KxRCUEfsDehCbWJUJnKa3vd6A1+EOCC56MyIbndckA/nssyi7R2t eMMnRYBt07Tucnxa/jLD98OXlmmj/+NVZqTAX7r6oYWaqbOlHiJFOkm/HJb/cv2Zz9Jnhdsdrjx/ BraG3MlGWC2qCb9f0pJQBeZeJbCq6Bs4FPVsBkDEbWe8DDhT8cHDat0BsfnAmW9df2ulKS7uTMiB PDRePPh+rw5+Pes4HxmrtApM8iP9zSg8ksm2xc5zx5bd7/pPv28EuCI8DCOqFtscWQBkLxZoacbG oCgYVLEz+WNDnQ6VroJ8d1hFCn2kadtr98it10APmLtBErovgnDDvCSg2DJg4Ar2JUizv78ZkJww YxJO2NjQzfK2oO4i4p50SAngnzy1G5ZokCiSMGpgWpzjVSNXTrnoPhi3XOT8cYzrYd0d8W32LsHK X+DQwFwDK/6h1rcK/N25W1WGSnuAAnr5iG9M1odHzUsb+tOGrcS36sjKJaok4W0LgzbcLCi1XRam a/bY2cN5ddDxCJzUAWHCLGVfDgnZO1f2NlRB6texDQINQ2rx6uXzoiJJE3OZAJjr/yzLYQAkthjS E9XQdXKZsgDNN2rrfQzQVu+TdkYumdwvK6l5qldM31pc/JSwolvNB/AXsbO6OiwtV9+UJApGrlSE fuhEbRyYM5AQcg0zmzoWWxjtF36BFeTjXD3OjXADyqiOe5HHHcv/2i5hU/IEJF5CapWX2KmvOeXN T+dFkSiY70UQI66IRAurGb7pzXpNM5j4pl4FfiXKE4ovl4CsrZUj1DTeQcAnKmnR7XaI3EArPj0+ YSYWjsB+pfH3A7oUH5MuW8Isul7enL+GWhcGHr1q1wC7bz89g5wT5ugDCcpZaxSxEUrigBc/eWJW 53Ogs0qmi1ZFW6VIGqRWlf0YBg+dusoW918uXOQmRcnfDKF6svGufDWYWhDkk5fhACZJ5pe9gD23 Gmnss77RQY87dbdlFOZrlIoFQRY8ZqiGsnBIiq9wsPdHK3t2UI+aNukSg94uF6uvpBX0HOzEj1rp bl4bC1qtvpAu3vEbonteDtRrdzsXF0s+iDDpMiPbWrvpvu8mlS6SmRvN4yOIR6x+tPeSFC/j15Sa AQW/oSTaVGIbHXnG1sj+PzI7MElfCcrR1yZwED52tz5ny90uF8uWu+G1FJRTiC5YhSXcvH66fkTJ DhuBN1J578/FQBUgLTWBOY0tW8q4iZ8Bh6pa77AS3PaIcPx0uEue4BeHhoUgC3hx5f9gbITTMpKz iItwHLPulI0Icr0CxnZp0miia5w/q6HMg7QZ7GIBn1ITFFBF1+5+BgmXdUNn4ZySkb0pI71s1qKg 9EOe9ijertWPOzFA6XAKBAwFBe1yrbcmzQ32WtgsNI3jkPFotyY3n2sS1RVqzh2NWiClbjvtlq39 beTD1Ews7XvsrNih0Bh0ri8+RZ0KIFgtHfVbn36PaitJGasKc3p/GQaPgAnwYJ0alsrwiNhBJ2xj 72b4Kk4EOSyUPu224ni6jtaa6ov6vGibdsbPMgqrAMVLejbqmAHD4Z2UylAeMIDRW6JVjR2n6PFZ fMLOOyT/Hu8QafcP85pbW6euqb9MvXGjAgXadNy3a/qLDNM//z0ORGsHz6bFxl6oMQTuKVxrc+Ie 8sc191apYvBxQSNBTfjv2Zwn0ga7vxM7wRs0h72FNHNXdxTiu+cLZtHQNfOcCZY1Lcrp13TJeIPq FbtUoiHsXcAOT17a+I2jRtnf6C35R7bmh04B1LpjmappTqn4QkV/wSN7sI0sYUw/arWL46GXA0hB S+fz+VwSjINspL9AfQquJAP/AqvuGFfN+Q/zpht86o+2MgrCvKdQSGbfPWrKP2+2IqOQjnX4jk9o Q1lk1YM1N5sMeLdoni1U/BAxLdNMRcZBQeTM721svRhELaBsg8nIDNHn3xgsKNMOhatyOkbdnweC z08sz7Yj/9P9BEI3zYn8okYaQaxNa4GFKLbVKiFX19vpWJoqxWIo6YlyiCoJsB42bsaLTnq6lzxo N5y/gRmtizkExKojX6OLjL5slB7uTqd2pgLkk28JSx+06+P3Xw8ZdsBYEPM5aUtTnF2AC6iqNRlK NDWqj+Y4W22VOtFlikCk4jXG6Vg1DFlWx6l9zSpNdTHAPc5lotovdZUPpZAKYAIEEcXcDFpbydrP Ko3UIUOEuAPrZQMRvmiEnVFhMKbxG/0eh29fn+CohbSsPgidyDZHnuUGnQQHzIR6DPOuAWkCuy9H T+AXfXXkI07+Qk47BOwDUol7oMku2uN7CxOx78VXoCUrELMILg9rUCsk4FF58ctTCHh8qDgC1hL9 fUJTBlVrzeG6P2f3ipFjh3Hi2VGYggA34DeArwYuWJNJ8ezPA1OLb4kYJUELpE89eUQBEEWGIq9u wgt8faOIV8GNUztSPSadslxhLgMqLXzUAGHbVp610tDQCWX/8uGHKRfw9fWM6Jrx3FcgJvkqE/8v 8MhgP4enI3FCKIhb4Bp6UUpnEvPZXQ8YHO6Wdo5uMdhB+P2od3IcthVNbuhJgeLAsuvqjo3UYRCc qM1S2neUo7HwTFCTkHbsGKDUWDZZbSTz4l9ropB95JYGQotocoBJcUhL0RFndWZlh/UcAtWHeOQt qHU8CuUK/LjKG83xTBWiMpgpciAqFbf0wuFBmSdI3H/hmyxo+gAR2qFyfF1yn5dpYY50vwMW456I MzBjpGl1dkTP8bVHTY3Lb9vKVLtaTr4aBdI6Tj3YKdxF5CeliJr3jJVSEnNCANxVUYuMjkAZ0xG7 WSe1+OrSifluGmsE+fyVbOkmZZHEIlWJxocNMuLnQ/6cND4pTtIKtsRg7NLx8mPgvsM6vt0UnusT ivtJda3QDjI1Dp5Aa4E4x4xk5zyqWdGFDXnOKrZCVcrUzDzrxw63SEHFrgqw/aHRD2r+BAftimyb k2SKyDO4QOO++xzpGVs070XGq7YuHLisV9i1hMaKDsgLEbwwWGIGgqRkPvjAdl12oomJ5NPiy5ZL x2nem1bVJRmdMYoXY6Avuks7iCL/wnQgDFxK8O7/NDJjd3ECH1488vhag741Dc32IUffCxrGFwHH Fi68/xZ/WlyU/CayNHO2/Of0Kf41nGGErEquvt6BZRW3zXbg3K8jDV4+T/W5sY3wRvstYTfrlpkb hU89KFpprnmYYbOv/K7b6494oGbHjAwz/TT0twTJxlwm1GEcVUt/XcP/XX0zBV22md9xIU52JLkt 4c49Zx9DK8BTqh6/MhxcqrmyhIQhMLk8evofCtRjiBM4IcftsuBCGk8GBCE6BH0FiPotAEdrXZU7 dgdBGGW27OMZKZadfyJ3qkbApwyhrJ4HO5Q2lopgLQeoPN5IbV8OwhPwAGX6gUjAn5vRSPUCt8Md /lRLxFzBQpUlNTPJt8Uvfsry8ViYwNzHMhF40dz5ggYp1L+nJnCeQyo+jt3OAbWMo2rdZQ8Uwziq jLa6ZibTS+v7/YJAlaZ/S668FeQ60OIx7Go+Hhfy0V8XfDmU5P9Dy63tH60oPXU6jvXAQlA3uxN9 juh9aDXY8DeES/c+5l97ZmXhfQcDInwiS7enLazwts4Royt/j8qC6MKI9Tvoi/4fqNcpDkRBVhKI 7WONx3GCB4abGrW+ysmUrHUj+Kk3n18ghyi2USENBQ375KiGWdpdu/99gZKDLVijJkUHYZ3RB97Y VM/eylr6cCTMdiy1mH+EcSCKqEcBpBz6Vaefon98g1cWv78SdzuGVqaBDxhJxOh8mCD/Jawl6mGM ShfQg4J7gyNHern2+wP/FIBQgpKETW5D1WXXvviRBeiznw53KA+qjD3sS87lr0Va9MEDxkjEjlRl 4Dr1kzkQbq0cWqNgsf2WoujPWWeXJumXP53GIZD0Im2ThIUT34DG32GDgHM9BbaOvUOCjTzBQcam p43R0ktnl+37sZvhXoi/TgNPikysg3Dv43ObwhT7fk8CgiFtE4dkIPlb0oVl04arA+noULHenLFT gpkqZ0yzVZiBcuOoWOvOxj+QySaBtu4KvGWftOdQ/dVGjDRuuHVrUM2ITCX4drqha07nOOiu+ooK 6hPiDpT0fJaJNYe77nm6AvFvPeDA+np5WGrOkkv8rTZqiKnLbILiIDE6aHFVTcEIOAA1HW+VCce/ 5KqUlwwGt/CBGD1nhNsrjyRfNBsTZF5sE4TF4/JtAzd7nXh/uDGpjjKA7/ES9FviAooCJn+pU83b ld/4I7PKq+Kk7nopkzTEeUbKFronWOYaiEOF3ROQNKQJdGInRq0YxBqboXAM0UunyXJ1lrxeyh8L aSMrgbjwkPTmBrfLsbG5ssDzXeF1wWt3sxL5kbOlgDkX7hrqr/lRcunS5M0iB2NWGRgN377MdsSv LcIzQ+sLcNNGV5DPgutrWSePda2YcUoBr149cl1p8ZGnlgB6H77MIbphHtVajksFeDsjpqE/f/eu 4jzOjmQiOUEicMXPIgdrSfLKM5ZNgNCMpGBKWAVwZv0yEc5FRDVtMddMhUN8+ggvTLy1B1cEcEdE K1WDaaBrTTjvCorQkrpw6DjAvYs3EgXoOGeadjCeZ/sJONSWvb3GfvDcJw/0zpifvjq6e6NmvdBf /HpSMbNJ5DD+v10LgbzN9K0TDYRCb1IG41OTL1tdNwwT8LMIgmeX1553mlgmVJxoDEEb1zEukNeA FdMxj+J+VYy0HuWHgmVLkzkP4sdfCXD4I3lDU5vCk9xKVH2rM8U8uTPX/R5zEYB61gHM9NvFn3nd st+AVGuGtxlODsH5ipFYDrfznuWjrmXURGUGwPbIIr8Z/oWtGCuS0OJvwJ3U05Rm9AuAuJ5Mzme/ dWSvoS4RVjO9MRBRokO8MCJIYwGUBE5IpFk15Wh2CdnImWg3VBIQQEv2KBzxhkqayf2rq5m8YRlC 69sI/rR4osvcaWjKeyKJCwQTd/ul1wiuUAikZWFjivNTBmrgEmxmQwcwjnm344WP/TcXLsADepRT 0Yxaeql29hxKsN16p4NTVYwA6Ds0E6wra9GArHiqVNpPCVNlYVdq8HkWXUzpAV+ywUW8A08xulc4 KQuVLM7oLADdbBqSNR40dWyhMUldHizhMmw5nKGLt3Y8sF8SV7OVKkWCt+KG6hqhTica8yHzGuj+ rqFxltoQoPxmwY+IGZekGqDTBbvF07+eYEyBfS/PjXsOk5jeGwPaFsv5BazDsddYoqZjP7l998VQ +uBFN9JgLzsVbAGYIXuKeDJ7SEk5320+/8+GDADxtV5tM7o98YF0lcLFA22vDj9rpxm77JV7Bi8C w9LCNApQnXgfYhloUkdlCEVOwYHddfxdJjJliSgKwiku1Oq9UK42b4YWTQFdZmlQh3p24hH7ikbl zYokN22yI28PWcd/AeHrI/pwR8wdb9EY6rFyZCNDuSvtQ2lUa0+mopbCAsaPjwrpZ0qKVZFmFmk9 Cqe0mPoSY6FQKdmiMDSvUh6hPuTLKOqCgwsGIaIL5yENFfgysXfw8vuBMN9inYCQzM9KFxjnNBpZ PfGmgn8OU3tJfhVceELkXaOCaluPRsw7DRtXskbICTc4kG10kMS+QbKrNV4bftmBKESqMeIvLd2O ifmge8rmkESpGR5Q39IH9aI9Uwduq9KHtjn28IZwFs7KXLLMR0yuK0KwGT2tWP2T6h3FyZC6LpgP wY6pNZ1L3ylXl3Jll8G/Mej5VpQVfgcTm5KDZv3BcEZRDF6/0JnIYVsznOnvILTSGFE0r18V03Rd vAhlUGuRbVl9AsiNUU1gsJ5yphhtIj6M9XupTVGHpmX5LkOctYCeclg25GpIcxjqDvqavClIuG+j zolbrbxb9Zg7FipMSvlBij5rWizcAzQrt3zALRKKMUUhjg0Ltk7Be1FpQ6Us8oVw2VyaRYzjl0jr fHec9IU7uELzZNMm/fVnTxFylFdi/mmtqmoTiA8vkDD+FV6JEDiH8NC8GDhTqj2+75NkShzC8zJS vmKua/gGLWt5TI2xMg7UX3LNjzI42qwzcG7/Sk4BrlY+pfcN914f7CG2BzigzRjWUAciUztCaILg VCQD5BGa0nyCgWNKBoOpy8AINcjlPh1l0fJsiIA8NVpbEWUQ3KIM3bOdVSvGG6Bgzo92QrPn3SKZ iJeNdWMg1XWnpBG8Jh8N1Pnkgg2Cg7qgGSjwIFmIBSx25XKfIp0CSG4eneCNmiJTPh6p1AwtinGD xNY38hEuud5X7i9xL0AVWonviwmEMI6mdJWBsRJNbgQsXOarnZD7LwgIQJoi1pM7kh3EXKJVZfJ9 k6SAMOkXEXsmPW4MNALQbP3gopTIGwYLbYG7UWFjlm7+KmoMzcBaPpZPuxLJI2vnExmm1V429CSC MydEmQcgMVillHqZdQ4yaQiDRhJwnzDu16z3YYTdkuSdBFBIY+ExcEyw4VXrGnd8CZbc/p+AkkZy xOdTmp7MqV28dXtNvUaLjbkPpLQCzj6KWIsnUi/zAfT9WAh+wSKy0L7RsDhnc6RLp4vm3/2HmCX/ OM3J1ats4pWGB7k5R47ufXtHV3of+rlwplIcH5E7uE/Nm8PWSkyvb/hvVzY1xgAz/8Rw3rN89ubO QpdCl4U2yxDFNCuwPd2gQz0R587mw7SHza0dtEolDJ7bb4nYnuTLhrpW2bJw89ExopbbOlbbIOCo 7aAPV6+TMKqUA7PVXaTnaMXdVNEURQJw/R8hXQ87OrApX7G2P/fa3me9yMmOQ4KgvTPv3si5UHuo T/U/2lqozr5RLuC691s4Mc5PSQOT4mqQJNHCpY8W5ab8T2lJ/80u/u7mBul4KcbVCRK4b7WZczuc 36Ev/74YKb+zidvRmQp7tnGefjPGbWaZwHWkvHD+KaOmJiKyQvc45cwd1/OO9iKXSPXz1mZB5d8L U/2+mYKAzMWgi0eW7XhRwDooI6RGbKhXk9htckNR8gMtG5GMgOTLEbgglid5p4vwBZNIcp5ibcg/ 5SmRaFwAJy0pe7dnUBpjWiUXkJ3KpgkuEIcqXxxYp2k6tpySWwZ0yXQO3aKv5D0hz9NyWj7H/gg2 tsnmXzu2Wj55xTocJe+66Kox7ILuanwQgx3XpmN4pOdMuurja99X7fSCa04o04Wq2EO8XUz5PfqI tIthiJOWDezkfNnVOLzALK7mf8Jecy6jqmcsyCx5jTQQyfuVl7/l4iZBhMnV5GvONUmRiwvzQS3D AyKvmZ6Y4r2rB3IHra/H2FjdDbXqgMuSVmT2/CrQ/Qc1jJQrski3wmFG3iRPYZi8yJ/fHy6NgcEa MnaGGiRFWrxdTDf+ncfqRG4e7XQAVZOP9xz7yufCTxJK/0bekjVYUIFZlKiK+BTCzR0wmeAFt1gc 4MTyC206PmT+wxsoYOi/oI588OY4amiLbMOg+reDnfkYN2Xqbc106Amfbavcv22QW009uJKieBYy 709ThqCFeVkZmmfNZPItfTiIPJdjXZShqPt3cMwN0XQ2QTIo+SgsLM0b0RalJl0h9ZlmI02BKygh lcA5dbbmmLCxgZ7ldVGtUPK/elso70zmqvUpvyhFcTSrmy9f/gWoAfTH/0xR5v6+1TXRW09sQX45 A4kyqQTF9GrgMMJACIX4qKD4BeimRJhPH78XmCQui/Ee1zm/QLqLkK3kDm9gITP+jzGy4MqOr3Tt /4AHezko4hZzlDhmRUUWJdbLBaF+N+QUZe+z3RgPViQ+0HU2ygzlboBH5J02c0eaDv22X0aXf4oC 81Q8K/k2HkquSLaOr7zsHXD0whTIAcE1bvRGQA+GJnR3okjrjUa+csbYRvBGJD+tnjW+YG2apex8 PAcnC44ktwzfqf2NW7n9pHkF/d69Mn4WosJnigc+U4pMdaoxcVpPQc+VXkqerHzFrB8L5Rbc5rn3 XwlV7N64UD01UBJeMz++gd9XjRF0RNX/YiUgcJ0Nu8z4pT7OOFGdhqfZFj/vdwlskhJ71AyoUsTT F2s+dCajf5tcdVjy34JW4Tfdd3nSBzpzBo2bXOqSqbFuagFpnkz/IEyImNTtl+JOEsB8TUPOjKDZ 7NV3ND4yQ2v5Mr8oXn27b5ny5kQuYG0mA+G3zd8L5buECutXN/q5Mky1n/GIxwgMV03dYfgtPiD9 Y3hXhnWupED2drgweZL67bf/HDzQwPW17bMUKB1fxAr7lLhK2LwMdMP11BBv74Lv0DkKwDaLclYF 7/preEGehZ5LoGEcV/zJhXRLVLpkQbgx916MrLEEb//V4Pw7qqreuNluZZlip60v9buTMqg7vFqL JDV8qr/RX6nRXAJgF6XVjO8logpU0bAdi+Qz5d1I4v8QIXF1+P3xd3iZz9yXZJO+XCObFN8rnRx4 3lHRJ94yJDw0jOptgYnY/D9/IOJW3tmwaPeRjijOUy80ZENn5ysPR77X9eGvHtHEt6lpCEWhUp4V PeS5v0tUZJLyZ8hu2FXYr/Sof/EFJ+HYvPHhEFP31zrOuXomMMoXpHGNEUgYQICjjjlJ55/gCRf7 N9ACRg5lz3RG3FEF5f2rj7T/bEkcziYEebXXTm2RQVB6PHEl3+sPMWLuHT8eCH6IcLIq9+GqOLex ZDPqlQ7dLKQcsrUff8Y3gHj6gEd+Tjw0hrP4Wzr8x9CMwXmsHQAyJ9rlX6G4FgaaLYKgCFHSPP9s aTyzmD9Ugo9qaOo2kLh/z1yLrmD7IirooUwl3XiceU7t0h7zOqRdA25Phz2wtbLAtMqK18aMhSYD G9pctPO7vwzcBF16NbsOybkoXfhSPsYJmOnVkD1gnysyM/SGUzPC8oE6ih5cAHrJ4uBZcwDzUJgX N8rdIQoBn6Kp0XbhZGcnqrYtiVAUfFcuc4rZJcp4k+Cg1ab4emrtnjdjatPsNIZ1RBe4t5UrXTC6 F7kW46iNY+DzRy6W7YnXBMuQVaQdqRevmxDYCaP1CaDKHBhXidJGTYbKe4BpCx8kT0pT6TSYGw8/ rISLf6VmHX0UW0foLaiwW578lnziP02OSm5Tk0uqVFB/1vtSeixzMusFAQeE/zM7os365Jnb79jX AjumUH8KUGuZtEY9BzMSUBTs+M4otboio2GwSEvFrmToSfpVvlDTIMUk0YIRwf8qKUZ3yt58eIm2 7X/3bZcYYJF8u0waR/kv94eDIwj5nMRUvfxwbL0Xdps40iya/p9Q3zLpSMnvxxHl2iE6w+DidnYb QuwdC8HzHPUIZncu8VyqJ9Z3rlC0ac2JbnpOef51/08OREBXmCMiPIxkyOiZYGRD1tzgXgQfszPW 4Zo7k9yVUhi/LJXDOOESWzS0uv+BDk8SrZWdib+rIf3M+C7CbJv5dx6j5MRDheaTrzEHIoJMcuR+ LSQo/mrIFcUO5G+ZQToJk+PEIAkx4l92k7YO64+0qfwHfHrH6/c7yenUwx90+v19bjqonTsiBwvA LGp1ASSaLOuN/FmoyHO+LIZYYyOC8sTMv4Tc7CtWhowlSo4NkNqmFpSkWwNQM/QhRi1ZW5rJ4h1S /6PT+lq1G05CuSmT7RdAhLXvZHNB6Pyx6jJ7PqBie5odK6Q1H4PUbpYYsVA6RKfywNgb4L7hqC05 V7UviUwLNXLtfogidOP3JKUeyNN2tzGa48dv8TJ44ZJ8PQ9YAF+m9vhhsVNYDIybqKzBik16Xlpg yopXhPcb47TkttkMWlwvRUZRHyPk++sCKPPhY5+agc1Yp7JLLLQDnKenuF8rzWp6jWYIus6fSuw/ b2VinmUMf4vNVxlngKlPa2mD+ly3YRmI9yEnjT2UUKXeZaGl8DGHbC7A/qRXA5p1ab3wMtPyvfET mdylyYiZFJO3QyIKbcsbrOGqajXOvjmnaqvaJQv97VEeRzgMHw/R3hsjIOQqOQwX8uvDjjZTHtoT ITxcreapru3PK0yHgvZYzbCPFW1xi2aczbUG9TqnS9A2as5v0X3d9NSxOxvrvvk+kOUXm0Rn+RPO 8BoVilBIzb3O6n0UUPY/oD79tWo95ZTH6Q47qK+l1YOJDj2gJGQ04reVYIiKYeQzprT4LL2nHr7Z 7LZq6KhZaU2SeHrHNKTQp6rvBk9EYX5Y/hLk3CLi8TQQip49qXxcdRgD7pnKAgj9TPNVcUoPEjOi HFE5WGCWUqi5fsADSZ2z88WRQaIDiru+rIop3WcSglUd0ipHmFWztWqUnHLCRx9pNZimg5kvSmFG ZNHUOGyIGepADNSvUMvRMuJl6Ye68ux7GtEIfOEGwPwiBxCTKPiygmsiZQB+glZICEkAs++Su7c1 sWbiBvyX7VxYYMBM6gVaH8eEJbGtfMbyvOtQ6eM6e4fhw6XIFaI7mu9b6skGYA04sWXVUUsfFWy8 w0KVXKtnMiggTrHFkwvpmWVsy5Du4nOykQPiVqdkdq1BGseLIJiIqnnnGWeTZmi0Gw0Bl6jsKmOJ Bd4oJVKzvRMcXWOJaZ7WBSwA0WOg72snAPRd1cdj8ik6bxEIYB1dA5GljbPbTen5/nQDTIOFcjrA 4BrAY/qn/5zNeorMOnaw+5uDqKlkRRKHPcaLSfVboJRN02qANhAKm6+CreuNxBHOKsQBpZIP2b3O H6tLFiIkopgeQWahuuKyaVEkYOCeZGADVl/2ah9AEo6N+mFygkH13cpBH4KQTpJnnUCU7x6GXmde as8HzzBRApNphmdVasfpjb43WWx/zfAlG1xnzLZJevPJy0jMAE8xltE8ltZmDb3WgGOS/eaXIo/d oW+uykoWLMrISEc2MJIeOcQTKDu5P7Q/W6Dxh5Q4O5ih87rEvNHrKXnWnrZYzvDezsR8u0JiXBx0 c2tcBfl42LggRCl3hgRm/WBaR7sS2Ud0dNI3fzskxgKM1bM5VLMp3VQqmH3gyOib1KBeH2+ZamyN aIulOd3/7fDclb86rXr4B3HP+gLPj0CMvEpQv8I/NN0w2pV5OspepKnFWw0Ynr/u7kqvPvXnPYxb yhV6BuEr7LScS3Bb3U5gbDTI3WCVcfYJ0tyv339muXcNmhcUYiqgGXcDOqmLDXci+lGMaNm8mv8i jANXw2R1/hJg44rb250s64B7SvF4v1sEUIy/CLJ7VohyEta27UbcOnK1utu+ovMiLadnB80l7fFc ygCjvdjg4CM0r1NXfGyiJlW+UF4fReB8N+QmrAEDkHe56d/EZCVBNMXDsXiVfRnFGipxrHyhdN9k 6Z5CEXy5JOlRNFq3zKvIW60HF67k6Q91pEBnIN8brJefaScl5/Fz+j43aceQaHr8MwH8Db9nV6Ab Tj1UkFCjo7qAdK5DG+/W2zPWYMXB7qZ6s7XOMGYQjXYBLNSPX0dsXBKFiRqEGoZnkQ1PGd74H13Y 7pYgzVQy5niuOC3t8nhkLpxRVE3xoW5/hQ9nXp0blCXLxBmmVgyAlcNu3rcJECBADaiSsDZTX3UY 5xpzh6wopgHRm0m/xLVwjYnm8yDFeUV5Dr0TpJq1HQfdPtrdqUq7Nzj5OLSshiKgsE9WFNStjm1w wUs7quHXw4B9uZ/S3UXESpeqTdIJQ/dvMqU8amKawynH1YL+R1hCbGC8V9U1TkShvhyVSmaMckNC xd0DmNv3cOHPLWPWM949qDHwUalyyWb0pBaZKsFKR9wLHpUjueaDeeFRgsxLT2wJjv8cpTWiS5JI 9/392bnE6oHXoCTw89gzqflhyWG0Baeihlnc15lx6HZTg3EKxhiodZoFdC2t2sVBckmQjmMp7qYi ZHyrldAmQO2hpshKBK1V5+aEMpD9deIZKLtY3OfSsYW7aTm8xRS5+Xq/rK1hg1ymhRsb23P2N/Xs 5nBXovAx+PgQdfr1RHiomAS+GvBuUlGTdMDnZdfeMl6pcB4Plcp+npLHAeEHMoODSpTfLCbnAjmY j2cCROBkNIxg5LsZAhpXIDid/hBdlAi8sUeAzmTWlipTM1iFjzumlm2Id7A/4J1flLYbkU7sZJ+W YsDnde68aGJ18XmzVAzv4fFrnHOdExiazEEnbx25QI2qoNXTrHOKnYU8wkfl8SoRAt1uJvVyG4PA YtaVrq1k3KSFvO6yRbGMx2SFolgLMQG14iFMD3IOWY3eUP6Zu0KQjQAjtT63HKxt6E0DPNAtmO/b wQalyqPI5XMfSm5NVbIvXbAs224CIbJcj7rpXDEMUvWYR6+RqE0wjSW6yecQs8X1N8gDKmEPvOnb ddA8UcxjbjxmAYTpKShP8y5ZQSqvsFiRk9ToS944cdbGAxicVOlWmFclXUx8iT8UeJz4ymPg0qtW OqdgvhYGISw235ltWOp7SOdOsDi3Zcv9HIWkjvsvtXrcubD7NK+NB/Kshh0G0Ejb6mlFYs58lDDd L1eOghGtVsu6igRrvp06KgMJX8ATZo8LyJON+U7r9DyVaVb1za5dMhQVUqnAG5pW99JI2rlyxvMn YixE/pjT+wQ83+053QRu+nOt2rWOLWkiz9lW7iwHdG82F6L5wdha9nGl0P9GJQ6vVlIFLLxMwu9f 6McHGSa9A1G45eLraZ3GNjnq3XYDD2cD2f5CLqKDJD2dE4yHL4pm5OYvZjf2b+oYZgQrMYHbYd+x R/f/xeNSSKCvAomn7Begdm/Igru+EtPNeHvLI+UqFlogAIC4Dgv45VYqyP2NaFV9E9FNGtAsqKzj MQaUgz+IoJvJEKDduqXeE5c5JyvYNJEYTHPedrrTS1X+4yvEnZGtnUztpGAB/cLyhNXqsMBAtatN mtkTNMSG2kA2Faymgf/7s7KH9gcYSZYNXoBALWg6cxh1U3jJCWV16dCgCnProqxWPmE21zarJXru ElKKVR3RPMayKyF5QrCCzGBgIoVMkRTIBw+vfopLd9E+OXguGEvNsB6sUq0KmJQA2qrnAYUyQre0 YKZn0XvETyH8Z4Kbd3vxML/Q7I7flVtKJGx/6vg097twPs28kQNJQuotvcTvPAiEpgoxQKXY5C19 bioZPtBQoBhXqGXXV24OCHCWu03j2RGA7RqQVAYXtc40SXG3pDsyjfOvjmkk+nuvl4yzaW9Zu0yP 82JHWixmzNr22jERgI36kPYw1P0jhrdLXVblsP4JWIn7auWXv+mJ8oaYQOLJP1OyEnN3mTZ6irFV Tq9w0jQqVxSSckz9n97V/FrnL+RW5t7/wZ5gcf4lfynYXTOnDZ8E0sTn9RHMvYsgU3mtf79oGb6O XkqX5IyUwzuB9kYprJU9pPlCFV0fQ4azGcNEeY5pnA9+7Ke/SynQjFuo1eru3jCzdYA0qgKCNok8 ptqMSvi/3Yj1BjMx4v9C8jUorkAA3Zxdl3VnKBoG7bcqzRUEo8ZU6MsoPKBwpiyKu5KMhd5fouy0 MGqjPCObk0GzGLHyyhUO2fToiN8465J2WVqSgdcE7AFSTMAqd9fjlYOkV684CP5KY9uVSbHUC0FV rc3rXlm6wXEmQvqQt+DYjm+gxdYHMUTH4j7mXk4GJ6VdEAXO5nb0MMOMj/AZaJOoxhjpgbdNPKgI GENMMVdSQ9QYhBR6bYBYqlPsKPBFKfpwqI4O/Awu7eioabfZ7haC6CYYePBkZNG5EVW0XKcCDd1U nIj62Rg+lTfY3sSeIvzoQ0MvwG42HDbG+tBknLkm/AfRlaPPZtHyssKAMhOQQZtN9WogJNISm1na bxiwyt/N+82P2jLq0kqrIQXt81brngC6736ywzLdiSXn5l85ljyJTusG32N+9Uq31IHfRehtOTUB c6XQVO+t81n2Dg1xibqUBfIEWejjAgFns+xNahmoWpWcBLAE+irN2wvh7A5DH0C7BRSsXtY1RchB ipH7WgaER1kZqtj6dNJUXeOS8OEfPBQvLLA4bWf8sTtnwMZ6IvFKAuuCvzXbZ2IQtk/Am8/8rCCt X3b05Om37k7j4f3oa46y3lh5rArRV9EQ3mUNFj/2r5vGGRIMc1TKQqSTWuQ4H1SjLukhMjEmpgfD mzwTnkOZQAysR/Gh00SYLeXJHZuGS56Aol25A58MmQdCwlktMg2XBID/yT+iJ1K/LH2dxyxAJM4Z kOgf+7yxdOu9Ek+pO+9hSTRXi63qPSTf4WNEi/B/lrKJhMU1CooNRQmfhKCWHMe2OQYYRp92H66h tj1HNEwSJHVjd5fJ5qZ5+lY01EeOncRlVKwwF/RWpGrrV00o7i22SShjAO7oMOwVE/UzyrE4EG7M ZTF7REK1paO/Kx6sPTM9/PhkbJJd+UwGrzN2hSK+C4aZmahURT4jImVU+1aSpKWuMPcODmKGsbBW v/3vOiAgkf7OV/gfjmKWf43ERtxgOmENIi9cC2D13ZBQ8Mj26Vr/FI1tcfk/hPa4hwvDi92mTT+p 26rL7WyeEq9iWOGJ7s+Q9m7U8CZPId2TY0mYkuSiL7NxJFxWahgkA/SAI5o8g9zRwD82WYvBk4qH w34U+K7iDuH678OQVD22h6ShaQhQ7U1XYwvmypxEBDQxRAn2h3tDLOuDASdNC/sedpubyQC11iY6 5+xWyBSoEIa8bk3eqCf7QmK2xI8VZrlUU8MSXHgpigrxMM83twwae6vkFGQhacH8GoB0//wTkrP4 PWwj8bEyxydqSBAAgScAa5qPBoe8E5kjTLAQVT0uL5pr8j6PMNsAVY9Fxn0NLy63Rj1GpVSBAcyV oJlJ0pfIImyw3JFnH2/xK9TRfxQULuwhPBB92CIkJcQ5NLbzDlmAr5ib7uSM4P9jWwve0B7heELP 4FmgDVg+wQTESt4fdJ2SF+H1TLOvIJs4YWiMMnsGpIPkp2Em+e+lS8vs+hNVW6W3XH/lbmcDQKAx qGlyoyzLSw8nEqY/Jleq2aempdRgQ4TA0uRqOwIfLyLlqEQY5NO/L41yt9PP3rPBS2h5FifjR4kR 3SNoSEhAMoYpvWuFAEizz5N5S35KoFsYaa7fM/SnAbZ1MBRHn4gNX6z4LmUu5I2x3j2Yxsef4Hly 4PTTNOEo9Tb7yDjDmII1lkzJU7OhGaSJoB7SQlvVOG1tOT1LmREJXfQdbhfpl/43ZWrsvP4sK5Ei 2iEngPApG+W3C7jgXBn87ZzkQgoqAwnGuAdIlwSlr9kJwgs1LDD9XMrv5CQtnwt9T209Y7hQ4ubz bWYo8w7dOI8vr1VC5d9fAfddDK7SU0ybtAOoY5YGzf3JEwcqz+DYWKoUadD79Jt6yDIwSyfMN0KF QgaWyFOZVqD/lrIM0O666Dje5l7dQtuqIXYT3a1JsWI4625Mx0HQb+PKU5DyoyJAJPd5t0xGA/dd +mp2jofHfXiOCmTUx/2s0F2lTs0i4vXeiPRPX2/dszG+80VdJU/V8dRqhOSPOCWxBlqSD7+Zo3lG xA5M+J5pxCdfL/oRV+EAkppvLjS3eW8z05dlo3luY/YNnsW5h8ZaUCF+P8nLubXQOb6LJzu4L9d3 UKw20JrXbXvRt9BTKu6NFqYQY2i6X6bE42ZTNf00cyLD7P/kMFEA8vEuAQSAH37e0yn38vaW7Rt+ cA/6Yh52Y8DZlTic2V1xPnX5vuMUvTaPLE14EoCwv+dmxXpPnByr0SUw2lqOVUDchd541xx6zwkj pnDPY/3MKGejSkyZigqSDhiriY13pVWmaV5qTnYlVv4IEBUvBq8pW5Qiif+aXMZstM69OzCjkalM 7B3seUPMSWN75vbmULhKiKtfFCF13eaeG35QTrH0KbIYm8MEQV7PYpaHJCu85uRROikHtmOnTiaQ ZMimBz6GB0OBVbw0qPUKSEvvyobfbhi93/5zbI7qW0FaXyleSL40k7+U2kdKHR8t2l5LUj7k+CBM JcGzV5xypecK3DfhZcGaak+mY6iZQ2pH2k55mXtOoZ0nmmiIXPvtojFFtC27fUgZsuV2DdMd4Rll pKuQ6T+zatam0MBei36bvpTPmdIl6GVDzEy1RlYn5/lpuQYlbuY/7umcVwS09zYkywcHynxAJvKo topRb0GdouLmOxB8Tdo1oUOWrkaBfI1JpiubCnCoKWZP0jJP5bfIotG5ut75N21NjoVvij1KrUEd BZX2c9gX9LH78gTpVvpytip+bxKOF8523cVe6b61OVxPMiYoWUwMsRB/IxenJyqAeGXUlk8r0Jx5 F/Jh4W0kgoQtINmQoE05XXnVLO657CCxr2MBLP6FkS1IMXBZwsR42xJ4YzQhMEf1DPEhdT07LR/g Yo9xx5/WB5lWbkMFA3+5WwZFvzHosSS77e5t1V7bb4lyy08xi+hoPUVzOHqjDSuS84Ec7UUXqOhV nNvXeR0oywO9kkx/+dslpPgEzNFFoN7JUEXFoK9JSVR/+Zg6+M5lKF+qKsomBR0SXgQWDIN6ddTM PKiKm65bOkzOftfijUzwR/zDf9ay1F81crNlu9LFD6IFuATHTXJvG5A+SR8nEdzQ2ko0o6VUHMyG pqkebEcWI2ywaSdd9LCcIEjYS+ED+GRShi4oQ2sfLk4Dxr8m1ntqUGp8jY9VxBXSKKialotS57DS GUbx67D7Btn2kcdogWRDoOJL+PIEAUdWDdBPQbg4rWy7b3dt5ShxZvYoTUG2zApaf+xZOmv7aKb9 0bGFYn9SOyJtS5tGrFptnI4Gf08YhtGfPzxMLbgiFijFydMUQrxU0jUqzh4M+si7QaAtqdVMeQv2 +lWPn024/fKb1dsrkjfyzIWZW201PKxFZlazJd7cBNITPNUn6rW9h+htZQg9aedNA2q9b94fUpIq dILGW3rvZzGZtKXkDX1UkQYxMcvQonnOPNVYwtgG2nRuKmNBle05+IEficqGLObJC8hqZqWdMFI0 YCGeOa3F2778+RoMfsRiZPkvdoYGH9njrj8kokGEckpqdZjgs5Iw+rrbmnN4JIjxV4FYj7+/v7lD k1GLVuoWWat0KeLCBP9ZRA6SyuzWZuMuPweKiUAebRnlNgMQyIpm41boZ5BegMNvTyx0dsKuyX/P H9e2vheTLnLDPCyqVDCtkYEeHef/6ggmUGvEJkGKV0zUr2xDTQ4xv8vlrA5ot4YCtlwbpgxmEyA2 oe1bMrnRQT04BSg9/ZW3DmAegjURFl4rpQ7WfsK8iLXMYFK2YgQbIASWV9XHxgKqDM1oHuMMBUfV Blp4LyTCdllYZhTWhrzuGh9cqHeO8qUkfsYfGmEE39KMsw1w12EHcICMege8GD8PtDr3KIoe2P2O gBGMGIXAFaFN6VwrUfQO4/ZHVMjBsh0j3+LFDapdtWDAe0SXzJPbkOCggk/41/mW7dKmQOFXvYg5 yM0842IPFxNkfoOtmPXcYzmDW6ncDbNCX4mq7UZ030/W9ieQAgcXAsCR3wQdj5cbY4ZzObpi2Axe eMIohdbf8JrJBlA8vLDeeKIHziDR/81mxmMEO5rTaUCHTt1eH65WRL8twcqBpOAQoCwi3BW/ugvr f7fPeVDEAJ24R+MHSO3723zQFddvFzTSoWiyprXZNUzOizJNfWncOIGRf/QmJrp9Pld1dHo/2XqZ gxMSNaGNAtJQqLAUo/iHz1j8ak71MVoMzmspX7RWoxsH3Gwv8Uh2x28Lqxd+M/wEVj9NcGFIjRP+ oliTO2IF2OvONTwWdptu+Glwwg07/iYMn7BuTqweaL90OmGVkIuZ2ZORv6ME/WM+I+oRVVTXs25Z Clu+N5WOyppfKdL6tPbkZ5etQlhcC2DSgd9x3/tGstshpJ88Vt7ryfPnFROfIgO21qxsI/Nwi/kl F208L7lNhMmECSSpXrKVBmBTFrkGZt8gNEIFqCcOCDVh+DhEt8z3oRmJNfYZgD8Ut8D2BY3vUllC D4s1xVCfTc5PZT3Q6TyR3mYuQt79eEj2XUuqevZt+NGmEGJ3FSTbZHQXYFJdNhHb1aqkci1PIj/V GhBSjHqpA0j1PNNwbLTVV22q5WIrmirh+tAU2I8hkWDuohLsv/GtVbsurJEn3Vc+FXrxuocSJkGR PNh+FJwso9jhoqlNF07zlhFnefDah+l4RmcXh/e/NKnG0bf4afLp93FumTATuxIPC+DpQwrSv9dN 2mqZvJdLNt5txHbkNLiyilxq1D7rHUfgpBl9Um2rY2hrTmUTMWE4CB1FKULSauphXU9vM9D1SseP 8ATi6vxWE2A8D2ldeRgOuwqKgyRSN58lCv2e4SRtKkbRB2p6ww0Cp4q0D980+mljDXt086azfDQ5 6gVb7uzygRWYjOYDCNIO9yzfofnB3aGBhPsYqm8p/w/uSJ++5aWqHAr07CoP/WC7EDJcoqKd/rz9 9LrwUqxxSwSEvytFb4VjxZYMdmO3AMV827pxVyw1GjlT4tufxOSgecakyzSzr3Si/thEKwH9V4QS gu1R1E88LaI1X2dSMcceTPJmfTAPJjNyLKK538PYQB3MeWp97lhoKmrxPBpbQ9tjJ3j3ZpVi6tVW 4lvG9VsitkgTFb2TfWJS6E9gfevDFn/XmCVVi11SQqncTD32kWsEdYxHjWtF0OREZ0eicCXb3oLE ncrwRO7nyLyjH/ldVQmSE+tlPns3LX3zfcho6c/TKuaRBjM7uSOHw3eh3g/2knw5917r2eYOQLJe UoUBiX+0MnYnIB3VDBo9SMHnGqRYy+7Q3PKfKHcIDDT/I3RyumKGcwhGKD7YzOXiqro23WKkss44 3PxEtruh6jDJEDHAArBDSSgIdspjmooFqtLzGm3J4Ll+jCF+0NIQ3hJ/l7iTbKpXg/06TeVlrurE uYIK70zccmvy3tPKziTg6q3T1U1c83gOcYbrzELl8UkZtKK2PBZXq42T8m9NWv/IL73t7fYAomAh rtnMtky7U5/mE+JprqRsLV0RJifO80DsU6G9iMCcBSlHPbDTQ727IAnnzDQPuCKDbHbgHvy62kNP bgHOcHNnYFEPKVS+0c4J89zHPTrjd+Vy4MLLs09sCDZgT+EbNITF7LflW51uVLRJ/guPH4Qp7pN1 JfyholIMYncHbTCxnRDfQ4lW0lB49ZJ8rhmZwBRhcf5Ko8jWm3jrt27gDdEq44gVL31z1AL6sgkZ cPCp9oWbWRzm+F+GcrPOovnsd9YVKVq3VcRw1vJ97bAvaKlZMM87mFuliHmN+acCB9M1hgbHH88b pG2x/rtnSzrRqW/UZdk+6np6ELQyPpMncTakp/TQMS0F57Fzkrx/SZQHwgVRSHfKqOxsC+fA29RP 3nZrUh8stPVLi/ykPOnWCmkNQMf2TOGGxM21iqICzThuNsB6rO+wvm9mTbnMzrE0/HaxkWbvCXuo xaL8x6fTs9wRqAXtPuLK37gJwRgHDcgBajGNjgPmNEDA/MxYyCyW/p7Kd68wArNH45MHi7DDF63o 2c2KY9VAAo/t66ZnDFvvgCBFwnjC6KtqvE2ujAF9eOtZhJz0LQ1NYECIFRa7gfELI2g76ajXXfux inhXyRNTI6xkG7iOD6CaNnpoD6e79qgJR/JEm+HkvyZ5WjiG/PbxMcr6u5rwmDd1qatSaC1XramR sbaIvQGLBGIOtmbv4VsMGf6N9V5nYU2gZilVfl3RzZTbtCZ1H8M7/7Wnhqs06/cBEIxoGuyDLnnY IR4hIep+nvBl6FouTdxzSYT0pCmx7f3RVcdsle2MMB2F7EvkUWE4NIny8CMjrjty1dEt3WijnKfS 7xg68lwkOmcuEZnXU8vkZU7e4y3cs20MXEzepQXPEBV/KZWTCYK84yn0EIKSTCIjLPr2znC/0d+9 l6cRz6hkyU2wb82/3EdaJG9MecoJEfWnY4XKWSwQKpN5TatBlqZJStEdtPTquki2Ap06PTEztu7+ 54dgqraZQX/McP6x7LduCB1lrOQgZ/BkWS3exyuAB4nmjQELpSNLgmF8yus54aBnkq9TJItWyNoF H/GD96gdC/cl15c3IHh5+sNQ/ogrb2cECrdNfi/Q9WjbNY5lfiTB3IMyxxTg643LalHo2QKN8kP0 q95/nSI8BXDsRjhccNnU4ORUEjLQyjUe72W42IAq/Zc2ANFz9vCkN68hQp3IcYHKG662pT585eMZ fJ3qHT58cCpONceshwMCdgDWb3+DvT9wveHNKnprkTcPDQyvJ0MO81AC6eP+E+fSqFmoPXGILs3C p1kOOk6bpEvAB81Ac6awY9UHaP+k+HFV9+0CeJfbIODsyx7yyplhU66I2v2tmAIh/w3BKyWUa8fJ ZSRfSREHfFcc6Ddnp0YfZ7JWIPCNJhe9BDlc0p8CS/f+973HdUAwwP7nmVEvklx/xzmo0nGefFBk P9V9u/qxuxqtLelpCxEN8asBSrSFFuQmcGIRTld1sKosVuSo7We/pLPBd1dQBV3dWbrnntv/s5cX wrUVcyQrLH+eo/dthwJxMSiEo3tPb+RFmUl+viFIQlqzffGlUKNMaPQBRXoeqJuly6eygXfw0Llw VX7rc4WTW8+QJwyMyg5zBKCR9HbuUXmXWyiHAH4v35CiiMiLNLAlxZAUharu1SEs9TQWorCaGrbm NAiKtDSgYfOHumIKawIueancchqDP5Sx/P8C7o3LbDofzCXiJavNfJ1FJbUVvMAAOy2BsT2PVZo2 NV+2YpM6oYTg7x40D7rQ1zV0kYF95zQoQEi/AayjMvLlk7QOBDSf0nhiDHIkwgKc0riwb4ZPrgqk BGoL/FPxPI762hAqj1qg0fXua8tH+SSSHWOJ5K1IcBxtg+fxU1jXXiZfpw5PuGlqGJ0rkxYjkZXv Tm0Hl5YDbfTVpFkSPQchvoeemCrLsCWRJWxS0/gDl+aC/DV/mh8J4pJ0N/Mvsx7Ks3BCENpl+taU 62/08jwcvRunZHvszCpuibcKN/jyQUpfcuJfCdDzATDvGe9BGoaoxC0qbrRzd9CUhwZzNzMgFv3U QIxdIQmK47D41+rte7Xpz9qibHnRFBFA09KDpcQxCrK6XrrDAriCwMjxHPIpn5RZOc5bloyzBaSs 4PSNzbneexLh9DbfjCa1T13XleElBLJBVIGHz9Z2ofTt/rzIE+CSB6H98B97I49esRlN/7fDRYPz efLRPdYRZsAbM1NcJE/IJb9nfEBH7zb35unM9pmM847ZQAVsEuLQ2tcaHWLoNEVPFH6+kU8NSQlU dVBYSGL0AK8shoNly1aBhsY86xOeZdwZZV9sRxM0lGt7AUBZ6iSCS+fpMeuf6w04ob88v7tY/jNf aSuY5xnynGj1+EY+a8AEycqXiIAKtnkPIpxfstcfKMUmgZo5lhd+CjfusM9yxzTh5hDL1itWrWEj 3R0hsxTLM85PPr/1hgirUhxgdI3Zmw97sBagC5TnzW0RE2gTt6Icd/dji00QsZVRmNyaTqVMQCsV d3Oh6a+tdKq0RgDJLLECulFV1qWRLdqfUB8kFtDt2Hg21fI+qZ2nlwbu2oBkBTFEny2IBDcMlEzT ts5rPU37Yy6we20pa/Wokni3eVjslEt4Pvo20OBhG/5WVUe20LFv9WFNAPrcgoObYzf8+XmuTu4r z1DX0ujRCZu57U01e/QQ/ylKvgjkZZAPfpiUax+RvVLNK12rdZH7G5IVhn03Bxns6mKHISBYLk34 JYqkchabJls64OObpUyEVkh7Oi56V8rZnu9ZbDxCFS/Nou4JQAjLvMFCCNDCObbpTmZMGerz42uJ 3AOMnlprcqfPCV7kfDJFXbFJboFyzjcVBg+N1L1oSJdmGmPsHD1Qba2oMlV3H0n6MV3NjAweN3ai ARgiNk4bIM4WGmc6qMYXKPkSItJxOkjLNYSQH/pSS9zvcEkxtdrfeQ0jPOTRapx62nd9J06L1F6E TztY/96SQScAveKzVIdAcuq2aJv657rl30OKLLytomKIPf68mDDzosal4vupsgkKyVlFhtWkIYNf O1N8CiBGsEn1vIktgajS+Xqm1GOI+QRDuxndDtDxHHIOHlDQTXtKlX8VsWmPTItGeQi28OcqLJpp v/aoCRbQ5prl1noSYSFExU0D4qpbHvdk23pFtp98VSBbEb9IHvufj7H6ZEeeulgTGKdWU8zXWqeO r9/k6ck89Ex8fncStTot+HGOCUj9RyQEjN3H8HvSflV8o1CE25z2QBul5ndeOw5jy5R2k/CLtfqI xuiK3vNO1VK1km5Q9N2BCBCtZAtVgGp55MN4GjMJ4ZMTvEUU50JagMiKLh4HrgoMuB2Me08zzQVH 17W7rytUnt8CkD44afsD+WxVfSzYHYzjTHKfjp20n/ZlUJRn+tU1L2j4Wugds7s3GN9EOWMmNsOs 6n/qMdUn3ph73KJ16wOZa4h1BEDxWQbaoOy+p8iU7w7PU727yhUwOXpSNUe00iFex2Pf3Y+k51ko pb9TYwOsWc6zKzRmSZRTNjXC3NvSM0xZs+OPeZ/HLYo9NLg01ZriKHujP1h0irPHwhFt66eRv5Ne 8S1LdaA8ZVDV2+HkHzL8v9Cy2uK+2FCPLsf8wPyr1zI0ddxS+LDl1YZx1WjTajyk3kKs+D6+poc4 eeLEzi55/4j1WV0H5W8Q/kpKjK19AeEIWX/OLYFZ0e+iq0lIjsNmThQSwIykg3HUINFkYOivwm7u ikV0x3sINDhquAH0iKOT/R3Ql9IbTCy21heqCqk9utDPu2ad8Upysp5mx7zEdDGamyGitsCbwujq +ZY7FHKwvZY+gAa8UxpBCoAboXpW/m0cPFyZk55GSqWMuWfYiR2oeVH4SJeidXPvU5WRI7MSkJAo DT/6AI415NAfmwdF9CmxWM3wmzd+yIooCRzQUIrxlUL/4FoA+W20ekaZvbwq5LH6YJ3Uy9i14ipb 7sIh3kfrXkvmQIJPwqZrboINF/4M6xNu1Wh8K1YhWZvuVh21I/OqQfJeWMWm1hUsHEc9rggz4+U1 i9qkgAy1/o9xXSD/k6q17CaBeIaTPBOKyuuvl/b/WQ7hhWMxkDKsyD58FEpK9C6XoukuXo/2dY7y m7oJWlzXqv2qcyl8VAnrHsXpBPKb7k5n8M4MdjJIblAY/jGmqNcclw7+ahT3PKpFswIVOIvHIZh/ BtibgoSrCOaxgszTwX8OOVmDyohq2NtE6p4V87co1uRGO0lnge0Ob9G6KDryaZbm1Dyi50GrHUgY a3B5fzP4LHGed044zKe25liGAq+fehpazm0dD44s/UXcDDgkxIxSKSaxQck40nFIrMIZ5k06ZIHC Q26dj7vrYoE7hA3lfOlfxC7NSB0vxJbTyDt3W/h4TSJf9g6g9hExXQ+lH5Jss1gKK6S6msKdSniV jTu79PpsR97OikxWmSYfkkfpl7TpcZ+5wMyfZSTBNcA4p6PnxWodkCND+vbk1ZCYHdDHl0qBA8oK pFRbnZLiAO1JphZXODq6enMbQRuaMq/UBrGdjeQoIDgy/3uSU5Au/iczBBzoYHvIXiwXgr3/eJm0 SJI6t9n3owOT6AlulWWjjCCpaE3wLSvZkv1oLUHuXHnW/25JTfVHwyk9f6DdlpaBesu3HVSIvOlK xMDuZFnioRmtCwcCjGwJ2SITX1Y8oIXBmGN2cUXtOwL3QNcuaWys9N3kSoDfBdkjSZHTf3WdCJyq LHxCjcgaYrQyMts4HLK5UTx9L8UYTlb0c0DXkbFrsvvp/vDQ1ge+DMJAXrUhmHwUXjs0zMCSu5uf u5PJpXuMsyQ48NTJJ2E9h82rkhZj3qo5c+gVeCCAkgRvEArZJeEg6+b2CXa1QsdQ4eBPCNV2QUlY tqDPzXtisuU2jg9ooUyBmu+Px3AZCuG8wxvF7ClXe0Jr2UG1u8kQUgs0gtXXQVrdUf2ZadJH28ri BQ3Uzb3ZDU8YtpOlIBA1uYiYSwOOOGvNN3MKZtRNhBNlN+TVOZy0AM8Zigqc3nJfCD1q29PftbwN k/8lchl9GEvbvGvgU85veq0rKhD4btyzhYAqkPPc0qA17IZQ3Xb/FQw+mbTNDdsURJJGIOC0IN1B vf0MGICn8Rb7uMfErn3jOp7q7X/ZRycAMMpgfeuOe6pyvWHiMjHVeUeXpaU+P0QFe4xIPbDdKctU 1StDIlXcfXiOXvI8Ewp5a0OqFqZP+d9eAnb8XDV1KnTLC55H3wUMs97BRgqwq1E1MXw2xjYVbhH+ aOiBRMh8nJtuKSak6nkm26qWBOa1zmkwdUdJ+qjics2ypSdVKNXbCiGwYzkChzMSH9Tbg6u6/e4M 7hXoC/rS79n/jSjcqAzhx2XQCT1X9peeBO0sf+PLvXrm0YT0hXYazRZMAoBoZ81ALIDOVfRLtapt B9Il+bDsdx4h4zEc8M8VjRY+gQMCwLg170p/HrB0JWVBOFLZUBeKWON8trKyloBP3EPkTy3xCRKw celuzGAtaeJpcX7hMnu+ScrnGqeiUO4kaIK9GzxiMLAYnDmDAyFTHRP3/4RroHsh0z2oZcRmAyKE unIRDfYc+mI8FNFE058ftE6b8PSyP3LQ48RTCMAwZrSfquXraZJYAZlo0kXNO5kdz4zfdYCYvNhh qebe7gHfYsKhh4YVaAIDLtxpmpCFdg6jqrqxmevhMX5SBVxyfEcrCjo/tr01KgHcP5RQ0Y3+A68u 9tQDKiPBZIIji6NAOGuIgsIlRQnz9dtQ9GMTCCN9wp9YHIVlkFn4M6LI2ZzAEDOKNjd6Me0cQf6Z EHoCigchuPYGlATR0BAAfGl+dZeddrE6YACxrVWBl1FN9fxRHu/uf2WHuFnRGqAVW3Y086mLLpZA R2gi90uxW+rpas5GWh6nLDZQs9cKXwYjWbpGZ5iJ2bSC/1gZRz9qMYAP8jqXWtHN8il2wbs63bWB LTuSoVZcaIe8qgTEFrzDvpvvTNRYjWv8qW2uJ3K09jTSMtdlJI3MSJHpnP3+qxdaDGQ06AXlI4q7 LZiT0jkwhnX+IAlfKSvLjbBIISTt4AoyREbKK+8fPYI+baEr9sDhSt/LlL9sYZS/YWVsTq7kh/i6 iPGtkU0IhsHh32FawtPE1nzJo1C/bpJ4B5r1F6/Q/RhGEZuWxlS8LxU/DZtycAn/eLKfsp7sEJhA bK1htNnHF8mQbXqQQM/0tVUDqEXc/u6bXN5jjyBfH7xfYYb7My68cLVm9M4qvrlkqdGK3OJP9GHO pFyBbvnR8/V74LFPn6bQvSKQegS/HnGSkcxCOgRdIYAz5kf716VO/8QLre2R6hIeguIyZg/9a6tF 7jDEfOXmJO0JeSx+0z13yXXayvt25UT1CdoC0vn77+j/Aqgu3JK5I79Qry+jVmIOZ7dAFwRs+SG+ kYLeFaysebXT2/pHhWqVeUMWbZYR26xFI/vWzfVIXbx9AE4qno2V8G7he70ZqtBHn6aUZlLyV5mb xwMqQNrojA2p5eJdx+6313gW3Czg346rQ3ePwmRfR5ubRWZYb9IlxbR5yMZ/IdNn4u9KczkGTi7w 3DlfVypzfOR2r9gA8z/OVnRmjZzj5461Pdmcyiq9UuFVxKR71B2P5NoYCaaDg3lcwZLOfjZ4MfOe F9DLZ4NMEX83jrrFHu+BXp9icG++PLmvuo0g2AKJ5O0951o29j29SIwMHxybAl6DIf1MSJ47//q3 WRRnGmM28KoYAfTnoq9k+aig1cufFP79FhUJZcGtBgmHF0SH9JwtjQ0UMsWbX5n2EtkbWpbWrjjV l/L6QtYSbioTtqx8otjp+pou6fMqP3X4RKJc3Tybpeg7Ya0Y/XwaVAIMRJOmGaQnxbz0FAcLTAWa RG1oxeQE8X0f+XzqOm7yS370XaIhfyuJHj3wIxfB5XMGds5LMfLqef7XlSZl/jgY5T+U6W0tfMhi QuLg0vcD4RJTz0t/qxMaPEhPIwtieYsWT/IrZWrHYf/Jvx9n2HHRCleZ1O7qax0PrL+L5luCpV+R WI7dy6W5Y3FMhr3w9iwSoBhb3aX/fgCDm/nWiaDOxJ8ib8kjtDxSDDfj9ruVIRpvrh9MZSKPh+kK MHMkzpd1jsw32AUzazPXweiN9jCu/d8cVEGXc/BHwE9ypJ8dlj6l8BZw5UJ4S7f4C9s3YT9ohlxN aso1MUSZi+bZxydhUXzNf1NwOHq9Lz729DMM7TrSL4Vyz4Q8jZEpd91Qt610GeySvUnf1AcQEZDH smyttk5vZx9H66AMsTN0UQYSu6oBDu7Vl2Cnxn3dWNzUdQ/1kOy3ZcnpysAh8pfnnZtOsi7ZtTRz VDWBOKPjgbYzH1wcc969u+p0Q5tJUQf7zksBqy5mppncOQoQSdgTEjxF3MU5/1PeWuRbpq9yobAe 3Oh22jAjBY//UsJUAe5DEf7prdIHiRbMv3MKdGJTdDC8sXw1aZ1XFwL7tRurU0JQAx7ggk+7aJDE /xp6WE2FyrPHYQOciJvuC0u9SIzTGCOgMl9ylytNnU4f14XzGaw5vdIA9jeNcviwt0IVP1GHcHO4 0HYpvU/A35Dku+rFndyT6Nv2c06jN8YS1QhtW8Dkccc7H0hjHhzbhetYkYPDOktgexKN6eC+wDBh tag+FVR5h33wUE/Lny4vNoc6TW5TbcTIOqoAEq2gUYyuKA39UTmMWj5ZK1eqgfxNestPWc3vloZV tkhsMTpUAsVvKZ/W8/UCqf+yVSiIGhQ+Kxvp1hIdHVtHSLIbIjaJJ6nWvFZP8G72KsX8QD6CyStc m6ORM0ZXArnM9opOfW1akGMznwIzk9KsCbYgY0D45aKY5NbU64R8A3SRGEjMXLYjqnRyniGFZ940 TL7HleBNDmalI9/mLIBQIsk0m18D1q4vSeN9cauh2X3H7pFGqMVxlfDuO/WoFxoqSMYy9y9t9pOT STG/dsbvVs/DdvYBJrFx99M0T9rzmyVUQw9mtUE+YWCTnPBB0c6aicTtOfhd6dQ57piQmFOru7xX +OPSUOYMR+aZ7B4u0WSIi0SGkHZOAgUfSYsHqPsS4pPhjrHXwqxR1hhIiTzyXop5ayZw/QcKeNNW MqvVusHscC9tisrFTg6Rv76QoyB7IDWLrSPurbefqMyre5jZg9jscwQvVL1UmO2O46/ylk0ColpQ TjBJLPlk4nSnKvGzykDUhc9EWnVZqXukHdDc7xR9pP3BLCJSy0bEBtFaWnp/ziOkpbnttC2GrHNp Jr9A0kP7XJQyVAd75Cn0pbvXDeqROUqirVLOWjE1kUzqHiVCJGCdGhf+BpYHjFQzBV/uy03eDnE4 plPQTRYlHSN65hSRw871qoMKMDZF17fsrQMBDHYg3Z/qL7gRdmWO5FoyGGHSDqV0iTO24juRbXla tfwXAIir9HcP6ZMgQlFPUB2PCPwPuueMq/DIW/v4fzoRfw/gBtyR7bG6Zo34i5kyxR6AiMSfHDdW CvpoTvEvlaRyd2K+3CAd131GDKTrEIx4z/AZ5VA7VjdguNknAeL+2Iirw22Tp84cIbeFllZlc770 KzLqtEoAzzkr28EdsJSeOntRlRqd2DASYkI8n4bhP2BadEgeBMwtmMiU9NCTzS+AuAo6zFKFC/F8 Gs3jRe2tbeBZ5H3eQEZ+ohBo9HDsSVVNUBTbIJynzurWm6nfaTcVudfBYsyqt1CFyPjlXSLBWZXS eTw81uBya6zlZ/8SIDbw6J76RtwLrEGQaEFkon2NbRnW4OXdRzSsAP6AK8UUu92kAaPpOdsIxhdn ctKVeGjKfI0fo2nkQjIyIuI3Zv9uK5dC8E+ghfhugt5gM7EFF7gCbPSRKZNtXvXQ5e2VvRu6Rtgi XXPPqvLx5Ft0hR4PYgBUzXTNuHzNjAPMPgf5CNG4FeaByyh/AljrJ6uTbueb+/UCQGzhDfttVJE8 1gqjIQHQg3HnO2ledHi6pa7ICIhvQLrpKUIyGaNGe6x+MJ1QDfgQmsOENnxzXzsSXoKvfR2YIm+8 0RKkdy+Ej9q2PXOLLGFHyP+Q5b7ERpLZAovFHM5iZGDralxcAhycKOr2UMkjkdEUSgTAZ36ykMYN X/boFkRVdxwAzBmxyzbpqDghpZnSNGXhRmUe51uoa5I0mEw3AqQVdyauCmwStHOJHMFujss3+wDo XvZXQ8kKDze0a1+LnXySo6rPXVa3R0pCdHCh6veAmaQLT+oYUaQR/H3f1febnRlls5LXDB3uRuQx gqJ1bzJ9xHVNwkNqjJnYODXq0Ycv9bf70T5cMEzDhWTDV3N55SzUBDkmzmZh5VnhzzNB+g5Z4Ay3 kgHVgoTSOw1Cavt2d1xyi/4TSRyA0p6AEgc8hsCleMPJy0snXdFRD2a5NoYd7cazBcFlpXa8qdQq 9sMCHep+nVWTQfCSK8tUInkoxb3Sg6fLlK4q1meC4W6Z/YwxSt9lnKIJMXEm3Gokzf3GeDXh+aGv g2DzjGJKBFptTiibnFI4aqb6LmOODpl+zbFVzJ2bHHRech4/KHGwe6qzCpCeC7KjSABVW1u6lwbh Yt42L/pJcXe8OIje3Kf2cgaw5kkI47MKdlhQw2oy2tgKpcpgFg3l2M/ByITKnsaQEqBMi/R4exLb +GnjdU9LBR+5lNtaJeYOk2oCPP8n8KrUl1h/xC4+Q9SCZUnTkdBp+DTJANM59eCB5ojY/NAgLVCz YD+KfspU8vBucnU7rnDwVuXXUo94H/RQV80nEXujyHP37Zg4qGWZwk6rhrdWNp7wZSwIbBy8Oe44 uA1B79x07GQMOP8aI2Tq4BzxoKeSQRUd9ofkTQwhw7NB3FiZp/Bjtjo1I4Y++Z/Zq1WGVuYB6RGI AjMSYsqib5xOA4ZIBrxgWW9TYFnk0tcuJmlD89KbelXSIHQetmPRVWYFd/1drhic29Gns0ZPQX+q vEqLyetxWgMfXDxOu5H7nkSGaxAqSr0/tFflL9NaUphBeGiEaEUn6U37aVgIimAyvzEqknX4NN0z CSMJ1/+Ry6UXG8DX6UWsq0leOxv0fFFx/Q/x+hZKnALINuKoqTrEC3BzuKVC5oqoo4cFtxa/EQ3X jaXhC/uVNo41+jG+yuHI1+VcAm67rvohQ1TLvVZ/Gk//MtWc0s3XktWAdHc+hlssbRV/ohSI/xgA 1gtSxvRp3tM0AYRxUrMDFrvDiTOORWedGm0lIRFPmux9vKJQ5mAIFhWOC3SExv2hWcRUB6+tpit8 DjAN7rm6g7nwHMPc6MDmEEkY+GnyjuNKgPHVy3qDIPz0T/6O7eJIPmQXwM37brqfMhpPtp3W+yG4 gV3aric+H0dUz4lfLMGgMPZAoUIch7+9Q4EqZe+fxtvKHVSGVlxE5NXCPefuk3ZLQnZidmxWTYG6 CB1yo3gxCVZ/2S9mZcoxgLiU31AprbPNzyPDqirL9WJiNNbZ81lRpayOoUz4uTj/KENozhhsA9sa 18xhpmfSssrFfjRUxsp6YZiXVAxWxHC9qaBUNI/N3okWPEgD0bsKZn3/+lDhR9sTIbR6wwAjCAIH MTPuKgvqcIdelRThYh2cCKcDoozW2z9PCkbK01Q7xkqZhFpGqOY06md0DeasQxWwzL0y2satv3U+ Pooicpo4wlWvdrS2DGhgm5YpieYQsG8kJVi/I8SC6tzu9L1//Q+fcIbAj+AMc4UQ62nX8Q/HV6YB oxxTm5npmc4qGkjhrAF0av3QeWPTdPsQ/LM58OvMsVHY3ul1Yxv6/IEPPYvLLgdV2C82iajGCWI3 8abPRHnAAJ18ecFpmO/CxFXLztPSICmcZfqvhM206ERr3iGOZBnzDdH992dMMVIVXtSyKLYC7Y0k ulvcuduBwkx/D599kC1CPcyYlNYOG4/4PQARa34wtQQscwfuIN30G43RXnNY3/mbCfhf3pc7vUKV MpexErxybZ7PCrmHxVOdH6hStQTA3NwgY/1W8JSWZ6EF/GBpklrlszhW8f+E7YSs+DW6pGXw7k6W hN5d/emfV1/R1E03KOVkmjlsmeWIIq1znU61m8g7QQ0YhJ0MKFBwiGis8TdIy/FqhyL+nHc2Qx5o Me6ezDyzWleoMu2qwBOMNLaHntodII8dDVbugYa0NbKQSESzdnm6BTwRlotKGWfbAFU687bH7pFL XV/XIzTPZL6SqG19+wDv6Fy1+N8spFpJn1wQqCfhTnf77DfE252qqsmI2cjWpOwKcpdvwyNr7veB iBIoMxLjgjmlmHLrjm8HChNqz+VM4W2T7p7/MDLBWYbPFcdujZ7VD2Lptc8FRlW3wfS+CRCtbJhS OKtMvE4d8Cjw8bdgXyZW4p6WNxLeJH8l34K/KJQXF7Ky/N0MiILEHbvrFnRTbbw2y0jE3ViGBCfc zym8QFOXJhWglUyTv1+j8+JdgP3YLp6fGw+McDraaQn6n2MonLOM8kX1JkpyNJvrsrHSLNZtbsZK 3fn2nZnCd4ywFOsvbs1kudJHm9ETbm5XFYV+4IeIjxU4dV/e0Ud1ZDHw6bJvMuOdhyQ5f4R4mN/f Hvd7In10IXXQswadEz5aw7dUajyU1VBE+lzprPIItVzOHu1r/E+E/qxJM6GQTdjFLuzHXZ2mFhKt n/xuQ5OMajJySuQfjh62nGoQZ8rrq1m259Vc0wdk6FG1DJtTjlg7H0Vfy+iGeujMDX3Y7VxQnZ2p VTwdR5QYaK2h6E32JW3aij/cOoEQuIfqYWHkuUqicxMpKtOSEr4lueeXRI3vkPXgTNh0fXaDtoKR wF6hjptBpXAe5jv53J5HWVFUWvd4pIKHEKURCU6Zsa0SfRPB1XSJqL1EX5Z1mbti30CJif4qGCxu CNv7cqIFNs5QzpcB95VNYKYyc6a4HdTYRFT5VhK0eT2neXZD/w58HvhuFnobMrLBhYQBTIiNvL1M kUb3h4A0/cx+8kj+rZXstQBiBrR522QSCXg5aRgH91g4nD4beiozVXLwhENAwUMl0u43C8AtPAxa lVHdTO1dOyIcwbgey6IzCYGXqOICSubk9iBGLm0WbRo4xJXs3q19ks7YNEYaL8dhPh7eO2EwwMre ajunz0huSSJpwPyf2cJlVz0w1RyVkED2eqpxqJTGouFbPLAHn4V4/LFSNJUfu3ONgIhXtbDvyoSU ezdXhMpnDCau9cnC2sb+v2NBXmhYR4+VfxLUO++W3GSMW5Kr+EP6ImTKc5BPGEFOe9wLkFagOely OLD7y8n9M2sSf+AO1/c19doJ0fvF5buxjRBVN0s9NRSXaw6V+VkHr28YjedBVz5SwWHB7ZoC3IQs So7rXSIgO4v8aar1VkS/iFnc1qiByUUsoVPN8gdLsc7vLcbN0Eie3Ykf/4O4xIGNyyJ/bPWuGqhX 3lrPH6Ht1fahR9WY90s9DweIfZiQNFeLJmkUpAb2G8T4nwEXIVAfdfX01YVn6ypsHTk2fZGCJS7U 7rrpejek7mJakzNjzNzY90hae8ewyyb1CK9jnomJ6ErGbHInj6i/69578XAax8I0A7GGfp1QdkCE 5xiB+bdhqa8QDSyrAo7zAPMbkh2oYc9YqKaMPO1AXBccKSYnXTKYthxt3UyLJX9GQHKh/HjqZI3q mnxGvyB7U3s4v19+kts6F3AtecoRgDj8FV7qGtfHOBBfsKarHvkLLbZdbY0XRoI5ZHwI2qpIrk5J 6VHfgXP9wMAqeahODJ5QWRMolWNFIFYQkPIJqDFjn0LBDawCxjFUMqyw3sDzk64b2I+vZ6sPd2x1 4hcjUmhdqkeLg3gNIFU9cJ8pYXBL1TAdfnTeRQSNzRPPZGZgxFXboOe8GDI0a+/uwaCsBxIkacph SrI/zV8MOPmXhwp75/qRxSk/ergRnOIgLvPqz10xBfXGBhOOSozUo2UVf40zQ6n4mDP4E/AMeHN4 TMst0eFCM5pvvlpcgW/kFLcbvr40DLve3SJNCUoSAQyx4yaiQnUu1CkOJNMeoCvTg9jrMppmm+7z Zt0e7xwcQVQ02OEPDxncQHKRekm4pSq15qCS7r1jRB+FbOMb2oKxyvlQBhUzqE96+8WQ+geqqb0+ IQtwAzipypmn+ZKD/kSQFDfBDST0ph7i8XbwzRckc7KYLxxhb7LA/w31D9M+bd/xaAZcRxs9/H+7 /VDKLiL2CsHxKbGYp84vCaFuNL9uUU/QDdCjEzsCeyM2rEdDNisQCsJGXg5q5tYjowRcweQyyNq/ /LbVsza5GbklFyKbhb06qfR0cIBLeDg5bDhxLiYb4r4Lx2I2grWfjJXdG+m47mmoEgpCXXB7woIt qupm5SsawcCHxfSInAp/oQd9jx5Pt+jqKIQ/uFHmgwBhEjpers9lBa0OpOvrNbV0E+EMQg469FLA ylU5/wJ8dhR0AwrdFo5AwQ9wNa+S5OqpA9CftWI+SnNDyfRxR6Eg2mwhxA9XcTUDmsjJRSsQLrj0 LxKjVYJ9OmymofRTEtWtiPfd2J0GnjPs1Hm+qJZT8ek6HKrF+YGzXCxD3P8XDkiFVJ5jCp1ytWFY lATvE+jI2a34/U60/YKIqiSusXemn92CY0Kff65rjrF44eDUjccEX+E3KLU3dgiWP7dVbXsZeNeg Zxz+apgBm2ug5zXW92jdY3/ZGPO35JrhEdkxRlaoBu7oiJSWdh4eSuUU4sTv0n+sT2jEBgpYxGN6 LEQjxFMVj8x82HPPaXt90R14pWrgrHEw8vcd8Y38L5HKUvgqbJmSY1CIPKX0j9NvdZMz3fCYce6r lSoOAyv/++264bhujtd7z5zCmupkvFe06s4SlbXwrsPro96Fifl54hExCxacv4T33iga4w4DO0ml ClNgsaUQ2/R8CHKJW6XekKb1PyUr0akGxmuvWIswPngIycoFJYFQd1bT+FWoJCacWQzEHeRrVjyF cajpFwCNPrqj8+3WuQ80VsJsltHi7ezlruzzRwW2l0kkn9UmMG07kQqUNFXvh2r7uKxxB0UATbXZ eWQV2Zq9uvakwJ9h2/qW8iOV83JU7cheP0ra8t1aNe1qzEnswK/AfsNKWWSIyNGOR8EoGVptEuBo KKv+FKkFkcdQCZJZSJ+39sEehjKMQ4iRUs1yGLLI6nFXFJtD82cy3RE0CTdqu4gwZ2zaUVp6bnmO xXiFeBTwSrwar8uPF99rKL4QdDjTTrWyhQKogQ94o+MTNrcwTuSqRCKNxeas51mdS1fUQhoK5QUg KlP6sN0zb4fU6tuQbtetGYGpG3wU9og45bpS3NH0Edj4u6NaYBCaT6m3U0DwOMCec51aveBJZcce 8a5k/YKhfh0BSk/q1POjgCYBjX4jpI4Nj5K6j1ZuM78m5CeD9ZDhKPfGXAUzumpHYMr8fYe92UUn OEu07PUFTwankHwNtwmtfiz6P5wN3BzlVUBYse2CkobqRQVvzcrNNeOYw4i1O7EDFsf2Hti932+Q cktdMjrnfULDnqNV0gceaRws/FE/B1lNKVQGipdd6l9haSKbW12HUNRr+dRYQ+RX6MaoVTdQ0VKs zLn1FwsuPva9XSBb2yeg/M2IGc1gM8SBM2j8Z4LDgwMMDjAOfmr1fUvhMeqP78MzVjGdwnJolIx9 fKRxImNWChKwTYoE5OyEVARkyFewu/Zi+PclFDyH08Db5mfRXGbZ1IPJMuNy3D4+sxzuvMvUHscl u/2CVV28wxvIvbLGIg6n4m2oZEtoJRYwiDQXnLfldecC60OPIB/f/uP+D8r4NAasnafamj9EI3Yq hCrFu6BEV9Bwv8PMPp9t8e1rrzC8DlpSLMwUyHxrKKFUKE1dQbILPY6eJNpzanXmX0pSCxTG/viS XCxBzjjPv4ha+bR73Glrf1oA/v/lLxnUuvKgc3wOdg8HH8qqdKzF/MR9Ns5JfLixDAfPz1fZZ6C4 m1bYlt/Dbxadkfz2/oi52wzZfwJciycolq2Czn48VT1QM90nFBa4spyAUIfHonRcSpQVlZ7tJRti 4O9oGjjPM9KW6jUegM3NZ98rrQIaZvXJ/ppP1a+CORCBWe14Kx3f0Ycxzt8RDK2XMNN9J6uU3RJ4 tlIJFknvxe/mvVbM9BXzVDPq3lcScQXkZ9CZo7w8MtgwpmPX0a/nYtlwxoC5e//UIlKtx7LvF8A0 L+o59wp+VakMUP6J7EbuZEcKkJlDD3g79MD1yhx29qoe89cuudyzwh/PxEzkPBbWk3iNaUP4DKXB UDk+0ciOmO97k8FmIzL2MUW1Aw0HOdlPRJIpGUKJiZwTyMjJLKTAqBwpK/gwXCfv0kh2NSdWGI9d zHiu1lp5qzdyN/NpI3bXhP3MSWzau1K0dGFl+LHPiG+JgM3KoXhvslWQTJF8ipgTTd1yhFI0r9DX c5p0zlc788w3k/XJW6Ko6xdrrW354FQvEJIyHu4N39GakRTnBu4+Zc0THCn4Ej31d/CyVrPlHfQJ Tp5Os0WPVeOrthUzZxTS9cBxHlDNFrIiR4388Y21e415pWhxEk81XfIrQ7kL2ECohCHlKgXwpw4G 2xJp/+wsWBA8T9GNLeQ2XtPdsmFdDXr6MUJXXfRVfFmdIeZqu0smTZMtiBk7nEcc7CaJMleTmTz7 +6yZQ40R6/oFQkG5XaUxavWgNKG2ceVjGcgcFLS/2nj6LlMTpYmFq0OsyOBoRvsBSBo81IsYUFLI l6nZdeoLi7n5xXlOk4b9XW2WSHH9JJSfgYzov4tFvzPBDtMlh+ZVaNuWHdmNBOoEaRTawwC/m1sD fz2Xk67X28MAHmZCFwwLI8TYtt/QtiFr6GdiBZi39L2kwYS0H62+F5L42HeJm6kx2kcHPykGUR8K PnKEXXiXvvFEcBcRsw+4yRqmKH0m3Ek/z7EMlxt6Dcqmrri5Da1x97znt4fE+mSkbBJQguaBehU3 Cu1G3Cgs6byWy2PpqAQk3k9qUJcf9uvf1fKdRXlA/VFyotGWe2ATU2N17tC/YwF5LGd1pFH5olk7 HgaOIOF1BuRd84MdOhJCrpQdf5lWpRA8UGgdQvC7wlAx8NKkyOVAPeN5LYDDmZDXkC3cAqy/OtRC rUUyteCZvVoSUij32fEQ8Mem67fV7dvkfuIw2k/bMb+zjQacfd/oXi6REhbvem0kxyLmgFrQuvYN vj8St13+gGjLYX1VWtymLejRFIlAXR2gwFLPAU1Egx2wzU5WPueH3jor/SSNKnpR2ER0ICXcTVaQ CNDgqDtK9V64S2OxUxnSr4Rrifx9zqvw0zWwEwg4XNFAOYmWhVGezHeT72sjBaZN0b8F35QVKcq7 /Wubcr7blLf0frpepBICQwsNvWiorb2w3OZN7v2nWXp04szr6LXgeIvz8pEnaI4blwn3QuRwcGJy QJ045Z/j/TTB/ACyc1oH2wLiAhAOZkWHYUSaZdVfcmX2glAoXMXpjUFyfRY0fcy35FJMTrSj7e5H O9EUog9BjoAUOZNw/v8EWHLJOery6gGmdosQSlErxpx4PCFDH8E09j0HfcnmYWkvkfFSFXALsrND fMFQTUOsSDVUjbKmpP77PM+slmVZ0s1/85+8tmfkZrf/Ll6mTlQRHuTVMLWv7nsxQnaoailV6vUm 8HMIrt7p1RPChups5fu9F/XDDtyq84QM2JbrR/uGYvqj+Y1azVZoROzqHuvwbI4h22WNW7x/NadL WzKi8BJgN+n8A7XEbc2Zwzu1hTEZWsH5cVPmP0T+pjWc9sqPM10bbW7ne2om0CjaxD0g4afaNugm W9P9/ajgs6h7v6ANXGSAMYNzRi6f9eddZZ6Lmb9A2DShSd6VQItuVs8OCdv9dkdtubP3wjuY1WPs K/mK+fNyM8mV62GD3cO3eqF0Nhu/dvBlVimiBh5ZBRJHF5dzLC/e1Qn/7BdqFaRgN9N3LzpAomqk aJoKdwz6Mv3OY1y+V3Gpt1N8kvCOVPn410vIt4eRknmdj5v0faoNCYHjXBgmMDsYOOEGPf4nDoEG fIyuqPsS4PzvSExXbNJNl8pH9Cyy9Kz3ASSkLCKOdp1F3wi3uXRfjp2e/ljD1/Vimppfnh0fJTC8 04h1UYEmCJQl5YD1tJmwzPyHdq4EzGzZswAKqFHkrMnoB6D4JUFn0Fz6zYwa+ZI7mqUeAoDvFBKv siJ9/9GbMgwhC4pZKjNLp1NWBn5IBOEtiEtNrFxgWdNtmYFLqjXH4jdRaNmpyW5Gmd1tkqWYUYcv m52AWa9feQx3Kc+w7GFrG8XYqxWtNfH1L0GYr20PVNBvCke+twexh6UE2Q/pll0Fv3GLhLFFxUed mDnpFRO+7XDrbMHnwxhLKYb9SMrEJS+PKfuqVKkPuj/TODC8m2+mkDBLHWfQQ8LPyPjyt7/bkkKY GnWIAXpkMJyIpAEgpvHvdKCRU79NTStfMyDHabTTS5Z5ZdcgRQzqeGpGxtC//4MRtR/mPRCiR1xT nSz7KhpGaY0/PKdghDW2WZHHCngAwG/KzC4ACV7LoBTYYft74pb7mhKo1K9PeZS7x3Tra24CqNAz iRLs6hbtQFsqGwtZCwGlqrEU7z8cv/RIhZJKQDSVU1DqSBqBkGf+RyP8Rv2Az9d1UylUAA9ZSC3P MimFfikIRssukN/C/vhsMqe5PxBi0V6hQfbQ6tIKnfoUveEAElVE1SUyLzW83H5dqDt0ZkkwWdsb onbDW3bhmiiSClQsg3PdtN4WX7Q7qNDGTICtDJxoknX2duDq/K0qmst3NGp9d//BQY7U7Yu4AG5Q CXrT9RHnExtAptINg5PE0HV8d1jwnQEQTM+HqfVh/52usCzFyKk1JxeEp8xXQ3x1NRRkSwWO1tQf fkn0a+HnI99Fn46QzX2A1F886N8Iv0ke8PI701fj3c8mO6BFo3EKnDYMKNocaMiYTa+4AVQZUyM0 InYoLmmN50un7yCmYI0g5kiUr2Kj78cTiWDETtb5nMEZ9u7++Kct1wVBi/TicVbkLUgVGBjA/YpW zlC2X8+0fjFnn14VkiCpCL2mkg1eKcXE+b/B1P/dvIxjr+ETvEYWiP5KR9U2nowq32zBOzWIpAuX SGxgb36P8IEWgXxMyX+VGQNzkLkaL0bHghqV8s5FKSwIyk3rkee+zRerpkvEUN80X6+3ofYuePGv L2iWuvw4uLZUT/hOQyatZ07d3lJJtptQe+K7lWoObhY21BZ16/Fk5rqFAJt6idnPRE2nUzfOsFan 70tuo84NElIBJjTSpgINVbxfAtkVx+wOAiG4eoSU5zUDjNRY7fLqqE7S5wIAQDULVsbtTs/A6imi SDNnk7LIA7MsX09ApGU8wRfRBYK1R29YWWx0pZNZJ4xQzSRW9QR5O8g/eI4cEly3YdXptwUY9v9b 0DK5sA3YAR8dKMLa48apbhlMUODgsScnn4M4Iy1Nj9E/2FZ04ziDWxoSNL9E0zqsRiinOVE+ANLK 3z7sHnY0mWjXD+znKWQF2ZbnbNNnEEeJc7y0gkASNgfM5nyYqY8tYd+0cNZDFh3+Ogv+BTMwgFcC c11jJ2eHCfCZQD93IEi6FkrFT3asPl02FYGc06u2Vf4SCqvy53OgY/P6XClaL3RZ2WrJ2vzoFZ6L a7aC3l56R3/d1U3+W2PJMV0ruvlKCZeLj3TKq+hGmu96Pb4/b345o1uzAgjkiCPUqprO+s/vcHbI Jo6CyxWOaVvbcY5mTfayEhnc2KShImzJ+ShU1LyXllBbHFXnRv8TdXyHiLBl1gNr1QcALHq5OBFM 9H2zt1SCdUYuXqEaIKaKlrvLm59VrhGbNaa2X9OSYMTcs/zKKqyxkMYsi0fB/2DjHXQ3kjvbwD6d hbn7OiMnjgz7tM2zKlN+Rpqt/97ldtOSO/xmRf2NCSc5QgG6eBros6bmgOt4q7Odta8GVOCoHrW9 q109nvstVNdQ/yuVWJKRF17k2dedJTt3GzM+1qG+jKLABByN9buV7D9bZO+FkD/M6Qm91KWuBDFn Sqv3Bzs9kxZnf8Ebjto8Z5Ym8WQrsVJbsUy+BkZMoA6XCXlDT15FqSkkvC3M3gFG6DKk6dBgjKCT 7nHjfWOeXg3774dAJWipwbqxtQFLMyYOsrIYQdZ06hK/G5MapRBiIE4/DtkZZbGmAc71P25bCwvd sLQCkAqFr+OeFm2MXK8aagKhuaf+Idz512m2VLoIFB08lnnFD5RbmAEITV9C+yB2umtwZRbXO3os jJoE8TDUbUUC0toalvL445O9GytSH7/UkQWlOW1yTM5v8/EEH3z92JyFSSFaWadGF5MDs98Mqec8 5TzmnwUAVbV1lvOIZ/nJ3LGCnX9CALm6CnZ80/gfTshVkfUtE1lHf/5li38sAxFNC8IpBwNaqhdt XbAABlUgmd2Mr+5wtS6om1z6Alv6mHt2anAPITnq1q4NFG9MkXKu5BFfO9rRjhPychdvhVpE4SO1 g+Yyg+2kZoF0O3C6LrlU9qdWbsQBh/Gw64BBOEh9HMg8PSSTvRHtNRjje9s/kxg8D/3i45jQNf+W S+YIyLVT6hR0IuxBH/p9mNohLQuknlvgKAkiXsbBuCHOxPoudwqE1kQjy908AzqfCPv46aP/o/oT iuaUFopvwbLe5mY6lJX2X+R2nN2u4ye3DPa4A4TOHrYPrbs0cDUIRX9eh+5CDpWzoVfRkEpUxeJI Cig2Im6gbzgoq/7bLKu9XL6H0i8dFbj+DZNbe5nUnUpwikWsd9AdOYDG2ef+K9DdQSVEmz/t3koV DTP91TljE8DyCROagk+vSIB6H2m7DPvIENwzzdGFB42ZjWUPOtS9FYee3kEDvXd0Mlx0h8lkbbB+ ZLpI0sq0IomacJHKa202zZ2h30V3CknbBUd5lFsBqX221pX7GZO5arys+tkxlF+VGPiG6xPqSg0R BjzZnXMRs65k23UzN/UoFIcmb5ZOF1fG9bGOqcpni/CrXpOQKDmtwkgb8VsVuJZhIJnR802snbWp hkQ6vWAiGO0vm8Ey2KPKQB4pmQ7/neccNuFtQ+RRf4ntSax9/Zee1V9AIHCtRwqu3Yr+SR0QEwZ3 /hybkynytOLgv42lTSr6uBCglNAQ4hAL468wv9ifl/8a1B3nnsuQ3Pz0cHlqBxh7FkhMnItg2VYh sxAeZjJSYv6IkeAFWNLZw9T82ISBbtOs8EgnFwkjqtqlYse5vkX/KYbZlCBTfJu4kmMECCo5PHkv VXZXDN9AYwDJOgXiekboqtTEsUmXDhDS91uiSbicEkckGSrhIVK50dl0TSczyvZwQp3KlNOhDo4J jaPxmTBbttgXPFGq5a4GZNLK+VKhDwRtzR0TlzNtic+TFDmbz2sVdz2l96zjv7ECcQipCVsV4zV5 +7EGnJ2A7KI236WSNbbtVhRENdpHgbZWEwxQPOdXJDBPJi7XRvFLsyGQ6KR7Sr1fcl3j9u5CJViX OvasP79J3hSIP7h9vuyRuzwfmO7hhP8hKeVAuEievTOdc+ALhw//Ga4TTalC3DJYjdCszZqDx6og dTZp0Pvh/bqzMf6BwxiDUqCir0GA+lZ3crjnPI/XTTpTN+VtFSjvbJGz+YaHcDbstdiKY60x8HVV tmmYO1qnaLH05CYDA9FpYNn2FzyGm35W2RA0rrr3dQ7F9jlhifRnuJUo6DXd/5VE0C0eyoncYt+2 F/3Bat2wFPrk7cLMKPXuvi9yHbcBcp6E9dXGs/Ug5EbLktvCOql0bSU8+S0dFxuFALKPYwn7aj9u gyAe+0pbb6GJMqF/hl4KrThycbpofx0ioi8QzjONf2uVhxzkXafX5LdG0hyg7e38wNhDLcHj4594 krdFHrBFZRADpJR15jAfC1uqNwUv5EI2QPyuU4KK6SmL5VZIfLiAXIy9aJcL2Zym2sQY+EX7ClSO 5IdSP69YgOA6PaMtMITit7wsVxqfyLaZYUNkgcQjjT1jQlaRA9iYOBeP1CSUxZJI5oK8lVPzLI0s p0gMdY68lbS0OpeggbqxFzXTmcpxlFoLTmtPm723lj9Laai1WdsmUbH4KrH5GU1gh+fnei/0aiCK +3rJRAh+ooFd0DRdqOuKZxWVgT4LJdOGFOfvDrB9CCCN9tkcLNUH8kmC38WcSlvph35jSwtjBAsR nEASiubbMEQCKhTox3Q9MuTxbQwxEaCpopVBAMwfLJHRiJFsKu26eLkZn0lqyVIUJJSBKSsPxKG6 1kybP91TB9k+3xPzpPvmnsI/KYz4623N/jxJbYYGMHrOkmlUM5AjaIOuxPOhjjA82GNKfuDiMgLN Rv+qTqG6kq14lVIG7AYGllvlVVBxuC5UnmJ/s8Jl0WLDfMnG1UegQRNms8Y1f/4Lv9DsrOJ5U+Ok 9eDZ9ffdxzgQNvE3rtHq8RNWE+hHCK3OgBbpv+GrQZQ4gedGxNF5AsnYLwbT6M/P3aHJLTisxpVL /87P3eUJcmmumvQr9w75vYX54/spjoKu8+DEXCjFn+O1pz+sVXgtrGvuNdu4aj2ukvFFKTfcQThm dzD/GVe3vdfUUb8kNDQPGSmrVoq+lhnA69Zr93Hbpt394EWqLJ4vsItE3l8DQRxu3aTPnVJOqdSw A5+/AmW4loJTbgAtut5WEYg9nBczT82L+fubK7tTBbqQduMc8N43NbQgWVMNNBy4mqGKUwhDlUk7 oLMbqRhq95hHDsCMZng7eBYdhbPLe3cuYTrJushutmcotPW/cpNnN2Zx2F+BdZg9j3A43K6XwbND KaTObnmTEF9/vXjeK3KLpJLqzlaSvjF5YGQUuackd2xZ2kOYLg81diBlH20QBjCMGVhRlGavWtX2 7TeM52r3WZlUs3gAcstm05b5ealJYvEY8l3uiDzQgGNedpefMDCcy1UNjSSoQI1b2DG19wjaqUjZ 0Lq6UEpcj8MeV1DqC6inr/X+rbv1OISSomug3sLQo97iAF5hb1os9Ta6qACSsobBSCAHnVj6I0dU x7wOAcGvraRhyFRbR1Ax3c2wORYhIqAX/WCHymSNxUqX8hB5jUqHfqummUboCC2uTRX/kE36n0h0 p+MeH4KeCG9bvz7ZdGuU5Yv5zu9ca3Ax6xp8tBHqR3TMX7H4C2qAlQ1+AVkiG4bgMdr4qT+GsezN fcPF1oBxpjmB97jbWE424UE0TSWWdzmdg3dZf2qNlxWX3emlLqxUXQ65OZdL2BWm7K3H8vM+cliW y+qSvJvBwEF2GBVMgkTuYQB84mzcRd6SiyCgtOnywxUHNnJPBteiYo5Bmthpz6d687X7a9uOcPbx ZDurhtuyPk/3X/23iCta6+u3HztNVUz6yjnTUTCti6sepQA4yKy2LW/LSUi9uhohAq3hHcaO2/pk z/1NdDrHkp2d+w+H0UAF1tx6DNzGN+7JJmSp3xw6+tDXaCS23qVsuNOP0MkxdtRr95yoEHDVxv7y eB2Pmw5Rt9f6SrQCHWu/qJXFCKfIj2arb9vPosfGEFNNmU9DYWdf6Hv5xDR/GvT7KpBNfkW9yg8Q 7yYoiTztToj7TN6y7Nu1t26+yThSMapNgzqAy+CEWxPE0s9H/lnFO7vMuhXfpzBSh10FisUylYY8 dFyh+lcX8VfQVvzJgfPY6EVd/e1vC/OH5UI0mjO5ckazk6+D9DBc0CPfkCOIM9VTrWv63WWaMwq8 Cv/Xj95C8fI8adtw9bDaXkdl+PDsVcSTEPzMi7aTStZHndId1VUi2qov8e6S7OLCQah1to37Xyco c1C8it9V58UVNn7iFwn3WO75EQLIRkTU0XSO+vC4IgoqEIGrmH4Cy9QcaN9QzuMpvaPatGV4EEwM R8DOdl77251QByKg0E1mDFJUwMqpEH84J2ZbkASespKCGty2SxVvpe2lzvjexizM3kM8Wbu4tVkP i6W7I8RLo5LfDsRn7cKYNh72lyHOvCjxUwSSZJqiGqPSsbhzriykiWkptygKsYRrPuI27nVwHmID cXFsUJzhAjyTDETSBpbWRC6YvQJBuirJq39gq5Xm4ZGLKcj5+Udx7iB+RG3zKiKhk7tEMqqBl73d a19NYzmnsCkSVfVmDQcBDR18I9rVbmXSAArKigu6lmH4fJDtThjDCBYX2wzRCi7zaRvsOqH3d/F0 OT6TUj3LmeVkaxX+2vAN6U7Cn89MOhJDJ8PUYsk/CM//sX8GxIE+KdtxUEQguk5prsK8tuf/JHti acISZLs/6TPaDAbbgiykpTq5qwi5JHcnYu1Ugz/zbDrpoIj9JugWGeVIURZ+knviWrgD+RZtBvvH 54WWcBmH3TV5CMyHRm7a0/5dcBh4cNEl5xEE483YHVYOx05OEqBn/aYyj9FbrsM2IuKsbqdEDViP mnn1w6F3rxuHim9iQa62YhvaCd6K40OTx1HUhD+EaQETHq/0mEcGaAyKxlDeUrBwj5vWqM9pD+Vg vyCxSuAWn6rmZFr7ycroZI20TNZj6yT2p1aildMbHBBbjWBuhgVBUgxmqRK4AW0jRwENi4+HKb3o DevwAud3oDY4+mzqrPjmES/Vui75t7KtJ4G75ml0Wo1tBNAvFa3YOm73LOGEqlBdr2uxPjSt+Erz tH3pJ9q5EYrorAFUavxM0rK/NSM5m29cDBjKDI0yZliyJUbWGxc4GZMXhe787u6o3S72gMyLqJ6E kgxrVYLA827zVU5O1ogrnkjrJHFJ/aXUY2mt3qUVlgjwEBbjiv3HqlHwlLWN3yAIewS7s5jOTznP 41Eo+bM1rJ9bC6h8K7fN0bdoQXrClcrxxSEl1WA/nGhqU92cNH5cqvmqqSL3YuwOqHQTTSOkK2YB y81ZC57TsDh/1tEcAQwzXQ5WAK1evypKaTdumoVP1Y7yVJ/+oF/cEHrCAP9fJztUlH4MZqjcGf4B HmWch0efDFleePTLGmkVdpJuKBxdDuVqc7GWyljgUkaUtofsAMtO8seLyUtslKGTk9buWIpaCwgR 5sJiOPg85Tw7R4gn9YRn/1JkEa1MXKZpvjFaoEPnEpLiPo/65aMKz2CwHHw8GTMINnIqy8eeMkWF RNbMlINmqn6J8zmK5RXG8GnNFkqshLr+RBUQHBdnBueE+ond2879nWUAp5W3i+A+4g+Csib1fvdE zEBPGTFen08iVZDrY3NQo1mYy46zcnbss9MmYW6VoQbtaXCr4/dcXiQAyJHot7L+tzvgO7XoiNbt kCBce9egtVsVTmK21+gxJuiYRAWgGNxUf2JFP1IC6B6CIISp4In9C9p2H2snDgdMMunBXKTtk34V WNgNoSQ+YYjAIUxfXf/saDp1PNuz1/yOtIzXi/TK5KQeBCpcIKZwKSakVfNDNcsrIH5jXLeWWEyi JtF3qYerYldD53KE3YnTvxCvTPk54abpWq5C8L5jgzWEmEXhwnPGos+oQwX+d86cQTzjlVYCZEvb NzA2OKWR+HocVV3lK5JaVVxHzLLVP0zYPYfO/CUZYeDMx2TQn5IpK5ImcP1/hscyQmNgcg0YnMm4 V4N3MOI6wwax/bk/3fSfCpk4XjQ4cE2XDXAlmdIs6jdjiAqq9rrtiFBv7V0gEnju3icRFIwZHznl SjW6gHRnM/qfJd79NEJTko5s/XTmuRoG5UTDKEvlcgfsztlL0lXMnD5O4hQU36VRAZc8YUi6WV3s yIM0Or53GqZ8f47PbaRyLIZqX4NPCjuRgCDSU8K74EFnKXsm1oo2/8BI6kLu2IU1Iz6y07IgdlYg W2nnusGdrffJwssHoNElJZ7HPRXuMKrsvsobHuNKfL/Mu7p19XWbLQ9BSmXM4JIiv4TVCjCp3rM+ Lx5U/OGOaj2Vjp7g2qi1WabG64Yw8oBGGnKEuOey3jOiOdmJhx5sWTLOvjQQomwPJpBqEpkIgVI6 bfb9IoP+GIJCVki0uFAxosczoCwws9W0gMr6465I1GZLTc1tKvyOko+3JkhdId4MKSFJnR7yMKzB dHSrllASHU1DD2hLwt/p/VjN7nPx3QzOEPLd639eZQIoQZKm5B82fWDYwX2hMU+Hoi4yVu8V1FZQ Cex3Srn3i0YHQH0nSaAuCKdPCtgfg9eygEdPm/vq4k3kfLNTVD62+mbbpZrca49cv7DF+fNk/7GC WFSlj9J/+g2Bismi3ozzy7ESQLKkskYhAUkrYO6ZO7wDSZZUgYgxk0Lrr1MzLumOgReQcneJtK3L yMi0urAWr5U4sZXDYv00VUF4Z+wo0+yfr5ex95Uar1EwDM6jOkEEDj4OtzgDxo0flBDyICg/PYyg hxq8303yfopR4HuQPMUS/zY8y8Hq7k2aj4b1UEu2OGLzoSVxIx/x+U44lnF1OUfBvFOUX0OfIqBU Q9O4P8vuDzCllIOJz+kt6odPzHtlOaVAQ78f+D4ccsoIs6ISkEWGzzdZEo5wKG1le9dI0EdRn+KR s4p8Pq8owkZLm62jMCmwjGHqSwbObvt7ukWydoZymTbYPVa1OYfTdPYZEWQDFu6A+H+Wh6GTmZPz gXXHvJprGGP8tKi75RTnPCPv45zj8wfF/cdbI/LH2lqBsAy7aiiyTdWFWSpShuCgVVWpiLJqEKhb 4mOFxjNx8Dq5fmyI5QIfLCmrHhmfgT7Sj7IwnIzth0GsGo3Y7yknopcyKB6Z2sutd1xBEJicY1Y/ H0kR3cdHAyd8jSYLfntD0rTjRelpEoxrcHp+6+1flaO15Kvl1Q+zfmaDnyD1dQeTBsArk7awx2Sb 67a83C/YuG2aBii5IM5mY2NY5wjRgOXNDElbt/EnvzrVK3hQxpCKX+zZ9UJvhGC4x4m9LV6h78ru sh46gJCu4M0nxKUFN8iV8NPdLP9dyEd7FQBEyinPn3U0pfjAJia2ZxjK01qg/iEw3smcmHUh32fi iYoML+i390MeXQyCfTv1cdVGpOyhIWWXTfP85rBsXFA4xHEeHofkTnOdCk31rQAe3ghxVZZXvii8 dBHJLKBHXqqPPBrlWfB5eNdmCW0Kec3E4JdH3pY2tUij5EHbk2JCKrMMzm/fRsgDKJJuuXqknPoA hW5BE3scBDZJaqvudA3Ya55WK4dqoc9lp5p533IjtJFnCLAmGjbyadiaYqNu7OxoMhmNHpxJkzSR +xt+dH0U/yJvZxHB+0ueVRNiKol69Qwmfs9O3amUd3npazOcTkYT+wQ7D/eD3aJ3CKI1dj8WBYjG 5/9DnpG+zOpLK3tutBlBVC+t4P345kOhsRffSfs7ecUuU8S676wmy/yIoG3J4reeOQHp9rPjPFyi MAiLkUMV82l8yyjg3n/YgB6SF12k1QBrvLwyIKzvtqSmMpL1SOwVsKfJ7soKZR423hdluDBtIRhQ lqXDpCpYTMYofZtxErPrvJId3UV5yvIEAZzynqT3BxjLXMjHBD5UKli5xTBkOmxT0BkRiBsbN4Am 3ZlMy6z6UPG5+E+2lKhHj21iXAKQEOIw+Y+kgMCsdspCfU5wE7t78o7vNf9Y6Q1O+i2WeZ7N4elH ER62e7h4nXFODyK/02sWMiGN7aOrTQK/s7DdRd8ZqyfwJa0q3XJqwNO6p4x8su2TEvUh1Sw4BiFu DFwuuUBHAsZXmAThbGGyJtfVU7S/a50s3GWaVT7bB+9/SfBd7nsW+q9lDrtcH+MAgUtUZmMfervq MFAG+7JpxymGed5m6zl9fQNMX/9eHxAqg4a3V7C+yLm4rQoEc8pNRCRQ+1AfFPlpjHgiBTk3gWe6 nXs9sZsPYFq2OLdC95z/8aQf48gvtK20xasYFL034SdBv1+n1k+LR/r4wYdDarzFbumXyM/7WAuB u5BOiygtR+c18E6JdHFNpvjRfQP0sCcT1H8WQc6bHHZ3nvNUHvL5yJavhoReuuMsu+DDHRin9zIq SrqyZ+39KbDaEEvXJ11ExNMq3iX80GLw9b/jsKOWNOzPJvCa0NMKGOKRMkcA/ezA8TtRMJj2Ozf1 UuhAm+Nv4J620D/9otPwqNcD+xcPQj8UZC/E9j9Tw/XkHhMWiB0/mwOXJ7RdavlWUTTmx0yVFjG8 uLOhgAGHQhhkVyEMdRDTnhubYhGk1p2JKeiML1b31TymSCHlWSpNjA9lgXUrBZEvHKOC2efdAhOo gxFTJuqMgikyJ3eWpesD/MH9+8JpFdfAd+4iFJYd0on5dbdyWuEogWdJUN+kP9R+WmaW/OEqmSUJ AebflrAOdQUmgPut9RiSEh2GykPCIXNXcHB5mbADGUnjDvnWcWt2gg6pnqziiHvP/uHhq561PzD/ E0tGvKAxAzqeTa3N3Qx4clEH4bfv+C7ZU5PDEe1OSurO/Pf3qo5f5+I4Eur0b1WKt2XnzN2sL91j VQHDYKRWhupEu6tQA6BnlZtBauL1Zg02wJmHQnIj4B0RQotuBNo3WuzCzZsJtKDuHwFtk0767EIe 6d0PDcCAP68RAc51EwFCYh6/kH7F3eUt+5tiKTf7bbF9vIsFjX3vNk6aGV/mc69Ko23DZ/NsjqVI SoyTo51p9JVm/1ruB8gjb3R9M4hJs8VIj6ypggkKHd6ELfwvTQHcH2dqzxvLTEN9mL/Ra2l7d+UQ 9LndRgcQY+gqjoZZO0lUl933jPpB3/VcjyGIucc+Bg9O/xBpaNJ3f83bzD7Ktd3GB9nBn4HgovZB 7ATdR0qzXTsZ2o1J8g8ZFwEsBjcOZf5X7ybYlem4BoBX2+hxs/mJe7VkhzW0WYaXgMwzWQ2cb8Pm nezM7niccZyYV0fqDpeBJwro4hysn5/3VQEMd2zaHyV84+UkzHpmHXqWzgFiPnYhbam31Hdvw6Wz 8MvnEaVNwBoJunT8nGbYCK+UkQqBvtMum9q9MATdugJEjmRinEOE5Edq673AWSCm1V0wuZmjgE0p tahnBB/nmmrmxMD66P+iqb86DYiRM0DLMYIXCvtUrYrJQqx9qfg5fzm6zuwr+dZ9BhaIJK9BEMvU aRusw8tOoyW3dkKDurw5P8euJPLYPDgGvXunm7dS9d64jTlQTeZk/Fpwsm1CmXBfOMBZhJ+/p8rE k2q92v7v9E2BLeTTstAAbKrvg80fEy9KAgsEeo+3eEqLAWXgHpwUqcamtmxI02c3xXvmvFEz+UTs qGB5Afw02+wHoDot5NJYpc7R4gXvjeVaGu//fDXGcn3K8GX7+KU3s0wE+vEMq5vtQURLhUTd5rw9 wCWiUcUgw3oT5c64NsKOQSL23bHZ8Mi2MAh32ECfpIsDInmmQTZluw+wWXZez3bN2IxV1HqvyuCy u+tlLqMpjat67akzD15t3/rBhhO7Wuik8xs30qOEE8wYKF2RyhODOEtDEmQPtW879IrBiEFh/Nr+ WleR3mpT3J3/8BCWE4zKnQcXiCn80rnOEViMGcrAnnpy5MSqTlBxuqyPkpX+ZYcoLkDp6nn3tEy6 qZfLHbpzmvnRcJhNPH4aFVjr25rP1BR8xIAWV4AgsGo0/yqgZMg8g2DRtX+7kr99Mgh5E8dI0uUG dh86jbaWExwbxMxiUaKyETB3tMQepvMlRVk77jmfmwT56W3+exQlPmKVq9Dk+uKkkkBdqIMW3h76 hlozO+WhlPLVL+aA3Dc9qDqlk86eZo4HgnQhhZRWrJ70pPURP+TuWBUQEGfksxSz8uYk19WaXL2v UasQiasHI2UhhM0vly2YVZilX+yqN6bafYBQqyX5L5M/nH/nnNHXDv2W2PCi7P/oSmi5IBj8Wl6b BrdB3Z8Ny4QyMAXkklr4yB38+phlm9nR+bvPqe/d94UZFVvSTRQCCw4Z8bsohkWlT/syMFOvQBbF 8U1qQxDnCki9HT4OAsqvQ8PibclmOxvSkJE7+Sr4FsmdNKGpslGna50TomP6/obydbryBFVml4/Z 2HGS4BLZbqK1ZAuHadRg/xNul6qB8s+HSdOCOHpfTpR5hIXge5YxDsLMxzA0UbL0RwGZcRozpe/S EYxRquuhWBSs9SYExHUpe2+xftQORvVzqBaT+fDdXSiluKEdIwF/OCNCw2U2JkG/vhq5f7/Y+Mnq Z8vkU1w5pnBYCqEJpv1UL2PPxCVAj7kmbAZ9M39u0xspChVIHe8idzPy34CxYHJLa41KzOuCZ0HL NElNhU+9cRSh9lKOLSWjJdDrj9wz53fjq7+Xx91fVA5OWbvl8WiX47/EXXCqsfxxdJfu9hshGKoW I00dkVb/zMs2yQScxDGRgXMC+xn5suKIkoU7LQ4PDpIFaXPoFwjHrOnKhruV5GELO2WWgexRJut2 czllOPPRPvPyCDEStFhOhta0rj4H/bOgRpDNzJ3kTbFfaYyYJ4LrRI1JdM2jUp8AG8dw5ntl8fqh kj+3UmxMJIX3rBAj2oRNnen2PvtD+okEZ0tLB0c2aY8/j3t7UdQcUwL+dfCtgLN0e7jQfC5aIvEz p0HX70pDc17NCNuMpSeXF5/EHqBOcqetqu+cSesJKfIKg/FaHdjdfL/NrqQI8G27/W356Ns4p2Sl DoLLSf9VQWwziE8wAsIyYlXD5G1JkluRbpI6Odz3b94ScYW1BiOhxZUdmbN7UgkLZX+uCKammdZ8 deEcc/XPZlMDREzall0hbbxLTRFxvoOdDTVOLR8PkepfDjSgtMPj0v3bgncUPLl6bmS0Q+9yDG+t fVxZHDp54hpeuYVxv5iAIHvouM2xiGmSG3E3n++o9/ABbl/X+Q1LpE78EeT41q9yJTLBlLVTx1X8 elFrJe33wy/M1s3rtUXG8xl+WhYx/FZDQKo70e7tygswwKnnXXXW+Io7D54rMpGt0ZM/1aNzT/Rs LlgMNO0FthpulcD8REGP8jBIRlBxHQ9NiVmG1NV9yKO802PX+svR7XZrOvwZ3aKcTJBkP3FevyPg w3WTTbyZEj0vl3doHG53DPF+TH3ifWkcqxfYrxPWF95DTKiuTAbuHwcI1IkVai6nOZYfjMYKIjDd RpEFJToJg9zx6SjiGFq6rVPKYEFy9tfrF6Q0IPIT6oSmQkJyc8Bsg3/zwyf7R3vkOnxff9Y2YEYS I1dedDIFVRpQ6kntBdf1A1SjR4pEiIk8fTA6vUUjWaDf8mx0VccWn+T4HVgtJ5znofzcuZD59xWG ovqdSwPu17CzfhjLR7ts1YkE21ZE+7S+bkF+okJDQ0hP/Vm9QggcJMoQJaJ+lpZSg1WRTOs8+f96 4yVdCAaH8FCt6O4gcKdjjfnUO9bF6qCwyxtOTwL2dQxm7tbCr3NK2zCSSpPorumaQqaVL4S0Iugv Vx/kqwqD4XagBN4XX3KQ2k28GDtTm3NqMwhlViUx9txa0elitayJVIDL6Cb5ArTBOSiDZLoY+Zdr f4aDBfoVlk0gL0VI26HuJG8Mvx0XM/54oxhkWwlCJs9Fe41iCsaU4USMhkWwjF9ONE4J6w0LSZph 4tS2YdTQdQJv370jIsxHZgvZV+n2Ga9mQMkBiBy68eJGfF0VanhyDXyFj4dzeF51XW4KfDh0Z6jf a2PbWL8gtCBODXano1IpNu54XqWGBeTbenC0oHpypFgjVyJDARXm4vSyRmTmbUnuxxDkzho1TRvl nFt+oDXPvFwuWNETVdiUM83GxUjbiZkG40U24SzWDD2vUZM+B3enp4Rc+swkpGXYnz7JVID+jjsj i8eL7zP1hGAYENOjzEjb5nC+60BXKuZB1tdKRX0BPzi0ggiObYWkf7Jr+eBKNRRcX7YZorUc/Bqf 56hBFnFG+3KZDdMJJMOYVDO/G0iBBsnXxmDO2cc9Ygx8lJpE0+q9MtdFzoobkUyn3pLxbUFGxXwp h3sieIgXGVrdbgYpBANiPeE+//HI80lKkxBdoiE3OPtSQ1hbpFFpTxRsM+9kuby36FmZv4Wo0r+4 9puK0CCddCrCXiTqxfU/ePm1U3VpxoVTCn5dUKDnNh4QXvI+2TVxu972Ek0ArgZuMrlxM/qb23a1 anJAtSsWeozo1j6ziYIA3ILnvG6pB4BXfytfhF8z2Khu/TtgDyUSz/pQASu/uU0Gmoj/ky9AXLZp rCxQuHtR0OulaIyuiQl1/m7hCJXiTjGPNFT9+UWP0ybHxJ3Wek4uE54w+O/220GwQatJAEIwkigq El0F0MiK9wqcdVDJA9xG9jyRc6aQCSYX7zAjQPtjDH0WMS1wGu9cCeX/P+a/A5xu2td/vfvFPt0E nG0L6i4t1fEQUfBrmP+wfLs37KDeebPq9zk5wQXcQ7br97TFkxMUp2FsfwqOkl3lVW3l1GNIwGsB QtVv6xtOoh+9kpbz/E1LJ0hnX0c4VGoWTaEMCEOhtKWk6VGLjT+so/2fVTeeOkL3WAfmYJXRr9gz 4vFxx7TQZIRflcCONyiahPYCV6R7sf9S6+NS8DTOsbXZY2E9SCHcsP2GZNfXP5SwilheFDaQlLWx eSmwLvhYbAQJjbsyEhmaw6Z2qNUktMLD21aODe9WZve9TatX4kgynVb2Sg87Fyqbgcr4RcIpAXqc x1K/jfnq/VNkE0H/4FOrAZvTzGSDqlIDIaAWCbaAQ7/whSCjS+UQRsQdLqsw0j4QwbETE3qDsI1h XlQTRBzP27DQ67PuR9F9/ctqRGJNPO4qxihh2m55v/tSRQgE8cTAfWn2fqspNs0HKph5GGkkRtZO lE1afGl3sCxuDs890zAbfQXI2PhkpgF5a/yk77ZA2KU6H91pFPdXE/B8Vok8coGFkHnf7JbHxYpI MgE6d6B5i2b8U3oEoNhQQ/7le584dqS13UF4Ex97mG/ZllGlkUQUNxiGFbp+CthcTDcKwP/7EEAZ RHJu4GS3ngHYfM9aZY1NeISjGEizEQ/7fUHu2wh9r+akN/0FgnelVU/xbwkIwZoqOP1cU9e+uqBG i6K6148jmF7Gq7X1ZqTlEK8m/wO7RGUOzUBTkliY6ZYLWS9NH8LkQUPQd+v/qkqG0d5uKe32A51P 1OBzTCFPr0Sa4g+FC+v/B1QcvtsmGY1lpYUToy/fAr9AqByY9+/aDdYzxJGQdgTLtNRKB/2vXjAd f38fXhTQKll7kOXtD+CJEyBtP9m2HoKm+Aa2vB/zJ/4sCXrFzk5WAx29fcmY3ZQ8B6nSYWWfu5ZK jzW91KZD8nD1grtmIyvuaNVAysdwPr7sLfT1QZtMFLzR8uhX4ww2XDq2rYvCLgJxTq5IhnsKlblH GjqVbooR26Z6IE3LyRHo5z2BC6YRWoEjU5KMrPScHxl5PZG/qKXDIGqukdMQeFbwDCcI832Mdn81 EnZw2fnivinQiEQ3KVf8c01kl7lX11TLLHLQHD8aZGVoJrKFVQK2GRCKg3SN9vPK/rfL70k5GDaq j2ej64ESKlqJqNhJ2mmRaWqHoYb7e7EnEDQyzOV2FlK27WGqlo3qDlTLyx6ues2xNfd2PgWw9QBe +GXDmXGUSrlSFvsSThSn9M0OHpJw1fpiw91QSFr9CwoLlaawQHjh/b/M8XccWSzuV6AvBacvdCAH aL0kPnDeEVY6yc8gFJRLVm1CD11hhvrY1BNeS8s5erlI6p+fwbSHQXz+rMXq80/fHGuVbvSz9qwB nRLkHLoEsZWFIlFg4F4oeDJ+Or33nchF788CK71aNCezUqRiFUmRQbN4v/mxSwGQPshrMT6Q9awg wpsejYfhM4UA6Ed9tR9MHd+TzjHpJ5J85RpBUYPgPJusxYT6Lu/fZsWUGALC4tra3rHRtBjxq802 APA6lVDjYfWwhuxnzglkeSrzM4h1cTM9zo/p94ia8KTuBnaRUT71rjspYhM3Wvln4kFVW+SJ8RyV u5+K2TGOtAQOxbn3q7nnEdnQn01KFv9zWwoyi0u28CrwB3KayNsFu/bwJ5Rjm5bjxwbZtjqpGn8c mZIcidtmesRhpiGaFg+o0tS0WLSC+fJHgvWwWdsgNGQzlAx7Y8w+iNzZDnGm/0Q11WPu95QOSPjT CYjrZN651lTaLlD1GheWqma9i5BUfCPmmkvEgfCK+ig6cJJiIK6riYVUFo6338XTVWGZI5ad1t4m +YZnbo981IZe7H0UoZUKax7pypRf0ww61JGNKBaQsV4tIyM8yiNBLajgltoPGnXWHMJ1pBL2kTmL wxsuOP90gCO78OIBct/IPdMWiU3OPgzNkhWDGS6hs8Dby/x/3pvymZvpCbwy/kWAaZhtSOjnE/8w YteKCOGO5jpdaLN66JrcwaqkfLBQWjGJLF2xUN/NXBpvvRE8/qpAfvLbyjo45dP1GkqaOB8LElUl uohq8y5RNgN49YyYeZb6ZQLY7ER4Hs9qJZXzqaUKrxExLzSbk1/rvNgV1ySnbBI5qcRaLTX0KaFt ZqwxZIYPyYAPo+FQEw0I3/BGEf5zmcSKs2+mctrx+kBD9Kct6pS+8hj6ZGzuRTgas6LyD6KNkaqQ NLrH/UWP2+rzBjYSXwavUBkhs6Ist2na5IePxRHfLcIXwzA/7SwNtmKmZ7c8oDEO09nC9rMFgm8y +DNP5XTAGC+qpojbGNBsMNp58kVTtAp/qGOe7zhTWrErHgU3Tqq6jFKZDny1HW6MjA//yXqEfAiQ a7aYkvlPTO1g++kt2JTicNDBKlMPFH/qzLxt76z4IYuDlaP3wrLpHpspwJUs20Sk4+HHeHKJ4rsK JfV89IE7FbzGhl1UKi9DunfzXDJJOyfGRmw5LnkSY+PhPvRUY7wj2MNol9+CAoMs57eVsnHQcDbZ V93U7F9kDC8Lv7Ea0gpWv4OxFtSZjMPfcBpO9E0BnCsT0e0ksRQwGsoNG5xe8O9FS7jYTaXyfZp3 uRRipdvpxSxvObFNciZ3h+wVZiy4LxaDQszHCaCq6C7a3xDLr+Wr+obNrkgRAoiHfUIXRtfsHOTM Q4TTJQ7RV0n3OHYyVLgBLb+RSe30Mk4U/XiarVhdWzDusO9l+njzHg/9RYAY+3M6vZNZrqpBIpV1 vhiVX2XPYJftcvUKuP3iFflKb5+JXXVY3fcdrb7myX+sBMImmt+U2p+ucDjmsbzt95kjCdDfQ41E ZP9KQXyXqx9rKNh6Qo4TSr2BvpP5ANNsvBEhRVIdKGDrg9jeuk+P1DDPOYP5pIgmBHg02iZblpMw 0vpzEkJn+p6Bs2YEOKjjEXV0VShK5JUKAyTVZEJrGNwXJXCOiiNkqBXLcPA1tpTlFIIBaok5CG88 4qKN7tzTwE6o8xSp0JDhBjb4thdPC2LeNFbvqX/T2Ahr4Ur5/RsAoYr1zyDs0wOLfMSCMjnMna+l PvFcVFJz0o+wX82+bQNBrmRyvbCkEVNXE7ZMZtuPAJq4ZL1WsIjeMIn7HBzc5Uwf1XS/S70h/JFD uWPOwesa7y8asZqHbmSVSuq6d68H2Jg0hhyXVAzZUR6leOwJQORdOVALxmFWcZ3jOavxinvUXipc rxjZLlTkA5jVH01JUgXnd3hjBM38mH56a1nRh1XW+obVM/+5YRVuED5HKZ2XR4xmXGpGDsPqOdIl Hvf1pe70w7IL3XYs+DdJs4e+8QiJbj2udTrW+iTQBOyL0QUEGdUnAgoxHgJBln40bzFXU37nQ1Ue EycXLtYFZlW8gSOs2ytEtFZtMmYCjSMZa74OguzsoUS863uZ8ppDIVOPiJIdkGScyrM3QvAE9PVm Oq4LGGF9eS3prLZfXJ+PxR+LQHdOKJvFxdcbLwenSg1Qhkk1VOMVeWkSGMBpYBcBq4hXNIzLigxw sssNOqmwHSTGgQXJMHrUnuNf5dqtHVcifufL/lqi49yOa+sXXYbxE0PdmYYvd2w2KWSpCZzT0Ody dSWLeUBFeaJDHYFuz1i3RMNxAd2BbWlydXBiYHRGl9XxpLXcA4c+/ou5eImtQ/oQMVMGTbMGcOLw 19JjbjvCTARRydmriamI/w1oDFacUrWWncQGpnhNHwdpPNizIJWBpVBChgnLA4Y8tOWMpKIK9aU9 5X4vASI5VcHkyqjrmVLR3cuJcWTzFBwjLYHjrJr0+Ccq62F1iM8Z9Zq93TNXUCQeu8cAIUkHcMsz OWifxS5wDVZ51WYi/CMhm/QzNnqW8ygYHQaaqeMfkPLphjBWpPTaUzHdxAueZ8W5i43MZb91MjBA nENmEU0RwaxkOcfJ18c0FxycHJbnKhrgtwQnXQ8RCRORHDyd6ui9FOACKve+7EbQndpIVOc4pupw rKqiQyx9CNj/GbvhR7cjVP780Gem3TOsR/IOukOYrNwupIRYrNgfLO7ND/1XYTMD06mkR8miHhlE kDlCH5MBMzuQavOnCpZmfXJSracy9/Eu+rgf07dN6OPfdtgN9xxU+DkODzKyGBu84zis3yBBPHpq p1gmzUWJ4K+Cg0VouNzuLff09lH+Iwethhd/NwIhY5qHSKu1HGq/tsKuekV8o1ER4gOG+kGCIozx kK0MZmBbzFYR5eG7T2g4Gt90b2VH5ycoZ1PvbcVn7Dgwco+LAcyKDfCROcawt9vF48J8f4CwkLGk HFGPOGE+iacW+cMAB5GjDXVd9Ihpnl2Fk9K8YWClB2lGRRglke74MiXAtBcb8SG5awD+TZcH2Hm+ dawvpX+MuqR/vmADJ/bxHWsvqj9n9modchpkUBV3l1GJA3O06iD7jnGRq0zfTC2Sf9gFnQ1NeTXZ M80hxmXOFDyZ15D6e5GP4UHDCIyPo9ZUOaqwKyDR1fgYhWUfWoukIN8RE7g+aQ5zSqvgNQYsYqr2 RiaG90PVFXeB4S7S4cpj1kaLBoaPz97A9svsu/MewdMEv+bLDD9h19kI2fTCy2G5cIXA1lgYBJRC VNlq3Reb677/xcSOFAqGPjMFz1u3caakR7fzDDT5AKwuKWdw5I1gKrjAWFXPACf+Bl1Br9V6ATt9 CObFyjylHqdbn0SZuuowRM7r7xbpPWGlzzbD3/FRk2Qk/GYgQjCerM/wqRCmmfv7Z3Benj/0iy5c 5w55Dsfyflk9ATBvJCSNhsIluwcfTWCcWov1puSa7ZaX8ukb3n6z7d6fIRRrNlZ6Y5K3L4xxUImT F9Udfj37AcdGkGCTlgBctxtMGyZQ8UHhxsdebBDM/5wn1wN/3m9gOYYMlAiVPNpqUzZ2sGGSYO18 DUHldpw4HuWbmrOixqvCPF7hmq4Y1Jwm+kT9AG1pXpNU0S5JQQgS18kSy8cqxPIWu7zkW8TcoDD4 TAhsvDQGzD3snngOK8GogWJ/2je+c3gBzQYfbCWm9AUyBbcPhIa90KW5lF3cZjx/tMUdf390YOGm R80K1CkNt8VhYTwXCsY+bK5neBCXUiLLsDotx75UNck/Q+m6faa8vC8UKqbvm6GxT6snlu0784D+ ++NmEInN+1856erXaurx8aB1wL6nm0/sWr9HifxU1TM/PR9ZN8I/zD0yKV9Cw+R1EELhaZvdmgiP kRmgcVweeXFMVyb5P7HF0PcDbQfknX4ry60MLCK95W2GYDyGXB7iQvdS/qmLxnaalcFpnc/H4idR c67PSgbUHqf6GvfzHDwsncc54JN7Iw593pbsW3mklLZQ6crUEtUz7xNtWN6GS/F+0oEWZ3K5PUuD 0hadkH2Vq3ODatel56E6O1xpqmB4rGEWj7+K4qvhoqXqTldU8MERZgMqEw6xQaxDaqdp6I09iYr9 cAh2QkDWcpB9pTC6jetXccrqTiHl79ijhc1K91PqOMOdZb4dgaICyewagnT1MPTRBX/fRfPXir58 +5tExW52fB0UIInACZVIhWYOslKS4QevH4BKvs95ws7MwM+gT3liFYt6jh0dX3yhuNuZlKwpXPD7 lBf3iw4V9Zo+Jg4H0JegnNsQ9GiSqgnzxzt09opau1ELBKJUPOQgKQfVUc9uIqnxu2FpjCg0sZZj Wk51V02DlGEupxVYx62EmaIdC1fCQWYruIRmgBSaGedphoDSy6YA/1aULSAmOstWBPLWP2aBmqkI lA6hdVLIudrAOaYerBaM43+UG6jlMX5szYEkTpe6vOCbTtfobDjQKPNnOVwwfptlhiGLVK8Fegma CI/eUhMbC1wMMAYu5kQ0mFrwiKhy5EEcoXXW98w/n4M9cLWOG7OqZr4QGm4nT2h2kK8UIceNHRas 7X4Ck0lLq7osDJu98HwAC/qsAlNNKCMxsgc3R1UYSBYdi/lqCnj7eaKuNxgr0YRkYpDoK3EjM8XZ mZ0nAqGeCFA7dn33GzTtV7ERzxSdPigS037/38QKCUl4BO1zVMuaGUe38BUPdEmvoIRNflr4tLwj ES7uB1BAgrvHkMSKetc2b+u2tSEK6rDI6Hvx2w5U+d/fiVsFnjO/AKzm4WFp2ESwlfm6sni1qr0k r8241koKoFo4oYEXcu0ELAoGazGVLx5pDYNl7/gqLRch/fYCff3a6iLMDenYvjPpJ2flM0svaOBK B3l/sY8ajT42IfLOBa8DJaW3DCiCs3SvX3cBIB6n1Ad3LgHFz11Q302AhbOqqp9iRAIgHFbL7Fxn LlL2xgDp0JGqos1aLLn+FJ3+P6E9rXtWUqQREwgyf/cq8r5L+QblnMFMX7vef/JXXBLPNrtV7jH8 geUOgG6hpKcZ1HanHWhNqSGiBX76lf4dUjiNL5eDwvInrlI6Jm203V+Q+GTiEH84tAPILdZnL3Po JlTOVdY4G5NhIlb9BVFoKBsPcD0S9VypzRjt/K/WRv0cGQD49m17CqCuLDl9NDnb/C3kV6I9pRVe tKr0h3ZOzkIC0xaDSTm+2PbUPT3kmhDmM5u7AFCGnNYuVAPa4OSnkYllWJhCSYJXobz5xfpUZrmV UtYQxE07tMoHbh2yecrlAHHvgQJoNo8SoYOs+zVo8i2IdHnz1HDbLY7GwmVLju97xuP2KUKrA0Ab PB1m2Uu02ggnOoBmd0pGu+8kp/yTkG9T4G7/DTlgCF1q8q2K6DE3xndDPqtKNenOPVyPq1TUQt1v Bnspyduwx/g35r5Stpl7ATC1MLL2jmrt50nomWooKBUJFj2tLCGlCD55/+ZtP0cSJaj/vwDG2p4b 2UtBo+k7mIKCU40+82TpzJQJ07LRzZyT3I7Q/+FCe/Banz9/a892ZOnhFjw6K+7kHKytpOm1uLwt vmO9R3Eksg5XuqmCSfQ/ce9GPIYA3BhjsfViOd2eOTEp5jZYPmKHnIb++EKRkenR6euwPHNND+Vg oDSyBbMxOxw3A/JA7Q5VLKR0/W+6/AhDPyIH9GQ/qarKrCMTzPoN01X7gqW8ptohz90tQ1mzH//f ZG47Rcf8VgeP5EdpjTFS12uKFqi8T9v2LW0mmQhWV4bI06fg34N6COmxTc50bId2rpWFWKhuMBUp KtwD2eT4I9TrRIHM+/3vRrg7gxNwFQ05jBULKsyBl7pgGeXs8UGfhYygdBzs27o8ocgDgi9VkJdc FYreshPNAB1emETWrnw2ooUSaMXe85aLi3yJWICVk1ZFXSj4jVuSyLvlM390jC9u7vE8AjqAdhia 5AzT+3FOsLz1i+LyMpTbPkR2ZVuo8AxMK5oevD4dYjF+5+0f/2ArvtNBmeWpBITbn8fAmIpjpVWE 82zJdC8Tm+VWeSsQV4RKRca1vkURvj4WJEne0n4/ua5cSOQJlbJjtOW/FBdj9w40NF0UQhPvl6Jo niYLc17/NFTaOcw9Ik9wMNROXjzD+pFZ40/uXeOFTNH2Ye7FCH46K2Xv3ZHoYgsRARu1pRM2gg4o //8SQgsm8WJA1Kgyz9hsQ2U30SrSZO6/lVbWt/g5FQyelzxWuv1kPig6SyYTgWEaZ5tgTXvlXFsq cbfJ2snF6bms5ROQQF/N7nbxZyipOZiff3FzyrSQhNFgRNCJcJTB3vXg+5gkVLmwgqetvgprMAex /EygBv8CS59bzTS4zF+aa98jLKeJgwruUKh+m35r23vBkhs9qyqgDDKdeVFEGX9vsdfFfw41hXXr FQKIeqalYg7WOmp5BtQFWKMC2MPvbqKyKNv82rQOqcc7MM4ifY0lryFjD4yJQqvaXygaCSlvB6pN 2L3dy9XTRyilWwNqHLlwA4mqSwEiQyw206MYBE2C6qeqJb7x0W/qkMMOrJDw/kP6d6Hv5EN2Y8l2 iwYfhJ335Xl5v4YUxXWjLYkYC6NnVu3S94LDTJ2MTzwpIzuWGqFlATt4yeB3m+w4Ww8UaFFUX1IH NTaajBsSpX0tKBANexZYj8Ei0T9Oag3cMI/pEMna0OOTaHUL/pOSIjL1Mu7XcOApsZlbJG1Ih7MR 3klm5AIUF/5DOFMNBoBfwydxTgsZmn1h1xkT2kawP8UgJ7XZSJtGPXDqxmre5chUm49KkZJyukRB IQjfUqmkjQWtI5y/Ta9k/Cq7pZvPDvzTqs3+KqoVXi5lk1jBWUhNKKZGMsDlx0AN3WLPxF+tpxo7 dB+LX1iG1jPEhhIqF/CExEQYcGDbGAQls8SmWWZPN7kON1VDkBPaxs0gX2cqjRP8HVemve/0nY2k 6HNIsSzhsIOousu2E5kIhO8E+driPe7nHUJrqWl+dpH0vtHGzS71ZcFgurMjmGu41sy0olnKolmI BCofIY1iryYZEGj8pZi8pw01GZRMalQO6gKvzrVnoaNoVdWmRmULSo4ZqjkWZ3eYIrV2wIUv/mak JW/pFnlZgHhgp8gXnu/FeWbHDgy1OvZibkL8GvyMO5GoodjqT7Ot72uGwPosDAZF0BsMGEz4YSa7 wNUyygAxwVPZN/Hw9NV99wfdUdY3QwdlQGZ9TIy9vWHlN/nxVWQSvHfsRQg5vawvLY443bKCKLlS LmusUjlF5ziFIAhE+IY1CsvvBgeEA90XpgJEYx98JCp+iuYcusWPTLJ9rrc7u8iTpaUItocT9bIB 44XU4oB0//E5SoZCWis/mGmUORC/4Vkk/I89f2BC3yc0QukVFX/MV8fWDdGnVzUPivMyZsbRUSm1 BNcMOHHxqrsfK1rjBVZgzENAwSUby2s7DXzbc9BkKm3FhF3bt5+MQU31411nXoLtct8PyYt3qANN KFluW9rxOQjp7HXqnS9u3jrov1DVyd6DHAyAGmsF1m6jTcC0GO3V5WsqbTG3DMq+K9EDm4Qgguxr Q4f7LtfwyUNBziHIRAf0xIJjUiBRJERss+z5nfjIByKHEtJu8u9YPX4rafUj31iwcf6DK/wA4LO5 PlU1lZILR9Tqo5SWndqi/CoxGyR8Fk3j+FsaKvSWAHmU2KG2NG2ujZZlVjsG9dTQq4Lav5gWy+IU g2L72MAzGxC3KsJQ/GvnrtX9c42HYAjPXNGlebytKAVby1l4pOZck93pxok9JegBv0rlBZnnFrOy zCeCXD1DBIUYwz34iLz+7yHyhyzRj5n4q14xvSlDGA1ZBKZJAKMbkvmu3mVnVRYMRmUoLwC+cJAd /68Q6oFijgNVKdw20tukDWZIElMQa8SN+SwboJkAiMpsXUVlYuB1bQLcUAoYpVt1vptfRXUmtnfV e+r+GZnvf6sYGZ4l3bdX9IzwDlxyadm5ELFeBE9Cgt04BrfDVlY8dQLUYThCLTGjbj3gFZeo8aoK JKJGMm+G5nNIEf2K5MThKM7ZTP9dBRYbo24OVAEPnV3pLwlwMo9f4Mr2BXRj/xsJErRML2Yx8VVj UXtX491jCm4BsjYxJlDu5h2hMx1UkASxdKucBfG/DpTN6L7dd6W53FOpvLifBlAH++UsDcLpzras a7va0wL0LJWV4C1xf+g3NEGQ0+v1Lu6jpXRiCSXQTVm6ZVBf4eQViArZgw/05a+BZm2ARC37JWR0 HgX+agc8Sq9waU7iuejZhayi7c/VCtNQ7ln+7tDHNjEdDqpDR0XVF/BNXVOWhMyYBV51EYXyDmlE +87UrBel0nvc7UWqqIJ1tBq0EBhtfEHhLlKKR8LPGqNvAtrfL55OZ8VTuIVix8aLJ8T+81CNECiA fCTINwnRM8A+p+FdSDPp9QLDeM5agtug8G2PkBPQKFGQUu4NEqO6O4YK0+hgufiMxxy055vH0068 Si1mYBnu3dDO0izyYyWpfSZZMHv58H/DStbtoSUQX+TIiTF9Dbpc9F4ji6Zmym4KZMY7TM//szGM wHtiCkSWHKhBQznlu67/9KGLQoaZrlbuL2bXALAnLQa5qzl7Kvq7+fQ8rLMxuwKNCKev1+Fo5Zw+ T+oy0tkEJpCbdMri+S24EtLO6aeSOvmtP0uf+MsScmWGeYgulRbEwI8kq3E4SrQ0WNkpnjPwZTQP T5u9M9ujBIdB0XTKlp64cGZQtriaY9cQpwG9UJs2QEHw/zJ+PUbyZ/iANxqAJOzfP4SDeKHs0NG9 IcvgXuwjP3qYDFS/LzaFBacxY5ZY542x/eCYT2XXPHHUkwwduG2NhPT2SERaLqS0bSj6YghQFP71 iIr85HX2W2kz+C/rwFX4Xdh9T9k7jkL33TZE6rkPHVDfRwcs6EkN630aOQPm26GIaUJI4zEDPS+W bvLL9rRaNE9OhDt/awLXMTrt3D8f1fh8P8UOBZFwggQ1rgqnFkDrWp0IapnP0+1bEUhnuIkUxbI/ swl9gc22SBdpqh/Ebhnh9uiWEN3Df/6Tgb78BLshtdyuEXapHSiL9CgLhgJAfUhst5/4GTQ8675s nUELxmdLIxK4W7AR3l0hu6jh6WzzJJV4paWT7gg7jhWXN0bFQQN5cSRceS6MpLCcvNBOt3hT8ogb KNzqipnemBIQLLY1ay6vegkRX6nMnz0XmUq+AULr5G7jNOKktqS+rwBUUJyz9bDc5Fdm3UMXiBtv Fh8HweAUKpRzphmw+1m9hdXtXhXc4srOyuxXRMWO/opeoNBbZ9Yw+BUsIsSTnxkrO+dEzbvDHvEQ vKEev+anwWa/VPXMlCeQ6rR4bA2zx45dTs/qfGKssX9ReiGNfIt8G+Xw0XPpqcMGFw6BrXpy7oOD RLxPHgT5Rcz+v7a6yA8tARh9Cpdkqc91FhepeEkTpG2OBdwIq5prKvc3QFavg36thcL6BHLT9R0+ Kt3Db5oJ1Wtf+9W3bbRx9JmLLMYfToamtcOWZ2Jk1mtgjC5g0wzty/CflLA3oYWWoho4w4pvGLDv OCJFZ9De72r83Gf2ZGx8a5cImgHKWlUNQgHtR0TMsiWor4qliQwr6bcdCeKU+jfyjsTSDZEAQUH5 twC1qbj3YeBCnQTzvKgWqNISc3zsCX1PWr8zsSCPN2W8uQnzP6C5KLivHVPdmUAG0DJ/Xko/OlZe mNw9+9A3Ko1w4u/KO8RWEVGgDPmn/B7i3YRBlUsIQEJzxEgL6QvsL/v/THz9FiZWeP1H01IUR9WV FPn4bwviYLpb4Nv/DzI9n5wZJU/h0aSDTJDkt4JVRLyCrthYOmhTEWoFeZiYoLl3Ng0nTZUchHZG GRWp+c4m8PF9yYBvDCkCksmWGUB+BKiNVE+BKmDyZuPay8Y65HKb01lf1YX4CUY+Cghm9lDQ5z60 OQU8RCRTU7Bm8soGMhkNscgxCsEMLWnqEdx0wu3DlV7veFmzp5A4IXF0QD48IeNQEIZiNyPVFQo9 dEBmuqJi74zWx2wwg902dxd4IcH0WcDDtzx1pmR3OUw72DkkJXQlcwDmvnNoeI0boVPGbsWqfrc4 2zg+w2f9o1fzBHbQNIJ13yGhYC6Sh65D7d/3dIZiPqObRNFwEaH9cWNxXAOKfDmAqW5gnzlcpMWM X4OV49HzTLoktM2a5vVzLbUjEKJFT56vKdfxI0lcW84QgHCdhwo/0gYbbyFvlnf6vL+CHkxnxcqK aXj5MQ1L5QEUH/AfgRj4F6z5go5tUPfN607FarkEkeRrt/JWW9sUASzA6Nmm8AhhUWui0lDviuYb DrKpJ79BloKkPEC5J8MXYZhtRNfX35mNr4KtYmp22qDHL13BuCc2rS1TkUddszdZi0+yyBG5G5lk h+TJ56tQq6HRc1YshJR8K6rQRiCLWOc6ndAujMtrg0VuieqLHxesneCujs6bl7LOYH+2FxcyoJU9 4dTRfPR4nfsWq6taxPl4kr4St0Nw4ikEEaFnTo3gvdBCSGMMWjgfRTvTm/1bbzW5AbiC8E4qsPC7 7aDuVLIm2flzi6RcqDoZAOWp5LSqo8XD3p8pRji81JrsljA9PmezCcr0GQU5uJG/QkjnYs74tGQI qIWZoXXm9knJT5kage0/W5gCQX87cZcQBhfEGG0OAfXHp2pNVm+zFfDmlOgHo+c6IZmOaR9mxvTG OoJjI6sxqfkhw+V31WJjkqV5vRy5qkd8Gi2JI7lTgqNq5D1KcS9G+rYaqoE0IYkVq6r7plQSDUGQ MPQ4Wcadw0VMpXPsOF+iyPHiYWvw1jZVeacpq2OpAmJ15mA4Q6DbFJI/3yGA0pxgOvD0g9rK6zc6 Cc26Q3wZoxe7OB/SbsaE57Mx9mcB+gHXDn82mMmBSbHDAUWWJV+pdm30VRZ4lcyW3Vzh8+iskS6r uB7At8WElKA1EuBkFD8HWabf5cMiPDHWyOKKP4oyWQIWwnmIjNzk6yjNMY3I2a/AMrX0yH7LW1Bf 82FFx/rd0al5dU8uWdSekFc58q5HI/H8VsQh6aS3G/nQH1QlSItq/MEoquU7kR+RHTGQ8vBIIF2X tQJTXgIuh9OggVKpSBwnll6yBE8ZI70NZJ1CX2XrCa583zS7nC4oNMlgZtUf5BbVnMZsvHv3mMQv DEOGjn3wuvlmF+rUfWNCyDVI3fZc31uAeaWy3jrOm7KpXoRwcze++KbQTfbZuEwFgSWVBXg71hHV ATInjrW+OG1wCZnYEGp3KxBEfwn29Yvu9xUpiFeePbAk16muVmOqKhIfvaoomhaFgTgQdAXQXEl2 MxFRsklvQWvveIm1wzQVFqGNak7KMY20ISjDcHivMOtGDnepH89BKK31LZIIoCgXSGW1nWlzwlzE +TB8RhR9LgsDFt1v36zGfc8FctuuAyLna/+HojaIaIiAkaA+71++vfd7yD/mvizhDtPJckMoTbSM QEf5JCTCbSavqwpGqrCJhCQVW71TXrBN32SvH2vE/pMG7jGjkd+mJI/UOArj7BuJGYMGM1qVf1Pd TD/ThXzFaMw1DxkuS+NZu0Mt8CYtMOKiA8m0/2OFZgSHS7VhpeWwwEhd7UyIjHnNuozoCYPX+fqh Ly3WToi+K4N4aLko2MqWHUTzN25PBsBCgAh+sAtMxsU3yhq5/Ftwud+zetsXV6QnCina6zjC/q8q oum81j1wSQN5tyIfMDaYSSY8cZY95FKcmYs0ngB4XV7Bl/+6lTCsHmFs/IT1bbAuAFp0AbdyXdxi OD3oEpSplK21gdZcw00SuXX8taFIeQfanjwYTNSRRrflghKPxwuGaZ3z4nLd8TTlVkba9XhZFgeU MDD8Pu3W/AHycnMhQ3VvZg/fHNM6R3i0JA0ATMKjaS8cAwTcKE34avvPgNg75BqOMtAg1EhjgHZP 5rE+zZ6+S1lBGk3LLp2qqnt8wLw95+55VoTTp/wWuMboe2CATEyqgOYT8+KfsnRLDEmXUU8Rx8Ql N36CgUrU8Hc8QlDOvpIW+iby8ll43hUkSys5bCViw0d2RwhICkK5rycwZQFJyrQkiCj1uiIwdZkh alOF54r5tGExATO2tWPDD/YEzayTs+4MwWaKHY3CCvGdGces2thKLGT60aZhC6uXcIdZQQjXMNJO rsU8rqyOvkd6qr9bG0bC8o4++jGJz/CyfGMiNogcYaUuOEG5reqPV2DTIKUpjjDIkC+qOxSDuaoM ByBFfINWgA/Dw5RWH5aiuzZkO51Tw2sUCag3bOVpFjZkyJe7lyNsPKQggQAK/d+AewB1F4MP2n0u UXjq0uIoKc/kltHHti97MfxWOiNAkqlUnu0FGmNKsu0VfdqvRI85jHKw3D4kTCjEaiTFVR7jYqZJ WlzahOjL3sgaADnx14AHOtDc/FwS43h4XrdXE3AuJZGk7jrqGr96enx7Umg2lWqv3WrWkqnYv6EF p2PdOs7+o1pceUPbWwUs2izS4+LXdKqLwejIa7aCZOqiR1Vb1FXmXDFwzqvw/8l0YPTtV3Ykp3Y6 uctkoiU+hD2CywZLgrywSN+b6fIJbGtTMve95U8a0qfle95cT5rD+O4BfjKEGy+BMPj8dwgW7Sjl lpQVa+a+Mu+gf75vl8fqTCU/2ENO4HVJFuxlv5JQcENMUvb89M21Z1YytHKN6Fxu4/XrqeH2lU2H mLv6gU2CTR8OfhxaGRSroEHqRLaD5dDGNoO6lvCc/xToqTYv7A67y6HgHB1Qr6hzZGwaDbnIybks jlRf+U9d0dwkChjuK/PqV//T3pDBGZePPZ7fAAPi/UILwVBzfSWAetGpQqEQ0SKLrFiL1F9BToB5 c9CdyigY9vl06Km4NipXpFfPlEzX6FdGHHcOuscUHsz/DO6fzW0y3+5IZ8tTgwfeZIbhaL1xnNiJ QJXsRfAF8+VvadehssneoSjCppEfMB3xyufkSZ4xod82w9VdTBOz8chz3QxmRvqJ0BqUFwvYuXnE hxhPfBAVN6sUppWCJ4aYdQng/mEZovjZg5yZcTWEtwzxoabw23xQ/RViB9kVaFcWrfXP/JEx1O9A ypSBYz5pONY+hKnYq5Cwh3L+ei21M9mUrGrNpC5R+tjCpQ63G3EEyaZUlaP1ncZ0dRsqbH3RHnva fZC3Nh3J8fXeEbBaStPu7xE3OBwxweDWuozC3TMctHaaQWS91DzOkMKWMi+bErgkVgOBH/DnXNKd 5tDHtVNyM8Vq1A5wP/dpdNTyIt18FlhsXdXR0N79noEPTsxbW9yFxGLonyPO07Flu7a7JT8HaX6N snG3+UZqSXrsBVHdY9Qd/0GVSm5lhUcytOeTkIHkNPqhli7Cm0j7SBRdiEXYneYge+OERKfhw+IW 6IyP2N6ig41ff/W7YOuUKlsyh5Qz4s48aIi+RBdpGR2o3b0LD9PVnYk8m2p0rPO1j0ljvM6e1DAi lhgn7nUqjANwfsCNvgGdxWxbyuef4KvHsHh2+UWBvUJ7ZAshOHNNrjEkbJIF8k6lijFt/vBgAQZ8 +7mFppJ/jH2CyrKtF1pc4/TfTHcI29EfXihcnYi2v9CPkZnJMa+ybCZI49aFfbaw+s+rbdbPwiY7 kkhMdwS/IDU0lhbk2hY04KdNXOgB0cenQLxJb4D+KrMAUr2ZQOyFNX/CxrNeVEHS5XZDDAnDrdvu Zc2Co1pZXM9aiFGJEgjF+rcPe1hueM6x5oiNpbTgEbBDBqUYeP745hot0m/AV0BevFWE0fDuXq6N BOxRsMCygu0IVcyieX2Dybo7ywUcHdTybUaM5GdHnkTsMMG7a3Y7jyJu0+r8r6jGOHZ3sC6RG0kD YiecGRpRigrTOwyCrha4/y3roNUx/F94+uCMvvu9VzmtH+kIBS0dY0u6RjVE7HSekumsqaxJSKWx 07WYLPQVpECVIyBnRDzQ7fLJOXBp83d4q7M+7iFDU9HKvfFka/TNxhYQLtms2gTnE4pTdKrOEu58 dZE7V1VSCb8LmnQ4t/10RkJXEnQJPadBCM3Pj8Ober+bQZIwovmW1IJHkw2GS/nust52+KUwzrD5 kb6O/26vvw2AKNeK3MYRfRFOfQdw4p0qL4KoZUN84DZZvLeLvKygkHDFqyW4ONHJB1gYxX+ZQDju vw5rWGEUmfNJIM5FOvu2OBEn6fKFOf9ogUjMOobxdlq261COBHARCYCFcSz4y/r38tXu5u7//X9I 2DqQVtwjJak49hVS0f5GgGhhRrHS0xI1eRwNYwY7/4Rx2pEmwEZODk1SvSYuZyiSrLssncVOiIKR jDs2ccQUKjpWSa64mrybYFn0LNkYcNXaAmWXEWuBeZzthRcl96z5nT0DkQCv3FMlQvdyvnawUQ4P GsR/MIJqftiKaafFi9XkY7jlkWHKnl8buSuTUzetAeTB+ONxGLEBoLg88KbaE7edSwYCb1PXkgnU KqpCXuCdhBUtY2iTT7/XjIcbG5OlexhZPOaxaQFHwy+h/2fRn/MH7Vv2ZQLIN1Gzy+eBtgXV+UDe YVRU/sSsQcRRXip8OI/ABXqeUY876TvMyP9q2312WbtjEQ7Jwewc+ErBSuSJKtHO3bwLmrOm7n2D CVwtQvJb1HZ1KTSMUoPhxJNNS3qmqN2iN5CMTY9tHczwzhwnyHEoXZ2Vzw3zd+R41rC3pvhzNl7m 4fBYwCoRjOY2hfYffxA0agVjHNEyx1z16ui0npWz0WHA1VBlPhxv+ns5VqK/TCPu8NCGdp6WrPdL PRpmxAz8XsJkZF9LuJfzDIKiytRbi9GOIHtWmNwdPvPYj5LKV6HakyJfddDjDWfYDTT+MbThyX0S b1b2wvEV7cBhUL9wZgkwNS4utMc93v2ncfs5Z0NyHEqlgWN4SReZLzcGXAwRyQyjOUs/4gV6Kdul UGEwsUs+DizP+tLCzgU0Lgvycowhh1aeKFoizYxaW6B6R/loKjJVelpbAhIohIQDePwRDsiewVgt sVhgYd2LxOUk1TE/V2LDtuAozGQhMji/pzQeFd3/VuJQzE8unR7olRsgi0WAZr3He7pzrgjOTHKz cDonLmaCQenbrX1OyyX3U94rWel+SYACHeJI1x5fKDHvm+m5IEZz2uu/CWp1uCeRFUFQ1I5lT5AI aurFBFdBxszBHU6v05b46bF3fUCcpSa7cacpFYuaDC+wOIX2UD7v8bs417XbcCTzXMbkuZtg71yo beYeQdB3kX/NUC0HEotJcMm9tQ5VEDwxTzJ7AguTAi+T4f/3baIh0HyC8vxqhomJOVECbV5WBul1 GZ+/rPMNNZkOpNZPDABkyOKEaOg6p04Vro3kY/sasUm/P6lbnXAWU77sCQuy6wF9iZOS9IuZ6ihE H8TKdN3c7ri8JPWh+CbB5ZAxYt8wKNiVnBg4+obKCrFmnJTT4Y0S6aOt3wB/zJIspjBprz0Ku1jR 0OnBEWCrjDa4OYbH5vxcpZQp8/tQnMmiwGijz8S8xnRIEHztrVFTEi60/evw+X9XVhiyBojM9XOE itfjJWHaBTbn9QahN9ItSaVnXfKTcsLsawAZ8ZtIrogFTblUNu+HdSKk/U15E/O9oXp1i7/AYpnV ZoWtgvzCf/HLNvuDrkrsKjlMoDm4NCQppuY46lHvG08PsZvSZixQyk4Y/oEvrAdu2QrdBa3CtfNP 6ay2jcnVTdyayx344CyqWifqxdEcQh5NZSUtEK7tt4nxQiVfD7dAfDbwAbXq/ay+/PRP1NLATWf3 Z68Oj6EqmuJu/M2aCzq4N7SL7IDN55SlNiAjccl4A4CuGv2sCoaBhfYHUbKn07iVfW6oCVYPAbKg EdASoSwU4BCpPJ9NXMZjlkBgnxe3PEkrM5TnPFtcioTc8sHC+Mz0OkN0QSqPkF9WL4IYxam5FnUF yBuAeslAMOI+S8ZKKM3eFPB2zPNaJlnsKDV6hrNAn99Lvxm7Orwn+5cUE3+++nbfmw1vDtM+KPDK Ks/iQG8Fy6KeEy2uIocAaQIoaYGQZPHe6u6mAHLTrK1GirpB/tYo6W53xOpBoJDtr9MnHYuPE8M6 dSOgAHYkZU7tpSA+1BP+ePAR2LI85aIC6UcQKR700NlkLY2O7i0fCiOHvyVN43U2MFtuF3t334J0 lcyfRn0kbr+YOkMGCRS3TeMElf+KAs2gGOYBuFLWH38TTGYZpKEcTzyGkXf814U1GAhdG4z3lasz Nwsh/dQ4kMT7nrWg4ihA464jeAqABfIYShigcJ4T/ZYocLEaqeaB5CnaJXBsPXyKvYxktHH9Hcvm YY46W7DD4dVKBd3JrtJX6RPvc5OVKcfguBsb8gjSpeDu6zXO+nk5BCOfnUzTlw+4MR2RyuSdUqP8 UpAsIFQEnRA+px0vKCnPkuWjy5kOUyocw1Ol55xwDkTKGbbzjU3wy7oec0VD3PwneHDEfpfJRBHA JCjAmKgZpDHiZVKOysfbigIu+GPYgBfD94/kpnes+YGluPZ56Z/td8djRKNzl7xvtw8buNDHqVdy IyitRhXzJamirx+GJQOH84Bm9eOb5PyLuHDHMeCKnisOEB+GF4EgQd9RFg27j1hHuOdO7xbQA3u1 Nn7wy+n7Gf1AsvWGf49BMdMUe702qab+9v57UxL7PT+4kwuAd76CG1aG8qZcjTJHAiITHLMkLRwq ozXOUI+tYyU3iAWH/QZB+ovCrQS9LJ16zLLQTp5TApKscWNr9a19F6VFhMnEKIQhxj1TQ6vpopPF 11b8wPNiBUJHPe9WXpBZPfbfme9a0SqPknZmtZQyCD/Mu9IC4ns0PSus0JHPJzdwTl4eoPruHKry o15jr04h50qOWkFVeiXm9ot52sn6uVkL215gx2saHrdBQn5wTJIZBzQ/CzdoAHf9myByWRlDLk2V o/PNwu5J2XzBqll7eobP6LoaBEnxLmzOONirPlO54X63RdubqK4k4Xh5yJr9xrNutS50x08pZAmu lo14hsUHAUZoPfGx88yFXdbMkh1LypVwaA7GKWg6EvIgepnL5eLNzWlUcQCHwJCm7nooUcx0oGzV BeWfbxyKQXHq0/NILhLq28ChMVN8WFZ53LgfSNWLqVuX2NO1mjXmpIXKFjM1sDOAHy1Z7QDuE8Ue VKoQcSBmhekX7uFIJbNwkShLkUGvkYQjsW5GBfTAlg58s+ttO9vyPtUcNgRddsmfaHMgG54dMp// 6AfE0nOXYQqvMi+EJPZEO4d9+aHfn2fMXDLLfsQf6ULF7S9ZTPh+LZQ5YRj7NbbdyUccmXAVG4Ol kB5X+KIuKI9dLRjWfyyDpizbzfyZXAi79hoO8IMbRdvzSdhGN7rDwSTQ3dGQrrN4f5hv2O3uRpJq T50DDV6M5JgG9QVC8CW7BHyoG3K3f86W0s5wz/JpR19f7ILLKCJYXDA3caJ71dtNyYNhEmA7NqW6 Xk0hoNEgp2ELoOBd/yAzuk9CvyIuYq6TFtktXOopAGAdgzsfFF2tP2NeLA2+Um6J0LScsKjqEKCf COi6acEQf8Jq4+f3oTCNfYAOr7D9rI09E6PnM3h6hvDeOAo/WFyJohpiKY9trhqEIAS7z36TDArD jMRFb1GLGREqeM5IrcKGN2xoYrONPXSYXs6HhRKHLRkkkfMyiSVzYrmeRBPs1H79tCaPQ9zlPk67 +U+4uxgJLNhkXO+Dw9oDvol0T4r5BouAM7pYNOWmlpcHyhS27dhgEvGziHgA/E+WAUJCwAP8k1FS YonWMNs7Pyh4XDVVM1AXD5QJg09dnS1UBPkbJ08VeBGcbl5SWqMHjgOUflb/lvMC6A2AsCL+541z Y6BHSo+noRRXwCHBpGZqsoZy+srbXmqJR7atJrssuokCRDxFEJ2tqejvppjQbQFbED2jEFKtaSQZ /XZcwdhhpnSTqA11qcdr0MKirfCh9CxGeQFbxuAIstW1clFums6l39UziLqBp/fN/lHFsmwqpa3c tVXbsjnp8HHgWceQFPjPkl9U+91F651+rznfyYcjivQhKBCmEzJ7eNrigzz6G5sKII8Yx8tvYMAc DDQHFdV/yvw103n/m9+xJX9IMInBqb3f+EHDG+r+RFF8gI2/yy9u6pU7jxNIWM/lqegp9qnYVwYQ 9Zs8gEfhZHKtFCd6FXTnrzTuTLqFN7lMKx2Kq5YUyzwU6SZ9IG9Hk4qMIbmf5RA+HKKurtQLV3hs skR0j+ktFwU1roq1nOdrZVwc0H1/TU4dCFLGJeimy9U0T7T7DIytnMlym9iykP9RvLaMrXsCeGz8 yMJHDyIJ37YH6YGYdi5Nun4th15O3BsHHw2kq+fuC94QshIkRwynr9/ZT6v+4jGckLClKZq01uod +0yHKM4mjgsm1tYbg2NDIxx4xEt/G1iNB5mb7nX9lVJWzez8lScxBY647jddOa9aQaDlduRJpuod 7JzEvkhG2FC+DrZuJCiMY+rozwQ+JRwpZcT5PjKsCrGpR3S6iAyMHDN+nEhbhXdP4Hlx56cj/MKf /DONwo2Zn8XkYPSYNVV8Q2KJMaBd2KrZrPdV4mQ73oPYLlva5ROyntexhfFG6K/9WGG+g7O3w+O9 /ulM0kA2pr2J+I42VdzDexCnklwYcRkMidXZ9AeB+SzjRlU8P54h/0FmnPpY9DsYPwa9pSUFhBtw p8G9mu7BfrXnWqr6SiP7+zlfaJ0ZBQWN+iqa+NZfnWTFNW/uKgdlEu3p/RNMciJ1oxepoDOJyXrk hAXkXChtbqm90fyH3zXz/b9hhmVaWm+iqinuky/r3jLI3YiLOxJ102kyUC5bF+tBb0bKG3Oj4OCD 3tgK/fLBxs/Bkhzyx4maGSKMnZhNg0k0/w9sC5EA3IxhoYWGAQ+3N8wUplhBRyd6XVy/qmI+/OAm TgMazjcXBCFfPCwjdhXMmRCWWKji9+YY7mzQfD8dwxTq3nitpJj9/zHmf939W8iiO7+EUF0G7fhh zuw9xzCagg54kgBxT0sb0z8RV5iWzxI0WW9esaKXtHQFhcgduhvLNG1H2r6Ww1D86rD0H2pKiJDn KeYLzo/4IpKx657c3eqMz4NjqagFj4SDZuHVuMM6qsFjSW7+gtQbiH58TvTUP0ad7AVByAECF+1j 93K8MdB1kRIJS7DoV90vXk8bpY77f1m2UQ2H+cuu+WJVkDm64ied6AQaSSkZ7rzU0ug6fuOhGpgv cw6gn60t94v0AanCQ1OOstP/bKPNJK1KP4o4MxHZlQwA25froINJvD/i+zhkn9ZU6oPTy2nXzb0i s1wanbwyl7HSGbgDLxP6DbsOlmcGYhXNIjOHZuNA59oRVd16zYpDUFW5G4OA6eHmtXjZqKv0Lwco 9ALZ7lLa8w+FG6Qs/g0KZgCviMEuobNmqFn6QZ+a8cVz52sgTkKhMSdCdV68psLkEos2lUavtac3 CE4aCTaP0StnMMdHe3JSLEQ62SpsZdsP+JTIf/LKXZKbkjNJ3veljrAPOUmZGjL5I0aDx/qQV02Y 7AqMeAQDoDuulYqC+sNYycMiOro2OOfiX+zAzred5xQUW3W9iHqG3ho1N2coWJc6RkS/dQRHPXb/ aNxDMGTLBmCUxg2ozQ34fkG4TApG8mR2p1xmVeAmg/1EyBGVfVhZn6/caUI/jIIoR9+Z6hw3O6U+ saPpkizSZUkxu1KkqFomDyeW3sh36P4R8t1U+9fhQLmfj+2egGYXZThiZAZeSCLUo7l2ZxXEMOQv q0pQvQWpPhnRjkoRGFCiHsnb0rI2j0kW8VdzbMqNXUXAgc5jQvucDY8HNFagW6ZuBqiyqN9S5Wmk +/fb/TfgbA4kCdflBUUbSgPVhrZAo04e9vOu703x1FPc6efFXW6Gha8Li8vVzMyLCRrVisYgcuyz zF0PXph0PERHCRcoE/j0PikmjUj0MYm1HnW83+cxm+IoZx3gHyz5Gew17ymnUMcAUzY0rlBt6wv5 y37RCl+ICHf8Gbp/nk4KfaFn2YI+DK70aaTV1ykRCjZYNCdJ8PsQ/igoicVTWpRy127r+YKzK2vH EsI8T/DYAO1p8ZmPzROEeaqUg1B97Ti9O4mb7A4rjWKREjzODpAx9nKX1cQ8OofuHHvQni0sxctY P4UsKV/C4ABoCnWWN/ubPDGIi7ibU8BH/+4LPcnEZFWyf/Moo8ZfNQKVgFLl/OQs1H0mir/y9adg hgi91zJuixM/dBrVGowtQ9G+RworRyRtcg== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block NtlIsBe5F9YzubYpAO/fSHeixgvLpg9p8gQFt/OQ15TQB26mSjNJ0nmRIQnTCB/s2nsvfRmBFgmE V/a8QL/UhQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block C5w101+m7inas2AWe/NPg4QE+RBDCesyQduQAvz/L5wqqLNFs6DOfYs3u04Rf6J8bnrfQVfLGv50 aAo9/0fzG7ocNM66/XcGmQX/W2wGLGg/rBHUGneHRV0nY4IzS7tQjJ06mtET53iAA/TKih/UThdt GXwkGKi043FBaqrG3UA= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block IrdoVQhIm0l0p2BFrzVlIqs5lZTffcI0vENlEyyhHSmb3Ki5dc2KC5Yzwuiit0EhMoKvrwVsCT1e JG3s3gV2r2/l5zOQMII+ixUWp1c/Uq5V9qTYpU+ufpE3a4r6df50e6pI/cAkjcrSs837plaBPVlk /tcSgs23L4TUhDW4eK7v/52TjfcFp/WkKKL0xU8akAjcp0N84gn0ChRLBAKFyfD0tzO7ozU/KC3L 68q/O4IKveoL94MPKmIFXifXqfQ7ZS/ZbtDCT8DGZJmqPZ9KTa6KuxM7pS7msaAkWbLpMn58bUs8 YVnOV0e/4LQmnCvcIvSC0ZprxJIvoPff5JQv3A== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block vOFSsmedqKgCVrikRzVIvd39H04bL+XSenLDYl/O7O35bROY1V3U6ifAfqn3uYB3oG0Tia1KkSPu GG379cevPbfzRmQ9q9v5EX60Rok/MkIS4zcjVJ2h2p/dPOAElJBN5omznhkPYV2hHS2MnsQ9+lPM SWxdCQNqGC8gOFl0c2I= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block dQFarBlt3CeoQ3dbYVIOw+0PGMJ1QXimw9a57ecsfSTOk8mKg6vGygDi/cYDy7ysZRLpn1jlzIp3 wBhK2IYMJ1etMkKrUuuDuJUZOt7YNXA5J0U+8XErFPszzuN86ugNSWudAxliJOqjo8QbTuE6o724 JZmrnGXN46xEKsuGdEhx4o0X5ZCFnRHna/fq6r4GGCP96fT1MqE0RPf/w6Wv3cTCGlT9pYvQfq16 TaGvjQO2HCB7ltdvI13J25Ngrmbrh9h8GWXafeSpbpEkAg7ZnGEcE9TsIKNy+IkenJgsoSE2PCgy XBwyMCUA3OadTEC0Op8FWzR8ElrWecxpER7DZQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 71104) `protect data_block e8K6d76gaNxync54wiEjlhBPVhybFHTwE8p5Q8mErFwZNul5RrRCKxEPr9uJc20A5k+c+XaN5hKg PZvSV6ba+nwGwEIqqdKGDfJO9ZAxLdl6bjFP/vHgGXeO5j0HnkThV0E4bq7wvYnkoME/RQ5aRGe2 jKO7+btCvvnzphm9rei9WRISibwoPMK+Ef59TXVpE0/Z640aApAVZgZuNTTkw/nRqRFlu5otGdF5 PVWSUZV45AwEuNtB0033tOs7rA1os1L+xZHM/Spdj2jph4dtkNkVeKNwPdfkMik2Wnlxcrvf2odu 9EhLq9mQtNSq5Wj33PnrsV6MxEORxv79jyPknIUaabBTZ9LdXgA7hSbriM41F48NVcOwmL07vVit /b+OmBnFTu8ptmgxC6H92ndnw09TrV0En8dkWUtwiXtFz86QOpHw21z5gzIcqvoqj3YA9syR1Evq VoBxye+GKFFqHCI2ZqwuEMnq6FR+fTNtL7k4Yw/pvkClTcHgD4nAqqAAJfbYe2QTgGIqgaNliNkr sO2jlz0fm4dUwX0mvyXCN2GuZ1U6t4p6VEpaTGdsXwkOq7Q+7j4TXRjoqDW6Mg0lr/Gy0244x2qc DqsAxpj4Ai4pkYIWMi8Tdr2jc7qeUfenbCXOwmL9aWu3QAk9UX3NrLVm/D+pmPbD+9m4dIRMXg5u ArU43JokZhKkg+bEuP5yX1giJJ/rWmNMgB4LZ+HQWJxhOiTW7Fi8z3cDPqYUmnXFdO1J1Ik/Ft0z GSOQd9mcMnzNC8BcjyfqomsnBtCa6ZSd+ijFZ3x6DaOarIltLtUBdIi5DK+RgyzQTUNBdBTbAqxz TCpx3/W3IXtw9ESbtMV/i0VRuNoZbb1GWCF663X9hS3P5dhYIDEMqy46vuwkObnbZGr4iOG/XTDc wsGQmlV/xinjNbDTDJ0C6uM5hVJT83fDuqrjiQCttxx96zHD7r6ajxeAkvWgj737+iXIOHfiYy02 FYZ0rgzAQxxOEbyVhblHX39difSrJz+L3wGcNhhw5a60Ec8rYBzJvmzlJSnPq5mjt2ODSxXICLQ0 sQ1H0NEypIqKQkMtHZcgg027XfPR1k71+Bz+M3giHhSuLAp7S8oqxEPsqsOM/PocZZrWPmDRPtCW 0+yN/PG1p86nXMvZan2yGUa2k5vQLKmeLJ6gOJ6aP3ehpsVIHMaduV/lk9tfP1uLgCPwBHImKdfC 8guvmfCozE/ztPuM2gYTaRXJPZt8Sc1z3ltG7JsA6+hsCXIHIWEIpFA2yUtOERLUaRmQhwyUmRhL ICQaSwAHIaf6mPj+EvO7oOg0YxkMWv+TdGheG9+pEv2lrNPthYsiPstUsBGIxEAbven+fCvIf9cQ OpNvCK6lv9+hNBTrd1evWQ7al8sWJD+Yx3KL4RZTQJi0nTu4b+n0CFpvhcB1/p9kODArftLvs+T1 WROLZO9UAe5u4thUlVgoQdXQaGETPGgOKl9w0/J+AfebmNezRQf8K/h5OZUA+tepRhxiQiuZAO6E C93RKjxf9VYmoGaKnUuf+hsSBgNoAiyZtL3d6yWF8Bozx6tey38tYsYK8y1bP2WCNTlGcPMeEWNH MJp6qOn6E39MNckkXQKx64aZrpFHrXVAAOAqP+gMAxqU8VwFrkNsO3AYcrkVUVDEfi6pA8L9t71Y ttVvo+tlnLPdSwBAeUDToPj8T2obxmFCg3ldQRiSxm59EI+BNtn8VphufKecEw7iYXucoSSlQuAN sabVMUMmxemKCHAlibMdwyh9bgGHQ/0nEYnv+tmgbAu8bFof9ZP6fZbW/yk6GqJcJTcAD5Jkx7VY joD6q/SzRKFO5ROORvf2vtRQy8ht3BMnbELKSgx2tYNFBIyqcE5q5DoEBSdMZI5uKregs6clhHWr 6i2EwR3CeyZS27+nParazTNU9P3JatcPNSfp+4aM1Tc13+d6wQbxr7fuHVscY8k8tfd43zPAil2Y S/GGxCAexkoDSdK4+76cnRR94VTV2MNWvIP2PycScu4E8CEjPPoV5NeIx/yDcNseYkcTwjhs+oOS 8y8e+EVODRW4TSKo/8mMBIfKInzYp+rzQBv/S2PYM+L4AzE0LYZr+zLXJ2kMKlR6pookWHK42T1n eDS5qOjHockvUWx/nKo7rTqRv4jhZRGTWq3/g90cFBoGdM8oaKJPkhvb1SMFb8iSMvtO02GhRcZX Pv3u+GJONneNdWYd+6bGpcmlNNW3RNKXl+KT+RnAQNp8AMY4miOODcSo437ukO5IeWK4OAUKfvXi n4thJmaVkOUPc2zmfsFafvT7fy7r5G8oeEEWgn++kmy5e56rKAZ2tuhe45r9Y8IA8Bfcs0CiLFjm wgxO0xXn2vzzQs+K2vqSJ2HispbaTFwjP1uPJ4kLEOUQrDXe+pNZDH34W1tjlA9xdbuFRxSKjGPG kswjmGiKGKMOJo6JZW8yUqnT+IvKBGGP1CBrQPwFuQR9eGzmx+QbNhbHts9MLfnGfyLLxFAhItvE LBHERqihBhXBWeozUdAU7BmIB69FYt8ezEkKL/sim/8mE6bwI8uEHjJgaPB/UbBwa9tmuosTm16P kS/L8KSpJ1sXsANir6AbR/g2Mp4tWM406EleKoKY6IqypbLncKiW3T5MdxC0YJdit7Dwx1CNND8h wCWkNexv61ZJAzP/bQ145v4zoHs2lsT/O/Decd1fqsLuAwNf+ikQpZWxSz6tyIam4bMTb7mbasPg DWiXX3CGEVNHxf+Pp3/b39tM/kjdIZTYFkOjWe9Mbc2vpRlExAAsYP9Z4EI3+XGX6YHga3aQ511b PIz/6t0XCyii7Dvv4C9MVeqtMmEFZCjVtiIy8yZX/uloLWumIVYyrclGVRpKvjI//zZBzKJxS2do hqfxMwNTC4jzIUfnxWP3GVUaSW63rdx0MkH5FiZZbCU8YKsDhbMp1N0B7tJL2NdvuS6eYvm8sD0J Q8ctnyqtDVJYRahxG81HOXRC5jiIqTtFlPJ+STu/ZXQEPO1A/jGoGtpcQbs/8/ur6L0L7quvHf06 XO4pJh/AkJeyOPStpmb9nFNztLwUezEqmMK1HdBO3BPkvU8PKDxWCna1os9eNJAF95o/FfikxnT/ ZvJMmSdMqd4WM4/WRs17gjvpnaRYrT/UrtH702GNd/pivTLnxSI1+SdyDJP5kvYFSVEYQaS/kwRV Nwb+RQswY2bY8jPYTKQhLPLKYX3mBbd7PXCjaXmarJry0zx6MsSHnbECtOIgIDRWhHkDREBSiKkH 4YCtw06ftZx7sEZE+G5kGopg50Ng0qMYJ4CU35JBwJBNDYed/84YZ8wsZbM/Slubqo22URcsj+nL ALJcaXVNQ/yw8K59tf80yponIXRbIz0sv/tHWSbw5fK8p63Wtwov8zt6MBG3m2q6F5VNkTBTu9Tr IeY/NWMO9j51gtcMSXu6CxguL3RuxeqpRA0o9rolLzhgRM1U7HPjPc8WTP6kOVWeuEJMbXuhw18u TGSCRWmttkGgdLY/AkQxExGTmg++nUJeECKXE7zfsi0Zxn1JVrln9TrtoOrK9IyRvsDntk3f5YAM Crd/LuKIwo33eTJ4uBoWbj7KJGgXoqn0d+8ntN9oBwXF8G3uKZHVnFhowQlaZZ7OM6udPM8274xs 2MfDCV/e7FWl+54vfEiOI+gxH+DEKDGCYfTG5RX1PFlCkivrBc6kd+DOFGKLFP1VwjMFr+9XuTRC HjCuSP9malPLjYy1yj2c5+Bd1ZQPTf/hDHV9xd44lh6AXHqgO8DcJcyjm+OzGOdlU25Wm0tB9Tlf 13B9eUZH+fqh3qH/wg0v6Pi9ob4U4kYYACn1Wo63MljUDb1Out05ZzUEJWsWY+8wuZ9XRHm51b2p 9NwEjtSNkZ0wSlIdkoOdtCZ8HAyo6gBuh5FochFtr4RGxQAgAX+Gy3nyXENBUiYANggpBjj2+lMT sMUvUmV8PdsI1YZ50u0EEzTZHQycLAGm+2qn7oOUHXAbCEnDC2H9HwnN1iwTRxSUZcAntqTpNGGS AdWl3ykNGrtYCpdJ4K/CaNq+VwjocB3F72DsDITAqpeItSSJvpq09Ug7u2RH38BpQq7vfcn83NPs /k0Th/tGD3JnaEWo5oTu5mk5TjFp/PG+kDDV1WsHGUq081WF9BqwPTTPEb6Joobskis/bOse4fsw i7dm1d/ZvCwrm6mRqGD8GB7vVjwj5FSGxa5kMi5Rn+Br+jpwD/LF5fTwiJGs3feFmT27xAPzHM8+ SBxnGnCiZUsNyp1J4Djil9mHwt2AqTaLUbNT4+56TRCqxPwNNYQQfnV2/gmdeE3xK26/vt/rPT7+ MC4BNXHTjV5lzzlIxiMQMR4qqPHdXMy0YiN/DGoySc6ikls4SL1DfeyX3TKWkQUOrrL78Lo5ENwR HqV59zdhhF4n8ASsGU9LukusKWMdSE0PlOPP7Gyc0izKQ2kONG6BuB9GuKoEnenYeA4ocTcl506a YYDhiXQqrCBrGqRQoF6ZWsEG6yJ7nxeTySyspAPNArj/Po/497uGhf1OiZdI35VTAkH0+50ly66g rw6bx2DnRsNeVLsNvVguDGMQTxFNQ7OEzmx4gSlczyRUiuIIfHsoJFxuAqTrLYJpFDo7+0prf0qR 2S+kayb8uAicRoBoGAAZVSkveJaJYKNpDQ6pFrfaBSzArFK+8RGk72pqN5pRd4+IwUMMzetVXUBz nIbY48SJlHbmXL9gd/Fbb6taTCMIWrQzm38B4Wtl0Bm3iqlLCN2phJTjWgtMn/CW9PYcPxGhwRl+ 6NXzavbtNczdt/FVlLQ/jGrNj+aU4F4qbloToMPaNDFr18wUgEqYzQEejAFIQQ0Vw7SW6O3IG6TQ t3NqLyEmZ8sjGoqIramLZptWEn3YoW7J5DNGjs6IAL20qrishFIJ7rpEj3mPLKMSBUVFymOFRXw1 6yD4MGKbiQO7VS3zodaYUD+j8bQMXpuOmBo136vV4v9Bak343+tr9ExuDJVgkhOKp/MNb/kvj8uL oGE4iB3GmPqCoNklcRBM3rkYewltKtiVINtTYY3Ytp7mAiW7CtmCqC3fkCM5Z9slM0AIDo4R8oN2 iDCFByMo6aNUXhPOyyEpM4UycDQskamyXMIsA9F6Vv5+zG2O5Ojq9008oxmLmu03Aqu86vaLAI9e h6Y+azPHaqMDQEJDUFlBVZ0rBDskdKXdhpRes3B7H52LnFxFknkjsWfhPOxLqDWsdWVeZibEdK3L SH+ReZve8GnkGwuZL6Xe06oJdChkVz4yx6H7j88banXD326R2A6mjq089UHiA3oulp9ThpZuKJoq 7N5VGw4vdPwUWaMHLV/PMkH+JEi8W138/vLHwngfk4TCXFZ3BrhZiLFN2CW+LsbGv7P7W9+ditD1 jrRLqSrztX5mVLq8ajolk/zSeVix4Hq7DUgCRuVPlxMeN7ZidLNpdiyIM2pOXj95a/JpZh1lBEvO eoEO73SzVG2oyYAT7APGZRZo1X4IoH/YRRLGOF8jVP+5ZPpIOEP+gknVziyH03T0a/x4Lf1DCu5T +2BczP/uYsqJXmOgvNOcL3gCFJpi6H/3N6M/1qvYR22+sPbOerjO40dyYHYaovHmTu+KwJ6c2Okv BdxT0KW+A7ymXWF9dUpocw8ABy58uWRdvPGWUUZP7O11UmTqbNH0UPAonad/lkqKZbm3wBacMbDl X0gcGow0OvRq5oT160UqY2fijZZ/rH1q85/A8lXHKMOgOWFsgmf+uI+ALsVJbHxJewqoTnTDD7PV cuDJkn5lAcktLB7BQzNMWBKvlBT6Wunct/h56DjXbDthO3A4NklfFcGFIOLvN7FVQbkJ1Iy11CAX kNlzID8dhcKijnEeFB0Pjn7yrQRvZDQkFGpVdmMwF9JDWjPWUW/l5YhvyaUd4QRSpAtB/Zlm1ilu js+9MWebHvyLR2W1PreTD0VJl20IEQN6F87/68Q+17AyOssfIb+EExcdHHcPlBceGyqHDrv3Kok7 EUw7M1a2U4SnUKxAMEpsbZl1n1b37mXVIlp3o/7s3PfCdSVx31ygPX2RHzPIihEpHBMIuhL/rJFw I5VvBDUrr9lfw/tiX2CcqLu9GiOSgpXN1pFKhstIwQ2vLvAqP/hq1s5pyaX//2SV6vtkBv5ImpBC 25RBMZc+32djzE0KYwHJpkDx5iCnYZxquh9/RjXytrcPa0l++ISavWlzJAFsOQOhCF2TDAZYeLnB 4RQBqV8f4g8oSmHAT/CeNL2K8W0C01olDITYL1FP4LMy8WNT8KhQtEv4Z8621WX9rsqMHWNlIere nwM74boWp4wKyDyzt8iB7KDojECqLvmyPAuUVg4Pgbed7NsU7FOVxxmQ+r8Ffi+L77LwVd6d2nF2 8fokwG1ZSUAlpGYoz/AhAbtYumIR/zRBgvCh20Vad1cfcSvKBvQcURcDuinptnTfLcHxWSc8U6KP A7R2JB6hubxajDHovn/VDBQiqPCcPWCp+MKV3J3b15wDs1PtxUWdMrYARebonVDUfKEph60xzG1V aKSm6lA/6IpOrWI64ItGMIq5zyT3AIvIqpCyp8i1b67S3/swC3rODS6bmoeT3MY4d5TiW5wyku0v fHlVITUIe4rtu4NTM6wZHxDik/ftBbV/HaxqzHhfZ0YkoFQGdjIEKTghv49gvD/JNnst6WiehDbJ ZLLj4LNLw1CGgY4B7K8fnbUo0ARg7346nztAwqnL74No50yfqXAa+yDazhYQRcTBIWqfvpxCzYkj C9rRj01h3Nq/Z0eeumVsMZtVbcLIfeMl2stGDQkxoKA4L8qBNWsTPGlDd1vEw+JCLwtjvaabOb+7 PlaaKbMgVmM55AEVACtsd27afEgySL70nQbwgL8YOHxCpbFSOAH1dK1cOB+qt+C7IbDBMC585gqS Z93kvxr2kWm/btx9o9tdsJq+XDt02mTzjZdHFRlapLTahznT/JMLqOMv2MwtTGsBmTjUb/OHReJ7 j+HZv8x+PVddWXh0AtD5aGnSjjXE8BtcC/BCLR5eMXF4piZfXmJtFVjqSi/FSpP337PDdstjJsyP ZRJHSxLeG0Q1pmDV3tbtFozaKIgV31AAkKObn1UzttJWLZYY8QdQb7VRvkRSAxkORPOGzy5qr2+6 DS7JdpJo8B1J4R5UEnwaDxu/F9dH4eUVgYup/CyBzqj5+RBW3lUgUdBGtq016+j3+CapXZpjghes 66X6sjkKdsvCqBUqogCUJdu2cYzcwU8HD45v0HKcwUatgxtV7r1VzX7En11/pBLjqLA/BRM4gweZ IW/gddPKHV6Sky+YikaqCbOLWP+QG6fbD+bYxoPA+0g8b2hp9xjV7XgB2BC7XoKf0gDhDomlnK/F rUwzPLuEw6YITZ8G8TDqaSg7e2V5T2rLxVp4v4THCUHTM6egGM8Vjfi3i6n+SszjVCL55cfaRgJT Sk2PSZz2IykRGcKr/6stnkOOiSwZFwXZWcMYODblLlIXu82s7MqpqC5H1jyqKs8vq06I/FfvDqsz 7TLgv5P11Kn3qrlnNPulgqpzoGT4Waa16JEQ/Uc2+b9gCGp5DQQ63shHNE2PYZ7+nY6M1V0RyRgy Pwy7C85py/eIsOnjCIjmPPwnA3lFvzafxbHZOTIFf2yWNSYBVG13E9cAp+sfz2bud4JkkLPo0Eaw 8RFB7khf5EhLdP7/mTf8mf06ci2T4zI9dj88YatftaLRuBm9M4CMZbGUGx2qiCP34MarIsMAyH3V WZyE5muwlQ3E8PiBBbMzLw0t38axob069Oc3jow5RuuTEtHIEvOf42dSGZxQzw7RBPLJCj6V6ztQ tY5AkPNjUutj6TYYmmm+IL3j+24YvnbEsmKyDsTK7ZMWHIbev71qWohcToDnjRpEIjTbZq6jq1Fi IakQ2ImFIu88MsmhiEDhfXxKZKifeKPZaYcED1Z+wvDj5gzoi7lUaGKC4PMHvDwBrGVxjHYW6W0g SmWWgWaaGQbN2aQMsdfn81oSWrUn9Cegjkn/605PCcEjVMGS35X6yFL1/dXveMLeKYq2EBu3PcBL I1rTZrQ3oP/FIiKJFvFtthzf/uX55etoh9mWcTDHFQeQh6uMHjAoikpc6jTxEA46q/YQm1FTOaez 6OnUx7LudtA21M4C3HNX0fCsi1ppl7pXkDu0HxfkCNetNZ39wJ1AaMmjj+O/8Je6vzUnXrMBTeFa 66ZDxuACIS3eh6Sc6A9v/cuPbSz+8wgcK8fzKLshheqhHhJza5bkGofK7c/Qvy59VSeoyU9XitFy t5czDYPFEiOqxu3gkoKR9lRo2SJvYTJbAM1tM07nhqmKrQwhWnst3sW+KqaimxlCLQdD09fPEY/C 31pFYDB7W3VkGLHYDaMLtHZvIOmfHrT2EbIcCo5YVZMNhKEL5E6KAEuEcDd39u5pB3Wjj8/3/4E4 8ILk7sklU2oUBhsZFG1B87uO7PSOF5ajwIzNkuHPekVlSCm3GEhzuTunnJT/l1nxy1KoAqvs4jzp RnPYYTNvnswLPm2/JveQTtJzq2ifRPNPbqG7iL2dA3H8gwVwCh+5AJ6JTe8EL2mHMfJwM3MoVKTI TGx822eMcpcFWFQv2dmMcOjEMlbda5MQEB0zAnPxx1Ny1qb5K+/lZHFEycG0iopTGTVYufY0Hf9O oLzZ/5ApL10ab+IbWh2rAPHCDqUo3UstJF93IRGAP4qXtnYGMTUz0YfZdx/cnpq+ZLJfsjyPV1eT uu1EXOsFaaCNEUrzGI5UkBDQxdwEb9tElVHE7MuyG9CgnscxLDESiXHUsYqna/UYem3/aAJQLzku Qi0t82PlinnahVfrTMIwrIATOaaxqGFLKDVH4xnFPnXmhhrIxwXKK3a+1nZx4Zw2QGF2O8t6lNM5 BknwCVxrubZGXM79vC1pAuPiRLb/gqofm6Q8Fhr+ilGkGKqUXsPDSTqxqhqeoroZqMOQC1C9Z5UG 6p9XYiXweVNz9QNnU1V+X7hrKEviFnaO0Zvtbug1EQqbHa8o0KDGdjz1Q8CV+PwTOoN+PUUu28uU 1rvZ4a4wZFf1PIISXfUUPUkJxrFSCqT/jZp48t9v9Mjs+/Bq3XHQ172bv4sgQIXLsT3i5hsHIfMw xj2wKVG8EVglvKfs+5Umy3vSuli/lxCztPoJwOxQJuxp82FqBvnWmLWI7T+j4EbeDLhIwFZEjUWS 0CKUSkwuZOTQ4Gnqn2W2OsK20nn6Sdi9ZVftF1xMihC87KgcpVRNoc4qkpbOVVc98f9OM8iIz7GA 5zpUCeZ6lmvI5MThNgZQFOu7McEivwqDy8Nif3Wn74TWIdIks3NE2AKG1RWdCQ7zWkrmGUm/4P+f aXYwa20dp+Guz2p5HFbF1M809wGEMjo6h1ecIic+WLxBVdYYvr9hIWVAXvIDjqVp2KE79OwCt/7m 4Y1M8g1a7XrlGzOc52UUx1Um0Pq1uk6YneWBYdCrgCIyQHVG8vwMrq7Dsyx+1X2fcm2qZZmizqSU FZXm2SSgnFxqnZTzJzwkmp0yOgxQv/1DF4PTDGHwpp0KcmOPszWrI0NVJKcz3giuB49sL8iduBpw pjlDw5sY7CtS4priCZbXRmWOg4Nz4prP1bGXhTin07rEesm15vw9gW7r5wZ3fbXsorDXUfH279eg UVwjUCxLqAX4wVcG1QT+bAksQTWJlwiZKLtmr0nTxi42kKRGeTnquNb2TkWD2hNTbbtJSmHVmWlM 4Q2ebFngYLgEiMuvOvEGOssurk1azsZsAhLw7cnt0NiasGqY8mDHyMzzUriWDbr0kSkbRx3lP/9X HN70tDbHsZ7Q6Z3eo3LV4W+4ofsS+NVmr0TJbSWUZOPkOxXEZWg1zF3LtYeeKjAlvFFwiY4OXgop XJIMytwbXhsb6fHSNeWLIhRSUcQUguTe0eBgsTecz4KTHjAGPoT/WLs6sZXMxISdO3Ird7bT9omf UYuh6pJ+M8vgzR8sKKzfN76ejY9rGmyM4IcAVif+AKOxN0VpVOGdN4gysdFcoRTpHS6JKaM1KUas utB4k24iAxGhP33YqVXUGc/Fk0vgvOtWuTd7X+SQAYw7iRAuIZOeo7s3JtZh6EYRqK6yJf8ilpR8 qHbT4tMWxPCDSh4FPH9/7W/yzzOiro/mlIwoIaCjAIPagpU6qJJzQ2Qby59tBOPb3Gsp7H/Hfau9 NDPv6rz7dEBvWwvZhdYXl0nQGj3T1kTbk2nf1OTy5BwOJbKbRC2tH+8iy9qyq0y0ljJvZ/pnavQo Nvqd76uB+BDrV8upBeo7VlOkcYaDycca3pXlXaW8TwcrJdzCaKhGDiRQkGMFsQHj4nrUA/0wu8Fl M41jcsGB3uViH+yPq0YnwrhWmMpD0I+7EjOTVKlsPh1IaLOl5dHhBTGhOurAgsgdY5Pak2kM9W3J o8ahK+J7gjJgkZ5JKJyCSoF+hfeSHijUnF/EfFle8n/rSMePfsnUdZmCO7+tV2RcEukXc8zaNgk6 ewfRaX462I6aFFduXRl2adjeGvYWjQg6pNmlNvDtdilCJP8PKpRozXR+/TSa62T9ZJ2ubLuXwdHe 2gICqIwNcU78+xapmuQTWF4uwPCZOZxLpklr1sL9HPE1fmV90LcBJRl+GyfbQCIuCieEWLnbwzog XdyzlBqlxDSE1NeLOf9Sk/NVvcOF/nFFOyTpomVP5UAYhpzW+sRUIP5OVV5YDkW023GEJoqps7Xu HKZRLDvzZ2tyI+CZWPauuyGzNJy7hB2XVns+4az1qPLH26aNtz8js6gg5TOEil6zE1PBAD/zCJAf r22XR36Md/H04uGAosKoTVXZwNQ6+dET+LDOBupD5wL73GwcKdNBSCABT7br3i/z2tfyqOoMmayP dnNhoYUEd5Jn5Jvz+bMHH2iwcHH0/s7gJSif18TVEshd9TePho0jXnvl1rsoSDpjwtGBUSWxAlY7 CZswcSpOeXvXgK28blSvNd7BoR7zeCiZyF3psQ3mL7f0U6kEPqjTCl6I+cv7cCQj17GYrdnZOSIW 2a5Vqct9H6eXXVu0WAwaoHQYujnLeIGLEeddj2EdEVpBdjNC+9zmEN1Gx5wQB+0WbKIdzF8XhRsx konDY0gYoeRRZkBozE+zCnx+l7jNQbRrU8VnxEqO3YzKC+B4OvG/hYHNv6vRO6QI75VFN3Z9IPNI LMGNpeq7MA7UtvjTWtpS0lBvjRUItx1DoR0R/Py8dYETrZnosK8ii419KdxX87CU3X6eYkiKof4J PKsJa+IoTGogJaASeKZJO/9LiIBv3CHD5qffzZs2d7IP2vadmwo7kPFvizLFLdmLj2aLXPewLFWB oNM+vt1+9mhBhIbksYdH7EA9U/hiACFrc97BM4JqnIVZwk1Jwzqm0To5c9koB47ObZ+yfFo5GZ8B 4B95+eG7QDfLanpU7wMKmLZ/rlX1fK3SXmfPOjnwBqqJ2MZqnjarJTBQ3d6fm0NNlzzeZ2DM6avC eI+A17K2DLs///g+qHK6InhlNZCIEr+W8x2OsRPxSweO83YA0zSNwLK8SFHk1rd7vo0gcCyC/0N6 uGme+6zOFDvH0xSrHF8D+HDSM+41i9Bd7+1EWvwGMs5tnMJPYVVfpo7uPIXHO6AOlw0vH4zY/lMk DZ3etMm3SH7laIJqpIq7KoSNTfClQ2NG3wiNxpuhRVuCKw50jhh84XeeAuBeKZLNFKsbJPdMMRJA K0iYggkitkdM8JxNa1bRlJuik5lL1Hldi/F3aAlxtnRlKBnQtav/lzcLV6xYqhx9D6zzXeMbt7Uk lpyrm0f/40TsL4R+3F2XbxrGjrU+HughjFnRTeqYjInhhxYXOY/GC6ckpFq5UqwzBjHXbnHmfsDq vK4bjXyoGdKw8WDYI7rmsf/GOoEXrNA5Rev3MLUc4K8hHZT74B8GSxW1wlX/O0RuoJMOIWWw2+Wc 8U16l2Joq5tYyLyyChOzPbCxAwaHcOnIkqRKIHPu1IKxp9VN9PxJnPsgLJYyz33W/TIXPQJ6X27z mxRACZkibLs9FyZog00Phm3ZWCDh8EXbhml9AaxdkOgmmw8rr4XR3iIJUK9JMYDNi6Qwg3vwwxZ9 rnG8elnzM32U2Ibc753/5NbOzgXtWuR4QwjKTKcbzka343PHwa+L0sfEiqJehlG4xBWPZATezApM TNeCAhOpvOfOHbrWtcV445hdv5gyhCOIJEb6KVB6xR/gjuvJBr/1KgxEFnxHD1Uu3AsEthCrVKA2 gWVXzvKIVTkGK57thNKTH1YUZg3LitKHSM34qoRzFTAktyy4azSUB99zG66tTXrr/LwNr0guM8qI s0s3D+VP5lvnAd9vSoEZPvov1H70lSxADn8BDpLYpD4q7kJrnaIlF0XSlk+XSdUy50RHiXtB3GvI Z1bUQ00fJ+mvRAQz/twSPwVLMDlLt+89oo6gRdqwGoB04fiLKibo79OpQcv8hlN77jK5DcT3cRY9 AtuexznVCU5QTGwQmPBH9KtCqe9g3QpXydlo3tkKU85QgOudd/wJ6absxeTY1taX3g9Ix8RjTYCO BleqU0AmTIaZFgXQWiEOl4ry+UdeX9BmhVnDwz61g3K+VzWtFR5h/2vdNIyFYLbX8VWw6wV5IGuq FD6e6prXT3Hl4ngXBdPPQr6tXoNLLY9kF9nZ5dYxWTt4bIQaqsnXvZ2WQRqG6HvURV/6CHkAIjtN PweRlyyJKdRkxW4HhoIBlfXJSeftrknEFLWoUN33ed6O+YqyjiPA91WdeILoojuXWhydGqoVYUFm WR/YI/ot2y75snR42NinXxi2THjkB7EiCkBIFCpZ450qHrNSAbU58t+anV5PGG82iIZfmwN240l0 4TZKhCbRfVDNHG3usgF8/xaxknHPC8O6f6mWS7qcC9mzmqRXGLKBRvXLZj/mHxjYxkhnKHQFQHs1 2jdqFUMcYBKuYcq96hl3+MS2GPgOGauhImSlpgIlKQnad0/zisj7Nfd1vzAXMS4unxda1OL59Qcy sSrxklVFfJikpb0hMuP/vB4sq3GdYeH8nN5kGwWwj35Sc0fO7sISNWDSrbQim45bGqY9mR6btyWQ g0WdhvKPcymiWE2kOovIuJgfLWYW/OOl58XTbcqIbaq8F3k4d87RzoSo8f5QhbYOEoGfN5WOy/z5 TxZtd6dEIgEx3oOVUNz62zMWsIaxjuf9P95+s8OXQmaP9LOFPBkN/7Necrq+DV5sEtYQ3ZVQoIcz DOu6hr44yqi34RmJxdV6O222bDwzkKjgj5wSFegAknph3cKtg3TJgNXW5ASBM3xqRIqdtVkbEBE2 FtMYaHb1HWUd84NnrK6kOsiTXM/vrlSCg1ll5qNi1NzLrQwDKpfDwd6oYZ5g59tBjG6onfsE5M4q M4ZuDOZHWVCSigR2S83J2OmQUg7jP9gSvKJgnxSlycZ0L1saSF/bF9cGrAg4dgmrrcYG7Cv2NIGU jUt31cnpKDQam9Vb12x2k5t1yNMCuF8VvA8xwu4YsS8zaIW5xvWxcStORMe/ePxZXRXnhd5/uFJl kFOvuBzxfzvrWZtt5N1QgV2jO30JOEo4BcQ6Vw99CRaPT7L4FMbhQE3viOf+6CwFelVANCHt4eM0 bb6xNtLvdJNUc+K366A59ZZU/kEHk+Rk5MW7IohR84eJSpP1ENtF/UGDyFHBgRh6F6qzdvGKpkE9 qzzB45rFxcIOM4r7A4AzVqJPEglvzg6kB2ujSJIyMHoGv3ZDbAotT9yInD9s3e3ZHVhEQnq+Vvuy W5nEUTJLeT/aSG0yyxArFuS+Nn6mN9AvnFUuGZsqCL3E99f1K/epWBhDiujrV4zwF+ttyWRsPCQo 2PopPa09rOpRoRV9GnbHtQBEcgCkOVW8/zA4GI3Y5IObtMDr3WkRBqeY5z/sUysZf2A24szBSNE5 zu+adynOSzoM0UtAhEZ8FbeUlMBRSeMF1gq00roq1eKf2McxACiwH10uaVwuYzMQ856hm4sWdFPp wMXhrYbW141AxLZ7ETQBEOdxwBcqOowjwSK74xZcaFazGgHBuxQ5ATFsKwN/kn0fQbecc6zGiih2 uryKNWY6tOxy8Z7Rxru4XJFCn7Govfjy/qGqVSY+6EtWIqyhOmv/tVV3XiOFTS3HgOKsndO4ZExr +s6AwLjN1p9MC2obnkyF3oSfICqw7C4ZcXyWgOyAPm+doLM24FmF6hixAP/AieYM8lxoNdohXoaI 70jDvOXRVXEEh/eLKynvq5E0n2el3CdONH3pqIpR/dA2X3TiqzdfLSIVh+MjXrXX9/ruG0WOz1po JRF4XWs8XLZXmlOcUTLr8MmAmXKONR6ZIp8BlOfhqHWLqHcrYrB635GZ6n4Q6Aszkj6AZLd41E5/ NeIig6MKHkjqMqdnn4AtOwkXy8kPp6/cJXoLz8rpYHa8NqT5uUwZ4Rk6keI4x5wk+WBJRH5Uv2Ev A5JS06GVTgLeDW9uYSIRt9Im3eQ8VoWh8tfwp5HJBupsYQbBD15rtiy2mYXObxxZX2evI7/N6q+E JPmZGfGzzZem6QuORlZ6Pi3IY1Y4gBXOE5ftdvUC/gCt+sgz1uZcRqluh3UAJP/NXCcgxZUZOSuG YdTujXaPggeplA2IBe8hOfoQEwNYO6IUtTlWpJtQ7dJpuYEc6ygq4gzFp91lcouzhiyu+IAfofN2 j30vGI9qGC8sYlT0SP68JG9ObnhKp3ZrwIwdKd5Xucic2WiHaA8vIsI9RnrjfZ+cXYHzrhr9Qlnw 15a+bAJuXzVnZuy6f71QgqWqv6T8n/s02jGxegYYbYDqSjShxDxtGTq93ii2g+17oui3FLLNODZ8 jO4Jd/0w+wlgMjBdkdh9wQogfMoA9LieV0hOWKjrxTgDimN0RPwKtEIdSg8tlpPRU2WlwqDEDGTZ ebUzHMyGMn8e3jKU3iW/dXuwENraAHTcOoAMbrh5LyRCC5aWQBntpUDYYQ06UllWig2FRaI/BGVp 67J6YjxyVFtQkKWGSXz9sOIrBLF3Y/eLLLYbJ2oiPYASJ3jKIHarJdPIUHlGMz/W3HB6ea06/ffs CAsuzIMPfoOMYOcuWbul5R5GhyVgSXcn6Cijlf+fmCtQJW/NawPJ2jkNigpHYNbx7OvKJpsl/PIa eB5tMQ9hVFa7xmCtZb8mVnlxeSQpbaDdFdysgzueFn89ycFr9jHKUJ6jZinuk8yV0AES2JphJBBq AEAVSd41TVTyv//Kq9A+xA4dhisvKGe/sHZkkF50s+SVG1bmCoNdEpgPWn494aEU3G+soiIA1asL VjP/wkUD+H9GctDDSNjPGBSj4e4jjuxoPqO4UEo8TIn1DD6wCovFAY5ONXPhdxhrtSP4oak9OqUe FMU6Zrtafmbne2eJn/+T61f97E5mWV8PRr3UAQ0pZPKQsNRAQNPXrhf/3qd5LyaWZHEE0h2o/ucj HXbuO8C2+U/1vdSmhhC0d2/VqpHp4WvLQtnFBHA6SlQZ1W5qaZlRFv8MBhL7a93rGCvpoFKr5Uiv jMb30ZxJnZDlRSjkId6luZLEt+pXmT5ydgcfhmxGNJS5fiVpBpPQLd6MQuBzyAQw0U8l8TAFvCm8 WtA4uVwipklME1fz39PXvUduMAYTeZcvmY8P9SA8x6H9WVfq6XjC7M4zaoxhDhUk0ypgHRkU99QQ PwSf66MFOIbLmkDCGw5ZuH5w16i31zoAmQ4wb0x5TVE9JeFiEqQ0p/acTcHdOIuNfr4De4A+/Mde KZxNF3wPrYGVHDGcnKHdtdrCe9M5Tb9V+pZ7q7MEQ+wIZHe29prjpxrBjejmbjHb2RKaNWknJ520 Rxwfj1WqwLeZ1Fu2DkZimgfhgUWFbRcCFLrLmvGfbwPyHAFsSobD4pInynG8a1M60QhopDz0V7qr KxW4XNF1YAjLuZyCZ2bLxJO8lZl26rEZSqQcp5yYKSt0BXbQf0+mIokaYMfiKTKJoTPzEz2Beg/N CprmZ0Tg5LFUvMzxX2Ck9un2sWnPeuMM50+SwjYoyvyHdlV0128nEojSPPlm5oCeARTjfsnVv2zo OPUpD9y+anGPriZnlQB6TXC9eCZ1i9m4o/UL4CApjwIyABCXGeFvb/iYvh3QrFI1PbkXqNlMvO+r amsbSM7/6WaPAJIIz4qocLz2YKCr0kjSZ+U4EFqiD5DlaJ3msKT1k58vowUwibnuJTdWBuBcW2Vq xu4939VkkyHGPZ/OSxM592k+2T2MDYcdW2kGfbzrRWDUPjQuG1USovVnHBZkj/4oVrTc4SLlrCax j/LoU2GslKoqZ6aHVK2iOTC1iAQyoCG+8n2xpV/BAtS3OJtD8FBtOSDghrtzfA3u7aABEynX/9vq 4nMmoLPvxjjHo6N6qOc6GKmZz++9kVQEgC95QGnxq2kz67wUVgFnQNuxjfKs5+nCOua8xdUFBuW7 L3xLyinyGD+b5Ukx+ELHCSvZS3U3ZM/f0dDUJq1c0p8pQyQEoHZSS4pgh/L0tGuig9jB+GqNPlYH u3LyfHj1/BR9Jop6Z/EpgBVLGmWX/fK+LUP8BhrByG5U8bUY/rjVeDgt68vCaS8PEwTFYakqk8fW aI9nZJrrBW/BXc++yjvFOY9D/BEgKurNEArltdzibCRs8mivRIsMa1f2WR1Fvt9ZhRr8FDyr8Hcf ImPLKhdSaE+jrw7gY33+Gyy0pb9z9tKplXJbvPemWiSxlh3cqhFdDdJ7JWeg7Oqi8LXTDQ1XSHwd EK9OQXJtIOqqZP4Vagoe1+WMCa3iClAt1809B+Qqz/FK601XrTPvK3i1vMCl+3ZFSH5nCnN+AXot SiSB6SJRehOLJ08M0Ugg7e1TSHJRr63ItkidbqKEeiE4aLwouescMxoOsBAKLwqi6AoE70+vT3Ip MuUSyTvUQsAFBungqYg/Z4oGhSIHpllPBUyvBiNZvIrf1w74thXY6xboYB9RBlmQ1j9UAJS5Wq2K rqYLr5hkTvw8UgYx5HrUnbM8Bb2UNUOOwPL7TicQqXLAHTnWPu9cCy1yiIweFKkosHmqVGK4SVXP 40CPcP2R3JVkzkXmoylNIKgJ5N7VU1SFTgXaQad7eA8cbcc0WigcLIl+35sIumOa+zG8vyykKmDZ cJ/9cJHDcFRQUH6e35769Lj4qYM1v5oig5K5xJ/O+VUMGnhjskVUo2Pngd5AijtvQrPVzIwFnuaX fV3+L5v+Cpv/d5YbLSmAKwXAJBBhMy2t/BD3aazqQmwmH/kAbdtCUnh9fGlTtEVMOvRk460cSc2U RbZg6f3j61Gyac0fxXvl72tk1GQD5hZqShzunXyFPyrkz7XaLyAaCRQlIDGbpGqWaueloXYwiFes 2zIyPFOe6p0pdx2A6NUuZy4qwmntpL0ZyEvy4d9A4dzuCCPZrry2CJtKMwciTturJIKmp/b5+L7d alsBYoGop0W/PfF8+IlMrgsLiOfz3Kfs6Z96LJU33hB8HOUrRnbZBzkZNouAI61/P6S6uEnhNw7u e9hWjsENW+2tCkjMPBn3P7kJ3C6fMDE/j8IMDmCl0BwpJAnA4NB+Q85VDWbgiO89EmO7MSOPDsL6 A9b/cNg0UO2ERXQJFyN4X0Qti6XJLjcJXpncXsvoOCLL4FGkuqC+SvkK2XSpFI6KwdZdRzqp0y+Y 3DCHg+FtE43Lmba099JwJBDPJsHnKGAbE/DMu2tVug2a/6RvZU/2KgBItNTeJVHCgRIaCMZVwlVw x+xk5/SE77KRLUMTpb/a6/6sh4md9LQ9w2orkxUIrgiNR4XtodDfOA21VMnI9xugesUrIOWZtqDD JznKE3u4JE+KIP1Jf8OCfLlVGDRmemprJn8COptTkY+cgwoD8mK/V914rbNbjeLvXyqevfX1dUju 3VpLcmeb910avDVOCHoMmn/U77/7D853ij3TUZdIPCv7qdmL8tEA6ZVNhizCjKUcglZRfp3MDfFI jnH5XzhsQFxlkOEMsKgIaNoKvpwx+uTtR7pzBgWJLgfDuwWIeBAF7ZaoEw2m1LHsHZufTtZfFr7C +J2HWTS/ZwuQEG+VpkLWGzpdPK9SlwAPpcnEOc0nPfN5BsV7fAPZ1Ol2H4ri0p9zBakp8yZFh5En sNBsPdcrgcd3omj3JgQ6Al1ik25ieh/OhYqu2qmAolkBkZNVVlhdLqWvGniwvIPpzIzVdKKjTR2F Wi+FtRmXYB/dd7OiXXZDdsyW0qDG9NiR2IPIaWz9bTjJy4DCB2bJjMTB8bLsBH7nB+JOZy9mLPKm KKzCECl/dOlh/3XUHTL+ySciLOFTrdcVSvEfap27QTTfDYONIDq9WxUGEdVurJ/9qqn9mh9VM/Bq gO4bWaNaCBIz2QP0qZ17+STkIypdgv3mPR4yxm5u/LYYlQUmj2zAVMMahN5uTePln1fYg+4VfHiN BojSxNKHA9H2js5lxF5R15BHiQ46OJlfGEf288f20ixo9H+IIGOWO6IjImFlPzC1LO/Qk+1WurSI 9anEfcRPxu64PwKP51tL/sib2awfeeaQ464ohh2QL+5LVrLkqi07u3LeMHtauQWjJC0FHFBQlJfn Gdb0aRcoJUubdzfEDeSQLsfc0Du4XieEwFBXNgnZHYhgBraofIeslCUjtlf+yVfBLVLEGqQSj/+/ U9bk4f/BA7TdvqkZRdoQkHQk9sHbyuSjVuBt/rsTgjZqFIoXYe8iCZMpJulc7wHZnCUzniFfN6gT fe3p18J6opQArYF4OBDK8w5HqoLjnbwmQ+3rM21HatjADW1IZleyheziSIDDgv1RHxWcy6ZzqOSc V6bf+HpH124Yq0lEwtVoP2tGmWoHxkak7jYhxB4fGJPfQ4CEAUFCrOouR0SayRM3oPyjHb1/2b4U kjZTD/7d7sudJa9JPylLQwFCZBI2JDee6oGTLI2inNhW2dqFsUqXfsNuTW9N1/Ikv7JclHRjsX4s 0PV+90SaYwx3QK9IkU2FJJytpsTsd5C/Fe7SK5xyySsTbN4hiwU3XD+twa67O7iwKsn0xVN/HaSq gbk0JBDgw2DcT8/WzNnvyuYsSBgQdvfj6kfQylZh8YBjPm4ihqKyfzB6l8Dt72vatu18PXEj+IHi ey4bLJboyDirgIHXARGmPAErcTLvc9Jap7BY1/N+Kmw6fm558CoPWgceE1DmmHYfwFiWWjIyTgga P2lLwz1+GJAjt2sNXYTINMnrhAoX+nswMqBPY+trOkxzHXlucI0j5pJWj5u1jYFRpLV6OBkXkjNp KjiM+1upzOs5+AmhMaA+q9b31dL9db8DwugZDdVPsxAo558WkUcflFCKaa/me7aLRCfzz3RZ3mV6 Fmnh9bdq/5nH3DWcI9aLkwsuEHB9t9WE/Et7wDSlZyti4t8UNbMGVmMyKii4F9rZ56I1PNqoCq8E uLCc0OEFl+rH9ciJxvzSumGSVWbGxgIoPANPz6L3VXAh55EZ3WOuZ22X6EOwODAHa6qN/IKXibza q+bcE1L3XFTiGrGw7PFS28XVgT6nuP5Sx+2VzhJeJbkWvwFoJemZX4Pej2Ubxz9lOv7BuEnVSi7I 8VrX8CMbC+M92I5YeTxrLxEDNZDJFCbEHlRuJ4/cIHR35TqsSYWkXuEobl3nqEtgoQ18YzFezgTT ix0Lh0NHPMV18nBHB2WkCW1mXhxrKPayma1GV1l1dStvEUgUm9T5ow4OzxmO6xAx2NlF+nMSQJpX JTDd/Ber7srp99AU+LofAeUrcR/fIcnHAglSSwupRPSGZDXH9w75hkBv1x8VanQD4PX+hEtjZUA9 xz4YjCRbtIAfW+e0yWjI/pdpvVv81FQ5eQ67Bp+NQdDNxAxYGo1Jw0zoxqpJ++Bi237T2o/IXndA Bm9aCwPCnsSgCuoqB37U7Lt7SZeK05wcaYhdGrpnSHEXc549PwebxTlrfZ+osX22HF8W9cbNosJG +yGZVQeidlukUS2ruV+++XFDgUXVkZCYqwC9S1UvavLoSVsrVP0ROmYUEPfep/zTdfxtUkWmj0Xt iT9arIzBNU/t0zy8EYkpZoJtFrkVpDKnNUXxMnXpk61JnKmEJcsLLx7gMBSsFMXtFjt8TpxTgm4w rm+u7k+1Q4tjbjnxvzHPUJt57gRfUQsh3Ps/N/yCNYUTejGeOutUDOKgkl6eUYswO4YrC9Y3JKiJ R1IDdpei1J2n5LFlMR0SsBbfiA+0KjIqATzmm0aLJJVhD5O9+SO2FB1TMb6GnNlNrg8zfs+ltj26 8iNbS7E6AmCKKYDfm3/4xpbIOvU3U/ACvndw7VWGvofgSx5ksjyW5Njrb7gCGCpZivjF72wURINE pIHLzTvXPiGccXPfAkDR3XJaH1eery/VKsbzhA5uYPtn3u+h86qsMuZYltK8o8Yo8UvU+RiENOLK ZxyVP2kdmlio3kOMuGnuyY61mfM/fYqY4CuTdzdF56VOmkTdS/OIFT5u5S32RZHC5e8Nnd9Tfc3O xfKlX9iI70/LCajkIckiWlJaD672KRKrRKgHcOHFJuPocKcD3ipemwnnOOCuf+ND3NlwgYykLVmc FJ3Iv/u0/PyHF9Hk6A4D0gTuiBzzMnELivGjNHwpOowueAaHmaUPQic7QGpHT43ZiUMs6zP6a518 2/i4m0aErqseMeuOgQ4Bvtmd/LfJzcwKf3JLvp1lUMBEYb7RVV0LI9CFK+M552jml8K9P0eEFNpw f9wsYaj/X+y+yZYtRYh2UNYylLK7lqCdkMpGYeiZqXdlVN4mqCwAwDuci/9lWy7+0Skgs2FFplWN 13hVioi4EaQdNTUTb+YcvZBrcBoM060bAZgdPGCJlMHtUUi1uUyTrGYU37LOX9ZrHV5CEC5fb1H6 3FGDyYzxl7GKJNiDGT+p8ZHkztNPLYkVVjHBZFmSKieRAN7wmWhwc9UhrD/jdTOdb9X081BOo6wn 7yk/EFRLkqjkQwou6t5ZSRXc6QCucfrkQagl997DHkysgUI7LU32XloHerMhONie1NIP0IvPN1DY 9zHMIYdroUwqJMtNV68dPrOOY6LMdtK06K1uY9C9e3xMemJHNJanvYtnTkJUsEaHVASscGVUCFe2 1SqazKEzSF454hAANkSoaw16hAP7nqrZCIYgzbfMOG1Aum49d4GectYESZ4ZVbsQ7TMn+NqZKWyv 7ozNi/D74t79dlLvEXe/MOs7UxENFnnSTIzBzkAYTozx8kjemoYVeONSxVn7B3l/xqPdvG8PNlVB jXCRVKrwwsw96odGKdoEPUt2Idz85nGMm2BzdMW5BqjAy/GdQQAEFO5u061DBRUJGg1v6FYnbZre AUFsXKHPwMRBDfmxI+pu11P2ClyvnonxzyiYyiS+4jPK62sGcOhCjOBbjM5+SOt8V+pNPFftPUHl htjxJYIWUnUoh861ddhlew/XaMnVtk81upQRNBJVaZN8ZKgcM6opP1BicIAf28k2rLrktYtxz2hG b+mRVooqo0RtQLr7dOkb00NAxXDxeQyltIeRK+mSR4ZtPphJnRHy9ipBq2gNxoc+TbFdJ8VAXiQI rpwIwKzCBvmqDVtGwj0YOc8mf9pMSq9tF0CHdtXGQBNHY8lYW3uOEE7ZPGHPGzSN7A/kcvxIkWXG IGzcZahwmS3zmUfksrrWPJU27xNuNWfT9knff7s/KrOikQtKTIQGm3yCVJpHE4dK14HiqBgZlYHY XgQDTK6vOFlbsaqD6U6D7zhVl0ZNG1hozOBncdOs/ns94I0dNjqcmRwmYNNASSzhZgS6ogRF2elm 3D4TXyJZbaMji/7WOAEk1Q/erioiUOnB6kXi3VAs/oJO8EwLQRXuDysJKG0m7vvzji7j+dRjQFoa ezbDxKpY7xPLqEg458Mppw3k2a9mA70vnOj0LKm2bXbLlsFSElRhyu1FL8bOqeDk4/SLRbKNx1gL lW16vyFKtNKpEmQTMsj6DDkUAymrWeQO8rKAOed48ewJbatmxrS6X4+aQEmjPbOS4ULrKga/JKqm mvuvx5yA/NrZva+BmjURBmjvFx9xrVLK3gsPEBiRL8hnI4X3aGeI64EusfW6EydGtsJpa/ji3QPS +Hgxs+ZU4DVN6VLkn9MqL9us33mtcM5WDYlWzZn11ESiNdTnV1anCVd4kyJlhUSqthH7R5o3ozKy IPn5k7Fr1Du6i7PSyWrCgBn6qdT3ELBCu2+rhPEfvw+cHp7dl7s6ARBGhzs+eWugvk6TXAB1bpNG gUdh+HKeQXarikVnf7VDG3KOlUjRUcXzSQNJRjm/9w3xK+wHjKTkKYh0HDBncdugwRYIfzk5mAwO W/j09q6OcqvatJFv4A5Nmez4QNORUmbQTyHeOPTTe610gyhjn5uzVecarufPX6I6OfhrnVw03Rlm wYZSGW0H37MxXgSYBwXu3CxlqHjhTqBPHsX6BY7K76x78ncxzDWsg+35l+2MOGZbCO3FNqtmKJJb 1M4sQnWHNLAC8bLIgnBxqHphXGUf8Dlu9qBfoJYKnYPLUiEPKWxxWXA+QmeLvSoFpwfTSEi7qm5X Diia95qrzrjblKRBLn/1fd5jobXpEItcofzjni7AVzmUuOBNqni7nHx7n9v8ODoRvTRB7rLGUbTY 5hXZn909hKSrH7q9I6yB8+UNuZj8/l7n9IfCGGEcLkihIEC/4BewCx5yBX9EDFG3g0C/VoxM5GfK L5FVE2NGEaqHBMzyD7GAA3nfpNi4cB6rba/foGz4FrLERI+g5kn1rPnkqBhREG1qmUBJnyCNsz2b OVDVT2OC4UYoAv7b81j4ZvJgHYKLDVF8aSN6YvfIFMEtuDQafezQuFeYC1kkA2EP8VB4GD8C9A6a MHhMxffjXeSShbVNzns1rZcACwOvyRYEcT/1P6tXUljAE0AEIacoXAAytbqvh3PBg7rtLh+z/0lt zA4WhxNth6uYDu11n6K+HpEFl1ym1TG4DMo5X/JZyhpxqQKlyn/L9EMIr08oznIhTZoF3XvvJ+9M mb7Z+WU+VH85wlS/UkoNKyMhFB/0mGaCfJGQRq6JuPWsPMfXF4WduS7ugTXvl0yMegGH4Dr14Vv2 EOdbVzq9GM3nVHn77Y7KqmCdNCrxhSB8Lf9RJ+Se1GPOeptfSyG4vX5zrcjVkxnictaYCRhbzNwu ErvY1B+svyhS5aK9gfk5HjHpN2/tCpEa5IKYoPbpjeFGfV5/8xAzylq9Off3kRtZ9PQoawe/SkQh iVlwAU0rZ0nZr+7zC497bsTsC+rl8rYhBtC0dSYFHXt0n9EsRr0LEzoRbABVMHpBAE1SQ2xQ+7kr 7fIpU1A+Mlt7jjxav6lTNKDvOriKHWaLlkZKaE1/AKTakgeRUAVF94FqLVWZsTZTPbXHWIF5fuHm sJ7j9WnYoxg7z/A4yoVJhnz3U/aPbt8RYlDi7hFme+t1IwoBNIqKZMC/1F6fsB4a16RPoKUlQnE3 ss8QQxW28eHbRCJ4tfgWnSM7xSS/US7m9HiQ2nAWqmI+qBSRKQndxxhFWd8xO1kjjDEP2RXSrzBy kjoLXL8eM8V7g9t8c3DCH4+1TFWzt5xqK5umucrLrECkHKjNHwoSxhsaxSV2vkdZp7KeL3GNUfV6 +iS0G0cY2L7Vtri7aELJkXYzKxzhyrPD4WgmFaf5Vkyjlli8UqTYtMK8Ta0wNCFhZFe101Nsz864 e8An0sV1TSVoUPGLcFfvuBBGNkBLG3ivtilVidBwiHZW1log9794V285tbZxGjJH73BfnZKJxXDU DFf4ReSNMig0WM5+JR2gZJO6zFVK6ike/CsXklNuu7XsV6+HgJ0TjluQgZi0GftGhzrNNrEXTgYx 1a10Kc3wK2rTbIM0PyblSvn7KxRCUEfsDehCbWJUJnKa3vd6A1+EOCC56MyIbndckA/nssyi7R2t eMMnRYBt07Tucnxa/jLD98OXlmmj/+NVZqTAX7r6oYWaqbOlHiJFOkm/HJb/cv2Zz9Jnhdsdrjx/ BraG3MlGWC2qCb9f0pJQBeZeJbCq6Bs4FPVsBkDEbWe8DDhT8cHDat0BsfnAmW9df2ulKS7uTMiB PDRePPh+rw5+Pes4HxmrtApM8iP9zSg8ksm2xc5zx5bd7/pPv28EuCI8DCOqFtscWQBkLxZoacbG oCgYVLEz+WNDnQ6VroJ8d1hFCn2kadtr98it10APmLtBErovgnDDvCSg2DJg4Ar2JUizv78ZkJww YxJO2NjQzfK2oO4i4p50SAngnzy1G5ZokCiSMGpgWpzjVSNXTrnoPhi3XOT8cYzrYd0d8W32LsHK X+DQwFwDK/6h1rcK/N25W1WGSnuAAnr5iG9M1odHzUsb+tOGrcS36sjKJaok4W0LgzbcLCi1XRam a/bY2cN5ddDxCJzUAWHCLGVfDgnZO1f2NlRB6texDQINQ2rx6uXzoiJJE3OZAJjr/yzLYQAkthjS E9XQdXKZsgDNN2rrfQzQVu+TdkYumdwvK6l5qldM31pc/JSwolvNB/AXsbO6OiwtV9+UJApGrlSE fuhEbRyYM5AQcg0zmzoWWxjtF36BFeTjXD3OjXADyqiOe5HHHcv/2i5hU/IEJF5CapWX2KmvOeXN T+dFkSiY70UQI66IRAurGb7pzXpNM5j4pl4FfiXKE4ovl4CsrZUj1DTeQcAnKmnR7XaI3EArPj0+ YSYWjsB+pfH3A7oUH5MuW8Isul7enL+GWhcGHr1q1wC7bz89g5wT5ugDCcpZaxSxEUrigBc/eWJW 53Ogs0qmi1ZFW6VIGqRWlf0YBg+dusoW918uXOQmRcnfDKF6svGufDWYWhDkk5fhACZJ5pe9gD23 Gmnss77RQY87dbdlFOZrlIoFQRY8ZqiGsnBIiq9wsPdHK3t2UI+aNukSg94uF6uvpBX0HOzEj1rp bl4bC1qtvpAu3vEbonteDtRrdzsXF0s+iDDpMiPbWrvpvu8mlS6SmRvN4yOIR6x+tPeSFC/j15Sa AQW/oSTaVGIbHXnG1sj+PzI7MElfCcrR1yZwED52tz5ny90uF8uWu+G1FJRTiC5YhSXcvH66fkTJ DhuBN1J578/FQBUgLTWBOY0tW8q4iZ8Bh6pa77AS3PaIcPx0uEue4BeHhoUgC3hx5f9gbITTMpKz iItwHLPulI0Icr0CxnZp0miia5w/q6HMg7QZ7GIBn1ITFFBF1+5+BgmXdUNn4ZySkb0pI71s1qKg 9EOe9ijertWPOzFA6XAKBAwFBe1yrbcmzQ32WtgsNI3jkPFotyY3n2sS1RVqzh2NWiClbjvtlq39 beTD1Ews7XvsrNih0Bh0ri8+RZ0KIFgtHfVbn36PaitJGasKc3p/GQaPgAnwYJ0alsrwiNhBJ2xj 72b4Kk4EOSyUPu224ni6jtaa6ov6vGibdsbPMgqrAMVLejbqmAHD4Z2UylAeMIDRW6JVjR2n6PFZ fMLOOyT/Hu8QafcP85pbW6euqb9MvXGjAgXadNy3a/qLDNM//z0ORGsHz6bFxl6oMQTuKVxrc+Ie 8sc191apYvBxQSNBTfjv2Zwn0ga7vxM7wRs0h72FNHNXdxTiu+cLZtHQNfOcCZY1Lcrp13TJeIPq FbtUoiHsXcAOT17a+I2jRtnf6C35R7bmh04B1LpjmappTqn4QkV/wSN7sI0sYUw/arWL46GXA0hB S+fz+VwSjINspL9AfQquJAP/AqvuGFfN+Q/zpht86o+2MgrCvKdQSGbfPWrKP2+2IqOQjnX4jk9o Q1lk1YM1N5sMeLdoni1U/BAxLdNMRcZBQeTM721svRhELaBsg8nIDNHn3xgsKNMOhatyOkbdnweC z08sz7Yj/9P9BEI3zYn8okYaQaxNa4GFKLbVKiFX19vpWJoqxWIo6YlyiCoJsB42bsaLTnq6lzxo N5y/gRmtizkExKojX6OLjL5slB7uTqd2pgLkk28JSx+06+P3Xw8ZdsBYEPM5aUtTnF2AC6iqNRlK NDWqj+Y4W22VOtFlikCk4jXG6Vg1DFlWx6l9zSpNdTHAPc5lotovdZUPpZAKYAIEEcXcDFpbydrP Ko3UIUOEuAPrZQMRvmiEnVFhMKbxG/0eh29fn+CohbSsPgidyDZHnuUGnQQHzIR6DPOuAWkCuy9H T+AXfXXkI07+Qk47BOwDUol7oMku2uN7CxOx78VXoCUrELMILg9rUCsk4FF58ctTCHh8qDgC1hL9 fUJTBlVrzeG6P2f3ipFjh3Hi2VGYggA34DeArwYuWJNJ8ezPA1OLb4kYJUELpE89eUQBEEWGIq9u wgt8faOIV8GNUztSPSadslxhLgMqLXzUAGHbVp610tDQCWX/8uGHKRfw9fWM6Jrx3FcgJvkqE/8v 8MhgP4enI3FCKIhb4Bp6UUpnEvPZXQ8YHO6Wdo5uMdhB+P2od3IcthVNbuhJgeLAsuvqjo3UYRCc qM1S2neUo7HwTFCTkHbsGKDUWDZZbSTz4l9ropB95JYGQotocoBJcUhL0RFndWZlh/UcAtWHeOQt qHU8CuUK/LjKG83xTBWiMpgpciAqFbf0wuFBmSdI3H/hmyxo+gAR2qFyfF1yn5dpYY50vwMW456I MzBjpGl1dkTP8bVHTY3Lb9vKVLtaTr4aBdI6Tj3YKdxF5CeliJr3jJVSEnNCANxVUYuMjkAZ0xG7 WSe1+OrSifluGmsE+fyVbOkmZZHEIlWJxocNMuLnQ/6cND4pTtIKtsRg7NLx8mPgvsM6vt0UnusT ivtJda3QDjI1Dp5Aa4E4x4xk5zyqWdGFDXnOKrZCVcrUzDzrxw63SEHFrgqw/aHRD2r+BAftimyb k2SKyDO4QOO++xzpGVs070XGq7YuHLisV9i1hMaKDsgLEbwwWGIGgqRkPvjAdl12oomJ5NPiy5ZL x2nem1bVJRmdMYoXY6Avuks7iCL/wnQgDFxK8O7/NDJjd3ECH1488vhag741Dc32IUffCxrGFwHH Fi68/xZ/WlyU/CayNHO2/Of0Kf41nGGErEquvt6BZRW3zXbg3K8jDV4+T/W5sY3wRvstYTfrlpkb hU89KFpprnmYYbOv/K7b6494oGbHjAwz/TT0twTJxlwm1GEcVUt/XcP/XX0zBV22md9xIU52JLkt 4c49Zx9DK8BTqh6/MhxcqrmyhIQhMLk8evofCtRjiBM4IcftsuBCGk8GBCE6BH0FiPotAEdrXZU7 dgdBGGW27OMZKZadfyJ3qkbApwyhrJ4HO5Q2lopgLQeoPN5IbV8OwhPwAGX6gUjAn5vRSPUCt8Md /lRLxFzBQpUlNTPJt8Uvfsry8ViYwNzHMhF40dz5ggYp1L+nJnCeQyo+jt3OAbWMo2rdZQ8Uwziq jLa6ZibTS+v7/YJAlaZ/S668FeQ60OIx7Go+Hhfy0V8XfDmU5P9Dy63tH60oPXU6jvXAQlA3uxN9 juh9aDXY8DeES/c+5l97ZmXhfQcDInwiS7enLazwts4Royt/j8qC6MKI9Tvoi/4fqNcpDkRBVhKI 7WONx3GCB4abGrW+ysmUrHUj+Kk3n18ghyi2USENBQ375KiGWdpdu/99gZKDLVijJkUHYZ3RB97Y VM/eylr6cCTMdiy1mH+EcSCKqEcBpBz6Vaefon98g1cWv78SdzuGVqaBDxhJxOh8mCD/Jawl6mGM ShfQg4J7gyNHern2+wP/FIBQgpKETW5D1WXXvviRBeiznw53KA+qjD3sS87lr0Va9MEDxkjEjlRl 4Dr1kzkQbq0cWqNgsf2WoujPWWeXJumXP53GIZD0Im2ThIUT34DG32GDgHM9BbaOvUOCjTzBQcam p43R0ktnl+37sZvhXoi/TgNPikysg3Dv43ObwhT7fk8CgiFtE4dkIPlb0oVl04arA+noULHenLFT gpkqZ0yzVZiBcuOoWOvOxj+QySaBtu4KvGWftOdQ/dVGjDRuuHVrUM2ITCX4drqha07nOOiu+ooK 6hPiDpT0fJaJNYe77nm6AvFvPeDA+np5WGrOkkv8rTZqiKnLbILiIDE6aHFVTcEIOAA1HW+VCce/ 5KqUlwwGt/CBGD1nhNsrjyRfNBsTZF5sE4TF4/JtAzd7nXh/uDGpjjKA7/ES9FviAooCJn+pU83b ld/4I7PKq+Kk7nopkzTEeUbKFronWOYaiEOF3ROQNKQJdGInRq0YxBqboXAM0UunyXJ1lrxeyh8L aSMrgbjwkPTmBrfLsbG5ssDzXeF1wWt3sxL5kbOlgDkX7hrqr/lRcunS5M0iB2NWGRgN377MdsSv LcIzQ+sLcNNGV5DPgutrWSePda2YcUoBr149cl1p8ZGnlgB6H77MIbphHtVajksFeDsjpqE/f/eu 4jzOjmQiOUEicMXPIgdrSfLKM5ZNgNCMpGBKWAVwZv0yEc5FRDVtMddMhUN8+ggvTLy1B1cEcEdE K1WDaaBrTTjvCorQkrpw6DjAvYs3EgXoOGeadjCeZ/sJONSWvb3GfvDcJw/0zpifvjq6e6NmvdBf /HpSMbNJ5DD+v10LgbzN9K0TDYRCb1IG41OTL1tdNwwT8LMIgmeX1553mlgmVJxoDEEb1zEukNeA FdMxj+J+VYy0HuWHgmVLkzkP4sdfCXD4I3lDU5vCk9xKVH2rM8U8uTPX/R5zEYB61gHM9NvFn3nd st+AVGuGtxlODsH5ipFYDrfznuWjrmXURGUGwPbIIr8Z/oWtGCuS0OJvwJ3U05Rm9AuAuJ5Mzme/ dWSvoS4RVjO9MRBRokO8MCJIYwGUBE5IpFk15Wh2CdnImWg3VBIQQEv2KBzxhkqayf2rq5m8YRlC 69sI/rR4osvcaWjKeyKJCwQTd/ul1wiuUAikZWFjivNTBmrgEmxmQwcwjnm344WP/TcXLsADepRT 0Yxaeql29hxKsN16p4NTVYwA6Ds0E6wra9GArHiqVNpPCVNlYVdq8HkWXUzpAV+ywUW8A08xulc4 KQuVLM7oLADdbBqSNR40dWyhMUldHizhMmw5nKGLt3Y8sF8SV7OVKkWCt+KG6hqhTica8yHzGuj+ rqFxltoQoPxmwY+IGZekGqDTBbvF07+eYEyBfS/PjXsOk5jeGwPaFsv5BazDsddYoqZjP7l998VQ +uBFN9JgLzsVbAGYIXuKeDJ7SEk5320+/8+GDADxtV5tM7o98YF0lcLFA22vDj9rpxm77JV7Bi8C w9LCNApQnXgfYhloUkdlCEVOwYHddfxdJjJliSgKwiku1Oq9UK42b4YWTQFdZmlQh3p24hH7ikbl zYokN22yI28PWcd/AeHrI/pwR8wdb9EY6rFyZCNDuSvtQ2lUa0+mopbCAsaPjwrpZ0qKVZFmFmk9 Cqe0mPoSY6FQKdmiMDSvUh6hPuTLKOqCgwsGIaIL5yENFfgysXfw8vuBMN9inYCQzM9KFxjnNBpZ PfGmgn8OU3tJfhVceELkXaOCaluPRsw7DRtXskbICTc4kG10kMS+QbKrNV4bftmBKESqMeIvLd2O ifmge8rmkESpGR5Q39IH9aI9Uwduq9KHtjn28IZwFs7KXLLMR0yuK0KwGT2tWP2T6h3FyZC6LpgP wY6pNZ1L3ylXl3Jll8G/Mej5VpQVfgcTm5KDZv3BcEZRDF6/0JnIYVsznOnvILTSGFE0r18V03Rd vAhlUGuRbVl9AsiNUU1gsJ5yphhtIj6M9XupTVGHpmX5LkOctYCeclg25GpIcxjqDvqavClIuG+j zolbrbxb9Zg7FipMSvlBij5rWizcAzQrt3zALRKKMUUhjg0Ltk7Be1FpQ6Us8oVw2VyaRYzjl0jr fHec9IU7uELzZNMm/fVnTxFylFdi/mmtqmoTiA8vkDD+FV6JEDiH8NC8GDhTqj2+75NkShzC8zJS vmKua/gGLWt5TI2xMg7UX3LNjzI42qwzcG7/Sk4BrlY+pfcN914f7CG2BzigzRjWUAciUztCaILg VCQD5BGa0nyCgWNKBoOpy8AINcjlPh1l0fJsiIA8NVpbEWUQ3KIM3bOdVSvGG6Bgzo92QrPn3SKZ iJeNdWMg1XWnpBG8Jh8N1Pnkgg2Cg7qgGSjwIFmIBSx25XKfIp0CSG4eneCNmiJTPh6p1AwtinGD xNY38hEuud5X7i9xL0AVWonviwmEMI6mdJWBsRJNbgQsXOarnZD7LwgIQJoi1pM7kh3EXKJVZfJ9 k6SAMOkXEXsmPW4MNALQbP3gopTIGwYLbYG7UWFjlm7+KmoMzcBaPpZPuxLJI2vnExmm1V429CSC MydEmQcgMVillHqZdQ4yaQiDRhJwnzDu16z3YYTdkuSdBFBIY+ExcEyw4VXrGnd8CZbc/p+AkkZy xOdTmp7MqV28dXtNvUaLjbkPpLQCzj6KWIsnUi/zAfT9WAh+wSKy0L7RsDhnc6RLp4vm3/2HmCX/ OM3J1ats4pWGB7k5R47ufXtHV3of+rlwplIcH5E7uE/Nm8PWSkyvb/hvVzY1xgAz/8Rw3rN89ubO QpdCl4U2yxDFNCuwPd2gQz0R587mw7SHza0dtEolDJ7bb4nYnuTLhrpW2bJw89ExopbbOlbbIOCo 7aAPV6+TMKqUA7PVXaTnaMXdVNEURQJw/R8hXQ87OrApX7G2P/fa3me9yMmOQ4KgvTPv3si5UHuo T/U/2lqozr5RLuC691s4Mc5PSQOT4mqQJNHCpY8W5ab8T2lJ/80u/u7mBul4KcbVCRK4b7WZczuc 36Ev/74YKb+zidvRmQp7tnGefjPGbWaZwHWkvHD+KaOmJiKyQvc45cwd1/OO9iKXSPXz1mZB5d8L U/2+mYKAzMWgi0eW7XhRwDooI6RGbKhXk9htckNR8gMtG5GMgOTLEbgglid5p4vwBZNIcp5ibcg/ 5SmRaFwAJy0pe7dnUBpjWiUXkJ3KpgkuEIcqXxxYp2k6tpySWwZ0yXQO3aKv5D0hz9NyWj7H/gg2 tsnmXzu2Wj55xTocJe+66Kox7ILuanwQgx3XpmN4pOdMuurja99X7fSCa04o04Wq2EO8XUz5PfqI tIthiJOWDezkfNnVOLzALK7mf8Jecy6jqmcsyCx5jTQQyfuVl7/l4iZBhMnV5GvONUmRiwvzQS3D AyKvmZ6Y4r2rB3IHra/H2FjdDbXqgMuSVmT2/CrQ/Qc1jJQrski3wmFG3iRPYZi8yJ/fHy6NgcEa MnaGGiRFWrxdTDf+ncfqRG4e7XQAVZOP9xz7yufCTxJK/0bekjVYUIFZlKiK+BTCzR0wmeAFt1gc 4MTyC206PmT+wxsoYOi/oI588OY4amiLbMOg+reDnfkYN2Xqbc106Amfbavcv22QW009uJKieBYy 709ThqCFeVkZmmfNZPItfTiIPJdjXZShqPt3cMwN0XQ2QTIo+SgsLM0b0RalJl0h9ZlmI02BKygh lcA5dbbmmLCxgZ7ldVGtUPK/elso70zmqvUpvyhFcTSrmy9f/gWoAfTH/0xR5v6+1TXRW09sQX45 A4kyqQTF9GrgMMJACIX4qKD4BeimRJhPH78XmCQui/Ee1zm/QLqLkK3kDm9gITP+jzGy4MqOr3Tt /4AHezko4hZzlDhmRUUWJdbLBaF+N+QUZe+z3RgPViQ+0HU2ygzlboBH5J02c0eaDv22X0aXf4oC 81Q8K/k2HkquSLaOr7zsHXD0whTIAcE1bvRGQA+GJnR3okjrjUa+csbYRvBGJD+tnjW+YG2apex8 PAcnC44ktwzfqf2NW7n9pHkF/d69Mn4WosJnigc+U4pMdaoxcVpPQc+VXkqerHzFrB8L5Rbc5rn3 XwlV7N64UD01UBJeMz++gd9XjRF0RNX/YiUgcJ0Nu8z4pT7OOFGdhqfZFj/vdwlskhJ71AyoUsTT F2s+dCajf5tcdVjy34JW4Tfdd3nSBzpzBo2bXOqSqbFuagFpnkz/IEyImNTtl+JOEsB8TUPOjKDZ 7NV3ND4yQ2v5Mr8oXn27b5ny5kQuYG0mA+G3zd8L5buECutXN/q5Mky1n/GIxwgMV03dYfgtPiD9 Y3hXhnWupED2drgweZL67bf/HDzQwPW17bMUKB1fxAr7lLhK2LwMdMP11BBv74Lv0DkKwDaLclYF 7/preEGehZ5LoGEcV/zJhXRLVLpkQbgx916MrLEEb//V4Pw7qqreuNluZZlip60v9buTMqg7vFqL JDV8qr/RX6nRXAJgF6XVjO8logpU0bAdi+Qz5d1I4v8QIXF1+P3xd3iZz9yXZJO+XCObFN8rnRx4 3lHRJ94yJDw0jOptgYnY/D9/IOJW3tmwaPeRjijOUy80ZENn5ysPR77X9eGvHtHEt6lpCEWhUp4V PeS5v0tUZJLyZ8hu2FXYr/Sof/EFJ+HYvPHhEFP31zrOuXomMMoXpHGNEUgYQICjjjlJ55/gCRf7 N9ACRg5lz3RG3FEF5f2rj7T/bEkcziYEebXXTm2RQVB6PHEl3+sPMWLuHT8eCH6IcLIq9+GqOLex ZDPqlQ7dLKQcsrUff8Y3gHj6gEd+Tjw0hrP4Wzr8x9CMwXmsHQAyJ9rlX6G4FgaaLYKgCFHSPP9s aTyzmD9Ugo9qaOo2kLh/z1yLrmD7IirooUwl3XiceU7t0h7zOqRdA25Phz2wtbLAtMqK18aMhSYD G9pctPO7vwzcBF16NbsOybkoXfhSPsYJmOnVkD1gnysyM/SGUzPC8oE6ih5cAHrJ4uBZcwDzUJgX N8rdIQoBn6Kp0XbhZGcnqrYtiVAUfFcuc4rZJcp4k+Cg1ab4emrtnjdjatPsNIZ1RBe4t5UrXTC6 F7kW46iNY+DzRy6W7YnXBMuQVaQdqRevmxDYCaP1CaDKHBhXidJGTYbKe4BpCx8kT0pT6TSYGw8/ rISLf6VmHX0UW0foLaiwW578lnziP02OSm5Tk0uqVFB/1vtSeixzMusFAQeE/zM7os365Jnb79jX AjumUH8KUGuZtEY9BzMSUBTs+M4otboio2GwSEvFrmToSfpVvlDTIMUk0YIRwf8qKUZ3yt58eIm2 7X/3bZcYYJF8u0waR/kv94eDIwj5nMRUvfxwbL0Xdps40iya/p9Q3zLpSMnvxxHl2iE6w+DidnYb QuwdC8HzHPUIZncu8VyqJ9Z3rlC0ac2JbnpOef51/08OREBXmCMiPIxkyOiZYGRD1tzgXgQfszPW 4Zo7k9yVUhi/LJXDOOESWzS0uv+BDk8SrZWdib+rIf3M+C7CbJv5dx6j5MRDheaTrzEHIoJMcuR+ LSQo/mrIFcUO5G+ZQToJk+PEIAkx4l92k7YO64+0qfwHfHrH6/c7yenUwx90+v19bjqonTsiBwvA LGp1ASSaLOuN/FmoyHO+LIZYYyOC8sTMv4Tc7CtWhowlSo4NkNqmFpSkWwNQM/QhRi1ZW5rJ4h1S /6PT+lq1G05CuSmT7RdAhLXvZHNB6Pyx6jJ7PqBie5odK6Q1H4PUbpYYsVA6RKfywNgb4L7hqC05 V7UviUwLNXLtfogidOP3JKUeyNN2tzGa48dv8TJ44ZJ8PQ9YAF+m9vhhsVNYDIybqKzBik16Xlpg yopXhPcb47TkttkMWlwvRUZRHyPk++sCKPPhY5+agc1Yp7JLLLQDnKenuF8rzWp6jWYIus6fSuw/ b2VinmUMf4vNVxlngKlPa2mD+ly3YRmI9yEnjT2UUKXeZaGl8DGHbC7A/qRXA5p1ab3wMtPyvfET mdylyYiZFJO3QyIKbcsbrOGqajXOvjmnaqvaJQv97VEeRzgMHw/R3hsjIOQqOQwX8uvDjjZTHtoT ITxcreapru3PK0yHgvZYzbCPFW1xi2aczbUG9TqnS9A2as5v0X3d9NSxOxvrvvk+kOUXm0Rn+RPO 8BoVilBIzb3O6n0UUPY/oD79tWo95ZTH6Q47qK+l1YOJDj2gJGQ04reVYIiKYeQzprT4LL2nHr7Z 7LZq6KhZaU2SeHrHNKTQp6rvBk9EYX5Y/hLk3CLi8TQQip49qXxcdRgD7pnKAgj9TPNVcUoPEjOi HFE5WGCWUqi5fsADSZ2z88WRQaIDiru+rIop3WcSglUd0ipHmFWztWqUnHLCRx9pNZimg5kvSmFG ZNHUOGyIGepADNSvUMvRMuJl6Ye68ux7GtEIfOEGwPwiBxCTKPiygmsiZQB+glZICEkAs++Su7c1 sWbiBvyX7VxYYMBM6gVaH8eEJbGtfMbyvOtQ6eM6e4fhw6XIFaI7mu9b6skGYA04sWXVUUsfFWy8 w0KVXKtnMiggTrHFkwvpmWVsy5Du4nOykQPiVqdkdq1BGseLIJiIqnnnGWeTZmi0Gw0Bl6jsKmOJ Bd4oJVKzvRMcXWOJaZ7WBSwA0WOg72snAPRd1cdj8ik6bxEIYB1dA5GljbPbTen5/nQDTIOFcjrA 4BrAY/qn/5zNeorMOnaw+5uDqKlkRRKHPcaLSfVboJRN02qANhAKm6+CreuNxBHOKsQBpZIP2b3O H6tLFiIkopgeQWahuuKyaVEkYOCeZGADVl/2ah9AEo6N+mFygkH13cpBH4KQTpJnnUCU7x6GXmde as8HzzBRApNphmdVasfpjb43WWx/zfAlG1xnzLZJevPJy0jMAE8xltE8ltZmDb3WgGOS/eaXIo/d oW+uykoWLMrISEc2MJIeOcQTKDu5P7Q/W6Dxh5Q4O5ih87rEvNHrKXnWnrZYzvDezsR8u0JiXBx0 c2tcBfl42LggRCl3hgRm/WBaR7sS2Ud0dNI3fzskxgKM1bM5VLMp3VQqmH3gyOib1KBeH2+ZamyN aIulOd3/7fDclb86rXr4B3HP+gLPj0CMvEpQv8I/NN0w2pV5OspepKnFWw0Ynr/u7kqvPvXnPYxb yhV6BuEr7LScS3Bb3U5gbDTI3WCVcfYJ0tyv339muXcNmhcUYiqgGXcDOqmLDXci+lGMaNm8mv8i jANXw2R1/hJg44rb250s64B7SvF4v1sEUIy/CLJ7VohyEta27UbcOnK1utu+ovMiLadnB80l7fFc ygCjvdjg4CM0r1NXfGyiJlW+UF4fReB8N+QmrAEDkHe56d/EZCVBNMXDsXiVfRnFGipxrHyhdN9k 6Z5CEXy5JOlRNFq3zKvIW60HF67k6Q91pEBnIN8brJefaScl5/Fz+j43aceQaHr8MwH8Db9nV6Ab Tj1UkFCjo7qAdK5DG+/W2zPWYMXB7qZ6s7XOMGYQjXYBLNSPX0dsXBKFiRqEGoZnkQ1PGd74H13Y 7pYgzVQy5niuOC3t8nhkLpxRVE3xoW5/hQ9nXp0blCXLxBmmVgyAlcNu3rcJECBADaiSsDZTX3UY 5xpzh6wopgHRm0m/xLVwjYnm8yDFeUV5Dr0TpJq1HQfdPtrdqUq7Nzj5OLSshiKgsE9WFNStjm1w wUs7quHXw4B9uZ/S3UXESpeqTdIJQ/dvMqU8amKawynH1YL+R1hCbGC8V9U1TkShvhyVSmaMckNC xd0DmNv3cOHPLWPWM949qDHwUalyyWb0pBaZKsFKR9wLHpUjueaDeeFRgsxLT2wJjv8cpTWiS5JI 9/392bnE6oHXoCTw89gzqflhyWG0Baeihlnc15lx6HZTg3EKxhiodZoFdC2t2sVBckmQjmMp7qYi ZHyrldAmQO2hpshKBK1V5+aEMpD9deIZKLtY3OfSsYW7aTm8xRS5+Xq/rK1hg1ymhRsb23P2N/Xs 5nBXovAx+PgQdfr1RHiomAS+GvBuUlGTdMDnZdfeMl6pcB4Plcp+npLHAeEHMoODSpTfLCbnAjmY j2cCROBkNIxg5LsZAhpXIDid/hBdlAi8sUeAzmTWlipTM1iFjzumlm2Id7A/4J1flLYbkU7sZJ+W YsDnde68aGJ18XmzVAzv4fFrnHOdExiazEEnbx25QI2qoNXTrHOKnYU8wkfl8SoRAt1uJvVyG4PA YtaVrq1k3KSFvO6yRbGMx2SFolgLMQG14iFMD3IOWY3eUP6Zu0KQjQAjtT63HKxt6E0DPNAtmO/b wQalyqPI5XMfSm5NVbIvXbAs224CIbJcj7rpXDEMUvWYR6+RqE0wjSW6yecQs8X1N8gDKmEPvOnb ddA8UcxjbjxmAYTpKShP8y5ZQSqvsFiRk9ToS944cdbGAxicVOlWmFclXUx8iT8UeJz4ymPg0qtW OqdgvhYGISw235ltWOp7SOdOsDi3Zcv9HIWkjvsvtXrcubD7NK+NB/Kshh0G0Ejb6mlFYs58lDDd L1eOghGtVsu6igRrvp06KgMJX8ATZo8LyJON+U7r9DyVaVb1za5dMhQVUqnAG5pW99JI2rlyxvMn YixE/pjT+wQ83+053QRu+nOt2rWOLWkiz9lW7iwHdG82F6L5wdha9nGl0P9GJQ6vVlIFLLxMwu9f 6McHGSa9A1G45eLraZ3GNjnq3XYDD2cD2f5CLqKDJD2dE4yHL4pm5OYvZjf2b+oYZgQrMYHbYd+x R/f/xeNSSKCvAomn7Begdm/Igru+EtPNeHvLI+UqFlogAIC4Dgv45VYqyP2NaFV9E9FNGtAsqKzj MQaUgz+IoJvJEKDduqXeE5c5JyvYNJEYTHPedrrTS1X+4yvEnZGtnUztpGAB/cLyhNXqsMBAtatN mtkTNMSG2kA2Faymgf/7s7KH9gcYSZYNXoBALWg6cxh1U3jJCWV16dCgCnProqxWPmE21zarJXru ElKKVR3RPMayKyF5QrCCzGBgIoVMkRTIBw+vfopLd9E+OXguGEvNsB6sUq0KmJQA2qrnAYUyQre0 YKZn0XvETyH8Z4Kbd3vxML/Q7I7flVtKJGx/6vg097twPs28kQNJQuotvcTvPAiEpgoxQKXY5C19 bioZPtBQoBhXqGXXV24OCHCWu03j2RGA7RqQVAYXtc40SXG3pDsyjfOvjmkk+nuvl4yzaW9Zu0yP 82JHWixmzNr22jERgI36kPYw1P0jhrdLXVblsP4JWIn7auWXv+mJ8oaYQOLJP1OyEnN3mTZ6irFV Tq9w0jQqVxSSckz9n97V/FrnL+RW5t7/wZ5gcf4lfynYXTOnDZ8E0sTn9RHMvYsgU3mtf79oGb6O XkqX5IyUwzuB9kYprJU9pPlCFV0fQ4azGcNEeY5pnA9+7Ke/SynQjFuo1eru3jCzdYA0qgKCNok8 ptqMSvi/3Yj1BjMx4v9C8jUorkAA3Zxdl3VnKBoG7bcqzRUEo8ZU6MsoPKBwpiyKu5KMhd5fouy0 MGqjPCObk0GzGLHyyhUO2fToiN8465J2WVqSgdcE7AFSTMAqd9fjlYOkV684CP5KY9uVSbHUC0FV rc3rXlm6wXEmQvqQt+DYjm+gxdYHMUTH4j7mXk4GJ6VdEAXO5nb0MMOMj/AZaJOoxhjpgbdNPKgI GENMMVdSQ9QYhBR6bYBYqlPsKPBFKfpwqI4O/Awu7eioabfZ7haC6CYYePBkZNG5EVW0XKcCDd1U nIj62Rg+lTfY3sSeIvzoQ0MvwG42HDbG+tBknLkm/AfRlaPPZtHyssKAMhOQQZtN9WogJNISm1na bxiwyt/N+82P2jLq0kqrIQXt81brngC6736ywzLdiSXn5l85ljyJTusG32N+9Uq31IHfRehtOTUB c6XQVO+t81n2Dg1xibqUBfIEWejjAgFns+xNahmoWpWcBLAE+irN2wvh7A5DH0C7BRSsXtY1RchB ipH7WgaER1kZqtj6dNJUXeOS8OEfPBQvLLA4bWf8sTtnwMZ6IvFKAuuCvzXbZ2IQtk/Am8/8rCCt X3b05Om37k7j4f3oa46y3lh5rArRV9EQ3mUNFj/2r5vGGRIMc1TKQqSTWuQ4H1SjLukhMjEmpgfD mzwTnkOZQAysR/Gh00SYLeXJHZuGS56Aol25A58MmQdCwlktMg2XBID/yT+iJ1K/LH2dxyxAJM4Z kOgf+7yxdOu9Ek+pO+9hSTRXi63qPSTf4WNEi/B/lrKJhMU1CooNRQmfhKCWHMe2OQYYRp92H66h tj1HNEwSJHVjd5fJ5qZ5+lY01EeOncRlVKwwF/RWpGrrV00o7i22SShjAO7oMOwVE/UzyrE4EG7M ZTF7REK1paO/Kx6sPTM9/PhkbJJd+UwGrzN2hSK+C4aZmahURT4jImVU+1aSpKWuMPcODmKGsbBW v/3vOiAgkf7OV/gfjmKWf43ERtxgOmENIi9cC2D13ZBQ8Mj26Vr/FI1tcfk/hPa4hwvDi92mTT+p 26rL7WyeEq9iWOGJ7s+Q9m7U8CZPId2TY0mYkuSiL7NxJFxWahgkA/SAI5o8g9zRwD82WYvBk4qH w34U+K7iDuH678OQVD22h6ShaQhQ7U1XYwvmypxEBDQxRAn2h3tDLOuDASdNC/sedpubyQC11iY6 5+xWyBSoEIa8bk3eqCf7QmK2xI8VZrlUU8MSXHgpigrxMM83twwae6vkFGQhacH8GoB0//wTkrP4 PWwj8bEyxydqSBAAgScAa5qPBoe8E5kjTLAQVT0uL5pr8j6PMNsAVY9Fxn0NLy63Rj1GpVSBAcyV oJlJ0pfIImyw3JFnH2/xK9TRfxQULuwhPBB92CIkJcQ5NLbzDlmAr5ib7uSM4P9jWwve0B7heELP 4FmgDVg+wQTESt4fdJ2SF+H1TLOvIJs4YWiMMnsGpIPkp2Em+e+lS8vs+hNVW6W3XH/lbmcDQKAx qGlyoyzLSw8nEqY/Jleq2aempdRgQ4TA0uRqOwIfLyLlqEQY5NO/L41yt9PP3rPBS2h5FifjR4kR 3SNoSEhAMoYpvWuFAEizz5N5S35KoFsYaa7fM/SnAbZ1MBRHn4gNX6z4LmUu5I2x3j2Yxsef4Hly 4PTTNOEo9Tb7yDjDmII1lkzJU7OhGaSJoB7SQlvVOG1tOT1LmREJXfQdbhfpl/43ZWrsvP4sK5Ei 2iEngPApG+W3C7jgXBn87ZzkQgoqAwnGuAdIlwSlr9kJwgs1LDD9XMrv5CQtnwt9T209Y7hQ4ubz bWYo8w7dOI8vr1VC5d9fAfddDK7SU0ybtAOoY5YGzf3JEwcqz+DYWKoUadD79Jt6yDIwSyfMN0KF QgaWyFOZVqD/lrIM0O666Dje5l7dQtuqIXYT3a1JsWI4625Mx0HQb+PKU5DyoyJAJPd5t0xGA/dd +mp2jofHfXiOCmTUx/2s0F2lTs0i4vXeiPRPX2/dszG+80VdJU/V8dRqhOSPOCWxBlqSD7+Zo3lG xA5M+J5pxCdfL/oRV+EAkppvLjS3eW8z05dlo3luY/YNnsW5h8ZaUCF+P8nLubXQOb6LJzu4L9d3 UKw20JrXbXvRt9BTKu6NFqYQY2i6X6bE42ZTNf00cyLD7P/kMFEA8vEuAQSAH37e0yn38vaW7Rt+ cA/6Yh52Y8DZlTic2V1xPnX5vuMUvTaPLE14EoCwv+dmxXpPnByr0SUw2lqOVUDchd541xx6zwkj pnDPY/3MKGejSkyZigqSDhiriY13pVWmaV5qTnYlVv4IEBUvBq8pW5Qiif+aXMZstM69OzCjkalM 7B3seUPMSWN75vbmULhKiKtfFCF13eaeG35QTrH0KbIYm8MEQV7PYpaHJCu85uRROikHtmOnTiaQ ZMimBz6GB0OBVbw0qPUKSEvvyobfbhi93/5zbI7qW0FaXyleSL40k7+U2kdKHR8t2l5LUj7k+CBM JcGzV5xypecK3DfhZcGaak+mY6iZQ2pH2k55mXtOoZ0nmmiIXPvtojFFtC27fUgZsuV2DdMd4Rll pKuQ6T+zatam0MBei36bvpTPmdIl6GVDzEy1RlYn5/lpuQYlbuY/7umcVwS09zYkywcHynxAJvKo topRb0GdouLmOxB8Tdo1oUOWrkaBfI1JpiubCnCoKWZP0jJP5bfIotG5ut75N21NjoVvij1KrUEd BZX2c9gX9LH78gTpVvpytip+bxKOF8523cVe6b61OVxPMiYoWUwMsRB/IxenJyqAeGXUlk8r0Jx5 F/Jh4W0kgoQtINmQoE05XXnVLO657CCxr2MBLP6FkS1IMXBZwsR42xJ4YzQhMEf1DPEhdT07LR/g Yo9xx5/WB5lWbkMFA3+5WwZFvzHosSS77e5t1V7bb4lyy08xi+hoPUVzOHqjDSuS84Ec7UUXqOhV nNvXeR0oywO9kkx/+dslpPgEzNFFoN7JUEXFoK9JSVR/+Zg6+M5lKF+qKsomBR0SXgQWDIN6ddTM PKiKm65bOkzOftfijUzwR/zDf9ay1F81crNlu9LFD6IFuATHTXJvG5A+SR8nEdzQ2ko0o6VUHMyG pqkebEcWI2ywaSdd9LCcIEjYS+ED+GRShi4oQ2sfLk4Dxr8m1ntqUGp8jY9VxBXSKKialotS57DS GUbx67D7Btn2kcdogWRDoOJL+PIEAUdWDdBPQbg4rWy7b3dt5ShxZvYoTUG2zApaf+xZOmv7aKb9 0bGFYn9SOyJtS5tGrFptnI4Gf08YhtGfPzxMLbgiFijFydMUQrxU0jUqzh4M+si7QaAtqdVMeQv2 +lWPn024/fKb1dsrkjfyzIWZW201PKxFZlazJd7cBNITPNUn6rW9h+htZQg9aedNA2q9b94fUpIq dILGW3rvZzGZtKXkDX1UkQYxMcvQonnOPNVYwtgG2nRuKmNBle05+IEficqGLObJC8hqZqWdMFI0 YCGeOa3F2778+RoMfsRiZPkvdoYGH9njrj8kokGEckpqdZjgs5Iw+rrbmnN4JIjxV4FYj7+/v7lD k1GLVuoWWat0KeLCBP9ZRA6SyuzWZuMuPweKiUAebRnlNgMQyIpm41boZ5BegMNvTyx0dsKuyX/P H9e2vheTLnLDPCyqVDCtkYEeHef/6ggmUGvEJkGKV0zUr2xDTQ4xv8vlrA5ot4YCtlwbpgxmEyA2 oe1bMrnRQT04BSg9/ZW3DmAegjURFl4rpQ7WfsK8iLXMYFK2YgQbIASWV9XHxgKqDM1oHuMMBUfV Blp4LyTCdllYZhTWhrzuGh9cqHeO8qUkfsYfGmEE39KMsw1w12EHcICMege8GD8PtDr3KIoe2P2O gBGMGIXAFaFN6VwrUfQO4/ZHVMjBsh0j3+LFDapdtWDAe0SXzJPbkOCggk/41/mW7dKmQOFXvYg5 yM0842IPFxNkfoOtmPXcYzmDW6ncDbNCX4mq7UZ030/W9ieQAgcXAsCR3wQdj5cbY4ZzObpi2Axe eMIohdbf8JrJBlA8vLDeeKIHziDR/81mxmMEO5rTaUCHTt1eH65WRL8twcqBpOAQoCwi3BW/ugvr f7fPeVDEAJ24R+MHSO3723zQFddvFzTSoWiyprXZNUzOizJNfWncOIGRf/QmJrp9Pld1dHo/2XqZ gxMSNaGNAtJQqLAUo/iHz1j8ak71MVoMzmspX7RWoxsH3Gwv8Uh2x28Lqxd+M/wEVj9NcGFIjRP+ oliTO2IF2OvONTwWdptu+Glwwg07/iYMn7BuTqweaL90OmGVkIuZ2ZORv6ME/WM+I+oRVVTXs25Z Clu+N5WOyppfKdL6tPbkZ5etQlhcC2DSgd9x3/tGstshpJ88Vt7ryfPnFROfIgO21qxsI/Nwi/kl F208L7lNhMmECSSpXrKVBmBTFrkGZt8gNEIFqCcOCDVh+DhEt8z3oRmJNfYZgD8Ut8D2BY3vUllC D4s1xVCfTc5PZT3Q6TyR3mYuQt79eEj2XUuqevZt+NGmEGJ3FSTbZHQXYFJdNhHb1aqkci1PIj/V GhBSjHqpA0j1PNNwbLTVV22q5WIrmirh+tAU2I8hkWDuohLsv/GtVbsurJEn3Vc+FXrxuocSJkGR PNh+FJwso9jhoqlNF07zlhFnefDah+l4RmcXh/e/NKnG0bf4afLp93FumTATuxIPC+DpQwrSv9dN 2mqZvJdLNt5txHbkNLiyilxq1D7rHUfgpBl9Um2rY2hrTmUTMWE4CB1FKULSauphXU9vM9D1SseP 8ATi6vxWE2A8D2ldeRgOuwqKgyRSN58lCv2e4SRtKkbRB2p6ww0Cp4q0D980+mljDXt086azfDQ5 6gVb7uzygRWYjOYDCNIO9yzfofnB3aGBhPsYqm8p/w/uSJ++5aWqHAr07CoP/WC7EDJcoqKd/rz9 9LrwUqxxSwSEvytFb4VjxZYMdmO3AMV827pxVyw1GjlT4tufxOSgecakyzSzr3Si/thEKwH9V4QS gu1R1E88LaI1X2dSMcceTPJmfTAPJjNyLKK538PYQB3MeWp97lhoKmrxPBpbQ9tjJ3j3ZpVi6tVW 4lvG9VsitkgTFb2TfWJS6E9gfevDFn/XmCVVi11SQqncTD32kWsEdYxHjWtF0OREZ0eicCXb3oLE ncrwRO7nyLyjH/ldVQmSE+tlPns3LX3zfcho6c/TKuaRBjM7uSOHw3eh3g/2knw5917r2eYOQLJe UoUBiX+0MnYnIB3VDBo9SMHnGqRYy+7Q3PKfKHcIDDT/I3RyumKGcwhGKD7YzOXiqro23WKkss44 3PxEtruh6jDJEDHAArBDSSgIdspjmooFqtLzGm3J4Ll+jCF+0NIQ3hJ/l7iTbKpXg/06TeVlrurE uYIK70zccmvy3tPKziTg6q3T1U1c83gOcYbrzELl8UkZtKK2PBZXq42T8m9NWv/IL73t7fYAomAh rtnMtky7U5/mE+JprqRsLV0RJifO80DsU6G9iMCcBSlHPbDTQ727IAnnzDQPuCKDbHbgHvy62kNP bgHOcHNnYFEPKVS+0c4J89zHPTrjd+Vy4MLLs09sCDZgT+EbNITF7LflW51uVLRJ/guPH4Qp7pN1 JfyholIMYncHbTCxnRDfQ4lW0lB49ZJ8rhmZwBRhcf5Ko8jWm3jrt27gDdEq44gVL31z1AL6sgkZ cPCp9oWbWRzm+F+GcrPOovnsd9YVKVq3VcRw1vJ97bAvaKlZMM87mFuliHmN+acCB9M1hgbHH88b pG2x/rtnSzrRqW/UZdk+6np6ELQyPpMncTakp/TQMS0F57Fzkrx/SZQHwgVRSHfKqOxsC+fA29RP 3nZrUh8stPVLi/ykPOnWCmkNQMf2TOGGxM21iqICzThuNsB6rO+wvm9mTbnMzrE0/HaxkWbvCXuo xaL8x6fTs9wRqAXtPuLK37gJwRgHDcgBajGNjgPmNEDA/MxYyCyW/p7Kd68wArNH45MHi7DDF63o 2c2KY9VAAo/t66ZnDFvvgCBFwnjC6KtqvE2ujAF9eOtZhJz0LQ1NYECIFRa7gfELI2g76ajXXfux inhXyRNTI6xkG7iOD6CaNnpoD6e79qgJR/JEm+HkvyZ5WjiG/PbxMcr6u5rwmDd1qatSaC1XramR sbaIvQGLBGIOtmbv4VsMGf6N9V5nYU2gZilVfl3RzZTbtCZ1H8M7/7Wnhqs06/cBEIxoGuyDLnnY IR4hIep+nvBl6FouTdxzSYT0pCmx7f3RVcdsle2MMB2F7EvkUWE4NIny8CMjrjty1dEt3WijnKfS 7xg68lwkOmcuEZnXU8vkZU7e4y3cs20MXEzepQXPEBV/KZWTCYK84yn0EIKSTCIjLPr2znC/0d+9 l6cRz6hkyU2wb82/3EdaJG9MecoJEfWnY4XKWSwQKpN5TatBlqZJStEdtPTquki2Ap06PTEztu7+ 54dgqraZQX/McP6x7LduCB1lrOQgZ/BkWS3exyuAB4nmjQELpSNLgmF8yus54aBnkq9TJItWyNoF H/GD96gdC/cl15c3IHh5+sNQ/ogrb2cECrdNfi/Q9WjbNY5lfiTB3IMyxxTg643LalHo2QKN8kP0 q95/nSI8BXDsRjhccNnU4ORUEjLQyjUe72W42IAq/Zc2ANFz9vCkN68hQp3IcYHKG662pT585eMZ fJ3qHT58cCpONceshwMCdgDWb3+DvT9wveHNKnprkTcPDQyvJ0MO81AC6eP+E+fSqFmoPXGILs3C p1kOOk6bpEvAB81Ac6awY9UHaP+k+HFV9+0CeJfbIODsyx7yyplhU66I2v2tmAIh/w3BKyWUa8fJ ZSRfSREHfFcc6Ddnp0YfZ7JWIPCNJhe9BDlc0p8CS/f+973HdUAwwP7nmVEvklx/xzmo0nGefFBk P9V9u/qxuxqtLelpCxEN8asBSrSFFuQmcGIRTld1sKosVuSo7We/pLPBd1dQBV3dWbrnntv/s5cX wrUVcyQrLH+eo/dthwJxMSiEo3tPb+RFmUl+viFIQlqzffGlUKNMaPQBRXoeqJuly6eygXfw0Llw VX7rc4WTW8+QJwyMyg5zBKCR9HbuUXmXWyiHAH4v35CiiMiLNLAlxZAUharu1SEs9TQWorCaGrbm NAiKtDSgYfOHumIKawIueancchqDP5Sx/P8C7o3LbDofzCXiJavNfJ1FJbUVvMAAOy2BsT2PVZo2 NV+2YpM6oYTg7x40D7rQ1zV0kYF95zQoQEi/AayjMvLlk7QOBDSf0nhiDHIkwgKc0riwb4ZPrgqk BGoL/FPxPI762hAqj1qg0fXua8tH+SSSHWOJ5K1IcBxtg+fxU1jXXiZfpw5PuGlqGJ0rkxYjkZXv Tm0Hl5YDbfTVpFkSPQchvoeemCrLsCWRJWxS0/gDl+aC/DV/mh8J4pJ0N/Mvsx7Ks3BCENpl+taU 62/08jwcvRunZHvszCpuibcKN/jyQUpfcuJfCdDzATDvGe9BGoaoxC0qbrRzd9CUhwZzNzMgFv3U QIxdIQmK47D41+rte7Xpz9qibHnRFBFA09KDpcQxCrK6XrrDAriCwMjxHPIpn5RZOc5bloyzBaSs 4PSNzbneexLh9DbfjCa1T13XleElBLJBVIGHz9Z2ofTt/rzIE+CSB6H98B97I49esRlN/7fDRYPz efLRPdYRZsAbM1NcJE/IJb9nfEBH7zb35unM9pmM847ZQAVsEuLQ2tcaHWLoNEVPFH6+kU8NSQlU dVBYSGL0AK8shoNly1aBhsY86xOeZdwZZV9sRxM0lGt7AUBZ6iSCS+fpMeuf6w04ob88v7tY/jNf aSuY5xnynGj1+EY+a8AEycqXiIAKtnkPIpxfstcfKMUmgZo5lhd+CjfusM9yxzTh5hDL1itWrWEj 3R0hsxTLM85PPr/1hgirUhxgdI3Zmw97sBagC5TnzW0RE2gTt6Icd/dji00QsZVRmNyaTqVMQCsV d3Oh6a+tdKq0RgDJLLECulFV1qWRLdqfUB8kFtDt2Hg21fI+qZ2nlwbu2oBkBTFEny2IBDcMlEzT ts5rPU37Yy6we20pa/Wokni3eVjslEt4Pvo20OBhG/5WVUe20LFv9WFNAPrcgoObYzf8+XmuTu4r z1DX0ujRCZu57U01e/QQ/ylKvgjkZZAPfpiUax+RvVLNK12rdZH7G5IVhn03Bxns6mKHISBYLk34 JYqkchabJls64OObpUyEVkh7Oi56V8rZnu9ZbDxCFS/Nou4JQAjLvMFCCNDCObbpTmZMGerz42uJ 3AOMnlprcqfPCV7kfDJFXbFJboFyzjcVBg+N1L1oSJdmGmPsHD1Qba2oMlV3H0n6MV3NjAweN3ai ARgiNk4bIM4WGmc6qMYXKPkSItJxOkjLNYSQH/pSS9zvcEkxtdrfeQ0jPOTRapx62nd9J06L1F6E TztY/96SQScAveKzVIdAcuq2aJv657rl30OKLLytomKIPf68mDDzosal4vupsgkKyVlFhtWkIYNf O1N8CiBGsEn1vIktgajS+Xqm1GOI+QRDuxndDtDxHHIOHlDQTXtKlX8VsWmPTItGeQi28OcqLJpp v/aoCRbQ5prl1noSYSFExU0D4qpbHvdk23pFtp98VSBbEb9IHvufj7H6ZEeeulgTGKdWU8zXWqeO r9/k6ck89Ex8fncStTot+HGOCUj9RyQEjN3H8HvSflV8o1CE25z2QBul5ndeOw5jy5R2k/CLtfqI xuiK3vNO1VK1km5Q9N2BCBCtZAtVgGp55MN4GjMJ4ZMTvEUU50JagMiKLh4HrgoMuB2Me08zzQVH 17W7rytUnt8CkD44afsD+WxVfSzYHYzjTHKfjp20n/ZlUJRn+tU1L2j4Wugds7s3GN9EOWMmNsOs 6n/qMdUn3ph73KJ16wOZa4h1BEDxWQbaoOy+p8iU7w7PU727yhUwOXpSNUe00iFex2Pf3Y+k51ko pb9TYwOsWc6zKzRmSZRTNjXC3NvSM0xZs+OPeZ/HLYo9NLg01ZriKHujP1h0irPHwhFt66eRv5Ne 8S1LdaA8ZVDV2+HkHzL8v9Cy2uK+2FCPLsf8wPyr1zI0ddxS+LDl1YZx1WjTajyk3kKs+D6+poc4 eeLEzi55/4j1WV0H5W8Q/kpKjK19AeEIWX/OLYFZ0e+iq0lIjsNmThQSwIykg3HUINFkYOivwm7u ikV0x3sINDhquAH0iKOT/R3Ql9IbTCy21heqCqk9utDPu2ad8Upysp5mx7zEdDGamyGitsCbwujq +ZY7FHKwvZY+gAa8UxpBCoAboXpW/m0cPFyZk55GSqWMuWfYiR2oeVH4SJeidXPvU5WRI7MSkJAo DT/6AI415NAfmwdF9CmxWM3wmzd+yIooCRzQUIrxlUL/4FoA+W20ekaZvbwq5LH6YJ3Uy9i14ipb 7sIh3kfrXkvmQIJPwqZrboINF/4M6xNu1Wh8K1YhWZvuVh21I/OqQfJeWMWm1hUsHEc9rggz4+U1 i9qkgAy1/o9xXSD/k6q17CaBeIaTPBOKyuuvl/b/WQ7hhWMxkDKsyD58FEpK9C6XoukuXo/2dY7y m7oJWlzXqv2qcyl8VAnrHsXpBPKb7k5n8M4MdjJIblAY/jGmqNcclw7+ahT3PKpFswIVOIvHIZh/ BtibgoSrCOaxgszTwX8OOVmDyohq2NtE6p4V87co1uRGO0lnge0Ob9G6KDryaZbm1Dyi50GrHUgY a3B5fzP4LHGed044zKe25liGAq+fehpazm0dD44s/UXcDDgkxIxSKSaxQck40nFIrMIZ5k06ZIHC Q26dj7vrYoE7hA3lfOlfxC7NSB0vxJbTyDt3W/h4TSJf9g6g9hExXQ+lH5Jss1gKK6S6msKdSniV jTu79PpsR97OikxWmSYfkkfpl7TpcZ+5wMyfZSTBNcA4p6PnxWodkCND+vbk1ZCYHdDHl0qBA8oK pFRbnZLiAO1JphZXODq6enMbQRuaMq/UBrGdjeQoIDgy/3uSU5Au/iczBBzoYHvIXiwXgr3/eJm0 SJI6t9n3owOT6AlulWWjjCCpaE3wLSvZkv1oLUHuXHnW/25JTfVHwyk9f6DdlpaBesu3HVSIvOlK xMDuZFnioRmtCwcCjGwJ2SITX1Y8oIXBmGN2cUXtOwL3QNcuaWys9N3kSoDfBdkjSZHTf3WdCJyq LHxCjcgaYrQyMts4HLK5UTx9L8UYTlb0c0DXkbFrsvvp/vDQ1ge+DMJAXrUhmHwUXjs0zMCSu5uf u5PJpXuMsyQ48NTJJ2E9h82rkhZj3qo5c+gVeCCAkgRvEArZJeEg6+b2CXa1QsdQ4eBPCNV2QUlY tqDPzXtisuU2jg9ooUyBmu+Px3AZCuG8wxvF7ClXe0Jr2UG1u8kQUgs0gtXXQVrdUf2ZadJH28ri BQ3Uzb3ZDU8YtpOlIBA1uYiYSwOOOGvNN3MKZtRNhBNlN+TVOZy0AM8Zigqc3nJfCD1q29PftbwN k/8lchl9GEvbvGvgU85veq0rKhD4btyzhYAqkPPc0qA17IZQ3Xb/FQw+mbTNDdsURJJGIOC0IN1B vf0MGICn8Rb7uMfErn3jOp7q7X/ZRycAMMpgfeuOe6pyvWHiMjHVeUeXpaU+P0QFe4xIPbDdKctU 1StDIlXcfXiOXvI8Ewp5a0OqFqZP+d9eAnb8XDV1KnTLC55H3wUMs97BRgqwq1E1MXw2xjYVbhH+ aOiBRMh8nJtuKSak6nkm26qWBOa1zmkwdUdJ+qjics2ypSdVKNXbCiGwYzkChzMSH9Tbg6u6/e4M 7hXoC/rS79n/jSjcqAzhx2XQCT1X9peeBO0sf+PLvXrm0YT0hXYazRZMAoBoZ81ALIDOVfRLtapt B9Il+bDsdx4h4zEc8M8VjRY+gQMCwLg170p/HrB0JWVBOFLZUBeKWON8trKyloBP3EPkTy3xCRKw celuzGAtaeJpcX7hMnu+ScrnGqeiUO4kaIK9GzxiMLAYnDmDAyFTHRP3/4RroHsh0z2oZcRmAyKE unIRDfYc+mI8FNFE058ftE6b8PSyP3LQ48RTCMAwZrSfquXraZJYAZlo0kXNO5kdz4zfdYCYvNhh qebe7gHfYsKhh4YVaAIDLtxpmpCFdg6jqrqxmevhMX5SBVxyfEcrCjo/tr01KgHcP5RQ0Y3+A68u 9tQDKiPBZIIji6NAOGuIgsIlRQnz9dtQ9GMTCCN9wp9YHIVlkFn4M6LI2ZzAEDOKNjd6Me0cQf6Z EHoCigchuPYGlATR0BAAfGl+dZeddrE6YACxrVWBl1FN9fxRHu/uf2WHuFnRGqAVW3Y086mLLpZA R2gi90uxW+rpas5GWh6nLDZQs9cKXwYjWbpGZ5iJ2bSC/1gZRz9qMYAP8jqXWtHN8il2wbs63bWB LTuSoVZcaIe8qgTEFrzDvpvvTNRYjWv8qW2uJ3K09jTSMtdlJI3MSJHpnP3+qxdaDGQ06AXlI4q7 LZiT0jkwhnX+IAlfKSvLjbBIISTt4AoyREbKK+8fPYI+baEr9sDhSt/LlL9sYZS/YWVsTq7kh/i6 iPGtkU0IhsHh32FawtPE1nzJo1C/bpJ4B5r1F6/Q/RhGEZuWxlS8LxU/DZtycAn/eLKfsp7sEJhA bK1htNnHF8mQbXqQQM/0tVUDqEXc/u6bXN5jjyBfH7xfYYb7My68cLVm9M4qvrlkqdGK3OJP9GHO pFyBbvnR8/V74LFPn6bQvSKQegS/HnGSkcxCOgRdIYAz5kf716VO/8QLre2R6hIeguIyZg/9a6tF 7jDEfOXmJO0JeSx+0z13yXXayvt25UT1CdoC0vn77+j/Aqgu3JK5I79Qry+jVmIOZ7dAFwRs+SG+ kYLeFaysebXT2/pHhWqVeUMWbZYR26xFI/vWzfVIXbx9AE4qno2V8G7he70ZqtBHn6aUZlLyV5mb xwMqQNrojA2p5eJdx+6313gW3Czg346rQ3ePwmRfR5ubRWZYb9IlxbR5yMZ/IdNn4u9KczkGTi7w 3DlfVypzfOR2r9gA8z/OVnRmjZzj5461Pdmcyiq9UuFVxKR71B2P5NoYCaaDg3lcwZLOfjZ4MfOe F9DLZ4NMEX83jrrFHu+BXp9icG++PLmvuo0g2AKJ5O0951o29j29SIwMHxybAl6DIf1MSJ47//q3 WRRnGmM28KoYAfTnoq9k+aig1cufFP79FhUJZcGtBgmHF0SH9JwtjQ0UMsWbX5n2EtkbWpbWrjjV l/L6QtYSbioTtqx8otjp+pou6fMqP3X4RKJc3Tybpeg7Ya0Y/XwaVAIMRJOmGaQnxbz0FAcLTAWa RG1oxeQE8X0f+XzqOm7yS370XaIhfyuJHj3wIxfB5XMGds5LMfLqef7XlSZl/jgY5T+U6W0tfMhi QuLg0vcD4RJTz0t/qxMaPEhPIwtieYsWT/IrZWrHYf/Jvx9n2HHRCleZ1O7qax0PrL+L5luCpV+R WI7dy6W5Y3FMhr3w9iwSoBhb3aX/fgCDm/nWiaDOxJ8ib8kjtDxSDDfj9ruVIRpvrh9MZSKPh+kK MHMkzpd1jsw32AUzazPXweiN9jCu/d8cVEGXc/BHwE9ypJ8dlj6l8BZw5UJ4S7f4C9s3YT9ohlxN aso1MUSZi+bZxydhUXzNf1NwOHq9Lz729DMM7TrSL4Vyz4Q8jZEpd91Qt610GeySvUnf1AcQEZDH smyttk5vZx9H66AMsTN0UQYSu6oBDu7Vl2Cnxn3dWNzUdQ/1kOy3ZcnpysAh8pfnnZtOsi7ZtTRz VDWBOKPjgbYzH1wcc969u+p0Q5tJUQf7zksBqy5mppncOQoQSdgTEjxF3MU5/1PeWuRbpq9yobAe 3Oh22jAjBY//UsJUAe5DEf7prdIHiRbMv3MKdGJTdDC8sXw1aZ1XFwL7tRurU0JQAx7ggk+7aJDE /xp6WE2FyrPHYQOciJvuC0u9SIzTGCOgMl9ylytNnU4f14XzGaw5vdIA9jeNcviwt0IVP1GHcHO4 0HYpvU/A35Dku+rFndyT6Nv2c06jN8YS1QhtW8Dkccc7H0hjHhzbhetYkYPDOktgexKN6eC+wDBh tag+FVR5h33wUE/Lny4vNoc6TW5TbcTIOqoAEq2gUYyuKA39UTmMWj5ZK1eqgfxNestPWc3vloZV tkhsMTpUAsVvKZ/W8/UCqf+yVSiIGhQ+Kxvp1hIdHVtHSLIbIjaJJ6nWvFZP8G72KsX8QD6CyStc m6ORM0ZXArnM9opOfW1akGMznwIzk9KsCbYgY0D45aKY5NbU64R8A3SRGEjMXLYjqnRyniGFZ940 TL7HleBNDmalI9/mLIBQIsk0m18D1q4vSeN9cauh2X3H7pFGqMVxlfDuO/WoFxoqSMYy9y9t9pOT STG/dsbvVs/DdvYBJrFx99M0T9rzmyVUQw9mtUE+YWCTnPBB0c6aicTtOfhd6dQ57piQmFOru7xX +OPSUOYMR+aZ7B4u0WSIi0SGkHZOAgUfSYsHqPsS4pPhjrHXwqxR1hhIiTzyXop5ayZw/QcKeNNW MqvVusHscC9tisrFTg6Rv76QoyB7IDWLrSPurbefqMyre5jZg9jscwQvVL1UmO2O46/ylk0ColpQ TjBJLPlk4nSnKvGzykDUhc9EWnVZqXukHdDc7xR9pP3BLCJSy0bEBtFaWnp/ziOkpbnttC2GrHNp Jr9A0kP7XJQyVAd75Cn0pbvXDeqROUqirVLOWjE1kUzqHiVCJGCdGhf+BpYHjFQzBV/uy03eDnE4 plPQTRYlHSN65hSRw871qoMKMDZF17fsrQMBDHYg3Z/qL7gRdmWO5FoyGGHSDqV0iTO24juRbXla tfwXAIir9HcP6ZMgQlFPUB2PCPwPuueMq/DIW/v4fzoRfw/gBtyR7bG6Zo34i5kyxR6AiMSfHDdW CvpoTvEvlaRyd2K+3CAd131GDKTrEIx4z/AZ5VA7VjdguNknAeL+2Iirw22Tp84cIbeFllZlc770 KzLqtEoAzzkr28EdsJSeOntRlRqd2DASYkI8n4bhP2BadEgeBMwtmMiU9NCTzS+AuAo6zFKFC/F8 Gs3jRe2tbeBZ5H3eQEZ+ohBo9HDsSVVNUBTbIJynzurWm6nfaTcVudfBYsyqt1CFyPjlXSLBWZXS eTw81uBya6zlZ/8SIDbw6J76RtwLrEGQaEFkon2NbRnW4OXdRzSsAP6AK8UUu92kAaPpOdsIxhdn ctKVeGjKfI0fo2nkQjIyIuI3Zv9uK5dC8E+ghfhugt5gM7EFF7gCbPSRKZNtXvXQ5e2VvRu6Rtgi XXPPqvLx5Ft0hR4PYgBUzXTNuHzNjAPMPgf5CNG4FeaByyh/AljrJ6uTbueb+/UCQGzhDfttVJE8 1gqjIQHQg3HnO2ledHi6pa7ICIhvQLrpKUIyGaNGe6x+MJ1QDfgQmsOENnxzXzsSXoKvfR2YIm+8 0RKkdy+Ej9q2PXOLLGFHyP+Q5b7ERpLZAovFHM5iZGDralxcAhycKOr2UMkjkdEUSgTAZ36ykMYN X/boFkRVdxwAzBmxyzbpqDghpZnSNGXhRmUe51uoa5I0mEw3AqQVdyauCmwStHOJHMFujss3+wDo XvZXQ8kKDze0a1+LnXySo6rPXVa3R0pCdHCh6veAmaQLT+oYUaQR/H3f1febnRlls5LXDB3uRuQx gqJ1bzJ9xHVNwkNqjJnYODXq0Ycv9bf70T5cMEzDhWTDV3N55SzUBDkmzmZh5VnhzzNB+g5Z4Ay3 kgHVgoTSOw1Cavt2d1xyi/4TSRyA0p6AEgc8hsCleMPJy0snXdFRD2a5NoYd7cazBcFlpXa8qdQq 9sMCHep+nVWTQfCSK8tUInkoxb3Sg6fLlK4q1meC4W6Z/YwxSt9lnKIJMXEm3Gokzf3GeDXh+aGv g2DzjGJKBFptTiibnFI4aqb6LmOODpl+zbFVzJ2bHHRech4/KHGwe6qzCpCeC7KjSABVW1u6lwbh Yt42L/pJcXe8OIje3Kf2cgaw5kkI47MKdlhQw2oy2tgKpcpgFg3l2M/ByITKnsaQEqBMi/R4exLb +GnjdU9LBR+5lNtaJeYOk2oCPP8n8KrUl1h/xC4+Q9SCZUnTkdBp+DTJANM59eCB5ojY/NAgLVCz YD+KfspU8vBucnU7rnDwVuXXUo94H/RQV80nEXujyHP37Zg4qGWZwk6rhrdWNp7wZSwIbBy8Oe44 uA1B79x07GQMOP8aI2Tq4BzxoKeSQRUd9ofkTQwhw7NB3FiZp/Bjtjo1I4Y++Z/Zq1WGVuYB6RGI AjMSYsqib5xOA4ZIBrxgWW9TYFnk0tcuJmlD89KbelXSIHQetmPRVWYFd/1drhic29Gns0ZPQX+q vEqLyetxWgMfXDxOu5H7nkSGaxAqSr0/tFflL9NaUphBeGiEaEUn6U37aVgIimAyvzEqknX4NN0z CSMJ1/+Ry6UXG8DX6UWsq0leOxv0fFFx/Q/x+hZKnALINuKoqTrEC3BzuKVC5oqoo4cFtxa/EQ3X jaXhC/uVNo41+jG+yuHI1+VcAm67rvohQ1TLvVZ/Gk//MtWc0s3XktWAdHc+hlssbRV/ohSI/xgA 1gtSxvRp3tM0AYRxUrMDFrvDiTOORWedGm0lIRFPmux9vKJQ5mAIFhWOC3SExv2hWcRUB6+tpit8 DjAN7rm6g7nwHMPc6MDmEEkY+GnyjuNKgPHVy3qDIPz0T/6O7eJIPmQXwM37brqfMhpPtp3W+yG4 gV3aric+H0dUz4lfLMGgMPZAoUIch7+9Q4EqZe+fxtvKHVSGVlxE5NXCPefuk3ZLQnZidmxWTYG6 CB1yo3gxCVZ/2S9mZcoxgLiU31AprbPNzyPDqirL9WJiNNbZ81lRpayOoUz4uTj/KENozhhsA9sa 18xhpmfSssrFfjRUxsp6YZiXVAxWxHC9qaBUNI/N3okWPEgD0bsKZn3/+lDhR9sTIbR6wwAjCAIH MTPuKgvqcIdelRThYh2cCKcDoozW2z9PCkbK01Q7xkqZhFpGqOY06md0DeasQxWwzL0y2satv3U+ Pooicpo4wlWvdrS2DGhgm5YpieYQsG8kJVi/I8SC6tzu9L1//Q+fcIbAj+AMc4UQ62nX8Q/HV6YB oxxTm5npmc4qGkjhrAF0av3QeWPTdPsQ/LM58OvMsVHY3ul1Yxv6/IEPPYvLLgdV2C82iajGCWI3 8abPRHnAAJ18ecFpmO/CxFXLztPSICmcZfqvhM206ERr3iGOZBnzDdH992dMMVIVXtSyKLYC7Y0k ulvcuduBwkx/D599kC1CPcyYlNYOG4/4PQARa34wtQQscwfuIN30G43RXnNY3/mbCfhf3pc7vUKV MpexErxybZ7PCrmHxVOdH6hStQTA3NwgY/1W8JSWZ6EF/GBpklrlszhW8f+E7YSs+DW6pGXw7k6W hN5d/emfV1/R1E03KOVkmjlsmeWIIq1znU61m8g7QQ0YhJ0MKFBwiGis8TdIy/FqhyL+nHc2Qx5o Me6ezDyzWleoMu2qwBOMNLaHntodII8dDVbugYa0NbKQSESzdnm6BTwRlotKGWfbAFU687bH7pFL XV/XIzTPZL6SqG19+wDv6Fy1+N8spFpJn1wQqCfhTnf77DfE252qqsmI2cjWpOwKcpdvwyNr7veB iBIoMxLjgjmlmHLrjm8HChNqz+VM4W2T7p7/MDLBWYbPFcdujZ7VD2Lptc8FRlW3wfS+CRCtbJhS OKtMvE4d8Cjw8bdgXyZW4p6WNxLeJH8l34K/KJQXF7Ky/N0MiILEHbvrFnRTbbw2y0jE3ViGBCfc zym8QFOXJhWglUyTv1+j8+JdgP3YLp6fGw+McDraaQn6n2MonLOM8kX1JkpyNJvrsrHSLNZtbsZK 3fn2nZnCd4ywFOsvbs1kudJHm9ETbm5XFYV+4IeIjxU4dV/e0Ud1ZDHw6bJvMuOdhyQ5f4R4mN/f Hvd7In10IXXQswadEz5aw7dUajyU1VBE+lzprPIItVzOHu1r/E+E/qxJM6GQTdjFLuzHXZ2mFhKt n/xuQ5OMajJySuQfjh62nGoQZ8rrq1m259Vc0wdk6FG1DJtTjlg7H0Vfy+iGeujMDX3Y7VxQnZ2p VTwdR5QYaK2h6E32JW3aij/cOoEQuIfqYWHkuUqicxMpKtOSEr4lueeXRI3vkPXgTNh0fXaDtoKR wF6hjptBpXAe5jv53J5HWVFUWvd4pIKHEKURCU6Zsa0SfRPB1XSJqL1EX5Z1mbti30CJif4qGCxu CNv7cqIFNs5QzpcB95VNYKYyc6a4HdTYRFT5VhK0eT2neXZD/w58HvhuFnobMrLBhYQBTIiNvL1M kUb3h4A0/cx+8kj+rZXstQBiBrR522QSCXg5aRgH91g4nD4beiozVXLwhENAwUMl0u43C8AtPAxa lVHdTO1dOyIcwbgey6IzCYGXqOICSubk9iBGLm0WbRo4xJXs3q19ks7YNEYaL8dhPh7eO2EwwMre ajunz0huSSJpwPyf2cJlVz0w1RyVkED2eqpxqJTGouFbPLAHn4V4/LFSNJUfu3ONgIhXtbDvyoSU ezdXhMpnDCau9cnC2sb+v2NBXmhYR4+VfxLUO++W3GSMW5Kr+EP6ImTKc5BPGEFOe9wLkFagOely OLD7y8n9M2sSf+AO1/c19doJ0fvF5buxjRBVN0s9NRSXaw6V+VkHr28YjedBVz5SwWHB7ZoC3IQs So7rXSIgO4v8aar1VkS/iFnc1qiByUUsoVPN8gdLsc7vLcbN0Eie3Ykf/4O4xIGNyyJ/bPWuGqhX 3lrPH6Ht1fahR9WY90s9DweIfZiQNFeLJmkUpAb2G8T4nwEXIVAfdfX01YVn6ypsHTk2fZGCJS7U 7rrpejek7mJakzNjzNzY90hae8ewyyb1CK9jnomJ6ErGbHInj6i/69578XAax8I0A7GGfp1QdkCE 5xiB+bdhqa8QDSyrAo7zAPMbkh2oYc9YqKaMPO1AXBccKSYnXTKYthxt3UyLJX9GQHKh/HjqZI3q mnxGvyB7U3s4v19+kts6F3AtecoRgDj8FV7qGtfHOBBfsKarHvkLLbZdbY0XRoI5ZHwI2qpIrk5J 6VHfgXP9wMAqeahODJ5QWRMolWNFIFYQkPIJqDFjn0LBDawCxjFUMqyw3sDzk64b2I+vZ6sPd2x1 4hcjUmhdqkeLg3gNIFU9cJ8pYXBL1TAdfnTeRQSNzRPPZGZgxFXboOe8GDI0a+/uwaCsBxIkacph SrI/zV8MOPmXhwp75/qRxSk/ergRnOIgLvPqz10xBfXGBhOOSozUo2UVf40zQ6n4mDP4E/AMeHN4 TMst0eFCM5pvvlpcgW/kFLcbvr40DLve3SJNCUoSAQyx4yaiQnUu1CkOJNMeoCvTg9jrMppmm+7z Zt0e7xwcQVQ02OEPDxncQHKRekm4pSq15qCS7r1jRB+FbOMb2oKxyvlQBhUzqE96+8WQ+geqqb0+ IQtwAzipypmn+ZKD/kSQFDfBDST0ph7i8XbwzRckc7KYLxxhb7LA/w31D9M+bd/xaAZcRxs9/H+7 /VDKLiL2CsHxKbGYp84vCaFuNL9uUU/QDdCjEzsCeyM2rEdDNisQCsJGXg5q5tYjowRcweQyyNq/ /LbVsza5GbklFyKbhb06qfR0cIBLeDg5bDhxLiYb4r4Lx2I2grWfjJXdG+m47mmoEgpCXXB7woIt qupm5SsawcCHxfSInAp/oQd9jx5Pt+jqKIQ/uFHmgwBhEjpers9lBa0OpOvrNbV0E+EMQg469FLA ylU5/wJ8dhR0AwrdFo5AwQ9wNa+S5OqpA9CftWI+SnNDyfRxR6Eg2mwhxA9XcTUDmsjJRSsQLrj0 LxKjVYJ9OmymofRTEtWtiPfd2J0GnjPs1Hm+qJZT8ek6HKrF+YGzXCxD3P8XDkiFVJ5jCp1ytWFY lATvE+jI2a34/U60/YKIqiSusXemn92CY0Kff65rjrF44eDUjccEX+E3KLU3dgiWP7dVbXsZeNeg Zxz+apgBm2ug5zXW92jdY3/ZGPO35JrhEdkxRlaoBu7oiJSWdh4eSuUU4sTv0n+sT2jEBgpYxGN6 LEQjxFMVj8x82HPPaXt90R14pWrgrHEw8vcd8Y38L5HKUvgqbJmSY1CIPKX0j9NvdZMz3fCYce6r lSoOAyv/++264bhujtd7z5zCmupkvFe06s4SlbXwrsPro96Fifl54hExCxacv4T33iga4w4DO0ml ClNgsaUQ2/R8CHKJW6XekKb1PyUr0akGxmuvWIswPngIycoFJYFQd1bT+FWoJCacWQzEHeRrVjyF cajpFwCNPrqj8+3WuQ80VsJsltHi7ezlruzzRwW2l0kkn9UmMG07kQqUNFXvh2r7uKxxB0UATbXZ eWQV2Zq9uvakwJ9h2/qW8iOV83JU7cheP0ra8t1aNe1qzEnswK/AfsNKWWSIyNGOR8EoGVptEuBo KKv+FKkFkcdQCZJZSJ+39sEehjKMQ4iRUs1yGLLI6nFXFJtD82cy3RE0CTdqu4gwZ2zaUVp6bnmO xXiFeBTwSrwar8uPF99rKL4QdDjTTrWyhQKogQ94o+MTNrcwTuSqRCKNxeas51mdS1fUQhoK5QUg KlP6sN0zb4fU6tuQbtetGYGpG3wU9og45bpS3NH0Edj4u6NaYBCaT6m3U0DwOMCec51aveBJZcce 8a5k/YKhfh0BSk/q1POjgCYBjX4jpI4Nj5K6j1ZuM78m5CeD9ZDhKPfGXAUzumpHYMr8fYe92UUn OEu07PUFTwankHwNtwmtfiz6P5wN3BzlVUBYse2CkobqRQVvzcrNNeOYw4i1O7EDFsf2Hti932+Q cktdMjrnfULDnqNV0gceaRws/FE/B1lNKVQGipdd6l9haSKbW12HUNRr+dRYQ+RX6MaoVTdQ0VKs zLn1FwsuPva9XSBb2yeg/M2IGc1gM8SBM2j8Z4LDgwMMDjAOfmr1fUvhMeqP78MzVjGdwnJolIx9 fKRxImNWChKwTYoE5OyEVARkyFewu/Zi+PclFDyH08Db5mfRXGbZ1IPJMuNy3D4+sxzuvMvUHscl u/2CVV28wxvIvbLGIg6n4m2oZEtoJRYwiDQXnLfldecC60OPIB/f/uP+D8r4NAasnafamj9EI3Yq hCrFu6BEV9Bwv8PMPp9t8e1rrzC8DlpSLMwUyHxrKKFUKE1dQbILPY6eJNpzanXmX0pSCxTG/viS XCxBzjjPv4ha+bR73Glrf1oA/v/lLxnUuvKgc3wOdg8HH8qqdKzF/MR9Ns5JfLixDAfPz1fZZ6C4 m1bYlt/Dbxadkfz2/oi52wzZfwJciycolq2Czn48VT1QM90nFBa4spyAUIfHonRcSpQVlZ7tJRti 4O9oGjjPM9KW6jUegM3NZ98rrQIaZvXJ/ppP1a+CORCBWe14Kx3f0Ycxzt8RDK2XMNN9J6uU3RJ4 tlIJFknvxe/mvVbM9BXzVDPq3lcScQXkZ9CZo7w8MtgwpmPX0a/nYtlwxoC5e//UIlKtx7LvF8A0 L+o59wp+VakMUP6J7EbuZEcKkJlDD3g79MD1yhx29qoe89cuudyzwh/PxEzkPBbWk3iNaUP4DKXB UDk+0ciOmO97k8FmIzL2MUW1Aw0HOdlPRJIpGUKJiZwTyMjJLKTAqBwpK/gwXCfv0kh2NSdWGI9d zHiu1lp5qzdyN/NpI3bXhP3MSWzau1K0dGFl+LHPiG+JgM3KoXhvslWQTJF8ipgTTd1yhFI0r9DX c5p0zlc788w3k/XJW6Ko6xdrrW354FQvEJIyHu4N39GakRTnBu4+Zc0THCn4Ej31d/CyVrPlHfQJ Tp5Os0WPVeOrthUzZxTS9cBxHlDNFrIiR4388Y21e415pWhxEk81XfIrQ7kL2ECohCHlKgXwpw4G 2xJp/+wsWBA8T9GNLeQ2XtPdsmFdDXr6MUJXXfRVfFmdIeZqu0smTZMtiBk7nEcc7CaJMleTmTz7 +6yZQ40R6/oFQkG5XaUxavWgNKG2ceVjGcgcFLS/2nj6LlMTpYmFq0OsyOBoRvsBSBo81IsYUFLI l6nZdeoLi7n5xXlOk4b9XW2WSHH9JJSfgYzov4tFvzPBDtMlh+ZVaNuWHdmNBOoEaRTawwC/m1sD fz2Xk67X28MAHmZCFwwLI8TYtt/QtiFr6GdiBZi39L2kwYS0H62+F5L42HeJm6kx2kcHPykGUR8K PnKEXXiXvvFEcBcRsw+4yRqmKH0m3Ek/z7EMlxt6Dcqmrri5Da1x97znt4fE+mSkbBJQguaBehU3 Cu1G3Cgs6byWy2PpqAQk3k9qUJcf9uvf1fKdRXlA/VFyotGWe2ATU2N17tC/YwF5LGd1pFH5olk7 HgaOIOF1BuRd84MdOhJCrpQdf5lWpRA8UGgdQvC7wlAx8NKkyOVAPeN5LYDDmZDXkC3cAqy/OtRC rUUyteCZvVoSUij32fEQ8Mem67fV7dvkfuIw2k/bMb+zjQacfd/oXi6REhbvem0kxyLmgFrQuvYN vj8St13+gGjLYX1VWtymLejRFIlAXR2gwFLPAU1Egx2wzU5WPueH3jor/SSNKnpR2ER0ICXcTVaQ CNDgqDtK9V64S2OxUxnSr4Rrifx9zqvw0zWwEwg4XNFAOYmWhVGezHeT72sjBaZN0b8F35QVKcq7 /Wubcr7blLf0frpepBICQwsNvWiorb2w3OZN7v2nWXp04szr6LXgeIvz8pEnaI4blwn3QuRwcGJy QJ045Z/j/TTB/ACyc1oH2wLiAhAOZkWHYUSaZdVfcmX2glAoXMXpjUFyfRY0fcy35FJMTrSj7e5H O9EUog9BjoAUOZNw/v8EWHLJOery6gGmdosQSlErxpx4PCFDH8E09j0HfcnmYWkvkfFSFXALsrND fMFQTUOsSDVUjbKmpP77PM+slmVZ0s1/85+8tmfkZrf/Ll6mTlQRHuTVMLWv7nsxQnaoailV6vUm 8HMIrt7p1RPChups5fu9F/XDDtyq84QM2JbrR/uGYvqj+Y1azVZoROzqHuvwbI4h22WNW7x/NadL WzKi8BJgN+n8A7XEbc2Zwzu1hTEZWsH5cVPmP0T+pjWc9sqPM10bbW7ne2om0CjaxD0g4afaNugm W9P9/ajgs6h7v6ANXGSAMYNzRi6f9eddZZ6Lmb9A2DShSd6VQItuVs8OCdv9dkdtubP3wjuY1WPs K/mK+fNyM8mV62GD3cO3eqF0Nhu/dvBlVimiBh5ZBRJHF5dzLC/e1Qn/7BdqFaRgN9N3LzpAomqk aJoKdwz6Mv3OY1y+V3Gpt1N8kvCOVPn410vIt4eRknmdj5v0faoNCYHjXBgmMDsYOOEGPf4nDoEG fIyuqPsS4PzvSExXbNJNl8pH9Cyy9Kz3ASSkLCKOdp1F3wi3uXRfjp2e/ljD1/Vimppfnh0fJTC8 04h1UYEmCJQl5YD1tJmwzPyHdq4EzGzZswAKqFHkrMnoB6D4JUFn0Fz6zYwa+ZI7mqUeAoDvFBKv siJ9/9GbMgwhC4pZKjNLp1NWBn5IBOEtiEtNrFxgWdNtmYFLqjXH4jdRaNmpyW5Gmd1tkqWYUYcv m52AWa9feQx3Kc+w7GFrG8XYqxWtNfH1L0GYr20PVNBvCke+twexh6UE2Q/pll0Fv3GLhLFFxUed mDnpFRO+7XDrbMHnwxhLKYb9SMrEJS+PKfuqVKkPuj/TODC8m2+mkDBLHWfQQ8LPyPjyt7/bkkKY GnWIAXpkMJyIpAEgpvHvdKCRU79NTStfMyDHabTTS5Z5ZdcgRQzqeGpGxtC//4MRtR/mPRCiR1xT nSz7KhpGaY0/PKdghDW2WZHHCngAwG/KzC4ACV7LoBTYYft74pb7mhKo1K9PeZS7x3Tra24CqNAz iRLs6hbtQFsqGwtZCwGlqrEU7z8cv/RIhZJKQDSVU1DqSBqBkGf+RyP8Rv2Az9d1UylUAA9ZSC3P MimFfikIRssukN/C/vhsMqe5PxBi0V6hQfbQ6tIKnfoUveEAElVE1SUyLzW83H5dqDt0ZkkwWdsb onbDW3bhmiiSClQsg3PdtN4WX7Q7qNDGTICtDJxoknX2duDq/K0qmst3NGp9d//BQY7U7Yu4AG5Q CXrT9RHnExtAptINg5PE0HV8d1jwnQEQTM+HqfVh/52usCzFyKk1JxeEp8xXQ3x1NRRkSwWO1tQf fkn0a+HnI99Fn46QzX2A1F886N8Iv0ke8PI701fj3c8mO6BFo3EKnDYMKNocaMiYTa+4AVQZUyM0 InYoLmmN50un7yCmYI0g5kiUr2Kj78cTiWDETtb5nMEZ9u7++Kct1wVBi/TicVbkLUgVGBjA/YpW zlC2X8+0fjFnn14VkiCpCL2mkg1eKcXE+b/B1P/dvIxjr+ETvEYWiP5KR9U2nowq32zBOzWIpAuX SGxgb36P8IEWgXxMyX+VGQNzkLkaL0bHghqV8s5FKSwIyk3rkee+zRerpkvEUN80X6+3ofYuePGv L2iWuvw4uLZUT/hOQyatZ07d3lJJtptQe+K7lWoObhY21BZ16/Fk5rqFAJt6idnPRE2nUzfOsFan 70tuo84NElIBJjTSpgINVbxfAtkVx+wOAiG4eoSU5zUDjNRY7fLqqE7S5wIAQDULVsbtTs/A6imi SDNnk7LIA7MsX09ApGU8wRfRBYK1R29YWWx0pZNZJ4xQzSRW9QR5O8g/eI4cEly3YdXptwUY9v9b 0DK5sA3YAR8dKMLa48apbhlMUODgsScnn4M4Iy1Nj9E/2FZ04ziDWxoSNL9E0zqsRiinOVE+ANLK 3z7sHnY0mWjXD+znKWQF2ZbnbNNnEEeJc7y0gkASNgfM5nyYqY8tYd+0cNZDFh3+Ogv+BTMwgFcC c11jJ2eHCfCZQD93IEi6FkrFT3asPl02FYGc06u2Vf4SCqvy53OgY/P6XClaL3RZ2WrJ2vzoFZ6L a7aC3l56R3/d1U3+W2PJMV0ruvlKCZeLj3TKq+hGmu96Pb4/b345o1uzAgjkiCPUqprO+s/vcHbI Jo6CyxWOaVvbcY5mTfayEhnc2KShImzJ+ShU1LyXllBbHFXnRv8TdXyHiLBl1gNr1QcALHq5OBFM 9H2zt1SCdUYuXqEaIKaKlrvLm59VrhGbNaa2X9OSYMTcs/zKKqyxkMYsi0fB/2DjHXQ3kjvbwD6d hbn7OiMnjgz7tM2zKlN+Rpqt/97ldtOSO/xmRf2NCSc5QgG6eBros6bmgOt4q7Odta8GVOCoHrW9 q109nvstVNdQ/yuVWJKRF17k2dedJTt3GzM+1qG+jKLABByN9buV7D9bZO+FkD/M6Qm91KWuBDFn Sqv3Bzs9kxZnf8Ebjto8Z5Ym8WQrsVJbsUy+BkZMoA6XCXlDT15FqSkkvC3M3gFG6DKk6dBgjKCT 7nHjfWOeXg3774dAJWipwbqxtQFLMyYOsrIYQdZ06hK/G5MapRBiIE4/DtkZZbGmAc71P25bCwvd sLQCkAqFr+OeFm2MXK8aagKhuaf+Idz512m2VLoIFB08lnnFD5RbmAEITV9C+yB2umtwZRbXO3os jJoE8TDUbUUC0toalvL445O9GytSH7/UkQWlOW1yTM5v8/EEH3z92JyFSSFaWadGF5MDs98Mqec8 5TzmnwUAVbV1lvOIZ/nJ3LGCnX9CALm6CnZ80/gfTshVkfUtE1lHf/5li38sAxFNC8IpBwNaqhdt XbAABlUgmd2Mr+5wtS6om1z6Alv6mHt2anAPITnq1q4NFG9MkXKu5BFfO9rRjhPychdvhVpE4SO1 g+Yyg+2kZoF0O3C6LrlU9qdWbsQBh/Gw64BBOEh9HMg8PSSTvRHtNRjje9s/kxg8D/3i45jQNf+W S+YIyLVT6hR0IuxBH/p9mNohLQuknlvgKAkiXsbBuCHOxPoudwqE1kQjy908AzqfCPv46aP/o/oT iuaUFopvwbLe5mY6lJX2X+R2nN2u4ye3DPa4A4TOHrYPrbs0cDUIRX9eh+5CDpWzoVfRkEpUxeJI Cig2Im6gbzgoq/7bLKu9XL6H0i8dFbj+DZNbe5nUnUpwikWsd9AdOYDG2ef+K9DdQSVEmz/t3koV DTP91TljE8DyCROagk+vSIB6H2m7DPvIENwzzdGFB42ZjWUPOtS9FYee3kEDvXd0Mlx0h8lkbbB+ ZLpI0sq0IomacJHKa202zZ2h30V3CknbBUd5lFsBqX221pX7GZO5arys+tkxlF+VGPiG6xPqSg0R BjzZnXMRs65k23UzN/UoFIcmb5ZOF1fG9bGOqcpni/CrXpOQKDmtwkgb8VsVuJZhIJnR802snbWp hkQ6vWAiGO0vm8Ey2KPKQB4pmQ7/neccNuFtQ+RRf4ntSax9/Zee1V9AIHCtRwqu3Yr+SR0QEwZ3 /hybkynytOLgv42lTSr6uBCglNAQ4hAL468wv9ifl/8a1B3nnsuQ3Pz0cHlqBxh7FkhMnItg2VYh sxAeZjJSYv6IkeAFWNLZw9T82ISBbtOs8EgnFwkjqtqlYse5vkX/KYbZlCBTfJu4kmMECCo5PHkv VXZXDN9AYwDJOgXiekboqtTEsUmXDhDS91uiSbicEkckGSrhIVK50dl0TSczyvZwQp3KlNOhDo4J jaPxmTBbttgXPFGq5a4GZNLK+VKhDwRtzR0TlzNtic+TFDmbz2sVdz2l96zjv7ECcQipCVsV4zV5 +7EGnJ2A7KI236WSNbbtVhRENdpHgbZWEwxQPOdXJDBPJi7XRvFLsyGQ6KR7Sr1fcl3j9u5CJViX OvasP79J3hSIP7h9vuyRuzwfmO7hhP8hKeVAuEievTOdc+ALhw//Ga4TTalC3DJYjdCszZqDx6og dTZp0Pvh/bqzMf6BwxiDUqCir0GA+lZ3crjnPI/XTTpTN+VtFSjvbJGz+YaHcDbstdiKY60x8HVV tmmYO1qnaLH05CYDA9FpYNn2FzyGm35W2RA0rrr3dQ7F9jlhifRnuJUo6DXd/5VE0C0eyoncYt+2 F/3Bat2wFPrk7cLMKPXuvi9yHbcBcp6E9dXGs/Ug5EbLktvCOql0bSU8+S0dFxuFALKPYwn7aj9u gyAe+0pbb6GJMqF/hl4KrThycbpofx0ioi8QzjONf2uVhxzkXafX5LdG0hyg7e38wNhDLcHj4594 krdFHrBFZRADpJR15jAfC1uqNwUv5EI2QPyuU4KK6SmL5VZIfLiAXIy9aJcL2Zym2sQY+EX7ClSO 5IdSP69YgOA6PaMtMITit7wsVxqfyLaZYUNkgcQjjT1jQlaRA9iYOBeP1CSUxZJI5oK8lVPzLI0s p0gMdY68lbS0OpeggbqxFzXTmcpxlFoLTmtPm723lj9Laai1WdsmUbH4KrH5GU1gh+fnei/0aiCK +3rJRAh+ooFd0DRdqOuKZxWVgT4LJdOGFOfvDrB9CCCN9tkcLNUH8kmC38WcSlvph35jSwtjBAsR nEASiubbMEQCKhTox3Q9MuTxbQwxEaCpopVBAMwfLJHRiJFsKu26eLkZn0lqyVIUJJSBKSsPxKG6 1kybP91TB9k+3xPzpPvmnsI/KYz4623N/jxJbYYGMHrOkmlUM5AjaIOuxPOhjjA82GNKfuDiMgLN Rv+qTqG6kq14lVIG7AYGllvlVVBxuC5UnmJ/s8Jl0WLDfMnG1UegQRNms8Y1f/4Lv9DsrOJ5U+Ok 9eDZ9ffdxzgQNvE3rtHq8RNWE+hHCK3OgBbpv+GrQZQ4gedGxNF5AsnYLwbT6M/P3aHJLTisxpVL /87P3eUJcmmumvQr9w75vYX54/spjoKu8+DEXCjFn+O1pz+sVXgtrGvuNdu4aj2ukvFFKTfcQThm dzD/GVe3vdfUUb8kNDQPGSmrVoq+lhnA69Zr93Hbpt394EWqLJ4vsItE3l8DQRxu3aTPnVJOqdSw A5+/AmW4loJTbgAtut5WEYg9nBczT82L+fubK7tTBbqQduMc8N43NbQgWVMNNBy4mqGKUwhDlUk7 oLMbqRhq95hHDsCMZng7eBYdhbPLe3cuYTrJushutmcotPW/cpNnN2Zx2F+BdZg9j3A43K6XwbND KaTObnmTEF9/vXjeK3KLpJLqzlaSvjF5YGQUuackd2xZ2kOYLg81diBlH20QBjCMGVhRlGavWtX2 7TeM52r3WZlUs3gAcstm05b5ealJYvEY8l3uiDzQgGNedpefMDCcy1UNjSSoQI1b2DG19wjaqUjZ 0Lq6UEpcj8MeV1DqC6inr/X+rbv1OISSomug3sLQo97iAF5hb1os9Ta6qACSsobBSCAHnVj6I0dU x7wOAcGvraRhyFRbR1Ax3c2wORYhIqAX/WCHymSNxUqX8hB5jUqHfqummUboCC2uTRX/kE36n0h0 p+MeH4KeCG9bvz7ZdGuU5Yv5zu9ca3Ax6xp8tBHqR3TMX7H4C2qAlQ1+AVkiG4bgMdr4qT+GsezN fcPF1oBxpjmB97jbWE424UE0TSWWdzmdg3dZf2qNlxWX3emlLqxUXQ65OZdL2BWm7K3H8vM+cliW y+qSvJvBwEF2GBVMgkTuYQB84mzcRd6SiyCgtOnywxUHNnJPBteiYo5Bmthpz6d687X7a9uOcPbx ZDurhtuyPk/3X/23iCta6+u3HztNVUz6yjnTUTCti6sepQA4yKy2LW/LSUi9uhohAq3hHcaO2/pk z/1NdDrHkp2d+w+H0UAF1tx6DNzGN+7JJmSp3xw6+tDXaCS23qVsuNOP0MkxdtRr95yoEHDVxv7y eB2Pmw5Rt9f6SrQCHWu/qJXFCKfIj2arb9vPosfGEFNNmU9DYWdf6Hv5xDR/GvT7KpBNfkW9yg8Q 7yYoiTztToj7TN6y7Nu1t26+yThSMapNgzqAy+CEWxPE0s9H/lnFO7vMuhXfpzBSh10FisUylYY8 dFyh+lcX8VfQVvzJgfPY6EVd/e1vC/OH5UI0mjO5ckazk6+D9DBc0CPfkCOIM9VTrWv63WWaMwq8 Cv/Xj95C8fI8adtw9bDaXkdl+PDsVcSTEPzMi7aTStZHndId1VUi2qov8e6S7OLCQah1to37Xyco c1C8it9V58UVNn7iFwn3WO75EQLIRkTU0XSO+vC4IgoqEIGrmH4Cy9QcaN9QzuMpvaPatGV4EEwM R8DOdl77251QByKg0E1mDFJUwMqpEH84J2ZbkASespKCGty2SxVvpe2lzvjexizM3kM8Wbu4tVkP i6W7I8RLo5LfDsRn7cKYNh72lyHOvCjxUwSSZJqiGqPSsbhzriykiWkptygKsYRrPuI27nVwHmID cXFsUJzhAjyTDETSBpbWRC6YvQJBuirJq39gq5Xm4ZGLKcj5+Udx7iB+RG3zKiKhk7tEMqqBl73d a19NYzmnsCkSVfVmDQcBDR18I9rVbmXSAArKigu6lmH4fJDtThjDCBYX2wzRCi7zaRvsOqH3d/F0 OT6TUj3LmeVkaxX+2vAN6U7Cn89MOhJDJ8PUYsk/CM//sX8GxIE+KdtxUEQguk5prsK8tuf/JHti acISZLs/6TPaDAbbgiykpTq5qwi5JHcnYu1Ugz/zbDrpoIj9JugWGeVIURZ+knviWrgD+RZtBvvH 54WWcBmH3TV5CMyHRm7a0/5dcBh4cNEl5xEE483YHVYOx05OEqBn/aYyj9FbrsM2IuKsbqdEDViP mnn1w6F3rxuHim9iQa62YhvaCd6K40OTx1HUhD+EaQETHq/0mEcGaAyKxlDeUrBwj5vWqM9pD+Vg vyCxSuAWn6rmZFr7ycroZI20TNZj6yT2p1aildMbHBBbjWBuhgVBUgxmqRK4AW0jRwENi4+HKb3o DevwAud3oDY4+mzqrPjmES/Vui75t7KtJ4G75ml0Wo1tBNAvFa3YOm73LOGEqlBdr2uxPjSt+Erz tH3pJ9q5EYrorAFUavxM0rK/NSM5m29cDBjKDI0yZliyJUbWGxc4GZMXhe787u6o3S72gMyLqJ6E kgxrVYLA827zVU5O1ogrnkjrJHFJ/aXUY2mt3qUVlgjwEBbjiv3HqlHwlLWN3yAIewS7s5jOTznP 41Eo+bM1rJ9bC6h8K7fN0bdoQXrClcrxxSEl1WA/nGhqU92cNH5cqvmqqSL3YuwOqHQTTSOkK2YB y81ZC57TsDh/1tEcAQwzXQ5WAK1evypKaTdumoVP1Y7yVJ/+oF/cEHrCAP9fJztUlH4MZqjcGf4B HmWch0efDFleePTLGmkVdpJuKBxdDuVqc7GWyljgUkaUtofsAMtO8seLyUtslKGTk9buWIpaCwgR 5sJiOPg85Tw7R4gn9YRn/1JkEa1MXKZpvjFaoEPnEpLiPo/65aMKz2CwHHw8GTMINnIqy8eeMkWF RNbMlINmqn6J8zmK5RXG8GnNFkqshLr+RBUQHBdnBueE+ond2879nWUAp5W3i+A+4g+Csib1fvdE zEBPGTFen08iVZDrY3NQo1mYy46zcnbss9MmYW6VoQbtaXCr4/dcXiQAyJHot7L+tzvgO7XoiNbt kCBce9egtVsVTmK21+gxJuiYRAWgGNxUf2JFP1IC6B6CIISp4In9C9p2H2snDgdMMunBXKTtk34V WNgNoSQ+YYjAIUxfXf/saDp1PNuz1/yOtIzXi/TK5KQeBCpcIKZwKSakVfNDNcsrIH5jXLeWWEyi JtF3qYerYldD53KE3YnTvxCvTPk54abpWq5C8L5jgzWEmEXhwnPGos+oQwX+d86cQTzjlVYCZEvb NzA2OKWR+HocVV3lK5JaVVxHzLLVP0zYPYfO/CUZYeDMx2TQn5IpK5ImcP1/hscyQmNgcg0YnMm4 V4N3MOI6wwax/bk/3fSfCpk4XjQ4cE2XDXAlmdIs6jdjiAqq9rrtiFBv7V0gEnju3icRFIwZHznl SjW6gHRnM/qfJd79NEJTko5s/XTmuRoG5UTDKEvlcgfsztlL0lXMnD5O4hQU36VRAZc8YUi6WV3s yIM0Or53GqZ8f47PbaRyLIZqX4NPCjuRgCDSU8K74EFnKXsm1oo2/8BI6kLu2IU1Iz6y07IgdlYg W2nnusGdrffJwssHoNElJZ7HPRXuMKrsvsobHuNKfL/Mu7p19XWbLQ9BSmXM4JIiv4TVCjCp3rM+ Lx5U/OGOaj2Vjp7g2qi1WabG64Yw8oBGGnKEuOey3jOiOdmJhx5sWTLOvjQQomwPJpBqEpkIgVI6 bfb9IoP+GIJCVki0uFAxosczoCwws9W0gMr6465I1GZLTc1tKvyOko+3JkhdId4MKSFJnR7yMKzB dHSrllASHU1DD2hLwt/p/VjN7nPx3QzOEPLd639eZQIoQZKm5B82fWDYwX2hMU+Hoi4yVu8V1FZQ Cex3Srn3i0YHQH0nSaAuCKdPCtgfg9eygEdPm/vq4k3kfLNTVD62+mbbpZrca49cv7DF+fNk/7GC WFSlj9J/+g2Bismi3ozzy7ESQLKkskYhAUkrYO6ZO7wDSZZUgYgxk0Lrr1MzLumOgReQcneJtK3L yMi0urAWr5U4sZXDYv00VUF4Z+wo0+yfr5ex95Uar1EwDM6jOkEEDj4OtzgDxo0flBDyICg/PYyg hxq8303yfopR4HuQPMUS/zY8y8Hq7k2aj4b1UEu2OGLzoSVxIx/x+U44lnF1OUfBvFOUX0OfIqBU Q9O4P8vuDzCllIOJz+kt6odPzHtlOaVAQ78f+D4ccsoIs6ISkEWGzzdZEo5wKG1le9dI0EdRn+KR s4p8Pq8owkZLm62jMCmwjGHqSwbObvt7ukWydoZymTbYPVa1OYfTdPYZEWQDFu6A+H+Wh6GTmZPz gXXHvJprGGP8tKi75RTnPCPv45zj8wfF/cdbI/LH2lqBsAy7aiiyTdWFWSpShuCgVVWpiLJqEKhb 4mOFxjNx8Dq5fmyI5QIfLCmrHhmfgT7Sj7IwnIzth0GsGo3Y7yknopcyKB6Z2sutd1xBEJicY1Y/ H0kR3cdHAyd8jSYLfntD0rTjRelpEoxrcHp+6+1flaO15Kvl1Q+zfmaDnyD1dQeTBsArk7awx2Sb 67a83C/YuG2aBii5IM5mY2NY5wjRgOXNDElbt/EnvzrVK3hQxpCKX+zZ9UJvhGC4x4m9LV6h78ru sh46gJCu4M0nxKUFN8iV8NPdLP9dyEd7FQBEyinPn3U0pfjAJia2ZxjK01qg/iEw3smcmHUh32fi iYoML+i390MeXQyCfTv1cdVGpOyhIWWXTfP85rBsXFA4xHEeHofkTnOdCk31rQAe3ghxVZZXvii8 dBHJLKBHXqqPPBrlWfB5eNdmCW0Kec3E4JdH3pY2tUij5EHbk2JCKrMMzm/fRsgDKJJuuXqknPoA hW5BE3scBDZJaqvudA3Ya55WK4dqoc9lp5p533IjtJFnCLAmGjbyadiaYqNu7OxoMhmNHpxJkzSR +xt+dH0U/yJvZxHB+0ueVRNiKol69Qwmfs9O3amUd3npazOcTkYT+wQ7D/eD3aJ3CKI1dj8WBYjG 5/9DnpG+zOpLK3tutBlBVC+t4P345kOhsRffSfs7ecUuU8S676wmy/yIoG3J4reeOQHp9rPjPFyi MAiLkUMV82l8yyjg3n/YgB6SF12k1QBrvLwyIKzvtqSmMpL1SOwVsKfJ7soKZR423hdluDBtIRhQ lqXDpCpYTMYofZtxErPrvJId3UV5yvIEAZzynqT3BxjLXMjHBD5UKli5xTBkOmxT0BkRiBsbN4Am 3ZlMy6z6UPG5+E+2lKhHj21iXAKQEOIw+Y+kgMCsdspCfU5wE7t78o7vNf9Y6Q1O+i2WeZ7N4elH ER62e7h4nXFODyK/02sWMiGN7aOrTQK/s7DdRd8ZqyfwJa0q3XJqwNO6p4x8su2TEvUh1Sw4BiFu DFwuuUBHAsZXmAThbGGyJtfVU7S/a50s3GWaVT7bB+9/SfBd7nsW+q9lDrtcH+MAgUtUZmMfervq MFAG+7JpxymGed5m6zl9fQNMX/9eHxAqg4a3V7C+yLm4rQoEc8pNRCRQ+1AfFPlpjHgiBTk3gWe6 nXs9sZsPYFq2OLdC95z/8aQf48gvtK20xasYFL034SdBv1+n1k+LR/r4wYdDarzFbumXyM/7WAuB u5BOiygtR+c18E6JdHFNpvjRfQP0sCcT1H8WQc6bHHZ3nvNUHvL5yJavhoReuuMsu+DDHRin9zIq SrqyZ+39KbDaEEvXJ11ExNMq3iX80GLw9b/jsKOWNOzPJvCa0NMKGOKRMkcA/ezA8TtRMJj2Ozf1 UuhAm+Nv4J620D/9otPwqNcD+xcPQj8UZC/E9j9Tw/XkHhMWiB0/mwOXJ7RdavlWUTTmx0yVFjG8 uLOhgAGHQhhkVyEMdRDTnhubYhGk1p2JKeiML1b31TymSCHlWSpNjA9lgXUrBZEvHKOC2efdAhOo gxFTJuqMgikyJ3eWpesD/MH9+8JpFdfAd+4iFJYd0on5dbdyWuEogWdJUN+kP9R+WmaW/OEqmSUJ AebflrAOdQUmgPut9RiSEh2GykPCIXNXcHB5mbADGUnjDvnWcWt2gg6pnqziiHvP/uHhq561PzD/ E0tGvKAxAzqeTa3N3Qx4clEH4bfv+C7ZU5PDEe1OSurO/Pf3qo5f5+I4Eur0b1WKt2XnzN2sL91j VQHDYKRWhupEu6tQA6BnlZtBauL1Zg02wJmHQnIj4B0RQotuBNo3WuzCzZsJtKDuHwFtk0767EIe 6d0PDcCAP68RAc51EwFCYh6/kH7F3eUt+5tiKTf7bbF9vIsFjX3vNk6aGV/mc69Ko23DZ/NsjqVI SoyTo51p9JVm/1ruB8gjb3R9M4hJs8VIj6ypggkKHd6ELfwvTQHcH2dqzxvLTEN9mL/Ra2l7d+UQ 9LndRgcQY+gqjoZZO0lUl933jPpB3/VcjyGIucc+Bg9O/xBpaNJ3f83bzD7Ktd3GB9nBn4HgovZB 7ATdR0qzXTsZ2o1J8g8ZFwEsBjcOZf5X7ybYlem4BoBX2+hxs/mJe7VkhzW0WYaXgMwzWQ2cb8Pm nezM7niccZyYV0fqDpeBJwro4hysn5/3VQEMd2zaHyV84+UkzHpmHXqWzgFiPnYhbam31Hdvw6Wz 8MvnEaVNwBoJunT8nGbYCK+UkQqBvtMum9q9MATdugJEjmRinEOE5Edq673AWSCm1V0wuZmjgE0p tahnBB/nmmrmxMD66P+iqb86DYiRM0DLMYIXCvtUrYrJQqx9qfg5fzm6zuwr+dZ9BhaIJK9BEMvU aRusw8tOoyW3dkKDurw5P8euJPLYPDgGvXunm7dS9d64jTlQTeZk/Fpwsm1CmXBfOMBZhJ+/p8rE k2q92v7v9E2BLeTTstAAbKrvg80fEy9KAgsEeo+3eEqLAWXgHpwUqcamtmxI02c3xXvmvFEz+UTs qGB5Afw02+wHoDot5NJYpc7R4gXvjeVaGu//fDXGcn3K8GX7+KU3s0wE+vEMq5vtQURLhUTd5rw9 wCWiUcUgw3oT5c64NsKOQSL23bHZ8Mi2MAh32ECfpIsDInmmQTZluw+wWXZez3bN2IxV1HqvyuCy u+tlLqMpjat67akzD15t3/rBhhO7Wuik8xs30qOEE8wYKF2RyhODOEtDEmQPtW879IrBiEFh/Nr+ WleR3mpT3J3/8BCWE4zKnQcXiCn80rnOEViMGcrAnnpy5MSqTlBxuqyPkpX+ZYcoLkDp6nn3tEy6 qZfLHbpzmvnRcJhNPH4aFVjr25rP1BR8xIAWV4AgsGo0/yqgZMg8g2DRtX+7kr99Mgh5E8dI0uUG dh86jbaWExwbxMxiUaKyETB3tMQepvMlRVk77jmfmwT56W3+exQlPmKVq9Dk+uKkkkBdqIMW3h76 hlozO+WhlPLVL+aA3Dc9qDqlk86eZo4HgnQhhZRWrJ70pPURP+TuWBUQEGfksxSz8uYk19WaXL2v UasQiasHI2UhhM0vly2YVZilX+yqN6bafYBQqyX5L5M/nH/nnNHXDv2W2PCi7P/oSmi5IBj8Wl6b BrdB3Z8Ny4QyMAXkklr4yB38+phlm9nR+bvPqe/d94UZFVvSTRQCCw4Z8bsohkWlT/syMFOvQBbF 8U1qQxDnCki9HT4OAsqvQ8PibclmOxvSkJE7+Sr4FsmdNKGpslGna50TomP6/obydbryBFVml4/Z 2HGS4BLZbqK1ZAuHadRg/xNul6qB8s+HSdOCOHpfTpR5hIXge5YxDsLMxzA0UbL0RwGZcRozpe/S EYxRquuhWBSs9SYExHUpe2+xftQORvVzqBaT+fDdXSiluKEdIwF/OCNCw2U2JkG/vhq5f7/Y+Mnq Z8vkU1w5pnBYCqEJpv1UL2PPxCVAj7kmbAZ9M39u0xspChVIHe8idzPy34CxYHJLa41KzOuCZ0HL NElNhU+9cRSh9lKOLSWjJdDrj9wz53fjq7+Xx91fVA5OWbvl8WiX47/EXXCqsfxxdJfu9hshGKoW I00dkVb/zMs2yQScxDGRgXMC+xn5suKIkoU7LQ4PDpIFaXPoFwjHrOnKhruV5GELO2WWgexRJut2 czllOPPRPvPyCDEStFhOhta0rj4H/bOgRpDNzJ3kTbFfaYyYJ4LrRI1JdM2jUp8AG8dw5ntl8fqh kj+3UmxMJIX3rBAj2oRNnen2PvtD+okEZ0tLB0c2aY8/j3t7UdQcUwL+dfCtgLN0e7jQfC5aIvEz p0HX70pDc17NCNuMpSeXF5/EHqBOcqetqu+cSesJKfIKg/FaHdjdfL/NrqQI8G27/W356Ns4p2Sl DoLLSf9VQWwziE8wAsIyYlXD5G1JkluRbpI6Odz3b94ScYW1BiOhxZUdmbN7UgkLZX+uCKammdZ8 deEcc/XPZlMDREzall0hbbxLTRFxvoOdDTVOLR8PkepfDjSgtMPj0v3bgncUPLl6bmS0Q+9yDG+t fVxZHDp54hpeuYVxv5iAIHvouM2xiGmSG3E3n++o9/ABbl/X+Q1LpE78EeT41q9yJTLBlLVTx1X8 elFrJe33wy/M1s3rtUXG8xl+WhYx/FZDQKo70e7tygswwKnnXXXW+Io7D54rMpGt0ZM/1aNzT/Rs LlgMNO0FthpulcD8REGP8jBIRlBxHQ9NiVmG1NV9yKO802PX+svR7XZrOvwZ3aKcTJBkP3FevyPg w3WTTbyZEj0vl3doHG53DPF+TH3ifWkcqxfYrxPWF95DTKiuTAbuHwcI1IkVai6nOZYfjMYKIjDd RpEFJToJg9zx6SjiGFq6rVPKYEFy9tfrF6Q0IPIT6oSmQkJyc8Bsg3/zwyf7R3vkOnxff9Y2YEYS I1dedDIFVRpQ6kntBdf1A1SjR4pEiIk8fTA6vUUjWaDf8mx0VccWn+T4HVgtJ5znofzcuZD59xWG ovqdSwPu17CzfhjLR7ts1YkE21ZE+7S+bkF+okJDQ0hP/Vm9QggcJMoQJaJ+lpZSg1WRTOs8+f96 4yVdCAaH8FCt6O4gcKdjjfnUO9bF6qCwyxtOTwL2dQxm7tbCr3NK2zCSSpPorumaQqaVL4S0Iugv Vx/kqwqD4XagBN4XX3KQ2k28GDtTm3NqMwhlViUx9txa0elitayJVIDL6Cb5ArTBOSiDZLoY+Zdr f4aDBfoVlk0gL0VI26HuJG8Mvx0XM/54oxhkWwlCJs9Fe41iCsaU4USMhkWwjF9ONE4J6w0LSZph 4tS2YdTQdQJv370jIsxHZgvZV+n2Ga9mQMkBiBy68eJGfF0VanhyDXyFj4dzeF51XW4KfDh0Z6jf a2PbWL8gtCBODXano1IpNu54XqWGBeTbenC0oHpypFgjVyJDARXm4vSyRmTmbUnuxxDkzho1TRvl nFt+oDXPvFwuWNETVdiUM83GxUjbiZkG40U24SzWDD2vUZM+B3enp4Rc+swkpGXYnz7JVID+jjsj i8eL7zP1hGAYENOjzEjb5nC+60BXKuZB1tdKRX0BPzi0ggiObYWkf7Jr+eBKNRRcX7YZorUc/Bqf 56hBFnFG+3KZDdMJJMOYVDO/G0iBBsnXxmDO2cc9Ygx8lJpE0+q9MtdFzoobkUyn3pLxbUFGxXwp h3sieIgXGVrdbgYpBANiPeE+//HI80lKkxBdoiE3OPtSQ1hbpFFpTxRsM+9kuby36FmZv4Wo0r+4 9puK0CCddCrCXiTqxfU/ePm1U3VpxoVTCn5dUKDnNh4QXvI+2TVxu972Ek0ArgZuMrlxM/qb23a1 anJAtSsWeozo1j6ziYIA3ILnvG6pB4BXfytfhF8z2Khu/TtgDyUSz/pQASu/uU0Gmoj/ky9AXLZp rCxQuHtR0OulaIyuiQl1/m7hCJXiTjGPNFT9+UWP0ybHxJ3Wek4uE54w+O/220GwQatJAEIwkigq El0F0MiK9wqcdVDJA9xG9jyRc6aQCSYX7zAjQPtjDH0WMS1wGu9cCeX/P+a/A5xu2td/vfvFPt0E nG0L6i4t1fEQUfBrmP+wfLs37KDeebPq9zk5wQXcQ7br97TFkxMUp2FsfwqOkl3lVW3l1GNIwGsB QtVv6xtOoh+9kpbz/E1LJ0hnX0c4VGoWTaEMCEOhtKWk6VGLjT+so/2fVTeeOkL3WAfmYJXRr9gz 4vFxx7TQZIRflcCONyiahPYCV6R7sf9S6+NS8DTOsbXZY2E9SCHcsP2GZNfXP5SwilheFDaQlLWx eSmwLvhYbAQJjbsyEhmaw6Z2qNUktMLD21aODe9WZve9TatX4kgynVb2Sg87Fyqbgcr4RcIpAXqc x1K/jfnq/VNkE0H/4FOrAZvTzGSDqlIDIaAWCbaAQ7/whSCjS+UQRsQdLqsw0j4QwbETE3qDsI1h XlQTRBzP27DQ67PuR9F9/ctqRGJNPO4qxihh2m55v/tSRQgE8cTAfWn2fqspNs0HKph5GGkkRtZO lE1afGl3sCxuDs890zAbfQXI2PhkpgF5a/yk77ZA2KU6H91pFPdXE/B8Vok8coGFkHnf7JbHxYpI MgE6d6B5i2b8U3oEoNhQQ/7le584dqS13UF4Ex97mG/ZllGlkUQUNxiGFbp+CthcTDcKwP/7EEAZ RHJu4GS3ngHYfM9aZY1NeISjGEizEQ/7fUHu2wh9r+akN/0FgnelVU/xbwkIwZoqOP1cU9e+uqBG i6K6148jmF7Gq7X1ZqTlEK8m/wO7RGUOzUBTkliY6ZYLWS9NH8LkQUPQd+v/qkqG0d5uKe32A51P 1OBzTCFPr0Sa4g+FC+v/B1QcvtsmGY1lpYUToy/fAr9AqByY9+/aDdYzxJGQdgTLtNRKB/2vXjAd f38fXhTQKll7kOXtD+CJEyBtP9m2HoKm+Aa2vB/zJ/4sCXrFzk5WAx29fcmY3ZQ8B6nSYWWfu5ZK jzW91KZD8nD1grtmIyvuaNVAysdwPr7sLfT1QZtMFLzR8uhX4ww2XDq2rYvCLgJxTq5IhnsKlblH GjqVbooR26Z6IE3LyRHo5z2BC6YRWoEjU5KMrPScHxl5PZG/qKXDIGqukdMQeFbwDCcI832Mdn81 EnZw2fnivinQiEQ3KVf8c01kl7lX11TLLHLQHD8aZGVoJrKFVQK2GRCKg3SN9vPK/rfL70k5GDaq j2ej64ESKlqJqNhJ2mmRaWqHoYb7e7EnEDQyzOV2FlK27WGqlo3qDlTLyx6ues2xNfd2PgWw9QBe +GXDmXGUSrlSFvsSThSn9M0OHpJw1fpiw91QSFr9CwoLlaawQHjh/b/M8XccWSzuV6AvBacvdCAH aL0kPnDeEVY6yc8gFJRLVm1CD11hhvrY1BNeS8s5erlI6p+fwbSHQXz+rMXq80/fHGuVbvSz9qwB nRLkHLoEsZWFIlFg4F4oeDJ+Or33nchF788CK71aNCezUqRiFUmRQbN4v/mxSwGQPshrMT6Q9awg wpsejYfhM4UA6Ed9tR9MHd+TzjHpJ5J85RpBUYPgPJusxYT6Lu/fZsWUGALC4tra3rHRtBjxq802 APA6lVDjYfWwhuxnzglkeSrzM4h1cTM9zo/p94ia8KTuBnaRUT71rjspYhM3Wvln4kFVW+SJ8RyV u5+K2TGOtAQOxbn3q7nnEdnQn01KFv9zWwoyi0u28CrwB3KayNsFu/bwJ5Rjm5bjxwbZtjqpGn8c mZIcidtmesRhpiGaFg+o0tS0WLSC+fJHgvWwWdsgNGQzlAx7Y8w+iNzZDnGm/0Q11WPu95QOSPjT CYjrZN651lTaLlD1GheWqma9i5BUfCPmmkvEgfCK+ig6cJJiIK6riYVUFo6338XTVWGZI5ad1t4m +YZnbo981IZe7H0UoZUKax7pypRf0ww61JGNKBaQsV4tIyM8yiNBLajgltoPGnXWHMJ1pBL2kTmL wxsuOP90gCO78OIBct/IPdMWiU3OPgzNkhWDGS6hs8Dby/x/3pvymZvpCbwy/kWAaZhtSOjnE/8w YteKCOGO5jpdaLN66JrcwaqkfLBQWjGJLF2xUN/NXBpvvRE8/qpAfvLbyjo45dP1GkqaOB8LElUl uohq8y5RNgN49YyYeZb6ZQLY7ER4Hs9qJZXzqaUKrxExLzSbk1/rvNgV1ySnbBI5qcRaLTX0KaFt ZqwxZIYPyYAPo+FQEw0I3/BGEf5zmcSKs2+mctrx+kBD9Kct6pS+8hj6ZGzuRTgas6LyD6KNkaqQ NLrH/UWP2+rzBjYSXwavUBkhs6Ist2na5IePxRHfLcIXwzA/7SwNtmKmZ7c8oDEO09nC9rMFgm8y +DNP5XTAGC+qpojbGNBsMNp58kVTtAp/qGOe7zhTWrErHgU3Tqq6jFKZDny1HW6MjA//yXqEfAiQ a7aYkvlPTO1g++kt2JTicNDBKlMPFH/qzLxt76z4IYuDlaP3wrLpHpspwJUs20Sk4+HHeHKJ4rsK JfV89IE7FbzGhl1UKi9DunfzXDJJOyfGRmw5LnkSY+PhPvRUY7wj2MNol9+CAoMs57eVsnHQcDbZ V93U7F9kDC8Lv7Ea0gpWv4OxFtSZjMPfcBpO9E0BnCsT0e0ksRQwGsoNG5xe8O9FS7jYTaXyfZp3 uRRipdvpxSxvObFNciZ3h+wVZiy4LxaDQszHCaCq6C7a3xDLr+Wr+obNrkgRAoiHfUIXRtfsHOTM Q4TTJQ7RV0n3OHYyVLgBLb+RSe30Mk4U/XiarVhdWzDusO9l+njzHg/9RYAY+3M6vZNZrqpBIpV1 vhiVX2XPYJftcvUKuP3iFflKb5+JXXVY3fcdrb7myX+sBMImmt+U2p+ucDjmsbzt95kjCdDfQ41E ZP9KQXyXqx9rKNh6Qo4TSr2BvpP5ANNsvBEhRVIdKGDrg9jeuk+P1DDPOYP5pIgmBHg02iZblpMw 0vpzEkJn+p6Bs2YEOKjjEXV0VShK5JUKAyTVZEJrGNwXJXCOiiNkqBXLcPA1tpTlFIIBaok5CG88 4qKN7tzTwE6o8xSp0JDhBjb4thdPC2LeNFbvqX/T2Ahr4Ur5/RsAoYr1zyDs0wOLfMSCMjnMna+l PvFcVFJz0o+wX82+bQNBrmRyvbCkEVNXE7ZMZtuPAJq4ZL1WsIjeMIn7HBzc5Uwf1XS/S70h/JFD uWPOwesa7y8asZqHbmSVSuq6d68H2Jg0hhyXVAzZUR6leOwJQORdOVALxmFWcZ3jOavxinvUXipc rxjZLlTkA5jVH01JUgXnd3hjBM38mH56a1nRh1XW+obVM/+5YRVuED5HKZ2XR4xmXGpGDsPqOdIl Hvf1pe70w7IL3XYs+DdJs4e+8QiJbj2udTrW+iTQBOyL0QUEGdUnAgoxHgJBln40bzFXU37nQ1Ue EycXLtYFZlW8gSOs2ytEtFZtMmYCjSMZa74OguzsoUS863uZ8ppDIVOPiJIdkGScyrM3QvAE9PVm Oq4LGGF9eS3prLZfXJ+PxR+LQHdOKJvFxdcbLwenSg1Qhkk1VOMVeWkSGMBpYBcBq4hXNIzLigxw sssNOqmwHSTGgQXJMHrUnuNf5dqtHVcifufL/lqi49yOa+sXXYbxE0PdmYYvd2w2KWSpCZzT0Ody dSWLeUBFeaJDHYFuz1i3RMNxAd2BbWlydXBiYHRGl9XxpLXcA4c+/ou5eImtQ/oQMVMGTbMGcOLw 19JjbjvCTARRydmriamI/w1oDFacUrWWncQGpnhNHwdpPNizIJWBpVBChgnLA4Y8tOWMpKIK9aU9 5X4vASI5VcHkyqjrmVLR3cuJcWTzFBwjLYHjrJr0+Ccq62F1iM8Z9Zq93TNXUCQeu8cAIUkHcMsz OWifxS5wDVZ51WYi/CMhm/QzNnqW8ygYHQaaqeMfkPLphjBWpPTaUzHdxAueZ8W5i43MZb91MjBA nENmEU0RwaxkOcfJ18c0FxycHJbnKhrgtwQnXQ8RCRORHDyd6ui9FOACKve+7EbQndpIVOc4pupw rKqiQyx9CNj/GbvhR7cjVP780Gem3TOsR/IOukOYrNwupIRYrNgfLO7ND/1XYTMD06mkR8miHhlE kDlCH5MBMzuQavOnCpZmfXJSracy9/Eu+rgf07dN6OPfdtgN9xxU+DkODzKyGBu84zis3yBBPHpq p1gmzUWJ4K+Cg0VouNzuLff09lH+Iwethhd/NwIhY5qHSKu1HGq/tsKuekV8o1ER4gOG+kGCIozx kK0MZmBbzFYR5eG7T2g4Gt90b2VH5ycoZ1PvbcVn7Dgwco+LAcyKDfCROcawt9vF48J8f4CwkLGk HFGPOGE+iacW+cMAB5GjDXVd9Ihpnl2Fk9K8YWClB2lGRRglke74MiXAtBcb8SG5awD+TZcH2Hm+ dawvpX+MuqR/vmADJ/bxHWsvqj9n9modchpkUBV3l1GJA3O06iD7jnGRq0zfTC2Sf9gFnQ1NeTXZ M80hxmXOFDyZ15D6e5GP4UHDCIyPo9ZUOaqwKyDR1fgYhWUfWoukIN8RE7g+aQ5zSqvgNQYsYqr2 RiaG90PVFXeB4S7S4cpj1kaLBoaPz97A9svsu/MewdMEv+bLDD9h19kI2fTCy2G5cIXA1lgYBJRC VNlq3Reb677/xcSOFAqGPjMFz1u3caakR7fzDDT5AKwuKWdw5I1gKrjAWFXPACf+Bl1Br9V6ATt9 CObFyjylHqdbn0SZuuowRM7r7xbpPWGlzzbD3/FRk2Qk/GYgQjCerM/wqRCmmfv7Z3Benj/0iy5c 5w55Dsfyflk9ATBvJCSNhsIluwcfTWCcWov1puSa7ZaX8ukb3n6z7d6fIRRrNlZ6Y5K3L4xxUImT F9Udfj37AcdGkGCTlgBctxtMGyZQ8UHhxsdebBDM/5wn1wN/3m9gOYYMlAiVPNpqUzZ2sGGSYO18 DUHldpw4HuWbmrOixqvCPF7hmq4Y1Jwm+kT9AG1pXpNU0S5JQQgS18kSy8cqxPIWu7zkW8TcoDD4 TAhsvDQGzD3snngOK8GogWJ/2je+c3gBzQYfbCWm9AUyBbcPhIa90KW5lF3cZjx/tMUdf390YOGm R80K1CkNt8VhYTwXCsY+bK5neBCXUiLLsDotx75UNck/Q+m6faa8vC8UKqbvm6GxT6snlu0784D+ ++NmEInN+1856erXaurx8aB1wL6nm0/sWr9HifxU1TM/PR9ZN8I/zD0yKV9Cw+R1EELhaZvdmgiP kRmgcVweeXFMVyb5P7HF0PcDbQfknX4ry60MLCK95W2GYDyGXB7iQvdS/qmLxnaalcFpnc/H4idR c67PSgbUHqf6GvfzHDwsncc54JN7Iw593pbsW3mklLZQ6crUEtUz7xNtWN6GS/F+0oEWZ3K5PUuD 0hadkH2Vq3ODatel56E6O1xpqmB4rGEWj7+K4qvhoqXqTldU8MERZgMqEw6xQaxDaqdp6I09iYr9 cAh2QkDWcpB9pTC6jetXccrqTiHl79ijhc1K91PqOMOdZb4dgaICyewagnT1MPTRBX/fRfPXir58 +5tExW52fB0UIInACZVIhWYOslKS4QevH4BKvs95ws7MwM+gT3liFYt6jh0dX3yhuNuZlKwpXPD7 lBf3iw4V9Zo+Jg4H0JegnNsQ9GiSqgnzxzt09opau1ELBKJUPOQgKQfVUc9uIqnxu2FpjCg0sZZj Wk51V02DlGEupxVYx62EmaIdC1fCQWYruIRmgBSaGedphoDSy6YA/1aULSAmOstWBPLWP2aBmqkI lA6hdVLIudrAOaYerBaM43+UG6jlMX5szYEkTpe6vOCbTtfobDjQKPNnOVwwfptlhiGLVK8Fegma CI/eUhMbC1wMMAYu5kQ0mFrwiKhy5EEcoXXW98w/n4M9cLWOG7OqZr4QGm4nT2h2kK8UIceNHRas 7X4Ck0lLq7osDJu98HwAC/qsAlNNKCMxsgc3R1UYSBYdi/lqCnj7eaKuNxgr0YRkYpDoK3EjM8XZ mZ0nAqGeCFA7dn33GzTtV7ERzxSdPigS037/38QKCUl4BO1zVMuaGUe38BUPdEmvoIRNflr4tLwj ES7uB1BAgrvHkMSKetc2b+u2tSEK6rDI6Hvx2w5U+d/fiVsFnjO/AKzm4WFp2ESwlfm6sni1qr0k r8241koKoFo4oYEXcu0ELAoGazGVLx5pDYNl7/gqLRch/fYCff3a6iLMDenYvjPpJ2flM0svaOBK B3l/sY8ajT42IfLOBa8DJaW3DCiCs3SvX3cBIB6n1Ad3LgHFz11Q302AhbOqqp9iRAIgHFbL7Fxn LlL2xgDp0JGqos1aLLn+FJ3+P6E9rXtWUqQREwgyf/cq8r5L+QblnMFMX7vef/JXXBLPNrtV7jH8 geUOgG6hpKcZ1HanHWhNqSGiBX76lf4dUjiNL5eDwvInrlI6Jm203V+Q+GTiEH84tAPILdZnL3Po JlTOVdY4G5NhIlb9BVFoKBsPcD0S9VypzRjt/K/WRv0cGQD49m17CqCuLDl9NDnb/C3kV6I9pRVe tKr0h3ZOzkIC0xaDSTm+2PbUPT3kmhDmM5u7AFCGnNYuVAPa4OSnkYllWJhCSYJXobz5xfpUZrmV UtYQxE07tMoHbh2yecrlAHHvgQJoNo8SoYOs+zVo8i2IdHnz1HDbLY7GwmVLju97xuP2KUKrA0Ab PB1m2Uu02ggnOoBmd0pGu+8kp/yTkG9T4G7/DTlgCF1q8q2K6DE3xndDPqtKNenOPVyPq1TUQt1v Bnspyduwx/g35r5Stpl7ATC1MLL2jmrt50nomWooKBUJFj2tLCGlCD55/+ZtP0cSJaj/vwDG2p4b 2UtBo+k7mIKCU40+82TpzJQJ07LRzZyT3I7Q/+FCe/Banz9/a892ZOnhFjw6K+7kHKytpOm1uLwt vmO9R3Eksg5XuqmCSfQ/ce9GPIYA3BhjsfViOd2eOTEp5jZYPmKHnIb++EKRkenR6euwPHNND+Vg oDSyBbMxOxw3A/JA7Q5VLKR0/W+6/AhDPyIH9GQ/qarKrCMTzPoN01X7gqW8ptohz90tQ1mzH//f ZG47Rcf8VgeP5EdpjTFS12uKFqi8T9v2LW0mmQhWV4bI06fg34N6COmxTc50bId2rpWFWKhuMBUp KtwD2eT4I9TrRIHM+/3vRrg7gxNwFQ05jBULKsyBl7pgGeXs8UGfhYygdBzs27o8ocgDgi9VkJdc FYreshPNAB1emETWrnw2ooUSaMXe85aLi3yJWICVk1ZFXSj4jVuSyLvlM390jC9u7vE8AjqAdhia 5AzT+3FOsLz1i+LyMpTbPkR2ZVuo8AxMK5oevD4dYjF+5+0f/2ArvtNBmeWpBITbn8fAmIpjpVWE 82zJdC8Tm+VWeSsQV4RKRca1vkURvj4WJEne0n4/ua5cSOQJlbJjtOW/FBdj9w40NF0UQhPvl6Jo niYLc17/NFTaOcw9Ik9wMNROXjzD+pFZ40/uXeOFTNH2Ye7FCH46K2Xv3ZHoYgsRARu1pRM2gg4o //8SQgsm8WJA1Kgyz9hsQ2U30SrSZO6/lVbWt/g5FQyelzxWuv1kPig6SyYTgWEaZ5tgTXvlXFsq cbfJ2snF6bms5ROQQF/N7nbxZyipOZiff3FzyrSQhNFgRNCJcJTB3vXg+5gkVLmwgqetvgprMAex /EygBv8CS59bzTS4zF+aa98jLKeJgwruUKh+m35r23vBkhs9qyqgDDKdeVFEGX9vsdfFfw41hXXr FQKIeqalYg7WOmp5BtQFWKMC2MPvbqKyKNv82rQOqcc7MM4ifY0lryFjD4yJQqvaXygaCSlvB6pN 2L3dy9XTRyilWwNqHLlwA4mqSwEiQyw206MYBE2C6qeqJb7x0W/qkMMOrJDw/kP6d6Hv5EN2Y8l2 iwYfhJ335Xl5v4YUxXWjLYkYC6NnVu3S94LDTJ2MTzwpIzuWGqFlATt4yeB3m+w4Ww8UaFFUX1IH NTaajBsSpX0tKBANexZYj8Ei0T9Oag3cMI/pEMna0OOTaHUL/pOSIjL1Mu7XcOApsZlbJG1Ih7MR 3klm5AIUF/5DOFMNBoBfwydxTgsZmn1h1xkT2kawP8UgJ7XZSJtGPXDqxmre5chUm49KkZJyukRB IQjfUqmkjQWtI5y/Ta9k/Cq7pZvPDvzTqs3+KqoVXi5lk1jBWUhNKKZGMsDlx0AN3WLPxF+tpxo7 dB+LX1iG1jPEhhIqF/CExEQYcGDbGAQls8SmWWZPN7kON1VDkBPaxs0gX2cqjRP8HVemve/0nY2k 6HNIsSzhsIOousu2E5kIhO8E+driPe7nHUJrqWl+dpH0vtHGzS71ZcFgurMjmGu41sy0olnKolmI BCofIY1iryYZEGj8pZi8pw01GZRMalQO6gKvzrVnoaNoVdWmRmULSo4ZqjkWZ3eYIrV2wIUv/mak JW/pFnlZgHhgp8gXnu/FeWbHDgy1OvZibkL8GvyMO5GoodjqT7Ot72uGwPosDAZF0BsMGEz4YSa7 wNUyygAxwVPZN/Hw9NV99wfdUdY3QwdlQGZ9TIy9vWHlN/nxVWQSvHfsRQg5vawvLY443bKCKLlS LmusUjlF5ziFIAhE+IY1CsvvBgeEA90XpgJEYx98JCp+iuYcusWPTLJ9rrc7u8iTpaUItocT9bIB 44XU4oB0//E5SoZCWis/mGmUORC/4Vkk/I89f2BC3yc0QukVFX/MV8fWDdGnVzUPivMyZsbRUSm1 BNcMOHHxqrsfK1rjBVZgzENAwSUby2s7DXzbc9BkKm3FhF3bt5+MQU31411nXoLtct8PyYt3qANN KFluW9rxOQjp7HXqnS9u3jrov1DVyd6DHAyAGmsF1m6jTcC0GO3V5WsqbTG3DMq+K9EDm4Qgguxr Q4f7LtfwyUNBziHIRAf0xIJjUiBRJERss+z5nfjIByKHEtJu8u9YPX4rafUj31iwcf6DK/wA4LO5 PlU1lZILR9Tqo5SWndqi/CoxGyR8Fk3j+FsaKvSWAHmU2KG2NG2ujZZlVjsG9dTQq4Lav5gWy+IU g2L72MAzGxC3KsJQ/GvnrtX9c42HYAjPXNGlebytKAVby1l4pOZck93pxok9JegBv0rlBZnnFrOy zCeCXD1DBIUYwz34iLz+7yHyhyzRj5n4q14xvSlDGA1ZBKZJAKMbkvmu3mVnVRYMRmUoLwC+cJAd /68Q6oFijgNVKdw20tukDWZIElMQa8SN+SwboJkAiMpsXUVlYuB1bQLcUAoYpVt1vptfRXUmtnfV e+r+GZnvf6sYGZ4l3bdX9IzwDlxyadm5ELFeBE9Cgt04BrfDVlY8dQLUYThCLTGjbj3gFZeo8aoK JKJGMm+G5nNIEf2K5MThKM7ZTP9dBRYbo24OVAEPnV3pLwlwMo9f4Mr2BXRj/xsJErRML2Yx8VVj UXtX491jCm4BsjYxJlDu5h2hMx1UkASxdKucBfG/DpTN6L7dd6W53FOpvLifBlAH++UsDcLpzras a7va0wL0LJWV4C1xf+g3NEGQ0+v1Lu6jpXRiCSXQTVm6ZVBf4eQViArZgw/05a+BZm2ARC37JWR0 HgX+agc8Sq9waU7iuejZhayi7c/VCtNQ7ln+7tDHNjEdDqpDR0XVF/BNXVOWhMyYBV51EYXyDmlE +87UrBel0nvc7UWqqIJ1tBq0EBhtfEHhLlKKR8LPGqNvAtrfL55OZ8VTuIVix8aLJ8T+81CNECiA fCTINwnRM8A+p+FdSDPp9QLDeM5agtug8G2PkBPQKFGQUu4NEqO6O4YK0+hgufiMxxy055vH0068 Si1mYBnu3dDO0izyYyWpfSZZMHv58H/DStbtoSUQX+TIiTF9Dbpc9F4ji6Zmym4KZMY7TM//szGM wHtiCkSWHKhBQznlu67/9KGLQoaZrlbuL2bXALAnLQa5qzl7Kvq7+fQ8rLMxuwKNCKev1+Fo5Zw+ T+oy0tkEJpCbdMri+S24EtLO6aeSOvmtP0uf+MsScmWGeYgulRbEwI8kq3E4SrQ0WNkpnjPwZTQP T5u9M9ujBIdB0XTKlp64cGZQtriaY9cQpwG9UJs2QEHw/zJ+PUbyZ/iANxqAJOzfP4SDeKHs0NG9 IcvgXuwjP3qYDFS/LzaFBacxY5ZY542x/eCYT2XXPHHUkwwduG2NhPT2SERaLqS0bSj6YghQFP71 iIr85HX2W2kz+C/rwFX4Xdh9T9k7jkL33TZE6rkPHVDfRwcs6EkN630aOQPm26GIaUJI4zEDPS+W bvLL9rRaNE9OhDt/awLXMTrt3D8f1fh8P8UOBZFwggQ1rgqnFkDrWp0IapnP0+1bEUhnuIkUxbI/ swl9gc22SBdpqh/Ebhnh9uiWEN3Df/6Tgb78BLshtdyuEXapHSiL9CgLhgJAfUhst5/4GTQ8675s nUELxmdLIxK4W7AR3l0hu6jh6WzzJJV4paWT7gg7jhWXN0bFQQN5cSRceS6MpLCcvNBOt3hT8ogb KNzqipnemBIQLLY1ay6vegkRX6nMnz0XmUq+AULr5G7jNOKktqS+rwBUUJyz9bDc5Fdm3UMXiBtv Fh8HweAUKpRzphmw+1m9hdXtXhXc4srOyuxXRMWO/opeoNBbZ9Yw+BUsIsSTnxkrO+dEzbvDHvEQ vKEev+anwWa/VPXMlCeQ6rR4bA2zx45dTs/qfGKssX9ReiGNfIt8G+Xw0XPpqcMGFw6BrXpy7oOD RLxPHgT5Rcz+v7a6yA8tARh9Cpdkqc91FhepeEkTpG2OBdwIq5prKvc3QFavg36thcL6BHLT9R0+ Kt3Db5oJ1Wtf+9W3bbRx9JmLLMYfToamtcOWZ2Jk1mtgjC5g0wzty/CflLA3oYWWoho4w4pvGLDv OCJFZ9De72r83Gf2ZGx8a5cImgHKWlUNQgHtR0TMsiWor4qliQwr6bcdCeKU+jfyjsTSDZEAQUH5 twC1qbj3YeBCnQTzvKgWqNISc3zsCX1PWr8zsSCPN2W8uQnzP6C5KLivHVPdmUAG0DJ/Xko/OlZe mNw9+9A3Ko1w4u/KO8RWEVGgDPmn/B7i3YRBlUsIQEJzxEgL6QvsL/v/THz9FiZWeP1H01IUR9WV FPn4bwviYLpb4Nv/DzI9n5wZJU/h0aSDTJDkt4JVRLyCrthYOmhTEWoFeZiYoLl3Ng0nTZUchHZG GRWp+c4m8PF9yYBvDCkCksmWGUB+BKiNVE+BKmDyZuPay8Y65HKb01lf1YX4CUY+Cghm9lDQ5z60 OQU8RCRTU7Bm8soGMhkNscgxCsEMLWnqEdx0wu3DlV7veFmzp5A4IXF0QD48IeNQEIZiNyPVFQo9 dEBmuqJi74zWx2wwg902dxd4IcH0WcDDtzx1pmR3OUw72DkkJXQlcwDmvnNoeI0boVPGbsWqfrc4 2zg+w2f9o1fzBHbQNIJ13yGhYC6Sh65D7d/3dIZiPqObRNFwEaH9cWNxXAOKfDmAqW5gnzlcpMWM X4OV49HzTLoktM2a5vVzLbUjEKJFT56vKdfxI0lcW84QgHCdhwo/0gYbbyFvlnf6vL+CHkxnxcqK aXj5MQ1L5QEUH/AfgRj4F6z5go5tUPfN607FarkEkeRrt/JWW9sUASzA6Nmm8AhhUWui0lDviuYb DrKpJ79BloKkPEC5J8MXYZhtRNfX35mNr4KtYmp22qDHL13BuCc2rS1TkUddszdZi0+yyBG5G5lk h+TJ56tQq6HRc1YshJR8K6rQRiCLWOc6ndAujMtrg0VuieqLHxesneCujs6bl7LOYH+2FxcyoJU9 4dTRfPR4nfsWq6taxPl4kr4St0Nw4ikEEaFnTo3gvdBCSGMMWjgfRTvTm/1bbzW5AbiC8E4qsPC7 7aDuVLIm2flzi6RcqDoZAOWp5LSqo8XD3p8pRji81JrsljA9PmezCcr0GQU5uJG/QkjnYs74tGQI qIWZoXXm9knJT5kage0/W5gCQX87cZcQBhfEGG0OAfXHp2pNVm+zFfDmlOgHo+c6IZmOaR9mxvTG OoJjI6sxqfkhw+V31WJjkqV5vRy5qkd8Gi2JI7lTgqNq5D1KcS9G+rYaqoE0IYkVq6r7plQSDUGQ MPQ4Wcadw0VMpXPsOF+iyPHiYWvw1jZVeacpq2OpAmJ15mA4Q6DbFJI/3yGA0pxgOvD0g9rK6zc6 Cc26Q3wZoxe7OB/SbsaE57Mx9mcB+gHXDn82mMmBSbHDAUWWJV+pdm30VRZ4lcyW3Vzh8+iskS6r uB7At8WElKA1EuBkFD8HWabf5cMiPDHWyOKKP4oyWQIWwnmIjNzk6yjNMY3I2a/AMrX0yH7LW1Bf 82FFx/rd0al5dU8uWdSekFc58q5HI/H8VsQh6aS3G/nQH1QlSItq/MEoquU7kR+RHTGQ8vBIIF2X tQJTXgIuh9OggVKpSBwnll6yBE8ZI70NZJ1CX2XrCa583zS7nC4oNMlgZtUf5BbVnMZsvHv3mMQv DEOGjn3wuvlmF+rUfWNCyDVI3fZc31uAeaWy3jrOm7KpXoRwcze++KbQTfbZuEwFgSWVBXg71hHV ATInjrW+OG1wCZnYEGp3KxBEfwn29Yvu9xUpiFeePbAk16muVmOqKhIfvaoomhaFgTgQdAXQXEl2 MxFRsklvQWvveIm1wzQVFqGNak7KMY20ISjDcHivMOtGDnepH89BKK31LZIIoCgXSGW1nWlzwlzE +TB8RhR9LgsDFt1v36zGfc8FctuuAyLna/+HojaIaIiAkaA+71++vfd7yD/mvizhDtPJckMoTbSM QEf5JCTCbSavqwpGqrCJhCQVW71TXrBN32SvH2vE/pMG7jGjkd+mJI/UOArj7BuJGYMGM1qVf1Pd TD/ThXzFaMw1DxkuS+NZu0Mt8CYtMOKiA8m0/2OFZgSHS7VhpeWwwEhd7UyIjHnNuozoCYPX+fqh Ly3WToi+K4N4aLko2MqWHUTzN25PBsBCgAh+sAtMxsU3yhq5/Ftwud+zetsXV6QnCina6zjC/q8q oum81j1wSQN5tyIfMDaYSSY8cZY95FKcmYs0ngB4XV7Bl/+6lTCsHmFs/IT1bbAuAFp0AbdyXdxi OD3oEpSplK21gdZcw00SuXX8taFIeQfanjwYTNSRRrflghKPxwuGaZ3z4nLd8TTlVkba9XhZFgeU MDD8Pu3W/AHycnMhQ3VvZg/fHNM6R3i0JA0ATMKjaS8cAwTcKE34avvPgNg75BqOMtAg1EhjgHZP 5rE+zZ6+S1lBGk3LLp2qqnt8wLw95+55VoTTp/wWuMboe2CATEyqgOYT8+KfsnRLDEmXUU8Rx8Ql N36CgUrU8Hc8QlDOvpIW+iby8ll43hUkSys5bCViw0d2RwhICkK5rycwZQFJyrQkiCj1uiIwdZkh alOF54r5tGExATO2tWPDD/YEzayTs+4MwWaKHY3CCvGdGces2thKLGT60aZhC6uXcIdZQQjXMNJO rsU8rqyOvkd6qr9bG0bC8o4++jGJz/CyfGMiNogcYaUuOEG5reqPV2DTIKUpjjDIkC+qOxSDuaoM ByBFfINWgA/Dw5RWH5aiuzZkO51Tw2sUCag3bOVpFjZkyJe7lyNsPKQggQAK/d+AewB1F4MP2n0u UXjq0uIoKc/kltHHti97MfxWOiNAkqlUnu0FGmNKsu0VfdqvRI85jHKw3D4kTCjEaiTFVR7jYqZJ WlzahOjL3sgaADnx14AHOtDc/FwS43h4XrdXE3AuJZGk7jrqGr96enx7Umg2lWqv3WrWkqnYv6EF p2PdOs7+o1pceUPbWwUs2izS4+LXdKqLwejIa7aCZOqiR1Vb1FXmXDFwzqvw/8l0YPTtV3Ykp3Y6 uctkoiU+hD2CywZLgrywSN+b6fIJbGtTMve95U8a0qfle95cT5rD+O4BfjKEGy+BMPj8dwgW7Sjl lpQVa+a+Mu+gf75vl8fqTCU/2ENO4HVJFuxlv5JQcENMUvb89M21Z1YytHKN6Fxu4/XrqeH2lU2H mLv6gU2CTR8OfhxaGRSroEHqRLaD5dDGNoO6lvCc/xToqTYv7A67y6HgHB1Qr6hzZGwaDbnIybks jlRf+U9d0dwkChjuK/PqV//T3pDBGZePPZ7fAAPi/UILwVBzfSWAetGpQqEQ0SKLrFiL1F9BToB5 c9CdyigY9vl06Km4NipXpFfPlEzX6FdGHHcOuscUHsz/DO6fzW0y3+5IZ8tTgwfeZIbhaL1xnNiJ QJXsRfAF8+VvadehssneoSjCppEfMB3xyufkSZ4xod82w9VdTBOz8chz3QxmRvqJ0BqUFwvYuXnE hxhPfBAVN6sUppWCJ4aYdQng/mEZovjZg5yZcTWEtwzxoabw23xQ/RViB9kVaFcWrfXP/JEx1O9A ypSBYz5pONY+hKnYq5Cwh3L+ei21M9mUrGrNpC5R+tjCpQ63G3EEyaZUlaP1ncZ0dRsqbH3RHnva fZC3Nh3J8fXeEbBaStPu7xE3OBwxweDWuozC3TMctHaaQWS91DzOkMKWMi+bErgkVgOBH/DnXNKd 5tDHtVNyM8Vq1A5wP/dpdNTyIt18FlhsXdXR0N79noEPTsxbW9yFxGLonyPO07Flu7a7JT8HaX6N snG3+UZqSXrsBVHdY9Qd/0GVSm5lhUcytOeTkIHkNPqhli7Cm0j7SBRdiEXYneYge+OERKfhw+IW 6IyP2N6ig41ff/W7YOuUKlsyh5Qz4s48aIi+RBdpGR2o3b0LD9PVnYk8m2p0rPO1j0ljvM6e1DAi lhgn7nUqjANwfsCNvgGdxWxbyuef4KvHsHh2+UWBvUJ7ZAshOHNNrjEkbJIF8k6lijFt/vBgAQZ8 +7mFppJ/jH2CyrKtF1pc4/TfTHcI29EfXihcnYi2v9CPkZnJMa+ybCZI49aFfbaw+s+rbdbPwiY7 kkhMdwS/IDU0lhbk2hY04KdNXOgB0cenQLxJb4D+KrMAUr2ZQOyFNX/CxrNeVEHS5XZDDAnDrdvu Zc2Co1pZXM9aiFGJEgjF+rcPe1hueM6x5oiNpbTgEbBDBqUYeP745hot0m/AV0BevFWE0fDuXq6N BOxRsMCygu0IVcyieX2Dybo7ywUcHdTybUaM5GdHnkTsMMG7a3Y7jyJu0+r8r6jGOHZ3sC6RG0kD YiecGRpRigrTOwyCrha4/y3roNUx/F94+uCMvvu9VzmtH+kIBS0dY0u6RjVE7HSekumsqaxJSKWx 07WYLPQVpECVIyBnRDzQ7fLJOXBp83d4q7M+7iFDU9HKvfFka/TNxhYQLtms2gTnE4pTdKrOEu58 dZE7V1VSCb8LmnQ4t/10RkJXEnQJPadBCM3Pj8Ober+bQZIwovmW1IJHkw2GS/nust52+KUwzrD5 kb6O/26vvw2AKNeK3MYRfRFOfQdw4p0qL4KoZUN84DZZvLeLvKygkHDFqyW4ONHJB1gYxX+ZQDju vw5rWGEUmfNJIM5FOvu2OBEn6fKFOf9ogUjMOobxdlq261COBHARCYCFcSz4y/r38tXu5u7//X9I 2DqQVtwjJak49hVS0f5GgGhhRrHS0xI1eRwNYwY7/4Rx2pEmwEZODk1SvSYuZyiSrLssncVOiIKR jDs2ccQUKjpWSa64mrybYFn0LNkYcNXaAmWXEWuBeZzthRcl96z5nT0DkQCv3FMlQvdyvnawUQ4P GsR/MIJqftiKaafFi9XkY7jlkWHKnl8buSuTUzetAeTB+ONxGLEBoLg88KbaE7edSwYCb1PXkgnU KqpCXuCdhBUtY2iTT7/XjIcbG5OlexhZPOaxaQFHwy+h/2fRn/MH7Vv2ZQLIN1Gzy+eBtgXV+UDe YVRU/sSsQcRRXip8OI/ABXqeUY876TvMyP9q2312WbtjEQ7Jwewc+ErBSuSJKtHO3bwLmrOm7n2D CVwtQvJb1HZ1KTSMUoPhxJNNS3qmqN2iN5CMTY9tHczwzhwnyHEoXZ2Vzw3zd+R41rC3pvhzNl7m 4fBYwCoRjOY2hfYffxA0agVjHNEyx1z16ui0npWz0WHA1VBlPhxv+ns5VqK/TCPu8NCGdp6WrPdL PRpmxAz8XsJkZF9LuJfzDIKiytRbi9GOIHtWmNwdPvPYj5LKV6HakyJfddDjDWfYDTT+MbThyX0S b1b2wvEV7cBhUL9wZgkwNS4utMc93v2ncfs5Z0NyHEqlgWN4SReZLzcGXAwRyQyjOUs/4gV6Kdul UGEwsUs+DizP+tLCzgU0Lgvycowhh1aeKFoizYxaW6B6R/loKjJVelpbAhIohIQDePwRDsiewVgt sVhgYd2LxOUk1TE/V2LDtuAozGQhMji/pzQeFd3/VuJQzE8unR7olRsgi0WAZr3He7pzrgjOTHKz cDonLmaCQenbrX1OyyX3U94rWel+SYACHeJI1x5fKDHvm+m5IEZz2uu/CWp1uCeRFUFQ1I5lT5AI aurFBFdBxszBHU6v05b46bF3fUCcpSa7cacpFYuaDC+wOIX2UD7v8bs417XbcCTzXMbkuZtg71yo beYeQdB3kX/NUC0HEotJcMm9tQ5VEDwxTzJ7AguTAi+T4f/3baIh0HyC8vxqhomJOVECbV5WBul1 GZ+/rPMNNZkOpNZPDABkyOKEaOg6p04Vro3kY/sasUm/P6lbnXAWU77sCQuy6wF9iZOS9IuZ6ihE H8TKdN3c7ri8JPWh+CbB5ZAxYt8wKNiVnBg4+obKCrFmnJTT4Y0S6aOt3wB/zJIspjBprz0Ku1jR 0OnBEWCrjDa4OYbH5vxcpZQp8/tQnMmiwGijz8S8xnRIEHztrVFTEi60/evw+X9XVhiyBojM9XOE itfjJWHaBTbn9QahN9ItSaVnXfKTcsLsawAZ8ZtIrogFTblUNu+HdSKk/U15E/O9oXp1i7/AYpnV ZoWtgvzCf/HLNvuDrkrsKjlMoDm4NCQppuY46lHvG08PsZvSZixQyk4Y/oEvrAdu2QrdBa3CtfNP 6ay2jcnVTdyayx344CyqWifqxdEcQh5NZSUtEK7tt4nxQiVfD7dAfDbwAbXq/ay+/PRP1NLATWf3 Z68Oj6EqmuJu/M2aCzq4N7SL7IDN55SlNiAjccl4A4CuGv2sCoaBhfYHUbKn07iVfW6oCVYPAbKg EdASoSwU4BCpPJ9NXMZjlkBgnxe3PEkrM5TnPFtcioTc8sHC+Mz0OkN0QSqPkF9WL4IYxam5FnUF yBuAeslAMOI+S8ZKKM3eFPB2zPNaJlnsKDV6hrNAn99Lvxm7Orwn+5cUE3+++nbfmw1vDtM+KPDK Ks/iQG8Fy6KeEy2uIocAaQIoaYGQZPHe6u6mAHLTrK1GirpB/tYo6W53xOpBoJDtr9MnHYuPE8M6 dSOgAHYkZU7tpSA+1BP+ePAR2LI85aIC6UcQKR700NlkLY2O7i0fCiOHvyVN43U2MFtuF3t334J0 lcyfRn0kbr+YOkMGCRS3TeMElf+KAs2gGOYBuFLWH38TTGYZpKEcTzyGkXf814U1GAhdG4z3lasz Nwsh/dQ4kMT7nrWg4ihA464jeAqABfIYShigcJ4T/ZYocLEaqeaB5CnaJXBsPXyKvYxktHH9Hcvm YY46W7DD4dVKBd3JrtJX6RPvc5OVKcfguBsb8gjSpeDu6zXO+nk5BCOfnUzTlw+4MR2RyuSdUqP8 UpAsIFQEnRA+px0vKCnPkuWjy5kOUyocw1Ol55xwDkTKGbbzjU3wy7oec0VD3PwneHDEfpfJRBHA JCjAmKgZpDHiZVKOysfbigIu+GPYgBfD94/kpnes+YGluPZ56Z/td8djRKNzl7xvtw8buNDHqVdy IyitRhXzJamirx+GJQOH84Bm9eOb5PyLuHDHMeCKnisOEB+GF4EgQd9RFg27j1hHuOdO7xbQA3u1 Nn7wy+n7Gf1AsvWGf49BMdMUe702qab+9v57UxL7PT+4kwuAd76CG1aG8qZcjTJHAiITHLMkLRwq ozXOUI+tYyU3iAWH/QZB+ovCrQS9LJ16zLLQTp5TApKscWNr9a19F6VFhMnEKIQhxj1TQ6vpopPF 11b8wPNiBUJHPe9WXpBZPfbfme9a0SqPknZmtZQyCD/Mu9IC4ns0PSus0JHPJzdwTl4eoPruHKry o15jr04h50qOWkFVeiXm9ot52sn6uVkL215gx2saHrdBQn5wTJIZBzQ/CzdoAHf9myByWRlDLk2V o/PNwu5J2XzBqll7eobP6LoaBEnxLmzOONirPlO54X63RdubqK4k4Xh5yJr9xrNutS50x08pZAmu lo14hsUHAUZoPfGx88yFXdbMkh1LypVwaA7GKWg6EvIgepnL5eLNzWlUcQCHwJCm7nooUcx0oGzV BeWfbxyKQXHq0/NILhLq28ChMVN8WFZ53LgfSNWLqVuX2NO1mjXmpIXKFjM1sDOAHy1Z7QDuE8Ue VKoQcSBmhekX7uFIJbNwkShLkUGvkYQjsW5GBfTAlg58s+ttO9vyPtUcNgRddsmfaHMgG54dMp// 6AfE0nOXYQqvMi+EJPZEO4d9+aHfn2fMXDLLfsQf6ULF7S9ZTPh+LZQ5YRj7NbbdyUccmXAVG4Ol kB5X+KIuKI9dLRjWfyyDpizbzfyZXAi79hoO8IMbRdvzSdhGN7rDwSTQ3dGQrrN4f5hv2O3uRpJq T50DDV6M5JgG9QVC8CW7BHyoG3K3f86W0s5wz/JpR19f7ILLKCJYXDA3caJ71dtNyYNhEmA7NqW6 Xk0hoNEgp2ELoOBd/yAzuk9CvyIuYq6TFtktXOopAGAdgzsfFF2tP2NeLA2+Um6J0LScsKjqEKCf COi6acEQf8Jq4+f3oTCNfYAOr7D9rI09E6PnM3h6hvDeOAo/WFyJohpiKY9trhqEIAS7z36TDArD jMRFb1GLGREqeM5IrcKGN2xoYrONPXSYXs6HhRKHLRkkkfMyiSVzYrmeRBPs1H79tCaPQ9zlPk67 +U+4uxgJLNhkXO+Dw9oDvol0T4r5BouAM7pYNOWmlpcHyhS27dhgEvGziHgA/E+WAUJCwAP8k1FS YonWMNs7Pyh4XDVVM1AXD5QJg09dnS1UBPkbJ08VeBGcbl5SWqMHjgOUflb/lvMC6A2AsCL+541z Y6BHSo+noRRXwCHBpGZqsoZy+srbXmqJR7atJrssuokCRDxFEJ2tqejvppjQbQFbED2jEFKtaSQZ /XZcwdhhpnSTqA11qcdr0MKirfCh9CxGeQFbxuAIstW1clFums6l39UziLqBp/fN/lHFsmwqpa3c tVXbsjnp8HHgWceQFPjPkl9U+91F651+rznfyYcjivQhKBCmEzJ7eNrigzz6G5sKII8Yx8tvYMAc DDQHFdV/yvw103n/m9+xJX9IMInBqb3f+EHDG+r+RFF8gI2/yy9u6pU7jxNIWM/lqegp9qnYVwYQ 9Zs8gEfhZHKtFCd6FXTnrzTuTLqFN7lMKx2Kq5YUyzwU6SZ9IG9Hk4qMIbmf5RA+HKKurtQLV3hs skR0j+ktFwU1roq1nOdrZVwc0H1/TU4dCFLGJeimy9U0T7T7DIytnMlym9iykP9RvLaMrXsCeGz8 yMJHDyIJ37YH6YGYdi5Nun4th15O3BsHHw2kq+fuC94QshIkRwynr9/ZT6v+4jGckLClKZq01uod +0yHKM4mjgsm1tYbg2NDIxx4xEt/G1iNB5mb7nX9lVJWzez8lScxBY647jddOa9aQaDlduRJpuod 7JzEvkhG2FC+DrZuJCiMY+rozwQ+JRwpZcT5PjKsCrGpR3S6iAyMHDN+nEhbhXdP4Hlx56cj/MKf /DONwo2Zn8XkYPSYNVV8Q2KJMaBd2KrZrPdV4mQ73oPYLlva5ROyntexhfFG6K/9WGG+g7O3w+O9 /ulM0kA2pr2J+I42VdzDexCnklwYcRkMidXZ9AeB+SzjRlU8P54h/0FmnPpY9DsYPwa9pSUFhBtw p8G9mu7BfrXnWqr6SiP7+zlfaJ0ZBQWN+iqa+NZfnWTFNW/uKgdlEu3p/RNMciJ1oxepoDOJyXrk hAXkXChtbqm90fyH3zXz/b9hhmVaWm+iqinuky/r3jLI3YiLOxJ102kyUC5bF+tBb0bKG3Oj4OCD 3tgK/fLBxs/Bkhzyx4maGSKMnZhNg0k0/w9sC5EA3IxhoYWGAQ+3N8wUplhBRyd6XVy/qmI+/OAm TgMazjcXBCFfPCwjdhXMmRCWWKji9+YY7mzQfD8dwxTq3nitpJj9/zHmf939W8iiO7+EUF0G7fhh zuw9xzCagg54kgBxT0sb0z8RV5iWzxI0WW9esaKXtHQFhcgduhvLNG1H2r6Ww1D86rD0H2pKiJDn KeYLzo/4IpKx657c3eqMz4NjqagFj4SDZuHVuMM6qsFjSW7+gtQbiH58TvTUP0ad7AVByAECF+1j 93K8MdB1kRIJS7DoV90vXk8bpY77f1m2UQ2H+cuu+WJVkDm64ied6AQaSSkZ7rzU0ug6fuOhGpgv cw6gn60t94v0AanCQ1OOstP/bKPNJK1KP4o4MxHZlQwA25froINJvD/i+zhkn9ZU6oPTy2nXzb0i s1wanbwyl7HSGbgDLxP6DbsOlmcGYhXNIjOHZuNA59oRVd16zYpDUFW5G4OA6eHmtXjZqKv0Lwco 9ALZ7lLa8w+FG6Qs/g0KZgCviMEuobNmqFn6QZ+a8cVz52sgTkKhMSdCdV68psLkEos2lUavtac3 CE4aCTaP0StnMMdHe3JSLEQ62SpsZdsP+JTIf/LKXZKbkjNJ3veljrAPOUmZGjL5I0aDx/qQV02Y 7AqMeAQDoDuulYqC+sNYycMiOro2OOfiX+zAzred5xQUW3W9iHqG3ho1N2coWJc6RkS/dQRHPXb/ aNxDMGTLBmCUxg2ozQ34fkG4TApG8mR2p1xmVeAmg/1EyBGVfVhZn6/caUI/jIIoR9+Z6hw3O6U+ saPpkizSZUkxu1KkqFomDyeW3sh36P4R8t1U+9fhQLmfj+2egGYXZThiZAZeSCLUo7l2ZxXEMOQv q0pQvQWpPhnRjkoRGFCiHsnb0rI2j0kW8VdzbMqNXUXAgc5jQvucDY8HNFagW6ZuBqiyqN9S5Wmk +/fb/TfgbA4kCdflBUUbSgPVhrZAo04e9vOu703x1FPc6efFXW6Gha8Li8vVzMyLCRrVisYgcuyz zF0PXph0PERHCRcoE/j0PikmjUj0MYm1HnW83+cxm+IoZx3gHyz5Gew17ymnUMcAUzY0rlBt6wv5 y37RCl+ICHf8Gbp/nk4KfaFn2YI+DK70aaTV1ykRCjZYNCdJ8PsQ/igoicVTWpRy127r+YKzK2vH EsI8T/DYAO1p8ZmPzROEeaqUg1B97Ti9O4mb7A4rjWKREjzODpAx9nKX1cQ8OofuHHvQni0sxctY P4UsKV/C4ABoCnWWN/ubPDGIi7ibU8BH/+4LPcnEZFWyf/Moo8ZfNQKVgFLl/OQs1H0mir/y9adg hgi91zJuixM/dBrVGowtQ9G+RworRyRtcg== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block NtlIsBe5F9YzubYpAO/fSHeixgvLpg9p8gQFt/OQ15TQB26mSjNJ0nmRIQnTCB/s2nsvfRmBFgmE V/a8QL/UhQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block C5w101+m7inas2AWe/NPg4QE+RBDCesyQduQAvz/L5wqqLNFs6DOfYs3u04Rf6J8bnrfQVfLGv50 aAo9/0fzG7ocNM66/XcGmQX/W2wGLGg/rBHUGneHRV0nY4IzS7tQjJ06mtET53iAA/TKih/UThdt GXwkGKi043FBaqrG3UA= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block IrdoVQhIm0l0p2BFrzVlIqs5lZTffcI0vENlEyyhHSmb3Ki5dc2KC5Yzwuiit0EhMoKvrwVsCT1e JG3s3gV2r2/l5zOQMII+ixUWp1c/Uq5V9qTYpU+ufpE3a4r6df50e6pI/cAkjcrSs837plaBPVlk /tcSgs23L4TUhDW4eK7v/52TjfcFp/WkKKL0xU8akAjcp0N84gn0ChRLBAKFyfD0tzO7ozU/KC3L 68q/O4IKveoL94MPKmIFXifXqfQ7ZS/ZbtDCT8DGZJmqPZ9KTa6KuxM7pS7msaAkWbLpMn58bUs8 YVnOV0e/4LQmnCvcIvSC0ZprxJIvoPff5JQv3A== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block vOFSsmedqKgCVrikRzVIvd39H04bL+XSenLDYl/O7O35bROY1V3U6ifAfqn3uYB3oG0Tia1KkSPu GG379cevPbfzRmQ9q9v5EX60Rok/MkIS4zcjVJ2h2p/dPOAElJBN5omznhkPYV2hHS2MnsQ9+lPM SWxdCQNqGC8gOFl0c2I= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block dQFarBlt3CeoQ3dbYVIOw+0PGMJ1QXimw9a57ecsfSTOk8mKg6vGygDi/cYDy7ysZRLpn1jlzIp3 wBhK2IYMJ1etMkKrUuuDuJUZOt7YNXA5J0U+8XErFPszzuN86ugNSWudAxliJOqjo8QbTuE6o724 JZmrnGXN46xEKsuGdEhx4o0X5ZCFnRHna/fq6r4GGCP96fT1MqE0RPf/w6Wv3cTCGlT9pYvQfq16 TaGvjQO2HCB7ltdvI13J25Ngrmbrh9h8GWXafeSpbpEkAg7ZnGEcE9TsIKNy+IkenJgsoSE2PCgy XBwyMCUA3OadTEC0Op8FWzR8ElrWecxpER7DZQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 71104) `protect data_block e8K6d76gaNxync54wiEjlhBPVhybFHTwE8p5Q8mErFwZNul5RrRCKxEPr9uJc20A5k+c+XaN5hKg PZvSV6ba+nwGwEIqqdKGDfJO9ZAxLdl6bjFP/vHgGXeO5j0HnkThV0E4bq7wvYnkoME/RQ5aRGe2 jKO7+btCvvnzphm9rei9WRISibwoPMK+Ef59TXVpE0/Z640aApAVZgZuNTTkw/nRqRFlu5otGdF5 PVWSUZV45AwEuNtB0033tOs7rA1os1L+xZHM/Spdj2jph4dtkNkVeKNwPdfkMik2Wnlxcrvf2odu 9EhLq9mQtNSq5Wj33PnrsV6MxEORxv79jyPknIUaabBTZ9LdXgA7hSbriM41F48NVcOwmL07vVit /b+OmBnFTu8ptmgxC6H92ndnw09TrV0En8dkWUtwiXtFz86QOpHw21z5gzIcqvoqj3YA9syR1Evq VoBxye+GKFFqHCI2ZqwuEMnq6FR+fTNtL7k4Yw/pvkClTcHgD4nAqqAAJfbYe2QTgGIqgaNliNkr sO2jlz0fm4dUwX0mvyXCN2GuZ1U6t4p6VEpaTGdsXwkOq7Q+7j4TXRjoqDW6Mg0lr/Gy0244x2qc DqsAxpj4Ai4pkYIWMi8Tdr2jc7qeUfenbCXOwmL9aWu3QAk9UX3NrLVm/D+pmPbD+9m4dIRMXg5u ArU43JokZhKkg+bEuP5yX1giJJ/rWmNMgB4LZ+HQWJxhOiTW7Fi8z3cDPqYUmnXFdO1J1Ik/Ft0z GSOQd9mcMnzNC8BcjyfqomsnBtCa6ZSd+ijFZ3x6DaOarIltLtUBdIi5DK+RgyzQTUNBdBTbAqxz TCpx3/W3IXtw9ESbtMV/i0VRuNoZbb1GWCF663X9hS3P5dhYIDEMqy46vuwkObnbZGr4iOG/XTDc wsGQmlV/xinjNbDTDJ0C6uM5hVJT83fDuqrjiQCttxx96zHD7r6ajxeAkvWgj737+iXIOHfiYy02 FYZ0rgzAQxxOEbyVhblHX39difSrJz+L3wGcNhhw5a60Ec8rYBzJvmzlJSnPq5mjt2ODSxXICLQ0 sQ1H0NEypIqKQkMtHZcgg027XfPR1k71+Bz+M3giHhSuLAp7S8oqxEPsqsOM/PocZZrWPmDRPtCW 0+yN/PG1p86nXMvZan2yGUa2k5vQLKmeLJ6gOJ6aP3ehpsVIHMaduV/lk9tfP1uLgCPwBHImKdfC 8guvmfCozE/ztPuM2gYTaRXJPZt8Sc1z3ltG7JsA6+hsCXIHIWEIpFA2yUtOERLUaRmQhwyUmRhL ICQaSwAHIaf6mPj+EvO7oOg0YxkMWv+TdGheG9+pEv2lrNPthYsiPstUsBGIxEAbven+fCvIf9cQ OpNvCK6lv9+hNBTrd1evWQ7al8sWJD+Yx3KL4RZTQJi0nTu4b+n0CFpvhcB1/p9kODArftLvs+T1 WROLZO9UAe5u4thUlVgoQdXQaGETPGgOKl9w0/J+AfebmNezRQf8K/h5OZUA+tepRhxiQiuZAO6E C93RKjxf9VYmoGaKnUuf+hsSBgNoAiyZtL3d6yWF8Bozx6tey38tYsYK8y1bP2WCNTlGcPMeEWNH MJp6qOn6E39MNckkXQKx64aZrpFHrXVAAOAqP+gMAxqU8VwFrkNsO3AYcrkVUVDEfi6pA8L9t71Y ttVvo+tlnLPdSwBAeUDToPj8T2obxmFCg3ldQRiSxm59EI+BNtn8VphufKecEw7iYXucoSSlQuAN sabVMUMmxemKCHAlibMdwyh9bgGHQ/0nEYnv+tmgbAu8bFof9ZP6fZbW/yk6GqJcJTcAD5Jkx7VY joD6q/SzRKFO5ROORvf2vtRQy8ht3BMnbELKSgx2tYNFBIyqcE5q5DoEBSdMZI5uKregs6clhHWr 6i2EwR3CeyZS27+nParazTNU9P3JatcPNSfp+4aM1Tc13+d6wQbxr7fuHVscY8k8tfd43zPAil2Y S/GGxCAexkoDSdK4+76cnRR94VTV2MNWvIP2PycScu4E8CEjPPoV5NeIx/yDcNseYkcTwjhs+oOS 8y8e+EVODRW4TSKo/8mMBIfKInzYp+rzQBv/S2PYM+L4AzE0LYZr+zLXJ2kMKlR6pookWHK42T1n eDS5qOjHockvUWx/nKo7rTqRv4jhZRGTWq3/g90cFBoGdM8oaKJPkhvb1SMFb8iSMvtO02GhRcZX Pv3u+GJONneNdWYd+6bGpcmlNNW3RNKXl+KT+RnAQNp8AMY4miOODcSo437ukO5IeWK4OAUKfvXi n4thJmaVkOUPc2zmfsFafvT7fy7r5G8oeEEWgn++kmy5e56rKAZ2tuhe45r9Y8IA8Bfcs0CiLFjm wgxO0xXn2vzzQs+K2vqSJ2HispbaTFwjP1uPJ4kLEOUQrDXe+pNZDH34W1tjlA9xdbuFRxSKjGPG kswjmGiKGKMOJo6JZW8yUqnT+IvKBGGP1CBrQPwFuQR9eGzmx+QbNhbHts9MLfnGfyLLxFAhItvE LBHERqihBhXBWeozUdAU7BmIB69FYt8ezEkKL/sim/8mE6bwI8uEHjJgaPB/UbBwa9tmuosTm16P kS/L8KSpJ1sXsANir6AbR/g2Mp4tWM406EleKoKY6IqypbLncKiW3T5MdxC0YJdit7Dwx1CNND8h wCWkNexv61ZJAzP/bQ145v4zoHs2lsT/O/Decd1fqsLuAwNf+ikQpZWxSz6tyIam4bMTb7mbasPg DWiXX3CGEVNHxf+Pp3/b39tM/kjdIZTYFkOjWe9Mbc2vpRlExAAsYP9Z4EI3+XGX6YHga3aQ511b PIz/6t0XCyii7Dvv4C9MVeqtMmEFZCjVtiIy8yZX/uloLWumIVYyrclGVRpKvjI//zZBzKJxS2do hqfxMwNTC4jzIUfnxWP3GVUaSW63rdx0MkH5FiZZbCU8YKsDhbMp1N0B7tJL2NdvuS6eYvm8sD0J Q8ctnyqtDVJYRahxG81HOXRC5jiIqTtFlPJ+STu/ZXQEPO1A/jGoGtpcQbs/8/ur6L0L7quvHf06 XO4pJh/AkJeyOPStpmb9nFNztLwUezEqmMK1HdBO3BPkvU8PKDxWCna1os9eNJAF95o/FfikxnT/ ZvJMmSdMqd4WM4/WRs17gjvpnaRYrT/UrtH702GNd/pivTLnxSI1+SdyDJP5kvYFSVEYQaS/kwRV Nwb+RQswY2bY8jPYTKQhLPLKYX3mBbd7PXCjaXmarJry0zx6MsSHnbECtOIgIDRWhHkDREBSiKkH 4YCtw06ftZx7sEZE+G5kGopg50Ng0qMYJ4CU35JBwJBNDYed/84YZ8wsZbM/Slubqo22URcsj+nL ALJcaXVNQ/yw8K59tf80yponIXRbIz0sv/tHWSbw5fK8p63Wtwov8zt6MBG3m2q6F5VNkTBTu9Tr IeY/NWMO9j51gtcMSXu6CxguL3RuxeqpRA0o9rolLzhgRM1U7HPjPc8WTP6kOVWeuEJMbXuhw18u TGSCRWmttkGgdLY/AkQxExGTmg++nUJeECKXE7zfsi0Zxn1JVrln9TrtoOrK9IyRvsDntk3f5YAM Crd/LuKIwo33eTJ4uBoWbj7KJGgXoqn0d+8ntN9oBwXF8G3uKZHVnFhowQlaZZ7OM6udPM8274xs 2MfDCV/e7FWl+54vfEiOI+gxH+DEKDGCYfTG5RX1PFlCkivrBc6kd+DOFGKLFP1VwjMFr+9XuTRC HjCuSP9malPLjYy1yj2c5+Bd1ZQPTf/hDHV9xd44lh6AXHqgO8DcJcyjm+OzGOdlU25Wm0tB9Tlf 13B9eUZH+fqh3qH/wg0v6Pi9ob4U4kYYACn1Wo63MljUDb1Out05ZzUEJWsWY+8wuZ9XRHm51b2p 9NwEjtSNkZ0wSlIdkoOdtCZ8HAyo6gBuh5FochFtr4RGxQAgAX+Gy3nyXENBUiYANggpBjj2+lMT sMUvUmV8PdsI1YZ50u0EEzTZHQycLAGm+2qn7oOUHXAbCEnDC2H9HwnN1iwTRxSUZcAntqTpNGGS AdWl3ykNGrtYCpdJ4K/CaNq+VwjocB3F72DsDITAqpeItSSJvpq09Ug7u2RH38BpQq7vfcn83NPs /k0Th/tGD3JnaEWo5oTu5mk5TjFp/PG+kDDV1WsHGUq081WF9BqwPTTPEb6Joobskis/bOse4fsw i7dm1d/ZvCwrm6mRqGD8GB7vVjwj5FSGxa5kMi5Rn+Br+jpwD/LF5fTwiJGs3feFmT27xAPzHM8+ SBxnGnCiZUsNyp1J4Djil9mHwt2AqTaLUbNT4+56TRCqxPwNNYQQfnV2/gmdeE3xK26/vt/rPT7+ MC4BNXHTjV5lzzlIxiMQMR4qqPHdXMy0YiN/DGoySc6ikls4SL1DfeyX3TKWkQUOrrL78Lo5ENwR HqV59zdhhF4n8ASsGU9LukusKWMdSE0PlOPP7Gyc0izKQ2kONG6BuB9GuKoEnenYeA4ocTcl506a YYDhiXQqrCBrGqRQoF6ZWsEG6yJ7nxeTySyspAPNArj/Po/497uGhf1OiZdI35VTAkH0+50ly66g rw6bx2DnRsNeVLsNvVguDGMQTxFNQ7OEzmx4gSlczyRUiuIIfHsoJFxuAqTrLYJpFDo7+0prf0qR 2S+kayb8uAicRoBoGAAZVSkveJaJYKNpDQ6pFrfaBSzArFK+8RGk72pqN5pRd4+IwUMMzetVXUBz nIbY48SJlHbmXL9gd/Fbb6taTCMIWrQzm38B4Wtl0Bm3iqlLCN2phJTjWgtMn/CW9PYcPxGhwRl+ 6NXzavbtNczdt/FVlLQ/jGrNj+aU4F4qbloToMPaNDFr18wUgEqYzQEejAFIQQ0Vw7SW6O3IG6TQ t3NqLyEmZ8sjGoqIramLZptWEn3YoW7J5DNGjs6IAL20qrishFIJ7rpEj3mPLKMSBUVFymOFRXw1 6yD4MGKbiQO7VS3zodaYUD+j8bQMXpuOmBo136vV4v9Bak343+tr9ExuDJVgkhOKp/MNb/kvj8uL oGE4iB3GmPqCoNklcRBM3rkYewltKtiVINtTYY3Ytp7mAiW7CtmCqC3fkCM5Z9slM0AIDo4R8oN2 iDCFByMo6aNUXhPOyyEpM4UycDQskamyXMIsA9F6Vv5+zG2O5Ojq9008oxmLmu03Aqu86vaLAI9e h6Y+azPHaqMDQEJDUFlBVZ0rBDskdKXdhpRes3B7H52LnFxFknkjsWfhPOxLqDWsdWVeZibEdK3L SH+ReZve8GnkGwuZL6Xe06oJdChkVz4yx6H7j88banXD326R2A6mjq089UHiA3oulp9ThpZuKJoq 7N5VGw4vdPwUWaMHLV/PMkH+JEi8W138/vLHwngfk4TCXFZ3BrhZiLFN2CW+LsbGv7P7W9+ditD1 jrRLqSrztX5mVLq8ajolk/zSeVix4Hq7DUgCRuVPlxMeN7ZidLNpdiyIM2pOXj95a/JpZh1lBEvO eoEO73SzVG2oyYAT7APGZRZo1X4IoH/YRRLGOF8jVP+5ZPpIOEP+gknVziyH03T0a/x4Lf1DCu5T +2BczP/uYsqJXmOgvNOcL3gCFJpi6H/3N6M/1qvYR22+sPbOerjO40dyYHYaovHmTu+KwJ6c2Okv BdxT0KW+A7ymXWF9dUpocw8ABy58uWRdvPGWUUZP7O11UmTqbNH0UPAonad/lkqKZbm3wBacMbDl X0gcGow0OvRq5oT160UqY2fijZZ/rH1q85/A8lXHKMOgOWFsgmf+uI+ALsVJbHxJewqoTnTDD7PV cuDJkn5lAcktLB7BQzNMWBKvlBT6Wunct/h56DjXbDthO3A4NklfFcGFIOLvN7FVQbkJ1Iy11CAX kNlzID8dhcKijnEeFB0Pjn7yrQRvZDQkFGpVdmMwF9JDWjPWUW/l5YhvyaUd4QRSpAtB/Zlm1ilu js+9MWebHvyLR2W1PreTD0VJl20IEQN6F87/68Q+17AyOssfIb+EExcdHHcPlBceGyqHDrv3Kok7 EUw7M1a2U4SnUKxAMEpsbZl1n1b37mXVIlp3o/7s3PfCdSVx31ygPX2RHzPIihEpHBMIuhL/rJFw I5VvBDUrr9lfw/tiX2CcqLu9GiOSgpXN1pFKhstIwQ2vLvAqP/hq1s5pyaX//2SV6vtkBv5ImpBC 25RBMZc+32djzE0KYwHJpkDx5iCnYZxquh9/RjXytrcPa0l++ISavWlzJAFsOQOhCF2TDAZYeLnB 4RQBqV8f4g8oSmHAT/CeNL2K8W0C01olDITYL1FP4LMy8WNT8KhQtEv4Z8621WX9rsqMHWNlIere nwM74boWp4wKyDyzt8iB7KDojECqLvmyPAuUVg4Pgbed7NsU7FOVxxmQ+r8Ffi+L77LwVd6d2nF2 8fokwG1ZSUAlpGYoz/AhAbtYumIR/zRBgvCh20Vad1cfcSvKBvQcURcDuinptnTfLcHxWSc8U6KP A7R2JB6hubxajDHovn/VDBQiqPCcPWCp+MKV3J3b15wDs1PtxUWdMrYARebonVDUfKEph60xzG1V aKSm6lA/6IpOrWI64ItGMIq5zyT3AIvIqpCyp8i1b67S3/swC3rODS6bmoeT3MY4d5TiW5wyku0v fHlVITUIe4rtu4NTM6wZHxDik/ftBbV/HaxqzHhfZ0YkoFQGdjIEKTghv49gvD/JNnst6WiehDbJ ZLLj4LNLw1CGgY4B7K8fnbUo0ARg7346nztAwqnL74No50yfqXAa+yDazhYQRcTBIWqfvpxCzYkj C9rRj01h3Nq/Z0eeumVsMZtVbcLIfeMl2stGDQkxoKA4L8qBNWsTPGlDd1vEw+JCLwtjvaabOb+7 PlaaKbMgVmM55AEVACtsd27afEgySL70nQbwgL8YOHxCpbFSOAH1dK1cOB+qt+C7IbDBMC585gqS Z93kvxr2kWm/btx9o9tdsJq+XDt02mTzjZdHFRlapLTahznT/JMLqOMv2MwtTGsBmTjUb/OHReJ7 j+HZv8x+PVddWXh0AtD5aGnSjjXE8BtcC/BCLR5eMXF4piZfXmJtFVjqSi/FSpP337PDdstjJsyP ZRJHSxLeG0Q1pmDV3tbtFozaKIgV31AAkKObn1UzttJWLZYY8QdQb7VRvkRSAxkORPOGzy5qr2+6 DS7JdpJo8B1J4R5UEnwaDxu/F9dH4eUVgYup/CyBzqj5+RBW3lUgUdBGtq016+j3+CapXZpjghes 66X6sjkKdsvCqBUqogCUJdu2cYzcwU8HD45v0HKcwUatgxtV7r1VzX7En11/pBLjqLA/BRM4gweZ IW/gddPKHV6Sky+YikaqCbOLWP+QG6fbD+bYxoPA+0g8b2hp9xjV7XgB2BC7XoKf0gDhDomlnK/F rUwzPLuEw6YITZ8G8TDqaSg7e2V5T2rLxVp4v4THCUHTM6egGM8Vjfi3i6n+SszjVCL55cfaRgJT Sk2PSZz2IykRGcKr/6stnkOOiSwZFwXZWcMYODblLlIXu82s7MqpqC5H1jyqKs8vq06I/FfvDqsz 7TLgv5P11Kn3qrlnNPulgqpzoGT4Waa16JEQ/Uc2+b9gCGp5DQQ63shHNE2PYZ7+nY6M1V0RyRgy Pwy7C85py/eIsOnjCIjmPPwnA3lFvzafxbHZOTIFf2yWNSYBVG13E9cAp+sfz2bud4JkkLPo0Eaw 8RFB7khf5EhLdP7/mTf8mf06ci2T4zI9dj88YatftaLRuBm9M4CMZbGUGx2qiCP34MarIsMAyH3V WZyE5muwlQ3E8PiBBbMzLw0t38axob069Oc3jow5RuuTEtHIEvOf42dSGZxQzw7RBPLJCj6V6ztQ tY5AkPNjUutj6TYYmmm+IL3j+24YvnbEsmKyDsTK7ZMWHIbev71qWohcToDnjRpEIjTbZq6jq1Fi IakQ2ImFIu88MsmhiEDhfXxKZKifeKPZaYcED1Z+wvDj5gzoi7lUaGKC4PMHvDwBrGVxjHYW6W0g SmWWgWaaGQbN2aQMsdfn81oSWrUn9Cegjkn/605PCcEjVMGS35X6yFL1/dXveMLeKYq2EBu3PcBL I1rTZrQ3oP/FIiKJFvFtthzf/uX55etoh9mWcTDHFQeQh6uMHjAoikpc6jTxEA46q/YQm1FTOaez 6OnUx7LudtA21M4C3HNX0fCsi1ppl7pXkDu0HxfkCNetNZ39wJ1AaMmjj+O/8Je6vzUnXrMBTeFa 66ZDxuACIS3eh6Sc6A9v/cuPbSz+8wgcK8fzKLshheqhHhJza5bkGofK7c/Qvy59VSeoyU9XitFy t5czDYPFEiOqxu3gkoKR9lRo2SJvYTJbAM1tM07nhqmKrQwhWnst3sW+KqaimxlCLQdD09fPEY/C 31pFYDB7W3VkGLHYDaMLtHZvIOmfHrT2EbIcCo5YVZMNhKEL5E6KAEuEcDd39u5pB3Wjj8/3/4E4 8ILk7sklU2oUBhsZFG1B87uO7PSOF5ajwIzNkuHPekVlSCm3GEhzuTunnJT/l1nxy1KoAqvs4jzp RnPYYTNvnswLPm2/JveQTtJzq2ifRPNPbqG7iL2dA3H8gwVwCh+5AJ6JTe8EL2mHMfJwM3MoVKTI TGx822eMcpcFWFQv2dmMcOjEMlbda5MQEB0zAnPxx1Ny1qb5K+/lZHFEycG0iopTGTVYufY0Hf9O oLzZ/5ApL10ab+IbWh2rAPHCDqUo3UstJF93IRGAP4qXtnYGMTUz0YfZdx/cnpq+ZLJfsjyPV1eT uu1EXOsFaaCNEUrzGI5UkBDQxdwEb9tElVHE7MuyG9CgnscxLDESiXHUsYqna/UYem3/aAJQLzku Qi0t82PlinnahVfrTMIwrIATOaaxqGFLKDVH4xnFPnXmhhrIxwXKK3a+1nZx4Zw2QGF2O8t6lNM5 BknwCVxrubZGXM79vC1pAuPiRLb/gqofm6Q8Fhr+ilGkGKqUXsPDSTqxqhqeoroZqMOQC1C9Z5UG 6p9XYiXweVNz9QNnU1V+X7hrKEviFnaO0Zvtbug1EQqbHa8o0KDGdjz1Q8CV+PwTOoN+PUUu28uU 1rvZ4a4wZFf1PIISXfUUPUkJxrFSCqT/jZp48t9v9Mjs+/Bq3XHQ172bv4sgQIXLsT3i5hsHIfMw xj2wKVG8EVglvKfs+5Umy3vSuli/lxCztPoJwOxQJuxp82FqBvnWmLWI7T+j4EbeDLhIwFZEjUWS 0CKUSkwuZOTQ4Gnqn2W2OsK20nn6Sdi9ZVftF1xMihC87KgcpVRNoc4qkpbOVVc98f9OM8iIz7GA 5zpUCeZ6lmvI5MThNgZQFOu7McEivwqDy8Nif3Wn74TWIdIks3NE2AKG1RWdCQ7zWkrmGUm/4P+f aXYwa20dp+Guz2p5HFbF1M809wGEMjo6h1ecIic+WLxBVdYYvr9hIWVAXvIDjqVp2KE79OwCt/7m 4Y1M8g1a7XrlGzOc52UUx1Um0Pq1uk6YneWBYdCrgCIyQHVG8vwMrq7Dsyx+1X2fcm2qZZmizqSU FZXm2SSgnFxqnZTzJzwkmp0yOgxQv/1DF4PTDGHwpp0KcmOPszWrI0NVJKcz3giuB49sL8iduBpw pjlDw5sY7CtS4priCZbXRmWOg4Nz4prP1bGXhTin07rEesm15vw9gW7r5wZ3fbXsorDXUfH279eg UVwjUCxLqAX4wVcG1QT+bAksQTWJlwiZKLtmr0nTxi42kKRGeTnquNb2TkWD2hNTbbtJSmHVmWlM 4Q2ebFngYLgEiMuvOvEGOssurk1azsZsAhLw7cnt0NiasGqY8mDHyMzzUriWDbr0kSkbRx3lP/9X HN70tDbHsZ7Q6Z3eo3LV4W+4ofsS+NVmr0TJbSWUZOPkOxXEZWg1zF3LtYeeKjAlvFFwiY4OXgop XJIMytwbXhsb6fHSNeWLIhRSUcQUguTe0eBgsTecz4KTHjAGPoT/WLs6sZXMxISdO3Ird7bT9omf UYuh6pJ+M8vgzR8sKKzfN76ejY9rGmyM4IcAVif+AKOxN0VpVOGdN4gysdFcoRTpHS6JKaM1KUas utB4k24iAxGhP33YqVXUGc/Fk0vgvOtWuTd7X+SQAYw7iRAuIZOeo7s3JtZh6EYRqK6yJf8ilpR8 qHbT4tMWxPCDSh4FPH9/7W/yzzOiro/mlIwoIaCjAIPagpU6qJJzQ2Qby59tBOPb3Gsp7H/Hfau9 NDPv6rz7dEBvWwvZhdYXl0nQGj3T1kTbk2nf1OTy5BwOJbKbRC2tH+8iy9qyq0y0ljJvZ/pnavQo Nvqd76uB+BDrV8upBeo7VlOkcYaDycca3pXlXaW8TwcrJdzCaKhGDiRQkGMFsQHj4nrUA/0wu8Fl M41jcsGB3uViH+yPq0YnwrhWmMpD0I+7EjOTVKlsPh1IaLOl5dHhBTGhOurAgsgdY5Pak2kM9W3J o8ahK+J7gjJgkZ5JKJyCSoF+hfeSHijUnF/EfFle8n/rSMePfsnUdZmCO7+tV2RcEukXc8zaNgk6 ewfRaX462I6aFFduXRl2adjeGvYWjQg6pNmlNvDtdilCJP8PKpRozXR+/TSa62T9ZJ2ubLuXwdHe 2gICqIwNcU78+xapmuQTWF4uwPCZOZxLpklr1sL9HPE1fmV90LcBJRl+GyfbQCIuCieEWLnbwzog XdyzlBqlxDSE1NeLOf9Sk/NVvcOF/nFFOyTpomVP5UAYhpzW+sRUIP5OVV5YDkW023GEJoqps7Xu HKZRLDvzZ2tyI+CZWPauuyGzNJy7hB2XVns+4az1qPLH26aNtz8js6gg5TOEil6zE1PBAD/zCJAf r22XR36Md/H04uGAosKoTVXZwNQ6+dET+LDOBupD5wL73GwcKdNBSCABT7br3i/z2tfyqOoMmayP dnNhoYUEd5Jn5Jvz+bMHH2iwcHH0/s7gJSif18TVEshd9TePho0jXnvl1rsoSDpjwtGBUSWxAlY7 CZswcSpOeXvXgK28blSvNd7BoR7zeCiZyF3psQ3mL7f0U6kEPqjTCl6I+cv7cCQj17GYrdnZOSIW 2a5Vqct9H6eXXVu0WAwaoHQYujnLeIGLEeddj2EdEVpBdjNC+9zmEN1Gx5wQB+0WbKIdzF8XhRsx konDY0gYoeRRZkBozE+zCnx+l7jNQbRrU8VnxEqO3YzKC+B4OvG/hYHNv6vRO6QI75VFN3Z9IPNI LMGNpeq7MA7UtvjTWtpS0lBvjRUItx1DoR0R/Py8dYETrZnosK8ii419KdxX87CU3X6eYkiKof4J PKsJa+IoTGogJaASeKZJO/9LiIBv3CHD5qffzZs2d7IP2vadmwo7kPFvizLFLdmLj2aLXPewLFWB oNM+vt1+9mhBhIbksYdH7EA9U/hiACFrc97BM4JqnIVZwk1Jwzqm0To5c9koB47ObZ+yfFo5GZ8B 4B95+eG7QDfLanpU7wMKmLZ/rlX1fK3SXmfPOjnwBqqJ2MZqnjarJTBQ3d6fm0NNlzzeZ2DM6avC eI+A17K2DLs///g+qHK6InhlNZCIEr+W8x2OsRPxSweO83YA0zSNwLK8SFHk1rd7vo0gcCyC/0N6 uGme+6zOFDvH0xSrHF8D+HDSM+41i9Bd7+1EWvwGMs5tnMJPYVVfpo7uPIXHO6AOlw0vH4zY/lMk DZ3etMm3SH7laIJqpIq7KoSNTfClQ2NG3wiNxpuhRVuCKw50jhh84XeeAuBeKZLNFKsbJPdMMRJA K0iYggkitkdM8JxNa1bRlJuik5lL1Hldi/F3aAlxtnRlKBnQtav/lzcLV6xYqhx9D6zzXeMbt7Uk lpyrm0f/40TsL4R+3F2XbxrGjrU+HughjFnRTeqYjInhhxYXOY/GC6ckpFq5UqwzBjHXbnHmfsDq vK4bjXyoGdKw8WDYI7rmsf/GOoEXrNA5Rev3MLUc4K8hHZT74B8GSxW1wlX/O0RuoJMOIWWw2+Wc 8U16l2Joq5tYyLyyChOzPbCxAwaHcOnIkqRKIHPu1IKxp9VN9PxJnPsgLJYyz33W/TIXPQJ6X27z mxRACZkibLs9FyZog00Phm3ZWCDh8EXbhml9AaxdkOgmmw8rr4XR3iIJUK9JMYDNi6Qwg3vwwxZ9 rnG8elnzM32U2Ibc753/5NbOzgXtWuR4QwjKTKcbzka343PHwa+L0sfEiqJehlG4xBWPZATezApM TNeCAhOpvOfOHbrWtcV445hdv5gyhCOIJEb6KVB6xR/gjuvJBr/1KgxEFnxHD1Uu3AsEthCrVKA2 gWVXzvKIVTkGK57thNKTH1YUZg3LitKHSM34qoRzFTAktyy4azSUB99zG66tTXrr/LwNr0guM8qI s0s3D+VP5lvnAd9vSoEZPvov1H70lSxADn8BDpLYpD4q7kJrnaIlF0XSlk+XSdUy50RHiXtB3GvI Z1bUQ00fJ+mvRAQz/twSPwVLMDlLt+89oo6gRdqwGoB04fiLKibo79OpQcv8hlN77jK5DcT3cRY9 AtuexznVCU5QTGwQmPBH9KtCqe9g3QpXydlo3tkKU85QgOudd/wJ6absxeTY1taX3g9Ix8RjTYCO BleqU0AmTIaZFgXQWiEOl4ry+UdeX9BmhVnDwz61g3K+VzWtFR5h/2vdNIyFYLbX8VWw6wV5IGuq FD6e6prXT3Hl4ngXBdPPQr6tXoNLLY9kF9nZ5dYxWTt4bIQaqsnXvZ2WQRqG6HvURV/6CHkAIjtN PweRlyyJKdRkxW4HhoIBlfXJSeftrknEFLWoUN33ed6O+YqyjiPA91WdeILoojuXWhydGqoVYUFm WR/YI/ot2y75snR42NinXxi2THjkB7EiCkBIFCpZ450qHrNSAbU58t+anV5PGG82iIZfmwN240l0 4TZKhCbRfVDNHG3usgF8/xaxknHPC8O6f6mWS7qcC9mzmqRXGLKBRvXLZj/mHxjYxkhnKHQFQHs1 2jdqFUMcYBKuYcq96hl3+MS2GPgOGauhImSlpgIlKQnad0/zisj7Nfd1vzAXMS4unxda1OL59Qcy sSrxklVFfJikpb0hMuP/vB4sq3GdYeH8nN5kGwWwj35Sc0fO7sISNWDSrbQim45bGqY9mR6btyWQ g0WdhvKPcymiWE2kOovIuJgfLWYW/OOl58XTbcqIbaq8F3k4d87RzoSo8f5QhbYOEoGfN5WOy/z5 TxZtd6dEIgEx3oOVUNz62zMWsIaxjuf9P95+s8OXQmaP9LOFPBkN/7Necrq+DV5sEtYQ3ZVQoIcz DOu6hr44yqi34RmJxdV6O222bDwzkKjgj5wSFegAknph3cKtg3TJgNXW5ASBM3xqRIqdtVkbEBE2 FtMYaHb1HWUd84NnrK6kOsiTXM/vrlSCg1ll5qNi1NzLrQwDKpfDwd6oYZ5g59tBjG6onfsE5M4q M4ZuDOZHWVCSigR2S83J2OmQUg7jP9gSvKJgnxSlycZ0L1saSF/bF9cGrAg4dgmrrcYG7Cv2NIGU jUt31cnpKDQam9Vb12x2k5t1yNMCuF8VvA8xwu4YsS8zaIW5xvWxcStORMe/ePxZXRXnhd5/uFJl kFOvuBzxfzvrWZtt5N1QgV2jO30JOEo4BcQ6Vw99CRaPT7L4FMbhQE3viOf+6CwFelVANCHt4eM0 bb6xNtLvdJNUc+K366A59ZZU/kEHk+Rk5MW7IohR84eJSpP1ENtF/UGDyFHBgRh6F6qzdvGKpkE9 qzzB45rFxcIOM4r7A4AzVqJPEglvzg6kB2ujSJIyMHoGv3ZDbAotT9yInD9s3e3ZHVhEQnq+Vvuy W5nEUTJLeT/aSG0yyxArFuS+Nn6mN9AvnFUuGZsqCL3E99f1K/epWBhDiujrV4zwF+ttyWRsPCQo 2PopPa09rOpRoRV9GnbHtQBEcgCkOVW8/zA4GI3Y5IObtMDr3WkRBqeY5z/sUysZf2A24szBSNE5 zu+adynOSzoM0UtAhEZ8FbeUlMBRSeMF1gq00roq1eKf2McxACiwH10uaVwuYzMQ856hm4sWdFPp wMXhrYbW141AxLZ7ETQBEOdxwBcqOowjwSK74xZcaFazGgHBuxQ5ATFsKwN/kn0fQbecc6zGiih2 uryKNWY6tOxy8Z7Rxru4XJFCn7Govfjy/qGqVSY+6EtWIqyhOmv/tVV3XiOFTS3HgOKsndO4ZExr +s6AwLjN1p9MC2obnkyF3oSfICqw7C4ZcXyWgOyAPm+doLM24FmF6hixAP/AieYM8lxoNdohXoaI 70jDvOXRVXEEh/eLKynvq5E0n2el3CdONH3pqIpR/dA2X3TiqzdfLSIVh+MjXrXX9/ruG0WOz1po JRF4XWs8XLZXmlOcUTLr8MmAmXKONR6ZIp8BlOfhqHWLqHcrYrB635GZ6n4Q6Aszkj6AZLd41E5/ NeIig6MKHkjqMqdnn4AtOwkXy8kPp6/cJXoLz8rpYHa8NqT5uUwZ4Rk6keI4x5wk+WBJRH5Uv2Ev A5JS06GVTgLeDW9uYSIRt9Im3eQ8VoWh8tfwp5HJBupsYQbBD15rtiy2mYXObxxZX2evI7/N6q+E JPmZGfGzzZem6QuORlZ6Pi3IY1Y4gBXOE5ftdvUC/gCt+sgz1uZcRqluh3UAJP/NXCcgxZUZOSuG YdTujXaPggeplA2IBe8hOfoQEwNYO6IUtTlWpJtQ7dJpuYEc6ygq4gzFp91lcouzhiyu+IAfofN2 j30vGI9qGC8sYlT0SP68JG9ObnhKp3ZrwIwdKd5Xucic2WiHaA8vIsI9RnrjfZ+cXYHzrhr9Qlnw 15a+bAJuXzVnZuy6f71QgqWqv6T8n/s02jGxegYYbYDqSjShxDxtGTq93ii2g+17oui3FLLNODZ8 jO4Jd/0w+wlgMjBdkdh9wQogfMoA9LieV0hOWKjrxTgDimN0RPwKtEIdSg8tlpPRU2WlwqDEDGTZ ebUzHMyGMn8e3jKU3iW/dXuwENraAHTcOoAMbrh5LyRCC5aWQBntpUDYYQ06UllWig2FRaI/BGVp 67J6YjxyVFtQkKWGSXz9sOIrBLF3Y/eLLLYbJ2oiPYASJ3jKIHarJdPIUHlGMz/W3HB6ea06/ffs CAsuzIMPfoOMYOcuWbul5R5GhyVgSXcn6Cijlf+fmCtQJW/NawPJ2jkNigpHYNbx7OvKJpsl/PIa eB5tMQ9hVFa7xmCtZb8mVnlxeSQpbaDdFdysgzueFn89ycFr9jHKUJ6jZinuk8yV0AES2JphJBBq AEAVSd41TVTyv//Kq9A+xA4dhisvKGe/sHZkkF50s+SVG1bmCoNdEpgPWn494aEU3G+soiIA1asL VjP/wkUD+H9GctDDSNjPGBSj4e4jjuxoPqO4UEo8TIn1DD6wCovFAY5ONXPhdxhrtSP4oak9OqUe FMU6Zrtafmbne2eJn/+T61f97E5mWV8PRr3UAQ0pZPKQsNRAQNPXrhf/3qd5LyaWZHEE0h2o/ucj HXbuO8C2+U/1vdSmhhC0d2/VqpHp4WvLQtnFBHA6SlQZ1W5qaZlRFv8MBhL7a93rGCvpoFKr5Uiv jMb30ZxJnZDlRSjkId6luZLEt+pXmT5ydgcfhmxGNJS5fiVpBpPQLd6MQuBzyAQw0U8l8TAFvCm8 WtA4uVwipklME1fz39PXvUduMAYTeZcvmY8P9SA8x6H9WVfq6XjC7M4zaoxhDhUk0ypgHRkU99QQ PwSf66MFOIbLmkDCGw5ZuH5w16i31zoAmQ4wb0x5TVE9JeFiEqQ0p/acTcHdOIuNfr4De4A+/Mde KZxNF3wPrYGVHDGcnKHdtdrCe9M5Tb9V+pZ7q7MEQ+wIZHe29prjpxrBjejmbjHb2RKaNWknJ520 Rxwfj1WqwLeZ1Fu2DkZimgfhgUWFbRcCFLrLmvGfbwPyHAFsSobD4pInynG8a1M60QhopDz0V7qr KxW4XNF1YAjLuZyCZ2bLxJO8lZl26rEZSqQcp5yYKSt0BXbQf0+mIokaYMfiKTKJoTPzEz2Beg/N CprmZ0Tg5LFUvMzxX2Ck9un2sWnPeuMM50+SwjYoyvyHdlV0128nEojSPPlm5oCeARTjfsnVv2zo OPUpD9y+anGPriZnlQB6TXC9eCZ1i9m4o/UL4CApjwIyABCXGeFvb/iYvh3QrFI1PbkXqNlMvO+r amsbSM7/6WaPAJIIz4qocLz2YKCr0kjSZ+U4EFqiD5DlaJ3msKT1k58vowUwibnuJTdWBuBcW2Vq xu4939VkkyHGPZ/OSxM592k+2T2MDYcdW2kGfbzrRWDUPjQuG1USovVnHBZkj/4oVrTc4SLlrCax j/LoU2GslKoqZ6aHVK2iOTC1iAQyoCG+8n2xpV/BAtS3OJtD8FBtOSDghrtzfA3u7aABEynX/9vq 4nMmoLPvxjjHo6N6qOc6GKmZz++9kVQEgC95QGnxq2kz67wUVgFnQNuxjfKs5+nCOua8xdUFBuW7 L3xLyinyGD+b5Ukx+ELHCSvZS3U3ZM/f0dDUJq1c0p8pQyQEoHZSS4pgh/L0tGuig9jB+GqNPlYH u3LyfHj1/BR9Jop6Z/EpgBVLGmWX/fK+LUP8BhrByG5U8bUY/rjVeDgt68vCaS8PEwTFYakqk8fW aI9nZJrrBW/BXc++yjvFOY9D/BEgKurNEArltdzibCRs8mivRIsMa1f2WR1Fvt9ZhRr8FDyr8Hcf ImPLKhdSaE+jrw7gY33+Gyy0pb9z9tKplXJbvPemWiSxlh3cqhFdDdJ7JWeg7Oqi8LXTDQ1XSHwd EK9OQXJtIOqqZP4Vagoe1+WMCa3iClAt1809B+Qqz/FK601XrTPvK3i1vMCl+3ZFSH5nCnN+AXot SiSB6SJRehOLJ08M0Ugg7e1TSHJRr63ItkidbqKEeiE4aLwouescMxoOsBAKLwqi6AoE70+vT3Ip MuUSyTvUQsAFBungqYg/Z4oGhSIHpllPBUyvBiNZvIrf1w74thXY6xboYB9RBlmQ1j9UAJS5Wq2K rqYLr5hkTvw8UgYx5HrUnbM8Bb2UNUOOwPL7TicQqXLAHTnWPu9cCy1yiIweFKkosHmqVGK4SVXP 40CPcP2R3JVkzkXmoylNIKgJ5N7VU1SFTgXaQad7eA8cbcc0WigcLIl+35sIumOa+zG8vyykKmDZ cJ/9cJHDcFRQUH6e35769Lj4qYM1v5oig5K5xJ/O+VUMGnhjskVUo2Pngd5AijtvQrPVzIwFnuaX fV3+L5v+Cpv/d5YbLSmAKwXAJBBhMy2t/BD3aazqQmwmH/kAbdtCUnh9fGlTtEVMOvRk460cSc2U RbZg6f3j61Gyac0fxXvl72tk1GQD5hZqShzunXyFPyrkz7XaLyAaCRQlIDGbpGqWaueloXYwiFes 2zIyPFOe6p0pdx2A6NUuZy4qwmntpL0ZyEvy4d9A4dzuCCPZrry2CJtKMwciTturJIKmp/b5+L7d alsBYoGop0W/PfF8+IlMrgsLiOfz3Kfs6Z96LJU33hB8HOUrRnbZBzkZNouAI61/P6S6uEnhNw7u e9hWjsENW+2tCkjMPBn3P7kJ3C6fMDE/j8IMDmCl0BwpJAnA4NB+Q85VDWbgiO89EmO7MSOPDsL6 A9b/cNg0UO2ERXQJFyN4X0Qti6XJLjcJXpncXsvoOCLL4FGkuqC+SvkK2XSpFI6KwdZdRzqp0y+Y 3DCHg+FtE43Lmba099JwJBDPJsHnKGAbE/DMu2tVug2a/6RvZU/2KgBItNTeJVHCgRIaCMZVwlVw x+xk5/SE77KRLUMTpb/a6/6sh4md9LQ9w2orkxUIrgiNR4XtodDfOA21VMnI9xugesUrIOWZtqDD JznKE3u4JE+KIP1Jf8OCfLlVGDRmemprJn8COptTkY+cgwoD8mK/V914rbNbjeLvXyqevfX1dUju 3VpLcmeb910avDVOCHoMmn/U77/7D853ij3TUZdIPCv7qdmL8tEA6ZVNhizCjKUcglZRfp3MDfFI jnH5XzhsQFxlkOEMsKgIaNoKvpwx+uTtR7pzBgWJLgfDuwWIeBAF7ZaoEw2m1LHsHZufTtZfFr7C +J2HWTS/ZwuQEG+VpkLWGzpdPK9SlwAPpcnEOc0nPfN5BsV7fAPZ1Ol2H4ri0p9zBakp8yZFh5En sNBsPdcrgcd3omj3JgQ6Al1ik25ieh/OhYqu2qmAolkBkZNVVlhdLqWvGniwvIPpzIzVdKKjTR2F Wi+FtRmXYB/dd7OiXXZDdsyW0qDG9NiR2IPIaWz9bTjJy4DCB2bJjMTB8bLsBH7nB+JOZy9mLPKm KKzCECl/dOlh/3XUHTL+ySciLOFTrdcVSvEfap27QTTfDYONIDq9WxUGEdVurJ/9qqn9mh9VM/Bq gO4bWaNaCBIz2QP0qZ17+STkIypdgv3mPR4yxm5u/LYYlQUmj2zAVMMahN5uTePln1fYg+4VfHiN BojSxNKHA9H2js5lxF5R15BHiQ46OJlfGEf288f20ixo9H+IIGOWO6IjImFlPzC1LO/Qk+1WurSI 9anEfcRPxu64PwKP51tL/sib2awfeeaQ464ohh2QL+5LVrLkqi07u3LeMHtauQWjJC0FHFBQlJfn Gdb0aRcoJUubdzfEDeSQLsfc0Du4XieEwFBXNgnZHYhgBraofIeslCUjtlf+yVfBLVLEGqQSj/+/ U9bk4f/BA7TdvqkZRdoQkHQk9sHbyuSjVuBt/rsTgjZqFIoXYe8iCZMpJulc7wHZnCUzniFfN6gT fe3p18J6opQArYF4OBDK8w5HqoLjnbwmQ+3rM21HatjADW1IZleyheziSIDDgv1RHxWcy6ZzqOSc V6bf+HpH124Yq0lEwtVoP2tGmWoHxkak7jYhxB4fGJPfQ4CEAUFCrOouR0SayRM3oPyjHb1/2b4U kjZTD/7d7sudJa9JPylLQwFCZBI2JDee6oGTLI2inNhW2dqFsUqXfsNuTW9N1/Ikv7JclHRjsX4s 0PV+90SaYwx3QK9IkU2FJJytpsTsd5C/Fe7SK5xyySsTbN4hiwU3XD+twa67O7iwKsn0xVN/HaSq gbk0JBDgw2DcT8/WzNnvyuYsSBgQdvfj6kfQylZh8YBjPm4ihqKyfzB6l8Dt72vatu18PXEj+IHi ey4bLJboyDirgIHXARGmPAErcTLvc9Jap7BY1/N+Kmw6fm558CoPWgceE1DmmHYfwFiWWjIyTgga P2lLwz1+GJAjt2sNXYTINMnrhAoX+nswMqBPY+trOkxzHXlucI0j5pJWj5u1jYFRpLV6OBkXkjNp KjiM+1upzOs5+AmhMaA+q9b31dL9db8DwugZDdVPsxAo558WkUcflFCKaa/me7aLRCfzz3RZ3mV6 Fmnh9bdq/5nH3DWcI9aLkwsuEHB9t9WE/Et7wDSlZyti4t8UNbMGVmMyKii4F9rZ56I1PNqoCq8E uLCc0OEFl+rH9ciJxvzSumGSVWbGxgIoPANPz6L3VXAh55EZ3WOuZ22X6EOwODAHa6qN/IKXibza q+bcE1L3XFTiGrGw7PFS28XVgT6nuP5Sx+2VzhJeJbkWvwFoJemZX4Pej2Ubxz9lOv7BuEnVSi7I 8VrX8CMbC+M92I5YeTxrLxEDNZDJFCbEHlRuJ4/cIHR35TqsSYWkXuEobl3nqEtgoQ18YzFezgTT ix0Lh0NHPMV18nBHB2WkCW1mXhxrKPayma1GV1l1dStvEUgUm9T5ow4OzxmO6xAx2NlF+nMSQJpX JTDd/Ber7srp99AU+LofAeUrcR/fIcnHAglSSwupRPSGZDXH9w75hkBv1x8VanQD4PX+hEtjZUA9 xz4YjCRbtIAfW+e0yWjI/pdpvVv81FQ5eQ67Bp+NQdDNxAxYGo1Jw0zoxqpJ++Bi237T2o/IXndA Bm9aCwPCnsSgCuoqB37U7Lt7SZeK05wcaYhdGrpnSHEXc549PwebxTlrfZ+osX22HF8W9cbNosJG +yGZVQeidlukUS2ruV+++XFDgUXVkZCYqwC9S1UvavLoSVsrVP0ROmYUEPfep/zTdfxtUkWmj0Xt iT9arIzBNU/t0zy8EYkpZoJtFrkVpDKnNUXxMnXpk61JnKmEJcsLLx7gMBSsFMXtFjt8TpxTgm4w rm+u7k+1Q4tjbjnxvzHPUJt57gRfUQsh3Ps/N/yCNYUTejGeOutUDOKgkl6eUYswO4YrC9Y3JKiJ R1IDdpei1J2n5LFlMR0SsBbfiA+0KjIqATzmm0aLJJVhD5O9+SO2FB1TMb6GnNlNrg8zfs+ltj26 8iNbS7E6AmCKKYDfm3/4xpbIOvU3U/ACvndw7VWGvofgSx5ksjyW5Njrb7gCGCpZivjF72wURINE pIHLzTvXPiGccXPfAkDR3XJaH1eery/VKsbzhA5uYPtn3u+h86qsMuZYltK8o8Yo8UvU+RiENOLK ZxyVP2kdmlio3kOMuGnuyY61mfM/fYqY4CuTdzdF56VOmkTdS/OIFT5u5S32RZHC5e8Nnd9Tfc3O xfKlX9iI70/LCajkIckiWlJaD672KRKrRKgHcOHFJuPocKcD3ipemwnnOOCuf+ND3NlwgYykLVmc FJ3Iv/u0/PyHF9Hk6A4D0gTuiBzzMnELivGjNHwpOowueAaHmaUPQic7QGpHT43ZiUMs6zP6a518 2/i4m0aErqseMeuOgQ4Bvtmd/LfJzcwKf3JLvp1lUMBEYb7RVV0LI9CFK+M552jml8K9P0eEFNpw f9wsYaj/X+y+yZYtRYh2UNYylLK7lqCdkMpGYeiZqXdlVN4mqCwAwDuci/9lWy7+0Skgs2FFplWN 13hVioi4EaQdNTUTb+YcvZBrcBoM060bAZgdPGCJlMHtUUi1uUyTrGYU37LOX9ZrHV5CEC5fb1H6 3FGDyYzxl7GKJNiDGT+p8ZHkztNPLYkVVjHBZFmSKieRAN7wmWhwc9UhrD/jdTOdb9X081BOo6wn 7yk/EFRLkqjkQwou6t5ZSRXc6QCucfrkQagl997DHkysgUI7LU32XloHerMhONie1NIP0IvPN1DY 9zHMIYdroUwqJMtNV68dPrOOY6LMdtK06K1uY9C9e3xMemJHNJanvYtnTkJUsEaHVASscGVUCFe2 1SqazKEzSF454hAANkSoaw16hAP7nqrZCIYgzbfMOG1Aum49d4GectYESZ4ZVbsQ7TMn+NqZKWyv 7ozNi/D74t79dlLvEXe/MOs7UxENFnnSTIzBzkAYTozx8kjemoYVeONSxVn7B3l/xqPdvG8PNlVB jXCRVKrwwsw96odGKdoEPUt2Idz85nGMm2BzdMW5BqjAy/GdQQAEFO5u061DBRUJGg1v6FYnbZre AUFsXKHPwMRBDfmxI+pu11P2ClyvnonxzyiYyiS+4jPK62sGcOhCjOBbjM5+SOt8V+pNPFftPUHl htjxJYIWUnUoh861ddhlew/XaMnVtk81upQRNBJVaZN8ZKgcM6opP1BicIAf28k2rLrktYtxz2hG b+mRVooqo0RtQLr7dOkb00NAxXDxeQyltIeRK+mSR4ZtPphJnRHy9ipBq2gNxoc+TbFdJ8VAXiQI rpwIwKzCBvmqDVtGwj0YOc8mf9pMSq9tF0CHdtXGQBNHY8lYW3uOEE7ZPGHPGzSN7A/kcvxIkWXG IGzcZahwmS3zmUfksrrWPJU27xNuNWfT9knff7s/KrOikQtKTIQGm3yCVJpHE4dK14HiqBgZlYHY XgQDTK6vOFlbsaqD6U6D7zhVl0ZNG1hozOBncdOs/ns94I0dNjqcmRwmYNNASSzhZgS6ogRF2elm 3D4TXyJZbaMji/7WOAEk1Q/erioiUOnB6kXi3VAs/oJO8EwLQRXuDysJKG0m7vvzji7j+dRjQFoa ezbDxKpY7xPLqEg458Mppw3k2a9mA70vnOj0LKm2bXbLlsFSElRhyu1FL8bOqeDk4/SLRbKNx1gL lW16vyFKtNKpEmQTMsj6DDkUAymrWeQO8rKAOed48ewJbatmxrS6X4+aQEmjPbOS4ULrKga/JKqm mvuvx5yA/NrZva+BmjURBmjvFx9xrVLK3gsPEBiRL8hnI4X3aGeI64EusfW6EydGtsJpa/ji3QPS +Hgxs+ZU4DVN6VLkn9MqL9us33mtcM5WDYlWzZn11ESiNdTnV1anCVd4kyJlhUSqthH7R5o3ozKy IPn5k7Fr1Du6i7PSyWrCgBn6qdT3ELBCu2+rhPEfvw+cHp7dl7s6ARBGhzs+eWugvk6TXAB1bpNG gUdh+HKeQXarikVnf7VDG3KOlUjRUcXzSQNJRjm/9w3xK+wHjKTkKYh0HDBncdugwRYIfzk5mAwO W/j09q6OcqvatJFv4A5Nmez4QNORUmbQTyHeOPTTe610gyhjn5uzVecarufPX6I6OfhrnVw03Rlm wYZSGW0H37MxXgSYBwXu3CxlqHjhTqBPHsX6BY7K76x78ncxzDWsg+35l+2MOGZbCO3FNqtmKJJb 1M4sQnWHNLAC8bLIgnBxqHphXGUf8Dlu9qBfoJYKnYPLUiEPKWxxWXA+QmeLvSoFpwfTSEi7qm5X Diia95qrzrjblKRBLn/1fd5jobXpEItcofzjni7AVzmUuOBNqni7nHx7n9v8ODoRvTRB7rLGUbTY 5hXZn909hKSrH7q9I6yB8+UNuZj8/l7n9IfCGGEcLkihIEC/4BewCx5yBX9EDFG3g0C/VoxM5GfK L5FVE2NGEaqHBMzyD7GAA3nfpNi4cB6rba/foGz4FrLERI+g5kn1rPnkqBhREG1qmUBJnyCNsz2b OVDVT2OC4UYoAv7b81j4ZvJgHYKLDVF8aSN6YvfIFMEtuDQafezQuFeYC1kkA2EP8VB4GD8C9A6a MHhMxffjXeSShbVNzns1rZcACwOvyRYEcT/1P6tXUljAE0AEIacoXAAytbqvh3PBg7rtLh+z/0lt zA4WhxNth6uYDu11n6K+HpEFl1ym1TG4DMo5X/JZyhpxqQKlyn/L9EMIr08oznIhTZoF3XvvJ+9M mb7Z+WU+VH85wlS/UkoNKyMhFB/0mGaCfJGQRq6JuPWsPMfXF4WduS7ugTXvl0yMegGH4Dr14Vv2 EOdbVzq9GM3nVHn77Y7KqmCdNCrxhSB8Lf9RJ+Se1GPOeptfSyG4vX5zrcjVkxnictaYCRhbzNwu ErvY1B+svyhS5aK9gfk5HjHpN2/tCpEa5IKYoPbpjeFGfV5/8xAzylq9Off3kRtZ9PQoawe/SkQh iVlwAU0rZ0nZr+7zC497bsTsC+rl8rYhBtC0dSYFHXt0n9EsRr0LEzoRbABVMHpBAE1SQ2xQ+7kr 7fIpU1A+Mlt7jjxav6lTNKDvOriKHWaLlkZKaE1/AKTakgeRUAVF94FqLVWZsTZTPbXHWIF5fuHm sJ7j9WnYoxg7z/A4yoVJhnz3U/aPbt8RYlDi7hFme+t1IwoBNIqKZMC/1F6fsB4a16RPoKUlQnE3 ss8QQxW28eHbRCJ4tfgWnSM7xSS/US7m9HiQ2nAWqmI+qBSRKQndxxhFWd8xO1kjjDEP2RXSrzBy kjoLXL8eM8V7g9t8c3DCH4+1TFWzt5xqK5umucrLrECkHKjNHwoSxhsaxSV2vkdZp7KeL3GNUfV6 +iS0G0cY2L7Vtri7aELJkXYzKxzhyrPD4WgmFaf5Vkyjlli8UqTYtMK8Ta0wNCFhZFe101Nsz864 e8An0sV1TSVoUPGLcFfvuBBGNkBLG3ivtilVidBwiHZW1log9794V285tbZxGjJH73BfnZKJxXDU DFf4ReSNMig0WM5+JR2gZJO6zFVK6ike/CsXklNuu7XsV6+HgJ0TjluQgZi0GftGhzrNNrEXTgYx 1a10Kc3wK2rTbIM0PyblSvn7KxRCUEfsDehCbWJUJnKa3vd6A1+EOCC56MyIbndckA/nssyi7R2t eMMnRYBt07Tucnxa/jLD98OXlmmj/+NVZqTAX7r6oYWaqbOlHiJFOkm/HJb/cv2Zz9Jnhdsdrjx/ BraG3MlGWC2qCb9f0pJQBeZeJbCq6Bs4FPVsBkDEbWe8DDhT8cHDat0BsfnAmW9df2ulKS7uTMiB PDRePPh+rw5+Pes4HxmrtApM8iP9zSg8ksm2xc5zx5bd7/pPv28EuCI8DCOqFtscWQBkLxZoacbG oCgYVLEz+WNDnQ6VroJ8d1hFCn2kadtr98it10APmLtBErovgnDDvCSg2DJg4Ar2JUizv78ZkJww YxJO2NjQzfK2oO4i4p50SAngnzy1G5ZokCiSMGpgWpzjVSNXTrnoPhi3XOT8cYzrYd0d8W32LsHK X+DQwFwDK/6h1rcK/N25W1WGSnuAAnr5iG9M1odHzUsb+tOGrcS36sjKJaok4W0LgzbcLCi1XRam a/bY2cN5ddDxCJzUAWHCLGVfDgnZO1f2NlRB6texDQINQ2rx6uXzoiJJE3OZAJjr/yzLYQAkthjS E9XQdXKZsgDNN2rrfQzQVu+TdkYumdwvK6l5qldM31pc/JSwolvNB/AXsbO6OiwtV9+UJApGrlSE fuhEbRyYM5AQcg0zmzoWWxjtF36BFeTjXD3OjXADyqiOe5HHHcv/2i5hU/IEJF5CapWX2KmvOeXN T+dFkSiY70UQI66IRAurGb7pzXpNM5j4pl4FfiXKE4ovl4CsrZUj1DTeQcAnKmnR7XaI3EArPj0+ YSYWjsB+pfH3A7oUH5MuW8Isul7enL+GWhcGHr1q1wC7bz89g5wT5ugDCcpZaxSxEUrigBc/eWJW 53Ogs0qmi1ZFW6VIGqRWlf0YBg+dusoW918uXOQmRcnfDKF6svGufDWYWhDkk5fhACZJ5pe9gD23 Gmnss77RQY87dbdlFOZrlIoFQRY8ZqiGsnBIiq9wsPdHK3t2UI+aNukSg94uF6uvpBX0HOzEj1rp bl4bC1qtvpAu3vEbonteDtRrdzsXF0s+iDDpMiPbWrvpvu8mlS6SmRvN4yOIR6x+tPeSFC/j15Sa AQW/oSTaVGIbHXnG1sj+PzI7MElfCcrR1yZwED52tz5ny90uF8uWu+G1FJRTiC5YhSXcvH66fkTJ DhuBN1J578/FQBUgLTWBOY0tW8q4iZ8Bh6pa77AS3PaIcPx0uEue4BeHhoUgC3hx5f9gbITTMpKz iItwHLPulI0Icr0CxnZp0miia5w/q6HMg7QZ7GIBn1ITFFBF1+5+BgmXdUNn4ZySkb0pI71s1qKg 9EOe9ijertWPOzFA6XAKBAwFBe1yrbcmzQ32WtgsNI3jkPFotyY3n2sS1RVqzh2NWiClbjvtlq39 beTD1Ews7XvsrNih0Bh0ri8+RZ0KIFgtHfVbn36PaitJGasKc3p/GQaPgAnwYJ0alsrwiNhBJ2xj 72b4Kk4EOSyUPu224ni6jtaa6ov6vGibdsbPMgqrAMVLejbqmAHD4Z2UylAeMIDRW6JVjR2n6PFZ fMLOOyT/Hu8QafcP85pbW6euqb9MvXGjAgXadNy3a/qLDNM//z0ORGsHz6bFxl6oMQTuKVxrc+Ie 8sc191apYvBxQSNBTfjv2Zwn0ga7vxM7wRs0h72FNHNXdxTiu+cLZtHQNfOcCZY1Lcrp13TJeIPq FbtUoiHsXcAOT17a+I2jRtnf6C35R7bmh04B1LpjmappTqn4QkV/wSN7sI0sYUw/arWL46GXA0hB S+fz+VwSjINspL9AfQquJAP/AqvuGFfN+Q/zpht86o+2MgrCvKdQSGbfPWrKP2+2IqOQjnX4jk9o Q1lk1YM1N5sMeLdoni1U/BAxLdNMRcZBQeTM721svRhELaBsg8nIDNHn3xgsKNMOhatyOkbdnweC z08sz7Yj/9P9BEI3zYn8okYaQaxNa4GFKLbVKiFX19vpWJoqxWIo6YlyiCoJsB42bsaLTnq6lzxo N5y/gRmtizkExKojX6OLjL5slB7uTqd2pgLkk28JSx+06+P3Xw8ZdsBYEPM5aUtTnF2AC6iqNRlK NDWqj+Y4W22VOtFlikCk4jXG6Vg1DFlWx6l9zSpNdTHAPc5lotovdZUPpZAKYAIEEcXcDFpbydrP Ko3UIUOEuAPrZQMRvmiEnVFhMKbxG/0eh29fn+CohbSsPgidyDZHnuUGnQQHzIR6DPOuAWkCuy9H T+AXfXXkI07+Qk47BOwDUol7oMku2uN7CxOx78VXoCUrELMILg9rUCsk4FF58ctTCHh8qDgC1hL9 fUJTBlVrzeG6P2f3ipFjh3Hi2VGYggA34DeArwYuWJNJ8ezPA1OLb4kYJUELpE89eUQBEEWGIq9u wgt8faOIV8GNUztSPSadslxhLgMqLXzUAGHbVp610tDQCWX/8uGHKRfw9fWM6Jrx3FcgJvkqE/8v 8MhgP4enI3FCKIhb4Bp6UUpnEvPZXQ8YHO6Wdo5uMdhB+P2od3IcthVNbuhJgeLAsuvqjo3UYRCc qM1S2neUo7HwTFCTkHbsGKDUWDZZbSTz4l9ropB95JYGQotocoBJcUhL0RFndWZlh/UcAtWHeOQt qHU8CuUK/LjKG83xTBWiMpgpciAqFbf0wuFBmSdI3H/hmyxo+gAR2qFyfF1yn5dpYY50vwMW456I MzBjpGl1dkTP8bVHTY3Lb9vKVLtaTr4aBdI6Tj3YKdxF5CeliJr3jJVSEnNCANxVUYuMjkAZ0xG7 WSe1+OrSifluGmsE+fyVbOkmZZHEIlWJxocNMuLnQ/6cND4pTtIKtsRg7NLx8mPgvsM6vt0UnusT ivtJda3QDjI1Dp5Aa4E4x4xk5zyqWdGFDXnOKrZCVcrUzDzrxw63SEHFrgqw/aHRD2r+BAftimyb k2SKyDO4QOO++xzpGVs070XGq7YuHLisV9i1hMaKDsgLEbwwWGIGgqRkPvjAdl12oomJ5NPiy5ZL x2nem1bVJRmdMYoXY6Avuks7iCL/wnQgDFxK8O7/NDJjd3ECH1488vhag741Dc32IUffCxrGFwHH Fi68/xZ/WlyU/CayNHO2/Of0Kf41nGGErEquvt6BZRW3zXbg3K8jDV4+T/W5sY3wRvstYTfrlpkb hU89KFpprnmYYbOv/K7b6494oGbHjAwz/TT0twTJxlwm1GEcVUt/XcP/XX0zBV22md9xIU52JLkt 4c49Zx9DK8BTqh6/MhxcqrmyhIQhMLk8evofCtRjiBM4IcftsuBCGk8GBCE6BH0FiPotAEdrXZU7 dgdBGGW27OMZKZadfyJ3qkbApwyhrJ4HO5Q2lopgLQeoPN5IbV8OwhPwAGX6gUjAn5vRSPUCt8Md /lRLxFzBQpUlNTPJt8Uvfsry8ViYwNzHMhF40dz5ggYp1L+nJnCeQyo+jt3OAbWMo2rdZQ8Uwziq jLa6ZibTS+v7/YJAlaZ/S668FeQ60OIx7Go+Hhfy0V8XfDmU5P9Dy63tH60oPXU6jvXAQlA3uxN9 juh9aDXY8DeES/c+5l97ZmXhfQcDInwiS7enLazwts4Royt/j8qC6MKI9Tvoi/4fqNcpDkRBVhKI 7WONx3GCB4abGrW+ysmUrHUj+Kk3n18ghyi2USENBQ375KiGWdpdu/99gZKDLVijJkUHYZ3RB97Y VM/eylr6cCTMdiy1mH+EcSCKqEcBpBz6Vaefon98g1cWv78SdzuGVqaBDxhJxOh8mCD/Jawl6mGM ShfQg4J7gyNHern2+wP/FIBQgpKETW5D1WXXvviRBeiznw53KA+qjD3sS87lr0Va9MEDxkjEjlRl 4Dr1kzkQbq0cWqNgsf2WoujPWWeXJumXP53GIZD0Im2ThIUT34DG32GDgHM9BbaOvUOCjTzBQcam p43R0ktnl+37sZvhXoi/TgNPikysg3Dv43ObwhT7fk8CgiFtE4dkIPlb0oVl04arA+noULHenLFT gpkqZ0yzVZiBcuOoWOvOxj+QySaBtu4KvGWftOdQ/dVGjDRuuHVrUM2ITCX4drqha07nOOiu+ooK 6hPiDpT0fJaJNYe77nm6AvFvPeDA+np5WGrOkkv8rTZqiKnLbILiIDE6aHFVTcEIOAA1HW+VCce/ 5KqUlwwGt/CBGD1nhNsrjyRfNBsTZF5sE4TF4/JtAzd7nXh/uDGpjjKA7/ES9FviAooCJn+pU83b ld/4I7PKq+Kk7nopkzTEeUbKFronWOYaiEOF3ROQNKQJdGInRq0YxBqboXAM0UunyXJ1lrxeyh8L aSMrgbjwkPTmBrfLsbG5ssDzXeF1wWt3sxL5kbOlgDkX7hrqr/lRcunS5M0iB2NWGRgN377MdsSv LcIzQ+sLcNNGV5DPgutrWSePda2YcUoBr149cl1p8ZGnlgB6H77MIbphHtVajksFeDsjpqE/f/eu 4jzOjmQiOUEicMXPIgdrSfLKM5ZNgNCMpGBKWAVwZv0yEc5FRDVtMddMhUN8+ggvTLy1B1cEcEdE K1WDaaBrTTjvCorQkrpw6DjAvYs3EgXoOGeadjCeZ/sJONSWvb3GfvDcJw/0zpifvjq6e6NmvdBf /HpSMbNJ5DD+v10LgbzN9K0TDYRCb1IG41OTL1tdNwwT8LMIgmeX1553mlgmVJxoDEEb1zEukNeA FdMxj+J+VYy0HuWHgmVLkzkP4sdfCXD4I3lDU5vCk9xKVH2rM8U8uTPX/R5zEYB61gHM9NvFn3nd st+AVGuGtxlODsH5ipFYDrfznuWjrmXURGUGwPbIIr8Z/oWtGCuS0OJvwJ3U05Rm9AuAuJ5Mzme/ dWSvoS4RVjO9MRBRokO8MCJIYwGUBE5IpFk15Wh2CdnImWg3VBIQQEv2KBzxhkqayf2rq5m8YRlC 69sI/rR4osvcaWjKeyKJCwQTd/ul1wiuUAikZWFjivNTBmrgEmxmQwcwjnm344WP/TcXLsADepRT 0Yxaeql29hxKsN16p4NTVYwA6Ds0E6wra9GArHiqVNpPCVNlYVdq8HkWXUzpAV+ywUW8A08xulc4 KQuVLM7oLADdbBqSNR40dWyhMUldHizhMmw5nKGLt3Y8sF8SV7OVKkWCt+KG6hqhTica8yHzGuj+ rqFxltoQoPxmwY+IGZekGqDTBbvF07+eYEyBfS/PjXsOk5jeGwPaFsv5BazDsddYoqZjP7l998VQ +uBFN9JgLzsVbAGYIXuKeDJ7SEk5320+/8+GDADxtV5tM7o98YF0lcLFA22vDj9rpxm77JV7Bi8C w9LCNApQnXgfYhloUkdlCEVOwYHddfxdJjJliSgKwiku1Oq9UK42b4YWTQFdZmlQh3p24hH7ikbl zYokN22yI28PWcd/AeHrI/pwR8wdb9EY6rFyZCNDuSvtQ2lUa0+mopbCAsaPjwrpZ0qKVZFmFmk9 Cqe0mPoSY6FQKdmiMDSvUh6hPuTLKOqCgwsGIaIL5yENFfgysXfw8vuBMN9inYCQzM9KFxjnNBpZ PfGmgn8OU3tJfhVceELkXaOCaluPRsw7DRtXskbICTc4kG10kMS+QbKrNV4bftmBKESqMeIvLd2O ifmge8rmkESpGR5Q39IH9aI9Uwduq9KHtjn28IZwFs7KXLLMR0yuK0KwGT2tWP2T6h3FyZC6LpgP wY6pNZ1L3ylXl3Jll8G/Mej5VpQVfgcTm5KDZv3BcEZRDF6/0JnIYVsznOnvILTSGFE0r18V03Rd vAhlUGuRbVl9AsiNUU1gsJ5yphhtIj6M9XupTVGHpmX5LkOctYCeclg25GpIcxjqDvqavClIuG+j zolbrbxb9Zg7FipMSvlBij5rWizcAzQrt3zALRKKMUUhjg0Ltk7Be1FpQ6Us8oVw2VyaRYzjl0jr fHec9IU7uELzZNMm/fVnTxFylFdi/mmtqmoTiA8vkDD+FV6JEDiH8NC8GDhTqj2+75NkShzC8zJS vmKua/gGLWt5TI2xMg7UX3LNjzI42qwzcG7/Sk4BrlY+pfcN914f7CG2BzigzRjWUAciUztCaILg VCQD5BGa0nyCgWNKBoOpy8AINcjlPh1l0fJsiIA8NVpbEWUQ3KIM3bOdVSvGG6Bgzo92QrPn3SKZ iJeNdWMg1XWnpBG8Jh8N1Pnkgg2Cg7qgGSjwIFmIBSx25XKfIp0CSG4eneCNmiJTPh6p1AwtinGD xNY38hEuud5X7i9xL0AVWonviwmEMI6mdJWBsRJNbgQsXOarnZD7LwgIQJoi1pM7kh3EXKJVZfJ9 k6SAMOkXEXsmPW4MNALQbP3gopTIGwYLbYG7UWFjlm7+KmoMzcBaPpZPuxLJI2vnExmm1V429CSC MydEmQcgMVillHqZdQ4yaQiDRhJwnzDu16z3YYTdkuSdBFBIY+ExcEyw4VXrGnd8CZbc/p+AkkZy xOdTmp7MqV28dXtNvUaLjbkPpLQCzj6KWIsnUi/zAfT9WAh+wSKy0L7RsDhnc6RLp4vm3/2HmCX/ OM3J1ats4pWGB7k5R47ufXtHV3of+rlwplIcH5E7uE/Nm8PWSkyvb/hvVzY1xgAz/8Rw3rN89ubO QpdCl4U2yxDFNCuwPd2gQz0R587mw7SHza0dtEolDJ7bb4nYnuTLhrpW2bJw89ExopbbOlbbIOCo 7aAPV6+TMKqUA7PVXaTnaMXdVNEURQJw/R8hXQ87OrApX7G2P/fa3me9yMmOQ4KgvTPv3si5UHuo T/U/2lqozr5RLuC691s4Mc5PSQOT4mqQJNHCpY8W5ab8T2lJ/80u/u7mBul4KcbVCRK4b7WZczuc 36Ev/74YKb+zidvRmQp7tnGefjPGbWaZwHWkvHD+KaOmJiKyQvc45cwd1/OO9iKXSPXz1mZB5d8L U/2+mYKAzMWgi0eW7XhRwDooI6RGbKhXk9htckNR8gMtG5GMgOTLEbgglid5p4vwBZNIcp5ibcg/ 5SmRaFwAJy0pe7dnUBpjWiUXkJ3KpgkuEIcqXxxYp2k6tpySWwZ0yXQO3aKv5D0hz9NyWj7H/gg2 tsnmXzu2Wj55xTocJe+66Kox7ILuanwQgx3XpmN4pOdMuurja99X7fSCa04o04Wq2EO8XUz5PfqI tIthiJOWDezkfNnVOLzALK7mf8Jecy6jqmcsyCx5jTQQyfuVl7/l4iZBhMnV5GvONUmRiwvzQS3D AyKvmZ6Y4r2rB3IHra/H2FjdDbXqgMuSVmT2/CrQ/Qc1jJQrski3wmFG3iRPYZi8yJ/fHy6NgcEa MnaGGiRFWrxdTDf+ncfqRG4e7XQAVZOP9xz7yufCTxJK/0bekjVYUIFZlKiK+BTCzR0wmeAFt1gc 4MTyC206PmT+wxsoYOi/oI588OY4amiLbMOg+reDnfkYN2Xqbc106Amfbavcv22QW009uJKieBYy 709ThqCFeVkZmmfNZPItfTiIPJdjXZShqPt3cMwN0XQ2QTIo+SgsLM0b0RalJl0h9ZlmI02BKygh lcA5dbbmmLCxgZ7ldVGtUPK/elso70zmqvUpvyhFcTSrmy9f/gWoAfTH/0xR5v6+1TXRW09sQX45 A4kyqQTF9GrgMMJACIX4qKD4BeimRJhPH78XmCQui/Ee1zm/QLqLkK3kDm9gITP+jzGy4MqOr3Tt /4AHezko4hZzlDhmRUUWJdbLBaF+N+QUZe+z3RgPViQ+0HU2ygzlboBH5J02c0eaDv22X0aXf4oC 81Q8K/k2HkquSLaOr7zsHXD0whTIAcE1bvRGQA+GJnR3okjrjUa+csbYRvBGJD+tnjW+YG2apex8 PAcnC44ktwzfqf2NW7n9pHkF/d69Mn4WosJnigc+U4pMdaoxcVpPQc+VXkqerHzFrB8L5Rbc5rn3 XwlV7N64UD01UBJeMz++gd9XjRF0RNX/YiUgcJ0Nu8z4pT7OOFGdhqfZFj/vdwlskhJ71AyoUsTT F2s+dCajf5tcdVjy34JW4Tfdd3nSBzpzBo2bXOqSqbFuagFpnkz/IEyImNTtl+JOEsB8TUPOjKDZ 7NV3ND4yQ2v5Mr8oXn27b5ny5kQuYG0mA+G3zd8L5buECutXN/q5Mky1n/GIxwgMV03dYfgtPiD9 Y3hXhnWupED2drgweZL67bf/HDzQwPW17bMUKB1fxAr7lLhK2LwMdMP11BBv74Lv0DkKwDaLclYF 7/preEGehZ5LoGEcV/zJhXRLVLpkQbgx916MrLEEb//V4Pw7qqreuNluZZlip60v9buTMqg7vFqL JDV8qr/RX6nRXAJgF6XVjO8logpU0bAdi+Qz5d1I4v8QIXF1+P3xd3iZz9yXZJO+XCObFN8rnRx4 3lHRJ94yJDw0jOptgYnY/D9/IOJW3tmwaPeRjijOUy80ZENn5ysPR77X9eGvHtHEt6lpCEWhUp4V PeS5v0tUZJLyZ8hu2FXYr/Sof/EFJ+HYvPHhEFP31zrOuXomMMoXpHGNEUgYQICjjjlJ55/gCRf7 N9ACRg5lz3RG3FEF5f2rj7T/bEkcziYEebXXTm2RQVB6PHEl3+sPMWLuHT8eCH6IcLIq9+GqOLex ZDPqlQ7dLKQcsrUff8Y3gHj6gEd+Tjw0hrP4Wzr8x9CMwXmsHQAyJ9rlX6G4FgaaLYKgCFHSPP9s aTyzmD9Ugo9qaOo2kLh/z1yLrmD7IirooUwl3XiceU7t0h7zOqRdA25Phz2wtbLAtMqK18aMhSYD G9pctPO7vwzcBF16NbsOybkoXfhSPsYJmOnVkD1gnysyM/SGUzPC8oE6ih5cAHrJ4uBZcwDzUJgX N8rdIQoBn6Kp0XbhZGcnqrYtiVAUfFcuc4rZJcp4k+Cg1ab4emrtnjdjatPsNIZ1RBe4t5UrXTC6 F7kW46iNY+DzRy6W7YnXBMuQVaQdqRevmxDYCaP1CaDKHBhXidJGTYbKe4BpCx8kT0pT6TSYGw8/ rISLf6VmHX0UW0foLaiwW578lnziP02OSm5Tk0uqVFB/1vtSeixzMusFAQeE/zM7os365Jnb79jX AjumUH8KUGuZtEY9BzMSUBTs+M4otboio2GwSEvFrmToSfpVvlDTIMUk0YIRwf8qKUZ3yt58eIm2 7X/3bZcYYJF8u0waR/kv94eDIwj5nMRUvfxwbL0Xdps40iya/p9Q3zLpSMnvxxHl2iE6w+DidnYb QuwdC8HzHPUIZncu8VyqJ9Z3rlC0ac2JbnpOef51/08OREBXmCMiPIxkyOiZYGRD1tzgXgQfszPW 4Zo7k9yVUhi/LJXDOOESWzS0uv+BDk8SrZWdib+rIf3M+C7CbJv5dx6j5MRDheaTrzEHIoJMcuR+ LSQo/mrIFcUO5G+ZQToJk+PEIAkx4l92k7YO64+0qfwHfHrH6/c7yenUwx90+v19bjqonTsiBwvA LGp1ASSaLOuN/FmoyHO+LIZYYyOC8sTMv4Tc7CtWhowlSo4NkNqmFpSkWwNQM/QhRi1ZW5rJ4h1S /6PT+lq1G05CuSmT7RdAhLXvZHNB6Pyx6jJ7PqBie5odK6Q1H4PUbpYYsVA6RKfywNgb4L7hqC05 V7UviUwLNXLtfogidOP3JKUeyNN2tzGa48dv8TJ44ZJ8PQ9YAF+m9vhhsVNYDIybqKzBik16Xlpg yopXhPcb47TkttkMWlwvRUZRHyPk++sCKPPhY5+agc1Yp7JLLLQDnKenuF8rzWp6jWYIus6fSuw/ b2VinmUMf4vNVxlngKlPa2mD+ly3YRmI9yEnjT2UUKXeZaGl8DGHbC7A/qRXA5p1ab3wMtPyvfET mdylyYiZFJO3QyIKbcsbrOGqajXOvjmnaqvaJQv97VEeRzgMHw/R3hsjIOQqOQwX8uvDjjZTHtoT ITxcreapru3PK0yHgvZYzbCPFW1xi2aczbUG9TqnS9A2as5v0X3d9NSxOxvrvvk+kOUXm0Rn+RPO 8BoVilBIzb3O6n0UUPY/oD79tWo95ZTH6Q47qK+l1YOJDj2gJGQ04reVYIiKYeQzprT4LL2nHr7Z 7LZq6KhZaU2SeHrHNKTQp6rvBk9EYX5Y/hLk3CLi8TQQip49qXxcdRgD7pnKAgj9TPNVcUoPEjOi HFE5WGCWUqi5fsADSZ2z88WRQaIDiru+rIop3WcSglUd0ipHmFWztWqUnHLCRx9pNZimg5kvSmFG ZNHUOGyIGepADNSvUMvRMuJl6Ye68ux7GtEIfOEGwPwiBxCTKPiygmsiZQB+glZICEkAs++Su7c1 sWbiBvyX7VxYYMBM6gVaH8eEJbGtfMbyvOtQ6eM6e4fhw6XIFaI7mu9b6skGYA04sWXVUUsfFWy8 w0KVXKtnMiggTrHFkwvpmWVsy5Du4nOykQPiVqdkdq1BGseLIJiIqnnnGWeTZmi0Gw0Bl6jsKmOJ Bd4oJVKzvRMcXWOJaZ7WBSwA0WOg72snAPRd1cdj8ik6bxEIYB1dA5GljbPbTen5/nQDTIOFcjrA 4BrAY/qn/5zNeorMOnaw+5uDqKlkRRKHPcaLSfVboJRN02qANhAKm6+CreuNxBHOKsQBpZIP2b3O H6tLFiIkopgeQWahuuKyaVEkYOCeZGADVl/2ah9AEo6N+mFygkH13cpBH4KQTpJnnUCU7x6GXmde as8HzzBRApNphmdVasfpjb43WWx/zfAlG1xnzLZJevPJy0jMAE8xltE8ltZmDb3WgGOS/eaXIo/d oW+uykoWLMrISEc2MJIeOcQTKDu5P7Q/W6Dxh5Q4O5ih87rEvNHrKXnWnrZYzvDezsR8u0JiXBx0 c2tcBfl42LggRCl3hgRm/WBaR7sS2Ud0dNI3fzskxgKM1bM5VLMp3VQqmH3gyOib1KBeH2+ZamyN aIulOd3/7fDclb86rXr4B3HP+gLPj0CMvEpQv8I/NN0w2pV5OspepKnFWw0Ynr/u7kqvPvXnPYxb yhV6BuEr7LScS3Bb3U5gbDTI3WCVcfYJ0tyv339muXcNmhcUYiqgGXcDOqmLDXci+lGMaNm8mv8i jANXw2R1/hJg44rb250s64B7SvF4v1sEUIy/CLJ7VohyEta27UbcOnK1utu+ovMiLadnB80l7fFc ygCjvdjg4CM0r1NXfGyiJlW+UF4fReB8N+QmrAEDkHe56d/EZCVBNMXDsXiVfRnFGipxrHyhdN9k 6Z5CEXy5JOlRNFq3zKvIW60HF67k6Q91pEBnIN8brJefaScl5/Fz+j43aceQaHr8MwH8Db9nV6Ab Tj1UkFCjo7qAdK5DG+/W2zPWYMXB7qZ6s7XOMGYQjXYBLNSPX0dsXBKFiRqEGoZnkQ1PGd74H13Y 7pYgzVQy5niuOC3t8nhkLpxRVE3xoW5/hQ9nXp0blCXLxBmmVgyAlcNu3rcJECBADaiSsDZTX3UY 5xpzh6wopgHRm0m/xLVwjYnm8yDFeUV5Dr0TpJq1HQfdPtrdqUq7Nzj5OLSshiKgsE9WFNStjm1w wUs7quHXw4B9uZ/S3UXESpeqTdIJQ/dvMqU8amKawynH1YL+R1hCbGC8V9U1TkShvhyVSmaMckNC xd0DmNv3cOHPLWPWM949qDHwUalyyWb0pBaZKsFKR9wLHpUjueaDeeFRgsxLT2wJjv8cpTWiS5JI 9/392bnE6oHXoCTw89gzqflhyWG0Baeihlnc15lx6HZTg3EKxhiodZoFdC2t2sVBckmQjmMp7qYi ZHyrldAmQO2hpshKBK1V5+aEMpD9deIZKLtY3OfSsYW7aTm8xRS5+Xq/rK1hg1ymhRsb23P2N/Xs 5nBXovAx+PgQdfr1RHiomAS+GvBuUlGTdMDnZdfeMl6pcB4Plcp+npLHAeEHMoODSpTfLCbnAjmY j2cCROBkNIxg5LsZAhpXIDid/hBdlAi8sUeAzmTWlipTM1iFjzumlm2Id7A/4J1flLYbkU7sZJ+W YsDnde68aGJ18XmzVAzv4fFrnHOdExiazEEnbx25QI2qoNXTrHOKnYU8wkfl8SoRAt1uJvVyG4PA YtaVrq1k3KSFvO6yRbGMx2SFolgLMQG14iFMD3IOWY3eUP6Zu0KQjQAjtT63HKxt6E0DPNAtmO/b wQalyqPI5XMfSm5NVbIvXbAs224CIbJcj7rpXDEMUvWYR6+RqE0wjSW6yecQs8X1N8gDKmEPvOnb ddA8UcxjbjxmAYTpKShP8y5ZQSqvsFiRk9ToS944cdbGAxicVOlWmFclXUx8iT8UeJz4ymPg0qtW OqdgvhYGISw235ltWOp7SOdOsDi3Zcv9HIWkjvsvtXrcubD7NK+NB/Kshh0G0Ejb6mlFYs58lDDd L1eOghGtVsu6igRrvp06KgMJX8ATZo8LyJON+U7r9DyVaVb1za5dMhQVUqnAG5pW99JI2rlyxvMn YixE/pjT+wQ83+053QRu+nOt2rWOLWkiz9lW7iwHdG82F6L5wdha9nGl0P9GJQ6vVlIFLLxMwu9f 6McHGSa9A1G45eLraZ3GNjnq3XYDD2cD2f5CLqKDJD2dE4yHL4pm5OYvZjf2b+oYZgQrMYHbYd+x R/f/xeNSSKCvAomn7Begdm/Igru+EtPNeHvLI+UqFlogAIC4Dgv45VYqyP2NaFV9E9FNGtAsqKzj MQaUgz+IoJvJEKDduqXeE5c5JyvYNJEYTHPedrrTS1X+4yvEnZGtnUztpGAB/cLyhNXqsMBAtatN mtkTNMSG2kA2Faymgf/7s7KH9gcYSZYNXoBALWg6cxh1U3jJCWV16dCgCnProqxWPmE21zarJXru ElKKVR3RPMayKyF5QrCCzGBgIoVMkRTIBw+vfopLd9E+OXguGEvNsB6sUq0KmJQA2qrnAYUyQre0 YKZn0XvETyH8Z4Kbd3vxML/Q7I7flVtKJGx/6vg097twPs28kQNJQuotvcTvPAiEpgoxQKXY5C19 bioZPtBQoBhXqGXXV24OCHCWu03j2RGA7RqQVAYXtc40SXG3pDsyjfOvjmkk+nuvl4yzaW9Zu0yP 82JHWixmzNr22jERgI36kPYw1P0jhrdLXVblsP4JWIn7auWXv+mJ8oaYQOLJP1OyEnN3mTZ6irFV Tq9w0jQqVxSSckz9n97V/FrnL+RW5t7/wZ5gcf4lfynYXTOnDZ8E0sTn9RHMvYsgU3mtf79oGb6O XkqX5IyUwzuB9kYprJU9pPlCFV0fQ4azGcNEeY5pnA9+7Ke/SynQjFuo1eru3jCzdYA0qgKCNok8 ptqMSvi/3Yj1BjMx4v9C8jUorkAA3Zxdl3VnKBoG7bcqzRUEo8ZU6MsoPKBwpiyKu5KMhd5fouy0 MGqjPCObk0GzGLHyyhUO2fToiN8465J2WVqSgdcE7AFSTMAqd9fjlYOkV684CP5KY9uVSbHUC0FV rc3rXlm6wXEmQvqQt+DYjm+gxdYHMUTH4j7mXk4GJ6VdEAXO5nb0MMOMj/AZaJOoxhjpgbdNPKgI GENMMVdSQ9QYhBR6bYBYqlPsKPBFKfpwqI4O/Awu7eioabfZ7haC6CYYePBkZNG5EVW0XKcCDd1U nIj62Rg+lTfY3sSeIvzoQ0MvwG42HDbG+tBknLkm/AfRlaPPZtHyssKAMhOQQZtN9WogJNISm1na bxiwyt/N+82P2jLq0kqrIQXt81brngC6736ywzLdiSXn5l85ljyJTusG32N+9Uq31IHfRehtOTUB c6XQVO+t81n2Dg1xibqUBfIEWejjAgFns+xNahmoWpWcBLAE+irN2wvh7A5DH0C7BRSsXtY1RchB ipH7WgaER1kZqtj6dNJUXeOS8OEfPBQvLLA4bWf8sTtnwMZ6IvFKAuuCvzXbZ2IQtk/Am8/8rCCt X3b05Om37k7j4f3oa46y3lh5rArRV9EQ3mUNFj/2r5vGGRIMc1TKQqSTWuQ4H1SjLukhMjEmpgfD mzwTnkOZQAysR/Gh00SYLeXJHZuGS56Aol25A58MmQdCwlktMg2XBID/yT+iJ1K/LH2dxyxAJM4Z kOgf+7yxdOu9Ek+pO+9hSTRXi63qPSTf4WNEi/B/lrKJhMU1CooNRQmfhKCWHMe2OQYYRp92H66h tj1HNEwSJHVjd5fJ5qZ5+lY01EeOncRlVKwwF/RWpGrrV00o7i22SShjAO7oMOwVE/UzyrE4EG7M ZTF7REK1paO/Kx6sPTM9/PhkbJJd+UwGrzN2hSK+C4aZmahURT4jImVU+1aSpKWuMPcODmKGsbBW v/3vOiAgkf7OV/gfjmKWf43ERtxgOmENIi9cC2D13ZBQ8Mj26Vr/FI1tcfk/hPa4hwvDi92mTT+p 26rL7WyeEq9iWOGJ7s+Q9m7U8CZPId2TY0mYkuSiL7NxJFxWahgkA/SAI5o8g9zRwD82WYvBk4qH w34U+K7iDuH678OQVD22h6ShaQhQ7U1XYwvmypxEBDQxRAn2h3tDLOuDASdNC/sedpubyQC11iY6 5+xWyBSoEIa8bk3eqCf7QmK2xI8VZrlUU8MSXHgpigrxMM83twwae6vkFGQhacH8GoB0//wTkrP4 PWwj8bEyxydqSBAAgScAa5qPBoe8E5kjTLAQVT0uL5pr8j6PMNsAVY9Fxn0NLy63Rj1GpVSBAcyV oJlJ0pfIImyw3JFnH2/xK9TRfxQULuwhPBB92CIkJcQ5NLbzDlmAr5ib7uSM4P9jWwve0B7heELP 4FmgDVg+wQTESt4fdJ2SF+H1TLOvIJs4YWiMMnsGpIPkp2Em+e+lS8vs+hNVW6W3XH/lbmcDQKAx qGlyoyzLSw8nEqY/Jleq2aempdRgQ4TA0uRqOwIfLyLlqEQY5NO/L41yt9PP3rPBS2h5FifjR4kR 3SNoSEhAMoYpvWuFAEizz5N5S35KoFsYaa7fM/SnAbZ1MBRHn4gNX6z4LmUu5I2x3j2Yxsef4Hly 4PTTNOEo9Tb7yDjDmII1lkzJU7OhGaSJoB7SQlvVOG1tOT1LmREJXfQdbhfpl/43ZWrsvP4sK5Ei 2iEngPApG+W3C7jgXBn87ZzkQgoqAwnGuAdIlwSlr9kJwgs1LDD9XMrv5CQtnwt9T209Y7hQ4ubz bWYo8w7dOI8vr1VC5d9fAfddDK7SU0ybtAOoY5YGzf3JEwcqz+DYWKoUadD79Jt6yDIwSyfMN0KF QgaWyFOZVqD/lrIM0O666Dje5l7dQtuqIXYT3a1JsWI4625Mx0HQb+PKU5DyoyJAJPd5t0xGA/dd +mp2jofHfXiOCmTUx/2s0F2lTs0i4vXeiPRPX2/dszG+80VdJU/V8dRqhOSPOCWxBlqSD7+Zo3lG xA5M+J5pxCdfL/oRV+EAkppvLjS3eW8z05dlo3luY/YNnsW5h8ZaUCF+P8nLubXQOb6LJzu4L9d3 UKw20JrXbXvRt9BTKu6NFqYQY2i6X6bE42ZTNf00cyLD7P/kMFEA8vEuAQSAH37e0yn38vaW7Rt+ cA/6Yh52Y8DZlTic2V1xPnX5vuMUvTaPLE14EoCwv+dmxXpPnByr0SUw2lqOVUDchd541xx6zwkj pnDPY/3MKGejSkyZigqSDhiriY13pVWmaV5qTnYlVv4IEBUvBq8pW5Qiif+aXMZstM69OzCjkalM 7B3seUPMSWN75vbmULhKiKtfFCF13eaeG35QTrH0KbIYm8MEQV7PYpaHJCu85uRROikHtmOnTiaQ ZMimBz6GB0OBVbw0qPUKSEvvyobfbhi93/5zbI7qW0FaXyleSL40k7+U2kdKHR8t2l5LUj7k+CBM JcGzV5xypecK3DfhZcGaak+mY6iZQ2pH2k55mXtOoZ0nmmiIXPvtojFFtC27fUgZsuV2DdMd4Rll pKuQ6T+zatam0MBei36bvpTPmdIl6GVDzEy1RlYn5/lpuQYlbuY/7umcVwS09zYkywcHynxAJvKo topRb0GdouLmOxB8Tdo1oUOWrkaBfI1JpiubCnCoKWZP0jJP5bfIotG5ut75N21NjoVvij1KrUEd BZX2c9gX9LH78gTpVvpytip+bxKOF8523cVe6b61OVxPMiYoWUwMsRB/IxenJyqAeGXUlk8r0Jx5 F/Jh4W0kgoQtINmQoE05XXnVLO657CCxr2MBLP6FkS1IMXBZwsR42xJ4YzQhMEf1DPEhdT07LR/g Yo9xx5/WB5lWbkMFA3+5WwZFvzHosSS77e5t1V7bb4lyy08xi+hoPUVzOHqjDSuS84Ec7UUXqOhV nNvXeR0oywO9kkx/+dslpPgEzNFFoN7JUEXFoK9JSVR/+Zg6+M5lKF+qKsomBR0SXgQWDIN6ddTM PKiKm65bOkzOftfijUzwR/zDf9ay1F81crNlu9LFD6IFuATHTXJvG5A+SR8nEdzQ2ko0o6VUHMyG pqkebEcWI2ywaSdd9LCcIEjYS+ED+GRShi4oQ2sfLk4Dxr8m1ntqUGp8jY9VxBXSKKialotS57DS GUbx67D7Btn2kcdogWRDoOJL+PIEAUdWDdBPQbg4rWy7b3dt5ShxZvYoTUG2zApaf+xZOmv7aKb9 0bGFYn9SOyJtS5tGrFptnI4Gf08YhtGfPzxMLbgiFijFydMUQrxU0jUqzh4M+si7QaAtqdVMeQv2 +lWPn024/fKb1dsrkjfyzIWZW201PKxFZlazJd7cBNITPNUn6rW9h+htZQg9aedNA2q9b94fUpIq dILGW3rvZzGZtKXkDX1UkQYxMcvQonnOPNVYwtgG2nRuKmNBle05+IEficqGLObJC8hqZqWdMFI0 YCGeOa3F2778+RoMfsRiZPkvdoYGH9njrj8kokGEckpqdZjgs5Iw+rrbmnN4JIjxV4FYj7+/v7lD k1GLVuoWWat0KeLCBP9ZRA6SyuzWZuMuPweKiUAebRnlNgMQyIpm41boZ5BegMNvTyx0dsKuyX/P H9e2vheTLnLDPCyqVDCtkYEeHef/6ggmUGvEJkGKV0zUr2xDTQ4xv8vlrA5ot4YCtlwbpgxmEyA2 oe1bMrnRQT04BSg9/ZW3DmAegjURFl4rpQ7WfsK8iLXMYFK2YgQbIASWV9XHxgKqDM1oHuMMBUfV Blp4LyTCdllYZhTWhrzuGh9cqHeO8qUkfsYfGmEE39KMsw1w12EHcICMege8GD8PtDr3KIoe2P2O gBGMGIXAFaFN6VwrUfQO4/ZHVMjBsh0j3+LFDapdtWDAe0SXzJPbkOCggk/41/mW7dKmQOFXvYg5 yM0842IPFxNkfoOtmPXcYzmDW6ncDbNCX4mq7UZ030/W9ieQAgcXAsCR3wQdj5cbY4ZzObpi2Axe eMIohdbf8JrJBlA8vLDeeKIHziDR/81mxmMEO5rTaUCHTt1eH65WRL8twcqBpOAQoCwi3BW/ugvr f7fPeVDEAJ24R+MHSO3723zQFddvFzTSoWiyprXZNUzOizJNfWncOIGRf/QmJrp9Pld1dHo/2XqZ gxMSNaGNAtJQqLAUo/iHz1j8ak71MVoMzmspX7RWoxsH3Gwv8Uh2x28Lqxd+M/wEVj9NcGFIjRP+ oliTO2IF2OvONTwWdptu+Glwwg07/iYMn7BuTqweaL90OmGVkIuZ2ZORv6ME/WM+I+oRVVTXs25Z Clu+N5WOyppfKdL6tPbkZ5etQlhcC2DSgd9x3/tGstshpJ88Vt7ryfPnFROfIgO21qxsI/Nwi/kl F208L7lNhMmECSSpXrKVBmBTFrkGZt8gNEIFqCcOCDVh+DhEt8z3oRmJNfYZgD8Ut8D2BY3vUllC D4s1xVCfTc5PZT3Q6TyR3mYuQt79eEj2XUuqevZt+NGmEGJ3FSTbZHQXYFJdNhHb1aqkci1PIj/V GhBSjHqpA0j1PNNwbLTVV22q5WIrmirh+tAU2I8hkWDuohLsv/GtVbsurJEn3Vc+FXrxuocSJkGR PNh+FJwso9jhoqlNF07zlhFnefDah+l4RmcXh/e/NKnG0bf4afLp93FumTATuxIPC+DpQwrSv9dN 2mqZvJdLNt5txHbkNLiyilxq1D7rHUfgpBl9Um2rY2hrTmUTMWE4CB1FKULSauphXU9vM9D1SseP 8ATi6vxWE2A8D2ldeRgOuwqKgyRSN58lCv2e4SRtKkbRB2p6ww0Cp4q0D980+mljDXt086azfDQ5 6gVb7uzygRWYjOYDCNIO9yzfofnB3aGBhPsYqm8p/w/uSJ++5aWqHAr07CoP/WC7EDJcoqKd/rz9 9LrwUqxxSwSEvytFb4VjxZYMdmO3AMV827pxVyw1GjlT4tufxOSgecakyzSzr3Si/thEKwH9V4QS gu1R1E88LaI1X2dSMcceTPJmfTAPJjNyLKK538PYQB3MeWp97lhoKmrxPBpbQ9tjJ3j3ZpVi6tVW 4lvG9VsitkgTFb2TfWJS6E9gfevDFn/XmCVVi11SQqncTD32kWsEdYxHjWtF0OREZ0eicCXb3oLE ncrwRO7nyLyjH/ldVQmSE+tlPns3LX3zfcho6c/TKuaRBjM7uSOHw3eh3g/2knw5917r2eYOQLJe UoUBiX+0MnYnIB3VDBo9SMHnGqRYy+7Q3PKfKHcIDDT/I3RyumKGcwhGKD7YzOXiqro23WKkss44 3PxEtruh6jDJEDHAArBDSSgIdspjmooFqtLzGm3J4Ll+jCF+0NIQ3hJ/l7iTbKpXg/06TeVlrurE uYIK70zccmvy3tPKziTg6q3T1U1c83gOcYbrzELl8UkZtKK2PBZXq42T8m9NWv/IL73t7fYAomAh rtnMtky7U5/mE+JprqRsLV0RJifO80DsU6G9iMCcBSlHPbDTQ727IAnnzDQPuCKDbHbgHvy62kNP bgHOcHNnYFEPKVS+0c4J89zHPTrjd+Vy4MLLs09sCDZgT+EbNITF7LflW51uVLRJ/guPH4Qp7pN1 JfyholIMYncHbTCxnRDfQ4lW0lB49ZJ8rhmZwBRhcf5Ko8jWm3jrt27gDdEq44gVL31z1AL6sgkZ cPCp9oWbWRzm+F+GcrPOovnsd9YVKVq3VcRw1vJ97bAvaKlZMM87mFuliHmN+acCB9M1hgbHH88b pG2x/rtnSzrRqW/UZdk+6np6ELQyPpMncTakp/TQMS0F57Fzkrx/SZQHwgVRSHfKqOxsC+fA29RP 3nZrUh8stPVLi/ykPOnWCmkNQMf2TOGGxM21iqICzThuNsB6rO+wvm9mTbnMzrE0/HaxkWbvCXuo xaL8x6fTs9wRqAXtPuLK37gJwRgHDcgBajGNjgPmNEDA/MxYyCyW/p7Kd68wArNH45MHi7DDF63o 2c2KY9VAAo/t66ZnDFvvgCBFwnjC6KtqvE2ujAF9eOtZhJz0LQ1NYECIFRa7gfELI2g76ajXXfux inhXyRNTI6xkG7iOD6CaNnpoD6e79qgJR/JEm+HkvyZ5WjiG/PbxMcr6u5rwmDd1qatSaC1XramR sbaIvQGLBGIOtmbv4VsMGf6N9V5nYU2gZilVfl3RzZTbtCZ1H8M7/7Wnhqs06/cBEIxoGuyDLnnY IR4hIep+nvBl6FouTdxzSYT0pCmx7f3RVcdsle2MMB2F7EvkUWE4NIny8CMjrjty1dEt3WijnKfS 7xg68lwkOmcuEZnXU8vkZU7e4y3cs20MXEzepQXPEBV/KZWTCYK84yn0EIKSTCIjLPr2znC/0d+9 l6cRz6hkyU2wb82/3EdaJG9MecoJEfWnY4XKWSwQKpN5TatBlqZJStEdtPTquki2Ap06PTEztu7+ 54dgqraZQX/McP6x7LduCB1lrOQgZ/BkWS3exyuAB4nmjQELpSNLgmF8yus54aBnkq9TJItWyNoF H/GD96gdC/cl15c3IHh5+sNQ/ogrb2cECrdNfi/Q9WjbNY5lfiTB3IMyxxTg643LalHo2QKN8kP0 q95/nSI8BXDsRjhccNnU4ORUEjLQyjUe72W42IAq/Zc2ANFz9vCkN68hQp3IcYHKG662pT585eMZ fJ3qHT58cCpONceshwMCdgDWb3+DvT9wveHNKnprkTcPDQyvJ0MO81AC6eP+E+fSqFmoPXGILs3C p1kOOk6bpEvAB81Ac6awY9UHaP+k+HFV9+0CeJfbIODsyx7yyplhU66I2v2tmAIh/w3BKyWUa8fJ ZSRfSREHfFcc6Ddnp0YfZ7JWIPCNJhe9BDlc0p8CS/f+973HdUAwwP7nmVEvklx/xzmo0nGefFBk P9V9u/qxuxqtLelpCxEN8asBSrSFFuQmcGIRTld1sKosVuSo7We/pLPBd1dQBV3dWbrnntv/s5cX wrUVcyQrLH+eo/dthwJxMSiEo3tPb+RFmUl+viFIQlqzffGlUKNMaPQBRXoeqJuly6eygXfw0Llw VX7rc4WTW8+QJwyMyg5zBKCR9HbuUXmXWyiHAH4v35CiiMiLNLAlxZAUharu1SEs9TQWorCaGrbm NAiKtDSgYfOHumIKawIueancchqDP5Sx/P8C7o3LbDofzCXiJavNfJ1FJbUVvMAAOy2BsT2PVZo2 NV+2YpM6oYTg7x40D7rQ1zV0kYF95zQoQEi/AayjMvLlk7QOBDSf0nhiDHIkwgKc0riwb4ZPrgqk BGoL/FPxPI762hAqj1qg0fXua8tH+SSSHWOJ5K1IcBxtg+fxU1jXXiZfpw5PuGlqGJ0rkxYjkZXv Tm0Hl5YDbfTVpFkSPQchvoeemCrLsCWRJWxS0/gDl+aC/DV/mh8J4pJ0N/Mvsx7Ks3BCENpl+taU 62/08jwcvRunZHvszCpuibcKN/jyQUpfcuJfCdDzATDvGe9BGoaoxC0qbrRzd9CUhwZzNzMgFv3U QIxdIQmK47D41+rte7Xpz9qibHnRFBFA09KDpcQxCrK6XrrDAriCwMjxHPIpn5RZOc5bloyzBaSs 4PSNzbneexLh9DbfjCa1T13XleElBLJBVIGHz9Z2ofTt/rzIE+CSB6H98B97I49esRlN/7fDRYPz efLRPdYRZsAbM1NcJE/IJb9nfEBH7zb35unM9pmM847ZQAVsEuLQ2tcaHWLoNEVPFH6+kU8NSQlU dVBYSGL0AK8shoNly1aBhsY86xOeZdwZZV9sRxM0lGt7AUBZ6iSCS+fpMeuf6w04ob88v7tY/jNf aSuY5xnynGj1+EY+a8AEycqXiIAKtnkPIpxfstcfKMUmgZo5lhd+CjfusM9yxzTh5hDL1itWrWEj 3R0hsxTLM85PPr/1hgirUhxgdI3Zmw97sBagC5TnzW0RE2gTt6Icd/dji00QsZVRmNyaTqVMQCsV d3Oh6a+tdKq0RgDJLLECulFV1qWRLdqfUB8kFtDt2Hg21fI+qZ2nlwbu2oBkBTFEny2IBDcMlEzT ts5rPU37Yy6we20pa/Wokni3eVjslEt4Pvo20OBhG/5WVUe20LFv9WFNAPrcgoObYzf8+XmuTu4r z1DX0ujRCZu57U01e/QQ/ylKvgjkZZAPfpiUax+RvVLNK12rdZH7G5IVhn03Bxns6mKHISBYLk34 JYqkchabJls64OObpUyEVkh7Oi56V8rZnu9ZbDxCFS/Nou4JQAjLvMFCCNDCObbpTmZMGerz42uJ 3AOMnlprcqfPCV7kfDJFXbFJboFyzjcVBg+N1L1oSJdmGmPsHD1Qba2oMlV3H0n6MV3NjAweN3ai ARgiNk4bIM4WGmc6qMYXKPkSItJxOkjLNYSQH/pSS9zvcEkxtdrfeQ0jPOTRapx62nd9J06L1F6E TztY/96SQScAveKzVIdAcuq2aJv657rl30OKLLytomKIPf68mDDzosal4vupsgkKyVlFhtWkIYNf O1N8CiBGsEn1vIktgajS+Xqm1GOI+QRDuxndDtDxHHIOHlDQTXtKlX8VsWmPTItGeQi28OcqLJpp v/aoCRbQ5prl1noSYSFExU0D4qpbHvdk23pFtp98VSBbEb9IHvufj7H6ZEeeulgTGKdWU8zXWqeO r9/k6ck89Ex8fncStTot+HGOCUj9RyQEjN3H8HvSflV8o1CE25z2QBul5ndeOw5jy5R2k/CLtfqI xuiK3vNO1VK1km5Q9N2BCBCtZAtVgGp55MN4GjMJ4ZMTvEUU50JagMiKLh4HrgoMuB2Me08zzQVH 17W7rytUnt8CkD44afsD+WxVfSzYHYzjTHKfjp20n/ZlUJRn+tU1L2j4Wugds7s3GN9EOWMmNsOs 6n/qMdUn3ph73KJ16wOZa4h1BEDxWQbaoOy+p8iU7w7PU727yhUwOXpSNUe00iFex2Pf3Y+k51ko pb9TYwOsWc6zKzRmSZRTNjXC3NvSM0xZs+OPeZ/HLYo9NLg01ZriKHujP1h0irPHwhFt66eRv5Ne 8S1LdaA8ZVDV2+HkHzL8v9Cy2uK+2FCPLsf8wPyr1zI0ddxS+LDl1YZx1WjTajyk3kKs+D6+poc4 eeLEzi55/4j1WV0H5W8Q/kpKjK19AeEIWX/OLYFZ0e+iq0lIjsNmThQSwIykg3HUINFkYOivwm7u ikV0x3sINDhquAH0iKOT/R3Ql9IbTCy21heqCqk9utDPu2ad8Upysp5mx7zEdDGamyGitsCbwujq +ZY7FHKwvZY+gAa8UxpBCoAboXpW/m0cPFyZk55GSqWMuWfYiR2oeVH4SJeidXPvU5WRI7MSkJAo DT/6AI415NAfmwdF9CmxWM3wmzd+yIooCRzQUIrxlUL/4FoA+W20ekaZvbwq5LH6YJ3Uy9i14ipb 7sIh3kfrXkvmQIJPwqZrboINF/4M6xNu1Wh8K1YhWZvuVh21I/OqQfJeWMWm1hUsHEc9rggz4+U1 i9qkgAy1/o9xXSD/k6q17CaBeIaTPBOKyuuvl/b/WQ7hhWMxkDKsyD58FEpK9C6XoukuXo/2dY7y m7oJWlzXqv2qcyl8VAnrHsXpBPKb7k5n8M4MdjJIblAY/jGmqNcclw7+ahT3PKpFswIVOIvHIZh/ BtibgoSrCOaxgszTwX8OOVmDyohq2NtE6p4V87co1uRGO0lnge0Ob9G6KDryaZbm1Dyi50GrHUgY a3B5fzP4LHGed044zKe25liGAq+fehpazm0dD44s/UXcDDgkxIxSKSaxQck40nFIrMIZ5k06ZIHC Q26dj7vrYoE7hA3lfOlfxC7NSB0vxJbTyDt3W/h4TSJf9g6g9hExXQ+lH5Jss1gKK6S6msKdSniV jTu79PpsR97OikxWmSYfkkfpl7TpcZ+5wMyfZSTBNcA4p6PnxWodkCND+vbk1ZCYHdDHl0qBA8oK pFRbnZLiAO1JphZXODq6enMbQRuaMq/UBrGdjeQoIDgy/3uSU5Au/iczBBzoYHvIXiwXgr3/eJm0 SJI6t9n3owOT6AlulWWjjCCpaE3wLSvZkv1oLUHuXHnW/25JTfVHwyk9f6DdlpaBesu3HVSIvOlK xMDuZFnioRmtCwcCjGwJ2SITX1Y8oIXBmGN2cUXtOwL3QNcuaWys9N3kSoDfBdkjSZHTf3WdCJyq LHxCjcgaYrQyMts4HLK5UTx9L8UYTlb0c0DXkbFrsvvp/vDQ1ge+DMJAXrUhmHwUXjs0zMCSu5uf u5PJpXuMsyQ48NTJJ2E9h82rkhZj3qo5c+gVeCCAkgRvEArZJeEg6+b2CXa1QsdQ4eBPCNV2QUlY tqDPzXtisuU2jg9ooUyBmu+Px3AZCuG8wxvF7ClXe0Jr2UG1u8kQUgs0gtXXQVrdUf2ZadJH28ri BQ3Uzb3ZDU8YtpOlIBA1uYiYSwOOOGvNN3MKZtRNhBNlN+TVOZy0AM8Zigqc3nJfCD1q29PftbwN k/8lchl9GEvbvGvgU85veq0rKhD4btyzhYAqkPPc0qA17IZQ3Xb/FQw+mbTNDdsURJJGIOC0IN1B vf0MGICn8Rb7uMfErn3jOp7q7X/ZRycAMMpgfeuOe6pyvWHiMjHVeUeXpaU+P0QFe4xIPbDdKctU 1StDIlXcfXiOXvI8Ewp5a0OqFqZP+d9eAnb8XDV1KnTLC55H3wUMs97BRgqwq1E1MXw2xjYVbhH+ aOiBRMh8nJtuKSak6nkm26qWBOa1zmkwdUdJ+qjics2ypSdVKNXbCiGwYzkChzMSH9Tbg6u6/e4M 7hXoC/rS79n/jSjcqAzhx2XQCT1X9peeBO0sf+PLvXrm0YT0hXYazRZMAoBoZ81ALIDOVfRLtapt B9Il+bDsdx4h4zEc8M8VjRY+gQMCwLg170p/HrB0JWVBOFLZUBeKWON8trKyloBP3EPkTy3xCRKw celuzGAtaeJpcX7hMnu+ScrnGqeiUO4kaIK9GzxiMLAYnDmDAyFTHRP3/4RroHsh0z2oZcRmAyKE unIRDfYc+mI8FNFE058ftE6b8PSyP3LQ48RTCMAwZrSfquXraZJYAZlo0kXNO5kdz4zfdYCYvNhh qebe7gHfYsKhh4YVaAIDLtxpmpCFdg6jqrqxmevhMX5SBVxyfEcrCjo/tr01KgHcP5RQ0Y3+A68u 9tQDKiPBZIIji6NAOGuIgsIlRQnz9dtQ9GMTCCN9wp9YHIVlkFn4M6LI2ZzAEDOKNjd6Me0cQf6Z EHoCigchuPYGlATR0BAAfGl+dZeddrE6YACxrVWBl1FN9fxRHu/uf2WHuFnRGqAVW3Y086mLLpZA R2gi90uxW+rpas5GWh6nLDZQs9cKXwYjWbpGZ5iJ2bSC/1gZRz9qMYAP8jqXWtHN8il2wbs63bWB LTuSoVZcaIe8qgTEFrzDvpvvTNRYjWv8qW2uJ3K09jTSMtdlJI3MSJHpnP3+qxdaDGQ06AXlI4q7 LZiT0jkwhnX+IAlfKSvLjbBIISTt4AoyREbKK+8fPYI+baEr9sDhSt/LlL9sYZS/YWVsTq7kh/i6 iPGtkU0IhsHh32FawtPE1nzJo1C/bpJ4B5r1F6/Q/RhGEZuWxlS8LxU/DZtycAn/eLKfsp7sEJhA bK1htNnHF8mQbXqQQM/0tVUDqEXc/u6bXN5jjyBfH7xfYYb7My68cLVm9M4qvrlkqdGK3OJP9GHO pFyBbvnR8/V74LFPn6bQvSKQegS/HnGSkcxCOgRdIYAz5kf716VO/8QLre2R6hIeguIyZg/9a6tF 7jDEfOXmJO0JeSx+0z13yXXayvt25UT1CdoC0vn77+j/Aqgu3JK5I79Qry+jVmIOZ7dAFwRs+SG+ kYLeFaysebXT2/pHhWqVeUMWbZYR26xFI/vWzfVIXbx9AE4qno2V8G7he70ZqtBHn6aUZlLyV5mb xwMqQNrojA2p5eJdx+6313gW3Czg346rQ3ePwmRfR5ubRWZYb9IlxbR5yMZ/IdNn4u9KczkGTi7w 3DlfVypzfOR2r9gA8z/OVnRmjZzj5461Pdmcyiq9UuFVxKR71B2P5NoYCaaDg3lcwZLOfjZ4MfOe F9DLZ4NMEX83jrrFHu+BXp9icG++PLmvuo0g2AKJ5O0951o29j29SIwMHxybAl6DIf1MSJ47//q3 WRRnGmM28KoYAfTnoq9k+aig1cufFP79FhUJZcGtBgmHF0SH9JwtjQ0UMsWbX5n2EtkbWpbWrjjV l/L6QtYSbioTtqx8otjp+pou6fMqP3X4RKJc3Tybpeg7Ya0Y/XwaVAIMRJOmGaQnxbz0FAcLTAWa RG1oxeQE8X0f+XzqOm7yS370XaIhfyuJHj3wIxfB5XMGds5LMfLqef7XlSZl/jgY5T+U6W0tfMhi QuLg0vcD4RJTz0t/qxMaPEhPIwtieYsWT/IrZWrHYf/Jvx9n2HHRCleZ1O7qax0PrL+L5luCpV+R WI7dy6W5Y3FMhr3w9iwSoBhb3aX/fgCDm/nWiaDOxJ8ib8kjtDxSDDfj9ruVIRpvrh9MZSKPh+kK MHMkzpd1jsw32AUzazPXweiN9jCu/d8cVEGXc/BHwE9ypJ8dlj6l8BZw5UJ4S7f4C9s3YT9ohlxN aso1MUSZi+bZxydhUXzNf1NwOHq9Lz729DMM7TrSL4Vyz4Q8jZEpd91Qt610GeySvUnf1AcQEZDH smyttk5vZx9H66AMsTN0UQYSu6oBDu7Vl2Cnxn3dWNzUdQ/1kOy3ZcnpysAh8pfnnZtOsi7ZtTRz VDWBOKPjgbYzH1wcc969u+p0Q5tJUQf7zksBqy5mppncOQoQSdgTEjxF3MU5/1PeWuRbpq9yobAe 3Oh22jAjBY//UsJUAe5DEf7prdIHiRbMv3MKdGJTdDC8sXw1aZ1XFwL7tRurU0JQAx7ggk+7aJDE /xp6WE2FyrPHYQOciJvuC0u9SIzTGCOgMl9ylytNnU4f14XzGaw5vdIA9jeNcviwt0IVP1GHcHO4 0HYpvU/A35Dku+rFndyT6Nv2c06jN8YS1QhtW8Dkccc7H0hjHhzbhetYkYPDOktgexKN6eC+wDBh tag+FVR5h33wUE/Lny4vNoc6TW5TbcTIOqoAEq2gUYyuKA39UTmMWj5ZK1eqgfxNestPWc3vloZV tkhsMTpUAsVvKZ/W8/UCqf+yVSiIGhQ+Kxvp1hIdHVtHSLIbIjaJJ6nWvFZP8G72KsX8QD6CyStc m6ORM0ZXArnM9opOfW1akGMznwIzk9KsCbYgY0D45aKY5NbU64R8A3SRGEjMXLYjqnRyniGFZ940 TL7HleBNDmalI9/mLIBQIsk0m18D1q4vSeN9cauh2X3H7pFGqMVxlfDuO/WoFxoqSMYy9y9t9pOT STG/dsbvVs/DdvYBJrFx99M0T9rzmyVUQw9mtUE+YWCTnPBB0c6aicTtOfhd6dQ57piQmFOru7xX +OPSUOYMR+aZ7B4u0WSIi0SGkHZOAgUfSYsHqPsS4pPhjrHXwqxR1hhIiTzyXop5ayZw/QcKeNNW MqvVusHscC9tisrFTg6Rv76QoyB7IDWLrSPurbefqMyre5jZg9jscwQvVL1UmO2O46/ylk0ColpQ TjBJLPlk4nSnKvGzykDUhc9EWnVZqXukHdDc7xR9pP3BLCJSy0bEBtFaWnp/ziOkpbnttC2GrHNp Jr9A0kP7XJQyVAd75Cn0pbvXDeqROUqirVLOWjE1kUzqHiVCJGCdGhf+BpYHjFQzBV/uy03eDnE4 plPQTRYlHSN65hSRw871qoMKMDZF17fsrQMBDHYg3Z/qL7gRdmWO5FoyGGHSDqV0iTO24juRbXla tfwXAIir9HcP6ZMgQlFPUB2PCPwPuueMq/DIW/v4fzoRfw/gBtyR7bG6Zo34i5kyxR6AiMSfHDdW CvpoTvEvlaRyd2K+3CAd131GDKTrEIx4z/AZ5VA7VjdguNknAeL+2Iirw22Tp84cIbeFllZlc770 KzLqtEoAzzkr28EdsJSeOntRlRqd2DASYkI8n4bhP2BadEgeBMwtmMiU9NCTzS+AuAo6zFKFC/F8 Gs3jRe2tbeBZ5H3eQEZ+ohBo9HDsSVVNUBTbIJynzurWm6nfaTcVudfBYsyqt1CFyPjlXSLBWZXS eTw81uBya6zlZ/8SIDbw6J76RtwLrEGQaEFkon2NbRnW4OXdRzSsAP6AK8UUu92kAaPpOdsIxhdn ctKVeGjKfI0fo2nkQjIyIuI3Zv9uK5dC8E+ghfhugt5gM7EFF7gCbPSRKZNtXvXQ5e2VvRu6Rtgi XXPPqvLx5Ft0hR4PYgBUzXTNuHzNjAPMPgf5CNG4FeaByyh/AljrJ6uTbueb+/UCQGzhDfttVJE8 1gqjIQHQg3HnO2ledHi6pa7ICIhvQLrpKUIyGaNGe6x+MJ1QDfgQmsOENnxzXzsSXoKvfR2YIm+8 0RKkdy+Ej9q2PXOLLGFHyP+Q5b7ERpLZAovFHM5iZGDralxcAhycKOr2UMkjkdEUSgTAZ36ykMYN X/boFkRVdxwAzBmxyzbpqDghpZnSNGXhRmUe51uoa5I0mEw3AqQVdyauCmwStHOJHMFujss3+wDo XvZXQ8kKDze0a1+LnXySo6rPXVa3R0pCdHCh6veAmaQLT+oYUaQR/H3f1febnRlls5LXDB3uRuQx gqJ1bzJ9xHVNwkNqjJnYODXq0Ycv9bf70T5cMEzDhWTDV3N55SzUBDkmzmZh5VnhzzNB+g5Z4Ay3 kgHVgoTSOw1Cavt2d1xyi/4TSRyA0p6AEgc8hsCleMPJy0snXdFRD2a5NoYd7cazBcFlpXa8qdQq 9sMCHep+nVWTQfCSK8tUInkoxb3Sg6fLlK4q1meC4W6Z/YwxSt9lnKIJMXEm3Gokzf3GeDXh+aGv g2DzjGJKBFptTiibnFI4aqb6LmOODpl+zbFVzJ2bHHRech4/KHGwe6qzCpCeC7KjSABVW1u6lwbh Yt42L/pJcXe8OIje3Kf2cgaw5kkI47MKdlhQw2oy2tgKpcpgFg3l2M/ByITKnsaQEqBMi/R4exLb +GnjdU9LBR+5lNtaJeYOk2oCPP8n8KrUl1h/xC4+Q9SCZUnTkdBp+DTJANM59eCB5ojY/NAgLVCz YD+KfspU8vBucnU7rnDwVuXXUo94H/RQV80nEXujyHP37Zg4qGWZwk6rhrdWNp7wZSwIbBy8Oe44 uA1B79x07GQMOP8aI2Tq4BzxoKeSQRUd9ofkTQwhw7NB3FiZp/Bjtjo1I4Y++Z/Zq1WGVuYB6RGI AjMSYsqib5xOA4ZIBrxgWW9TYFnk0tcuJmlD89KbelXSIHQetmPRVWYFd/1drhic29Gns0ZPQX+q vEqLyetxWgMfXDxOu5H7nkSGaxAqSr0/tFflL9NaUphBeGiEaEUn6U37aVgIimAyvzEqknX4NN0z CSMJ1/+Ry6UXG8DX6UWsq0leOxv0fFFx/Q/x+hZKnALINuKoqTrEC3BzuKVC5oqoo4cFtxa/EQ3X jaXhC/uVNo41+jG+yuHI1+VcAm67rvohQ1TLvVZ/Gk//MtWc0s3XktWAdHc+hlssbRV/ohSI/xgA 1gtSxvRp3tM0AYRxUrMDFrvDiTOORWedGm0lIRFPmux9vKJQ5mAIFhWOC3SExv2hWcRUB6+tpit8 DjAN7rm6g7nwHMPc6MDmEEkY+GnyjuNKgPHVy3qDIPz0T/6O7eJIPmQXwM37brqfMhpPtp3W+yG4 gV3aric+H0dUz4lfLMGgMPZAoUIch7+9Q4EqZe+fxtvKHVSGVlxE5NXCPefuk3ZLQnZidmxWTYG6 CB1yo3gxCVZ/2S9mZcoxgLiU31AprbPNzyPDqirL9WJiNNbZ81lRpayOoUz4uTj/KENozhhsA9sa 18xhpmfSssrFfjRUxsp6YZiXVAxWxHC9qaBUNI/N3okWPEgD0bsKZn3/+lDhR9sTIbR6wwAjCAIH MTPuKgvqcIdelRThYh2cCKcDoozW2z9PCkbK01Q7xkqZhFpGqOY06md0DeasQxWwzL0y2satv3U+ Pooicpo4wlWvdrS2DGhgm5YpieYQsG8kJVi/I8SC6tzu9L1//Q+fcIbAj+AMc4UQ62nX8Q/HV6YB oxxTm5npmc4qGkjhrAF0av3QeWPTdPsQ/LM58OvMsVHY3ul1Yxv6/IEPPYvLLgdV2C82iajGCWI3 8abPRHnAAJ18ecFpmO/CxFXLztPSICmcZfqvhM206ERr3iGOZBnzDdH992dMMVIVXtSyKLYC7Y0k ulvcuduBwkx/D599kC1CPcyYlNYOG4/4PQARa34wtQQscwfuIN30G43RXnNY3/mbCfhf3pc7vUKV MpexErxybZ7PCrmHxVOdH6hStQTA3NwgY/1W8JSWZ6EF/GBpklrlszhW8f+E7YSs+DW6pGXw7k6W hN5d/emfV1/R1E03KOVkmjlsmeWIIq1znU61m8g7QQ0YhJ0MKFBwiGis8TdIy/FqhyL+nHc2Qx5o Me6ezDyzWleoMu2qwBOMNLaHntodII8dDVbugYa0NbKQSESzdnm6BTwRlotKGWfbAFU687bH7pFL XV/XIzTPZL6SqG19+wDv6Fy1+N8spFpJn1wQqCfhTnf77DfE252qqsmI2cjWpOwKcpdvwyNr7veB iBIoMxLjgjmlmHLrjm8HChNqz+VM4W2T7p7/MDLBWYbPFcdujZ7VD2Lptc8FRlW3wfS+CRCtbJhS OKtMvE4d8Cjw8bdgXyZW4p6WNxLeJH8l34K/KJQXF7Ky/N0MiILEHbvrFnRTbbw2y0jE3ViGBCfc zym8QFOXJhWglUyTv1+j8+JdgP3YLp6fGw+McDraaQn6n2MonLOM8kX1JkpyNJvrsrHSLNZtbsZK 3fn2nZnCd4ywFOsvbs1kudJHm9ETbm5XFYV+4IeIjxU4dV/e0Ud1ZDHw6bJvMuOdhyQ5f4R4mN/f Hvd7In10IXXQswadEz5aw7dUajyU1VBE+lzprPIItVzOHu1r/E+E/qxJM6GQTdjFLuzHXZ2mFhKt n/xuQ5OMajJySuQfjh62nGoQZ8rrq1m259Vc0wdk6FG1DJtTjlg7H0Vfy+iGeujMDX3Y7VxQnZ2p VTwdR5QYaK2h6E32JW3aij/cOoEQuIfqYWHkuUqicxMpKtOSEr4lueeXRI3vkPXgTNh0fXaDtoKR wF6hjptBpXAe5jv53J5HWVFUWvd4pIKHEKURCU6Zsa0SfRPB1XSJqL1EX5Z1mbti30CJif4qGCxu CNv7cqIFNs5QzpcB95VNYKYyc6a4HdTYRFT5VhK0eT2neXZD/w58HvhuFnobMrLBhYQBTIiNvL1M kUb3h4A0/cx+8kj+rZXstQBiBrR522QSCXg5aRgH91g4nD4beiozVXLwhENAwUMl0u43C8AtPAxa lVHdTO1dOyIcwbgey6IzCYGXqOICSubk9iBGLm0WbRo4xJXs3q19ks7YNEYaL8dhPh7eO2EwwMre ajunz0huSSJpwPyf2cJlVz0w1RyVkED2eqpxqJTGouFbPLAHn4V4/LFSNJUfu3ONgIhXtbDvyoSU ezdXhMpnDCau9cnC2sb+v2NBXmhYR4+VfxLUO++W3GSMW5Kr+EP6ImTKc5BPGEFOe9wLkFagOely OLD7y8n9M2sSf+AO1/c19doJ0fvF5buxjRBVN0s9NRSXaw6V+VkHr28YjedBVz5SwWHB7ZoC3IQs So7rXSIgO4v8aar1VkS/iFnc1qiByUUsoVPN8gdLsc7vLcbN0Eie3Ykf/4O4xIGNyyJ/bPWuGqhX 3lrPH6Ht1fahR9WY90s9DweIfZiQNFeLJmkUpAb2G8T4nwEXIVAfdfX01YVn6ypsHTk2fZGCJS7U 7rrpejek7mJakzNjzNzY90hae8ewyyb1CK9jnomJ6ErGbHInj6i/69578XAax8I0A7GGfp1QdkCE 5xiB+bdhqa8QDSyrAo7zAPMbkh2oYc9YqKaMPO1AXBccKSYnXTKYthxt3UyLJX9GQHKh/HjqZI3q mnxGvyB7U3s4v19+kts6F3AtecoRgDj8FV7qGtfHOBBfsKarHvkLLbZdbY0XRoI5ZHwI2qpIrk5J 6VHfgXP9wMAqeahODJ5QWRMolWNFIFYQkPIJqDFjn0LBDawCxjFUMqyw3sDzk64b2I+vZ6sPd2x1 4hcjUmhdqkeLg3gNIFU9cJ8pYXBL1TAdfnTeRQSNzRPPZGZgxFXboOe8GDI0a+/uwaCsBxIkacph SrI/zV8MOPmXhwp75/qRxSk/ergRnOIgLvPqz10xBfXGBhOOSozUo2UVf40zQ6n4mDP4E/AMeHN4 TMst0eFCM5pvvlpcgW/kFLcbvr40DLve3SJNCUoSAQyx4yaiQnUu1CkOJNMeoCvTg9jrMppmm+7z Zt0e7xwcQVQ02OEPDxncQHKRekm4pSq15qCS7r1jRB+FbOMb2oKxyvlQBhUzqE96+8WQ+geqqb0+ IQtwAzipypmn+ZKD/kSQFDfBDST0ph7i8XbwzRckc7KYLxxhb7LA/w31D9M+bd/xaAZcRxs9/H+7 /VDKLiL2CsHxKbGYp84vCaFuNL9uUU/QDdCjEzsCeyM2rEdDNisQCsJGXg5q5tYjowRcweQyyNq/ /LbVsza5GbklFyKbhb06qfR0cIBLeDg5bDhxLiYb4r4Lx2I2grWfjJXdG+m47mmoEgpCXXB7woIt qupm5SsawcCHxfSInAp/oQd9jx5Pt+jqKIQ/uFHmgwBhEjpers9lBa0OpOvrNbV0E+EMQg469FLA ylU5/wJ8dhR0AwrdFo5AwQ9wNa+S5OqpA9CftWI+SnNDyfRxR6Eg2mwhxA9XcTUDmsjJRSsQLrj0 LxKjVYJ9OmymofRTEtWtiPfd2J0GnjPs1Hm+qJZT8ek6HKrF+YGzXCxD3P8XDkiFVJ5jCp1ytWFY lATvE+jI2a34/U60/YKIqiSusXemn92CY0Kff65rjrF44eDUjccEX+E3KLU3dgiWP7dVbXsZeNeg Zxz+apgBm2ug5zXW92jdY3/ZGPO35JrhEdkxRlaoBu7oiJSWdh4eSuUU4sTv0n+sT2jEBgpYxGN6 LEQjxFMVj8x82HPPaXt90R14pWrgrHEw8vcd8Y38L5HKUvgqbJmSY1CIPKX0j9NvdZMz3fCYce6r lSoOAyv/++264bhujtd7z5zCmupkvFe06s4SlbXwrsPro96Fifl54hExCxacv4T33iga4w4DO0ml ClNgsaUQ2/R8CHKJW6XekKb1PyUr0akGxmuvWIswPngIycoFJYFQd1bT+FWoJCacWQzEHeRrVjyF cajpFwCNPrqj8+3WuQ80VsJsltHi7ezlruzzRwW2l0kkn9UmMG07kQqUNFXvh2r7uKxxB0UATbXZ eWQV2Zq9uvakwJ9h2/qW8iOV83JU7cheP0ra8t1aNe1qzEnswK/AfsNKWWSIyNGOR8EoGVptEuBo KKv+FKkFkcdQCZJZSJ+39sEehjKMQ4iRUs1yGLLI6nFXFJtD82cy3RE0CTdqu4gwZ2zaUVp6bnmO xXiFeBTwSrwar8uPF99rKL4QdDjTTrWyhQKogQ94o+MTNrcwTuSqRCKNxeas51mdS1fUQhoK5QUg KlP6sN0zb4fU6tuQbtetGYGpG3wU9og45bpS3NH0Edj4u6NaYBCaT6m3U0DwOMCec51aveBJZcce 8a5k/YKhfh0BSk/q1POjgCYBjX4jpI4Nj5K6j1ZuM78m5CeD9ZDhKPfGXAUzumpHYMr8fYe92UUn OEu07PUFTwankHwNtwmtfiz6P5wN3BzlVUBYse2CkobqRQVvzcrNNeOYw4i1O7EDFsf2Hti932+Q cktdMjrnfULDnqNV0gceaRws/FE/B1lNKVQGipdd6l9haSKbW12HUNRr+dRYQ+RX6MaoVTdQ0VKs zLn1FwsuPva9XSBb2yeg/M2IGc1gM8SBM2j8Z4LDgwMMDjAOfmr1fUvhMeqP78MzVjGdwnJolIx9 fKRxImNWChKwTYoE5OyEVARkyFewu/Zi+PclFDyH08Db5mfRXGbZ1IPJMuNy3D4+sxzuvMvUHscl u/2CVV28wxvIvbLGIg6n4m2oZEtoJRYwiDQXnLfldecC60OPIB/f/uP+D8r4NAasnafamj9EI3Yq hCrFu6BEV9Bwv8PMPp9t8e1rrzC8DlpSLMwUyHxrKKFUKE1dQbILPY6eJNpzanXmX0pSCxTG/viS XCxBzjjPv4ha+bR73Glrf1oA/v/lLxnUuvKgc3wOdg8HH8qqdKzF/MR9Ns5JfLixDAfPz1fZZ6C4 m1bYlt/Dbxadkfz2/oi52wzZfwJciycolq2Czn48VT1QM90nFBa4spyAUIfHonRcSpQVlZ7tJRti 4O9oGjjPM9KW6jUegM3NZ98rrQIaZvXJ/ppP1a+CORCBWe14Kx3f0Ycxzt8RDK2XMNN9J6uU3RJ4 tlIJFknvxe/mvVbM9BXzVDPq3lcScQXkZ9CZo7w8MtgwpmPX0a/nYtlwxoC5e//UIlKtx7LvF8A0 L+o59wp+VakMUP6J7EbuZEcKkJlDD3g79MD1yhx29qoe89cuudyzwh/PxEzkPBbWk3iNaUP4DKXB UDk+0ciOmO97k8FmIzL2MUW1Aw0HOdlPRJIpGUKJiZwTyMjJLKTAqBwpK/gwXCfv0kh2NSdWGI9d zHiu1lp5qzdyN/NpI3bXhP3MSWzau1K0dGFl+LHPiG+JgM3KoXhvslWQTJF8ipgTTd1yhFI0r9DX c5p0zlc788w3k/XJW6Ko6xdrrW354FQvEJIyHu4N39GakRTnBu4+Zc0THCn4Ej31d/CyVrPlHfQJ Tp5Os0WPVeOrthUzZxTS9cBxHlDNFrIiR4388Y21e415pWhxEk81XfIrQ7kL2ECohCHlKgXwpw4G 2xJp/+wsWBA8T9GNLeQ2XtPdsmFdDXr6MUJXXfRVfFmdIeZqu0smTZMtiBk7nEcc7CaJMleTmTz7 +6yZQ40R6/oFQkG5XaUxavWgNKG2ceVjGcgcFLS/2nj6LlMTpYmFq0OsyOBoRvsBSBo81IsYUFLI l6nZdeoLi7n5xXlOk4b9XW2WSHH9JJSfgYzov4tFvzPBDtMlh+ZVaNuWHdmNBOoEaRTawwC/m1sD fz2Xk67X28MAHmZCFwwLI8TYtt/QtiFr6GdiBZi39L2kwYS0H62+F5L42HeJm6kx2kcHPykGUR8K PnKEXXiXvvFEcBcRsw+4yRqmKH0m3Ek/z7EMlxt6Dcqmrri5Da1x97znt4fE+mSkbBJQguaBehU3 Cu1G3Cgs6byWy2PpqAQk3k9qUJcf9uvf1fKdRXlA/VFyotGWe2ATU2N17tC/YwF5LGd1pFH5olk7 HgaOIOF1BuRd84MdOhJCrpQdf5lWpRA8UGgdQvC7wlAx8NKkyOVAPeN5LYDDmZDXkC3cAqy/OtRC rUUyteCZvVoSUij32fEQ8Mem67fV7dvkfuIw2k/bMb+zjQacfd/oXi6REhbvem0kxyLmgFrQuvYN vj8St13+gGjLYX1VWtymLejRFIlAXR2gwFLPAU1Egx2wzU5WPueH3jor/SSNKnpR2ER0ICXcTVaQ CNDgqDtK9V64S2OxUxnSr4Rrifx9zqvw0zWwEwg4XNFAOYmWhVGezHeT72sjBaZN0b8F35QVKcq7 /Wubcr7blLf0frpepBICQwsNvWiorb2w3OZN7v2nWXp04szr6LXgeIvz8pEnaI4blwn3QuRwcGJy QJ045Z/j/TTB/ACyc1oH2wLiAhAOZkWHYUSaZdVfcmX2glAoXMXpjUFyfRY0fcy35FJMTrSj7e5H O9EUog9BjoAUOZNw/v8EWHLJOery6gGmdosQSlErxpx4PCFDH8E09j0HfcnmYWkvkfFSFXALsrND fMFQTUOsSDVUjbKmpP77PM+slmVZ0s1/85+8tmfkZrf/Ll6mTlQRHuTVMLWv7nsxQnaoailV6vUm 8HMIrt7p1RPChups5fu9F/XDDtyq84QM2JbrR/uGYvqj+Y1azVZoROzqHuvwbI4h22WNW7x/NadL WzKi8BJgN+n8A7XEbc2Zwzu1hTEZWsH5cVPmP0T+pjWc9sqPM10bbW7ne2om0CjaxD0g4afaNugm W9P9/ajgs6h7v6ANXGSAMYNzRi6f9eddZZ6Lmb9A2DShSd6VQItuVs8OCdv9dkdtubP3wjuY1WPs K/mK+fNyM8mV62GD3cO3eqF0Nhu/dvBlVimiBh5ZBRJHF5dzLC/e1Qn/7BdqFaRgN9N3LzpAomqk aJoKdwz6Mv3OY1y+V3Gpt1N8kvCOVPn410vIt4eRknmdj5v0faoNCYHjXBgmMDsYOOEGPf4nDoEG fIyuqPsS4PzvSExXbNJNl8pH9Cyy9Kz3ASSkLCKOdp1F3wi3uXRfjp2e/ljD1/Vimppfnh0fJTC8 04h1UYEmCJQl5YD1tJmwzPyHdq4EzGzZswAKqFHkrMnoB6D4JUFn0Fz6zYwa+ZI7mqUeAoDvFBKv siJ9/9GbMgwhC4pZKjNLp1NWBn5IBOEtiEtNrFxgWdNtmYFLqjXH4jdRaNmpyW5Gmd1tkqWYUYcv m52AWa9feQx3Kc+w7GFrG8XYqxWtNfH1L0GYr20PVNBvCke+twexh6UE2Q/pll0Fv3GLhLFFxUed mDnpFRO+7XDrbMHnwxhLKYb9SMrEJS+PKfuqVKkPuj/TODC8m2+mkDBLHWfQQ8LPyPjyt7/bkkKY GnWIAXpkMJyIpAEgpvHvdKCRU79NTStfMyDHabTTS5Z5ZdcgRQzqeGpGxtC//4MRtR/mPRCiR1xT nSz7KhpGaY0/PKdghDW2WZHHCngAwG/KzC4ACV7LoBTYYft74pb7mhKo1K9PeZS7x3Tra24CqNAz iRLs6hbtQFsqGwtZCwGlqrEU7z8cv/RIhZJKQDSVU1DqSBqBkGf+RyP8Rv2Az9d1UylUAA9ZSC3P MimFfikIRssukN/C/vhsMqe5PxBi0V6hQfbQ6tIKnfoUveEAElVE1SUyLzW83H5dqDt0ZkkwWdsb onbDW3bhmiiSClQsg3PdtN4WX7Q7qNDGTICtDJxoknX2duDq/K0qmst3NGp9d//BQY7U7Yu4AG5Q CXrT9RHnExtAptINg5PE0HV8d1jwnQEQTM+HqfVh/52usCzFyKk1JxeEp8xXQ3x1NRRkSwWO1tQf fkn0a+HnI99Fn46QzX2A1F886N8Iv0ke8PI701fj3c8mO6BFo3EKnDYMKNocaMiYTa+4AVQZUyM0 InYoLmmN50un7yCmYI0g5kiUr2Kj78cTiWDETtb5nMEZ9u7++Kct1wVBi/TicVbkLUgVGBjA/YpW zlC2X8+0fjFnn14VkiCpCL2mkg1eKcXE+b/B1P/dvIxjr+ETvEYWiP5KR9U2nowq32zBOzWIpAuX SGxgb36P8IEWgXxMyX+VGQNzkLkaL0bHghqV8s5FKSwIyk3rkee+zRerpkvEUN80X6+3ofYuePGv L2iWuvw4uLZUT/hOQyatZ07d3lJJtptQe+K7lWoObhY21BZ16/Fk5rqFAJt6idnPRE2nUzfOsFan 70tuo84NElIBJjTSpgINVbxfAtkVx+wOAiG4eoSU5zUDjNRY7fLqqE7S5wIAQDULVsbtTs/A6imi SDNnk7LIA7MsX09ApGU8wRfRBYK1R29YWWx0pZNZJ4xQzSRW9QR5O8g/eI4cEly3YdXptwUY9v9b 0DK5sA3YAR8dKMLa48apbhlMUODgsScnn4M4Iy1Nj9E/2FZ04ziDWxoSNL9E0zqsRiinOVE+ANLK 3z7sHnY0mWjXD+znKWQF2ZbnbNNnEEeJc7y0gkASNgfM5nyYqY8tYd+0cNZDFh3+Ogv+BTMwgFcC c11jJ2eHCfCZQD93IEi6FkrFT3asPl02FYGc06u2Vf4SCqvy53OgY/P6XClaL3RZ2WrJ2vzoFZ6L a7aC3l56R3/d1U3+W2PJMV0ruvlKCZeLj3TKq+hGmu96Pb4/b345o1uzAgjkiCPUqprO+s/vcHbI Jo6CyxWOaVvbcY5mTfayEhnc2KShImzJ+ShU1LyXllBbHFXnRv8TdXyHiLBl1gNr1QcALHq5OBFM 9H2zt1SCdUYuXqEaIKaKlrvLm59VrhGbNaa2X9OSYMTcs/zKKqyxkMYsi0fB/2DjHXQ3kjvbwD6d hbn7OiMnjgz7tM2zKlN+Rpqt/97ldtOSO/xmRf2NCSc5QgG6eBros6bmgOt4q7Odta8GVOCoHrW9 q109nvstVNdQ/yuVWJKRF17k2dedJTt3GzM+1qG+jKLABByN9buV7D9bZO+FkD/M6Qm91KWuBDFn Sqv3Bzs9kxZnf8Ebjto8Z5Ym8WQrsVJbsUy+BkZMoA6XCXlDT15FqSkkvC3M3gFG6DKk6dBgjKCT 7nHjfWOeXg3774dAJWipwbqxtQFLMyYOsrIYQdZ06hK/G5MapRBiIE4/DtkZZbGmAc71P25bCwvd sLQCkAqFr+OeFm2MXK8aagKhuaf+Idz512m2VLoIFB08lnnFD5RbmAEITV9C+yB2umtwZRbXO3os jJoE8TDUbUUC0toalvL445O9GytSH7/UkQWlOW1yTM5v8/EEH3z92JyFSSFaWadGF5MDs98Mqec8 5TzmnwUAVbV1lvOIZ/nJ3LGCnX9CALm6CnZ80/gfTshVkfUtE1lHf/5li38sAxFNC8IpBwNaqhdt XbAABlUgmd2Mr+5wtS6om1z6Alv6mHt2anAPITnq1q4NFG9MkXKu5BFfO9rRjhPychdvhVpE4SO1 g+Yyg+2kZoF0O3C6LrlU9qdWbsQBh/Gw64BBOEh9HMg8PSSTvRHtNRjje9s/kxg8D/3i45jQNf+W S+YIyLVT6hR0IuxBH/p9mNohLQuknlvgKAkiXsbBuCHOxPoudwqE1kQjy908AzqfCPv46aP/o/oT iuaUFopvwbLe5mY6lJX2X+R2nN2u4ye3DPa4A4TOHrYPrbs0cDUIRX9eh+5CDpWzoVfRkEpUxeJI Cig2Im6gbzgoq/7bLKu9XL6H0i8dFbj+DZNbe5nUnUpwikWsd9AdOYDG2ef+K9DdQSVEmz/t3koV DTP91TljE8DyCROagk+vSIB6H2m7DPvIENwzzdGFB42ZjWUPOtS9FYee3kEDvXd0Mlx0h8lkbbB+ ZLpI0sq0IomacJHKa202zZ2h30V3CknbBUd5lFsBqX221pX7GZO5arys+tkxlF+VGPiG6xPqSg0R BjzZnXMRs65k23UzN/UoFIcmb5ZOF1fG9bGOqcpni/CrXpOQKDmtwkgb8VsVuJZhIJnR802snbWp hkQ6vWAiGO0vm8Ey2KPKQB4pmQ7/neccNuFtQ+RRf4ntSax9/Zee1V9AIHCtRwqu3Yr+SR0QEwZ3 /hybkynytOLgv42lTSr6uBCglNAQ4hAL468wv9ifl/8a1B3nnsuQ3Pz0cHlqBxh7FkhMnItg2VYh sxAeZjJSYv6IkeAFWNLZw9T82ISBbtOs8EgnFwkjqtqlYse5vkX/KYbZlCBTfJu4kmMECCo5PHkv VXZXDN9AYwDJOgXiekboqtTEsUmXDhDS91uiSbicEkckGSrhIVK50dl0TSczyvZwQp3KlNOhDo4J jaPxmTBbttgXPFGq5a4GZNLK+VKhDwRtzR0TlzNtic+TFDmbz2sVdz2l96zjv7ECcQipCVsV4zV5 +7EGnJ2A7KI236WSNbbtVhRENdpHgbZWEwxQPOdXJDBPJi7XRvFLsyGQ6KR7Sr1fcl3j9u5CJViX OvasP79J3hSIP7h9vuyRuzwfmO7hhP8hKeVAuEievTOdc+ALhw//Ga4TTalC3DJYjdCszZqDx6og dTZp0Pvh/bqzMf6BwxiDUqCir0GA+lZ3crjnPI/XTTpTN+VtFSjvbJGz+YaHcDbstdiKY60x8HVV tmmYO1qnaLH05CYDA9FpYNn2FzyGm35W2RA0rrr3dQ7F9jlhifRnuJUo6DXd/5VE0C0eyoncYt+2 F/3Bat2wFPrk7cLMKPXuvi9yHbcBcp6E9dXGs/Ug5EbLktvCOql0bSU8+S0dFxuFALKPYwn7aj9u gyAe+0pbb6GJMqF/hl4KrThycbpofx0ioi8QzjONf2uVhxzkXafX5LdG0hyg7e38wNhDLcHj4594 krdFHrBFZRADpJR15jAfC1uqNwUv5EI2QPyuU4KK6SmL5VZIfLiAXIy9aJcL2Zym2sQY+EX7ClSO 5IdSP69YgOA6PaMtMITit7wsVxqfyLaZYUNkgcQjjT1jQlaRA9iYOBeP1CSUxZJI5oK8lVPzLI0s p0gMdY68lbS0OpeggbqxFzXTmcpxlFoLTmtPm723lj9Laai1WdsmUbH4KrH5GU1gh+fnei/0aiCK +3rJRAh+ooFd0DRdqOuKZxWVgT4LJdOGFOfvDrB9CCCN9tkcLNUH8kmC38WcSlvph35jSwtjBAsR nEASiubbMEQCKhTox3Q9MuTxbQwxEaCpopVBAMwfLJHRiJFsKu26eLkZn0lqyVIUJJSBKSsPxKG6 1kybP91TB9k+3xPzpPvmnsI/KYz4623N/jxJbYYGMHrOkmlUM5AjaIOuxPOhjjA82GNKfuDiMgLN Rv+qTqG6kq14lVIG7AYGllvlVVBxuC5UnmJ/s8Jl0WLDfMnG1UegQRNms8Y1f/4Lv9DsrOJ5U+Ok 9eDZ9ffdxzgQNvE3rtHq8RNWE+hHCK3OgBbpv+GrQZQ4gedGxNF5AsnYLwbT6M/P3aHJLTisxpVL /87P3eUJcmmumvQr9w75vYX54/spjoKu8+DEXCjFn+O1pz+sVXgtrGvuNdu4aj2ukvFFKTfcQThm dzD/GVe3vdfUUb8kNDQPGSmrVoq+lhnA69Zr93Hbpt394EWqLJ4vsItE3l8DQRxu3aTPnVJOqdSw A5+/AmW4loJTbgAtut5WEYg9nBczT82L+fubK7tTBbqQduMc8N43NbQgWVMNNBy4mqGKUwhDlUk7 oLMbqRhq95hHDsCMZng7eBYdhbPLe3cuYTrJushutmcotPW/cpNnN2Zx2F+BdZg9j3A43K6XwbND KaTObnmTEF9/vXjeK3KLpJLqzlaSvjF5YGQUuackd2xZ2kOYLg81diBlH20QBjCMGVhRlGavWtX2 7TeM52r3WZlUs3gAcstm05b5ealJYvEY8l3uiDzQgGNedpefMDCcy1UNjSSoQI1b2DG19wjaqUjZ 0Lq6UEpcj8MeV1DqC6inr/X+rbv1OISSomug3sLQo97iAF5hb1os9Ta6qACSsobBSCAHnVj6I0dU x7wOAcGvraRhyFRbR1Ax3c2wORYhIqAX/WCHymSNxUqX8hB5jUqHfqummUboCC2uTRX/kE36n0h0 p+MeH4KeCG9bvz7ZdGuU5Yv5zu9ca3Ax6xp8tBHqR3TMX7H4C2qAlQ1+AVkiG4bgMdr4qT+GsezN fcPF1oBxpjmB97jbWE424UE0TSWWdzmdg3dZf2qNlxWX3emlLqxUXQ65OZdL2BWm7K3H8vM+cliW y+qSvJvBwEF2GBVMgkTuYQB84mzcRd6SiyCgtOnywxUHNnJPBteiYo5Bmthpz6d687X7a9uOcPbx ZDurhtuyPk/3X/23iCta6+u3HztNVUz6yjnTUTCti6sepQA4yKy2LW/LSUi9uhohAq3hHcaO2/pk z/1NdDrHkp2d+w+H0UAF1tx6DNzGN+7JJmSp3xw6+tDXaCS23qVsuNOP0MkxdtRr95yoEHDVxv7y eB2Pmw5Rt9f6SrQCHWu/qJXFCKfIj2arb9vPosfGEFNNmU9DYWdf6Hv5xDR/GvT7KpBNfkW9yg8Q 7yYoiTztToj7TN6y7Nu1t26+yThSMapNgzqAy+CEWxPE0s9H/lnFO7vMuhXfpzBSh10FisUylYY8 dFyh+lcX8VfQVvzJgfPY6EVd/e1vC/OH5UI0mjO5ckazk6+D9DBc0CPfkCOIM9VTrWv63WWaMwq8 Cv/Xj95C8fI8adtw9bDaXkdl+PDsVcSTEPzMi7aTStZHndId1VUi2qov8e6S7OLCQah1to37Xyco c1C8it9V58UVNn7iFwn3WO75EQLIRkTU0XSO+vC4IgoqEIGrmH4Cy9QcaN9QzuMpvaPatGV4EEwM R8DOdl77251QByKg0E1mDFJUwMqpEH84J2ZbkASespKCGty2SxVvpe2lzvjexizM3kM8Wbu4tVkP i6W7I8RLo5LfDsRn7cKYNh72lyHOvCjxUwSSZJqiGqPSsbhzriykiWkptygKsYRrPuI27nVwHmID cXFsUJzhAjyTDETSBpbWRC6YvQJBuirJq39gq5Xm4ZGLKcj5+Udx7iB+RG3zKiKhk7tEMqqBl73d a19NYzmnsCkSVfVmDQcBDR18I9rVbmXSAArKigu6lmH4fJDtThjDCBYX2wzRCi7zaRvsOqH3d/F0 OT6TUj3LmeVkaxX+2vAN6U7Cn89MOhJDJ8PUYsk/CM//sX8GxIE+KdtxUEQguk5prsK8tuf/JHti acISZLs/6TPaDAbbgiykpTq5qwi5JHcnYu1Ugz/zbDrpoIj9JugWGeVIURZ+knviWrgD+RZtBvvH 54WWcBmH3TV5CMyHRm7a0/5dcBh4cNEl5xEE483YHVYOx05OEqBn/aYyj9FbrsM2IuKsbqdEDViP mnn1w6F3rxuHim9iQa62YhvaCd6K40OTx1HUhD+EaQETHq/0mEcGaAyKxlDeUrBwj5vWqM9pD+Vg vyCxSuAWn6rmZFr7ycroZI20TNZj6yT2p1aildMbHBBbjWBuhgVBUgxmqRK4AW0jRwENi4+HKb3o DevwAud3oDY4+mzqrPjmES/Vui75t7KtJ4G75ml0Wo1tBNAvFa3YOm73LOGEqlBdr2uxPjSt+Erz tH3pJ9q5EYrorAFUavxM0rK/NSM5m29cDBjKDI0yZliyJUbWGxc4GZMXhe787u6o3S72gMyLqJ6E kgxrVYLA827zVU5O1ogrnkjrJHFJ/aXUY2mt3qUVlgjwEBbjiv3HqlHwlLWN3yAIewS7s5jOTznP 41Eo+bM1rJ9bC6h8K7fN0bdoQXrClcrxxSEl1WA/nGhqU92cNH5cqvmqqSL3YuwOqHQTTSOkK2YB y81ZC57TsDh/1tEcAQwzXQ5WAK1evypKaTdumoVP1Y7yVJ/+oF/cEHrCAP9fJztUlH4MZqjcGf4B HmWch0efDFleePTLGmkVdpJuKBxdDuVqc7GWyljgUkaUtofsAMtO8seLyUtslKGTk9buWIpaCwgR 5sJiOPg85Tw7R4gn9YRn/1JkEa1MXKZpvjFaoEPnEpLiPo/65aMKz2CwHHw8GTMINnIqy8eeMkWF RNbMlINmqn6J8zmK5RXG8GnNFkqshLr+RBUQHBdnBueE+ond2879nWUAp5W3i+A+4g+Csib1fvdE zEBPGTFen08iVZDrY3NQo1mYy46zcnbss9MmYW6VoQbtaXCr4/dcXiQAyJHot7L+tzvgO7XoiNbt kCBce9egtVsVTmK21+gxJuiYRAWgGNxUf2JFP1IC6B6CIISp4In9C9p2H2snDgdMMunBXKTtk34V WNgNoSQ+YYjAIUxfXf/saDp1PNuz1/yOtIzXi/TK5KQeBCpcIKZwKSakVfNDNcsrIH5jXLeWWEyi JtF3qYerYldD53KE3YnTvxCvTPk54abpWq5C8L5jgzWEmEXhwnPGos+oQwX+d86cQTzjlVYCZEvb NzA2OKWR+HocVV3lK5JaVVxHzLLVP0zYPYfO/CUZYeDMx2TQn5IpK5ImcP1/hscyQmNgcg0YnMm4 V4N3MOI6wwax/bk/3fSfCpk4XjQ4cE2XDXAlmdIs6jdjiAqq9rrtiFBv7V0gEnju3icRFIwZHznl SjW6gHRnM/qfJd79NEJTko5s/XTmuRoG5UTDKEvlcgfsztlL0lXMnD5O4hQU36VRAZc8YUi6WV3s yIM0Or53GqZ8f47PbaRyLIZqX4NPCjuRgCDSU8K74EFnKXsm1oo2/8BI6kLu2IU1Iz6y07IgdlYg W2nnusGdrffJwssHoNElJZ7HPRXuMKrsvsobHuNKfL/Mu7p19XWbLQ9BSmXM4JIiv4TVCjCp3rM+ Lx5U/OGOaj2Vjp7g2qi1WabG64Yw8oBGGnKEuOey3jOiOdmJhx5sWTLOvjQQomwPJpBqEpkIgVI6 bfb9IoP+GIJCVki0uFAxosczoCwws9W0gMr6465I1GZLTc1tKvyOko+3JkhdId4MKSFJnR7yMKzB dHSrllASHU1DD2hLwt/p/VjN7nPx3QzOEPLd639eZQIoQZKm5B82fWDYwX2hMU+Hoi4yVu8V1FZQ Cex3Srn3i0YHQH0nSaAuCKdPCtgfg9eygEdPm/vq4k3kfLNTVD62+mbbpZrca49cv7DF+fNk/7GC WFSlj9J/+g2Bismi3ozzy7ESQLKkskYhAUkrYO6ZO7wDSZZUgYgxk0Lrr1MzLumOgReQcneJtK3L yMi0urAWr5U4sZXDYv00VUF4Z+wo0+yfr5ex95Uar1EwDM6jOkEEDj4OtzgDxo0flBDyICg/PYyg hxq8303yfopR4HuQPMUS/zY8y8Hq7k2aj4b1UEu2OGLzoSVxIx/x+U44lnF1OUfBvFOUX0OfIqBU Q9O4P8vuDzCllIOJz+kt6odPzHtlOaVAQ78f+D4ccsoIs6ISkEWGzzdZEo5wKG1le9dI0EdRn+KR s4p8Pq8owkZLm62jMCmwjGHqSwbObvt7ukWydoZymTbYPVa1OYfTdPYZEWQDFu6A+H+Wh6GTmZPz gXXHvJprGGP8tKi75RTnPCPv45zj8wfF/cdbI/LH2lqBsAy7aiiyTdWFWSpShuCgVVWpiLJqEKhb 4mOFxjNx8Dq5fmyI5QIfLCmrHhmfgT7Sj7IwnIzth0GsGo3Y7yknopcyKB6Z2sutd1xBEJicY1Y/ H0kR3cdHAyd8jSYLfntD0rTjRelpEoxrcHp+6+1flaO15Kvl1Q+zfmaDnyD1dQeTBsArk7awx2Sb 67a83C/YuG2aBii5IM5mY2NY5wjRgOXNDElbt/EnvzrVK3hQxpCKX+zZ9UJvhGC4x4m9LV6h78ru sh46gJCu4M0nxKUFN8iV8NPdLP9dyEd7FQBEyinPn3U0pfjAJia2ZxjK01qg/iEw3smcmHUh32fi iYoML+i390MeXQyCfTv1cdVGpOyhIWWXTfP85rBsXFA4xHEeHofkTnOdCk31rQAe3ghxVZZXvii8 dBHJLKBHXqqPPBrlWfB5eNdmCW0Kec3E4JdH3pY2tUij5EHbk2JCKrMMzm/fRsgDKJJuuXqknPoA hW5BE3scBDZJaqvudA3Ya55WK4dqoc9lp5p533IjtJFnCLAmGjbyadiaYqNu7OxoMhmNHpxJkzSR +xt+dH0U/yJvZxHB+0ueVRNiKol69Qwmfs9O3amUd3npazOcTkYT+wQ7D/eD3aJ3CKI1dj8WBYjG 5/9DnpG+zOpLK3tutBlBVC+t4P345kOhsRffSfs7ecUuU8S676wmy/yIoG3J4reeOQHp9rPjPFyi MAiLkUMV82l8yyjg3n/YgB6SF12k1QBrvLwyIKzvtqSmMpL1SOwVsKfJ7soKZR423hdluDBtIRhQ lqXDpCpYTMYofZtxErPrvJId3UV5yvIEAZzynqT3BxjLXMjHBD5UKli5xTBkOmxT0BkRiBsbN4Am 3ZlMy6z6UPG5+E+2lKhHj21iXAKQEOIw+Y+kgMCsdspCfU5wE7t78o7vNf9Y6Q1O+i2WeZ7N4elH ER62e7h4nXFODyK/02sWMiGN7aOrTQK/s7DdRd8ZqyfwJa0q3XJqwNO6p4x8su2TEvUh1Sw4BiFu DFwuuUBHAsZXmAThbGGyJtfVU7S/a50s3GWaVT7bB+9/SfBd7nsW+q9lDrtcH+MAgUtUZmMfervq MFAG+7JpxymGed5m6zl9fQNMX/9eHxAqg4a3V7C+yLm4rQoEc8pNRCRQ+1AfFPlpjHgiBTk3gWe6 nXs9sZsPYFq2OLdC95z/8aQf48gvtK20xasYFL034SdBv1+n1k+LR/r4wYdDarzFbumXyM/7WAuB u5BOiygtR+c18E6JdHFNpvjRfQP0sCcT1H8WQc6bHHZ3nvNUHvL5yJavhoReuuMsu+DDHRin9zIq SrqyZ+39KbDaEEvXJ11ExNMq3iX80GLw9b/jsKOWNOzPJvCa0NMKGOKRMkcA/ezA8TtRMJj2Ozf1 UuhAm+Nv4J620D/9otPwqNcD+xcPQj8UZC/E9j9Tw/XkHhMWiB0/mwOXJ7RdavlWUTTmx0yVFjG8 uLOhgAGHQhhkVyEMdRDTnhubYhGk1p2JKeiML1b31TymSCHlWSpNjA9lgXUrBZEvHKOC2efdAhOo gxFTJuqMgikyJ3eWpesD/MH9+8JpFdfAd+4iFJYd0on5dbdyWuEogWdJUN+kP9R+WmaW/OEqmSUJ AebflrAOdQUmgPut9RiSEh2GykPCIXNXcHB5mbADGUnjDvnWcWt2gg6pnqziiHvP/uHhq561PzD/ E0tGvKAxAzqeTa3N3Qx4clEH4bfv+C7ZU5PDEe1OSurO/Pf3qo5f5+I4Eur0b1WKt2XnzN2sL91j VQHDYKRWhupEu6tQA6BnlZtBauL1Zg02wJmHQnIj4B0RQotuBNo3WuzCzZsJtKDuHwFtk0767EIe 6d0PDcCAP68RAc51EwFCYh6/kH7F3eUt+5tiKTf7bbF9vIsFjX3vNk6aGV/mc69Ko23DZ/NsjqVI SoyTo51p9JVm/1ruB8gjb3R9M4hJs8VIj6ypggkKHd6ELfwvTQHcH2dqzxvLTEN9mL/Ra2l7d+UQ 9LndRgcQY+gqjoZZO0lUl933jPpB3/VcjyGIucc+Bg9O/xBpaNJ3f83bzD7Ktd3GB9nBn4HgovZB 7ATdR0qzXTsZ2o1J8g8ZFwEsBjcOZf5X7ybYlem4BoBX2+hxs/mJe7VkhzW0WYaXgMwzWQ2cb8Pm nezM7niccZyYV0fqDpeBJwro4hysn5/3VQEMd2zaHyV84+UkzHpmHXqWzgFiPnYhbam31Hdvw6Wz 8MvnEaVNwBoJunT8nGbYCK+UkQqBvtMum9q9MATdugJEjmRinEOE5Edq673AWSCm1V0wuZmjgE0p tahnBB/nmmrmxMD66P+iqb86DYiRM0DLMYIXCvtUrYrJQqx9qfg5fzm6zuwr+dZ9BhaIJK9BEMvU aRusw8tOoyW3dkKDurw5P8euJPLYPDgGvXunm7dS9d64jTlQTeZk/Fpwsm1CmXBfOMBZhJ+/p8rE k2q92v7v9E2BLeTTstAAbKrvg80fEy9KAgsEeo+3eEqLAWXgHpwUqcamtmxI02c3xXvmvFEz+UTs qGB5Afw02+wHoDot5NJYpc7R4gXvjeVaGu//fDXGcn3K8GX7+KU3s0wE+vEMq5vtQURLhUTd5rw9 wCWiUcUgw3oT5c64NsKOQSL23bHZ8Mi2MAh32ECfpIsDInmmQTZluw+wWXZez3bN2IxV1HqvyuCy u+tlLqMpjat67akzD15t3/rBhhO7Wuik8xs30qOEE8wYKF2RyhODOEtDEmQPtW879IrBiEFh/Nr+ WleR3mpT3J3/8BCWE4zKnQcXiCn80rnOEViMGcrAnnpy5MSqTlBxuqyPkpX+ZYcoLkDp6nn3tEy6 qZfLHbpzmvnRcJhNPH4aFVjr25rP1BR8xIAWV4AgsGo0/yqgZMg8g2DRtX+7kr99Mgh5E8dI0uUG dh86jbaWExwbxMxiUaKyETB3tMQepvMlRVk77jmfmwT56W3+exQlPmKVq9Dk+uKkkkBdqIMW3h76 hlozO+WhlPLVL+aA3Dc9qDqlk86eZo4HgnQhhZRWrJ70pPURP+TuWBUQEGfksxSz8uYk19WaXL2v UasQiasHI2UhhM0vly2YVZilX+yqN6bafYBQqyX5L5M/nH/nnNHXDv2W2PCi7P/oSmi5IBj8Wl6b BrdB3Z8Ny4QyMAXkklr4yB38+phlm9nR+bvPqe/d94UZFVvSTRQCCw4Z8bsohkWlT/syMFOvQBbF 8U1qQxDnCki9HT4OAsqvQ8PibclmOxvSkJE7+Sr4FsmdNKGpslGna50TomP6/obydbryBFVml4/Z 2HGS4BLZbqK1ZAuHadRg/xNul6qB8s+HSdOCOHpfTpR5hIXge5YxDsLMxzA0UbL0RwGZcRozpe/S EYxRquuhWBSs9SYExHUpe2+xftQORvVzqBaT+fDdXSiluKEdIwF/OCNCw2U2JkG/vhq5f7/Y+Mnq Z8vkU1w5pnBYCqEJpv1UL2PPxCVAj7kmbAZ9M39u0xspChVIHe8idzPy34CxYHJLa41KzOuCZ0HL NElNhU+9cRSh9lKOLSWjJdDrj9wz53fjq7+Xx91fVA5OWbvl8WiX47/EXXCqsfxxdJfu9hshGKoW I00dkVb/zMs2yQScxDGRgXMC+xn5suKIkoU7LQ4PDpIFaXPoFwjHrOnKhruV5GELO2WWgexRJut2 czllOPPRPvPyCDEStFhOhta0rj4H/bOgRpDNzJ3kTbFfaYyYJ4LrRI1JdM2jUp8AG8dw5ntl8fqh kj+3UmxMJIX3rBAj2oRNnen2PvtD+okEZ0tLB0c2aY8/j3t7UdQcUwL+dfCtgLN0e7jQfC5aIvEz p0HX70pDc17NCNuMpSeXF5/EHqBOcqetqu+cSesJKfIKg/FaHdjdfL/NrqQI8G27/W356Ns4p2Sl DoLLSf9VQWwziE8wAsIyYlXD5G1JkluRbpI6Odz3b94ScYW1BiOhxZUdmbN7UgkLZX+uCKammdZ8 deEcc/XPZlMDREzall0hbbxLTRFxvoOdDTVOLR8PkepfDjSgtMPj0v3bgncUPLl6bmS0Q+9yDG+t fVxZHDp54hpeuYVxv5iAIHvouM2xiGmSG3E3n++o9/ABbl/X+Q1LpE78EeT41q9yJTLBlLVTx1X8 elFrJe33wy/M1s3rtUXG8xl+WhYx/FZDQKo70e7tygswwKnnXXXW+Io7D54rMpGt0ZM/1aNzT/Rs LlgMNO0FthpulcD8REGP8jBIRlBxHQ9NiVmG1NV9yKO802PX+svR7XZrOvwZ3aKcTJBkP3FevyPg w3WTTbyZEj0vl3doHG53DPF+TH3ifWkcqxfYrxPWF95DTKiuTAbuHwcI1IkVai6nOZYfjMYKIjDd RpEFJToJg9zx6SjiGFq6rVPKYEFy9tfrF6Q0IPIT6oSmQkJyc8Bsg3/zwyf7R3vkOnxff9Y2YEYS I1dedDIFVRpQ6kntBdf1A1SjR4pEiIk8fTA6vUUjWaDf8mx0VccWn+T4HVgtJ5znofzcuZD59xWG ovqdSwPu17CzfhjLR7ts1YkE21ZE+7S+bkF+okJDQ0hP/Vm9QggcJMoQJaJ+lpZSg1WRTOs8+f96 4yVdCAaH8FCt6O4gcKdjjfnUO9bF6qCwyxtOTwL2dQxm7tbCr3NK2zCSSpPorumaQqaVL4S0Iugv Vx/kqwqD4XagBN4XX3KQ2k28GDtTm3NqMwhlViUx9txa0elitayJVIDL6Cb5ArTBOSiDZLoY+Zdr f4aDBfoVlk0gL0VI26HuJG8Mvx0XM/54oxhkWwlCJs9Fe41iCsaU4USMhkWwjF9ONE4J6w0LSZph 4tS2YdTQdQJv370jIsxHZgvZV+n2Ga9mQMkBiBy68eJGfF0VanhyDXyFj4dzeF51XW4KfDh0Z6jf a2PbWL8gtCBODXano1IpNu54XqWGBeTbenC0oHpypFgjVyJDARXm4vSyRmTmbUnuxxDkzho1TRvl nFt+oDXPvFwuWNETVdiUM83GxUjbiZkG40U24SzWDD2vUZM+B3enp4Rc+swkpGXYnz7JVID+jjsj i8eL7zP1hGAYENOjzEjb5nC+60BXKuZB1tdKRX0BPzi0ggiObYWkf7Jr+eBKNRRcX7YZorUc/Bqf 56hBFnFG+3KZDdMJJMOYVDO/G0iBBsnXxmDO2cc9Ygx8lJpE0+q9MtdFzoobkUyn3pLxbUFGxXwp h3sieIgXGVrdbgYpBANiPeE+//HI80lKkxBdoiE3OPtSQ1hbpFFpTxRsM+9kuby36FmZv4Wo0r+4 9puK0CCddCrCXiTqxfU/ePm1U3VpxoVTCn5dUKDnNh4QXvI+2TVxu972Ek0ArgZuMrlxM/qb23a1 anJAtSsWeozo1j6ziYIA3ILnvG6pB4BXfytfhF8z2Khu/TtgDyUSz/pQASu/uU0Gmoj/ky9AXLZp rCxQuHtR0OulaIyuiQl1/m7hCJXiTjGPNFT9+UWP0ybHxJ3Wek4uE54w+O/220GwQatJAEIwkigq El0F0MiK9wqcdVDJA9xG9jyRc6aQCSYX7zAjQPtjDH0WMS1wGu9cCeX/P+a/A5xu2td/vfvFPt0E nG0L6i4t1fEQUfBrmP+wfLs37KDeebPq9zk5wQXcQ7br97TFkxMUp2FsfwqOkl3lVW3l1GNIwGsB QtVv6xtOoh+9kpbz/E1LJ0hnX0c4VGoWTaEMCEOhtKWk6VGLjT+so/2fVTeeOkL3WAfmYJXRr9gz 4vFxx7TQZIRflcCONyiahPYCV6R7sf9S6+NS8DTOsbXZY2E9SCHcsP2GZNfXP5SwilheFDaQlLWx eSmwLvhYbAQJjbsyEhmaw6Z2qNUktMLD21aODe9WZve9TatX4kgynVb2Sg87Fyqbgcr4RcIpAXqc x1K/jfnq/VNkE0H/4FOrAZvTzGSDqlIDIaAWCbaAQ7/whSCjS+UQRsQdLqsw0j4QwbETE3qDsI1h XlQTRBzP27DQ67PuR9F9/ctqRGJNPO4qxihh2m55v/tSRQgE8cTAfWn2fqspNs0HKph5GGkkRtZO lE1afGl3sCxuDs890zAbfQXI2PhkpgF5a/yk77ZA2KU6H91pFPdXE/B8Vok8coGFkHnf7JbHxYpI MgE6d6B5i2b8U3oEoNhQQ/7le584dqS13UF4Ex97mG/ZllGlkUQUNxiGFbp+CthcTDcKwP/7EEAZ RHJu4GS3ngHYfM9aZY1NeISjGEizEQ/7fUHu2wh9r+akN/0FgnelVU/xbwkIwZoqOP1cU9e+uqBG i6K6148jmF7Gq7X1ZqTlEK8m/wO7RGUOzUBTkliY6ZYLWS9NH8LkQUPQd+v/qkqG0d5uKe32A51P 1OBzTCFPr0Sa4g+FC+v/B1QcvtsmGY1lpYUToy/fAr9AqByY9+/aDdYzxJGQdgTLtNRKB/2vXjAd f38fXhTQKll7kOXtD+CJEyBtP9m2HoKm+Aa2vB/zJ/4sCXrFzk5WAx29fcmY3ZQ8B6nSYWWfu5ZK jzW91KZD8nD1grtmIyvuaNVAysdwPr7sLfT1QZtMFLzR8uhX4ww2XDq2rYvCLgJxTq5IhnsKlblH GjqVbooR26Z6IE3LyRHo5z2BC6YRWoEjU5KMrPScHxl5PZG/qKXDIGqukdMQeFbwDCcI832Mdn81 EnZw2fnivinQiEQ3KVf8c01kl7lX11TLLHLQHD8aZGVoJrKFVQK2GRCKg3SN9vPK/rfL70k5GDaq j2ej64ESKlqJqNhJ2mmRaWqHoYb7e7EnEDQyzOV2FlK27WGqlo3qDlTLyx6ues2xNfd2PgWw9QBe +GXDmXGUSrlSFvsSThSn9M0OHpJw1fpiw91QSFr9CwoLlaawQHjh/b/M8XccWSzuV6AvBacvdCAH aL0kPnDeEVY6yc8gFJRLVm1CD11hhvrY1BNeS8s5erlI6p+fwbSHQXz+rMXq80/fHGuVbvSz9qwB nRLkHLoEsZWFIlFg4F4oeDJ+Or33nchF788CK71aNCezUqRiFUmRQbN4v/mxSwGQPshrMT6Q9awg wpsejYfhM4UA6Ed9tR9MHd+TzjHpJ5J85RpBUYPgPJusxYT6Lu/fZsWUGALC4tra3rHRtBjxq802 APA6lVDjYfWwhuxnzglkeSrzM4h1cTM9zo/p94ia8KTuBnaRUT71rjspYhM3Wvln4kFVW+SJ8RyV u5+K2TGOtAQOxbn3q7nnEdnQn01KFv9zWwoyi0u28CrwB3KayNsFu/bwJ5Rjm5bjxwbZtjqpGn8c mZIcidtmesRhpiGaFg+o0tS0WLSC+fJHgvWwWdsgNGQzlAx7Y8w+iNzZDnGm/0Q11WPu95QOSPjT CYjrZN651lTaLlD1GheWqma9i5BUfCPmmkvEgfCK+ig6cJJiIK6riYVUFo6338XTVWGZI5ad1t4m +YZnbo981IZe7H0UoZUKax7pypRf0ww61JGNKBaQsV4tIyM8yiNBLajgltoPGnXWHMJ1pBL2kTmL wxsuOP90gCO78OIBct/IPdMWiU3OPgzNkhWDGS6hs8Dby/x/3pvymZvpCbwy/kWAaZhtSOjnE/8w YteKCOGO5jpdaLN66JrcwaqkfLBQWjGJLF2xUN/NXBpvvRE8/qpAfvLbyjo45dP1GkqaOB8LElUl uohq8y5RNgN49YyYeZb6ZQLY7ER4Hs9qJZXzqaUKrxExLzSbk1/rvNgV1ySnbBI5qcRaLTX0KaFt ZqwxZIYPyYAPo+FQEw0I3/BGEf5zmcSKs2+mctrx+kBD9Kct6pS+8hj6ZGzuRTgas6LyD6KNkaqQ NLrH/UWP2+rzBjYSXwavUBkhs6Ist2na5IePxRHfLcIXwzA/7SwNtmKmZ7c8oDEO09nC9rMFgm8y +DNP5XTAGC+qpojbGNBsMNp58kVTtAp/qGOe7zhTWrErHgU3Tqq6jFKZDny1HW6MjA//yXqEfAiQ a7aYkvlPTO1g++kt2JTicNDBKlMPFH/qzLxt76z4IYuDlaP3wrLpHpspwJUs20Sk4+HHeHKJ4rsK JfV89IE7FbzGhl1UKi9DunfzXDJJOyfGRmw5LnkSY+PhPvRUY7wj2MNol9+CAoMs57eVsnHQcDbZ V93U7F9kDC8Lv7Ea0gpWv4OxFtSZjMPfcBpO9E0BnCsT0e0ksRQwGsoNG5xe8O9FS7jYTaXyfZp3 uRRipdvpxSxvObFNciZ3h+wVZiy4LxaDQszHCaCq6C7a3xDLr+Wr+obNrkgRAoiHfUIXRtfsHOTM Q4TTJQ7RV0n3OHYyVLgBLb+RSe30Mk4U/XiarVhdWzDusO9l+njzHg/9RYAY+3M6vZNZrqpBIpV1 vhiVX2XPYJftcvUKuP3iFflKb5+JXXVY3fcdrb7myX+sBMImmt+U2p+ucDjmsbzt95kjCdDfQ41E ZP9KQXyXqx9rKNh6Qo4TSr2BvpP5ANNsvBEhRVIdKGDrg9jeuk+P1DDPOYP5pIgmBHg02iZblpMw 0vpzEkJn+p6Bs2YEOKjjEXV0VShK5JUKAyTVZEJrGNwXJXCOiiNkqBXLcPA1tpTlFIIBaok5CG88 4qKN7tzTwE6o8xSp0JDhBjb4thdPC2LeNFbvqX/T2Ahr4Ur5/RsAoYr1zyDs0wOLfMSCMjnMna+l PvFcVFJz0o+wX82+bQNBrmRyvbCkEVNXE7ZMZtuPAJq4ZL1WsIjeMIn7HBzc5Uwf1XS/S70h/JFD uWPOwesa7y8asZqHbmSVSuq6d68H2Jg0hhyXVAzZUR6leOwJQORdOVALxmFWcZ3jOavxinvUXipc rxjZLlTkA5jVH01JUgXnd3hjBM38mH56a1nRh1XW+obVM/+5YRVuED5HKZ2XR4xmXGpGDsPqOdIl Hvf1pe70w7IL3XYs+DdJs4e+8QiJbj2udTrW+iTQBOyL0QUEGdUnAgoxHgJBln40bzFXU37nQ1Ue EycXLtYFZlW8gSOs2ytEtFZtMmYCjSMZa74OguzsoUS863uZ8ppDIVOPiJIdkGScyrM3QvAE9PVm Oq4LGGF9eS3prLZfXJ+PxR+LQHdOKJvFxdcbLwenSg1Qhkk1VOMVeWkSGMBpYBcBq4hXNIzLigxw sssNOqmwHSTGgQXJMHrUnuNf5dqtHVcifufL/lqi49yOa+sXXYbxE0PdmYYvd2w2KWSpCZzT0Ody dSWLeUBFeaJDHYFuz1i3RMNxAd2BbWlydXBiYHRGl9XxpLXcA4c+/ou5eImtQ/oQMVMGTbMGcOLw 19JjbjvCTARRydmriamI/w1oDFacUrWWncQGpnhNHwdpPNizIJWBpVBChgnLA4Y8tOWMpKIK9aU9 5X4vASI5VcHkyqjrmVLR3cuJcWTzFBwjLYHjrJr0+Ccq62F1iM8Z9Zq93TNXUCQeu8cAIUkHcMsz OWifxS5wDVZ51WYi/CMhm/QzNnqW8ygYHQaaqeMfkPLphjBWpPTaUzHdxAueZ8W5i43MZb91MjBA nENmEU0RwaxkOcfJ18c0FxycHJbnKhrgtwQnXQ8RCRORHDyd6ui9FOACKve+7EbQndpIVOc4pupw rKqiQyx9CNj/GbvhR7cjVP780Gem3TOsR/IOukOYrNwupIRYrNgfLO7ND/1XYTMD06mkR8miHhlE kDlCH5MBMzuQavOnCpZmfXJSracy9/Eu+rgf07dN6OPfdtgN9xxU+DkODzKyGBu84zis3yBBPHpq p1gmzUWJ4K+Cg0VouNzuLff09lH+Iwethhd/NwIhY5qHSKu1HGq/tsKuekV8o1ER4gOG+kGCIozx kK0MZmBbzFYR5eG7T2g4Gt90b2VH5ycoZ1PvbcVn7Dgwco+LAcyKDfCROcawt9vF48J8f4CwkLGk HFGPOGE+iacW+cMAB5GjDXVd9Ihpnl2Fk9K8YWClB2lGRRglke74MiXAtBcb8SG5awD+TZcH2Hm+ dawvpX+MuqR/vmADJ/bxHWsvqj9n9modchpkUBV3l1GJA3O06iD7jnGRq0zfTC2Sf9gFnQ1NeTXZ M80hxmXOFDyZ15D6e5GP4UHDCIyPo9ZUOaqwKyDR1fgYhWUfWoukIN8RE7g+aQ5zSqvgNQYsYqr2 RiaG90PVFXeB4S7S4cpj1kaLBoaPz97A9svsu/MewdMEv+bLDD9h19kI2fTCy2G5cIXA1lgYBJRC VNlq3Reb677/xcSOFAqGPjMFz1u3caakR7fzDDT5AKwuKWdw5I1gKrjAWFXPACf+Bl1Br9V6ATt9 CObFyjylHqdbn0SZuuowRM7r7xbpPWGlzzbD3/FRk2Qk/GYgQjCerM/wqRCmmfv7Z3Benj/0iy5c 5w55Dsfyflk9ATBvJCSNhsIluwcfTWCcWov1puSa7ZaX8ukb3n6z7d6fIRRrNlZ6Y5K3L4xxUImT F9Udfj37AcdGkGCTlgBctxtMGyZQ8UHhxsdebBDM/5wn1wN/3m9gOYYMlAiVPNpqUzZ2sGGSYO18 DUHldpw4HuWbmrOixqvCPF7hmq4Y1Jwm+kT9AG1pXpNU0S5JQQgS18kSy8cqxPIWu7zkW8TcoDD4 TAhsvDQGzD3snngOK8GogWJ/2je+c3gBzQYfbCWm9AUyBbcPhIa90KW5lF3cZjx/tMUdf390YOGm R80K1CkNt8VhYTwXCsY+bK5neBCXUiLLsDotx75UNck/Q+m6faa8vC8UKqbvm6GxT6snlu0784D+ ++NmEInN+1856erXaurx8aB1wL6nm0/sWr9HifxU1TM/PR9ZN8I/zD0yKV9Cw+R1EELhaZvdmgiP kRmgcVweeXFMVyb5P7HF0PcDbQfknX4ry60MLCK95W2GYDyGXB7iQvdS/qmLxnaalcFpnc/H4idR c67PSgbUHqf6GvfzHDwsncc54JN7Iw593pbsW3mklLZQ6crUEtUz7xNtWN6GS/F+0oEWZ3K5PUuD 0hadkH2Vq3ODatel56E6O1xpqmB4rGEWj7+K4qvhoqXqTldU8MERZgMqEw6xQaxDaqdp6I09iYr9 cAh2QkDWcpB9pTC6jetXccrqTiHl79ijhc1K91PqOMOdZb4dgaICyewagnT1MPTRBX/fRfPXir58 +5tExW52fB0UIInACZVIhWYOslKS4QevH4BKvs95ws7MwM+gT3liFYt6jh0dX3yhuNuZlKwpXPD7 lBf3iw4V9Zo+Jg4H0JegnNsQ9GiSqgnzxzt09opau1ELBKJUPOQgKQfVUc9uIqnxu2FpjCg0sZZj Wk51V02DlGEupxVYx62EmaIdC1fCQWYruIRmgBSaGedphoDSy6YA/1aULSAmOstWBPLWP2aBmqkI lA6hdVLIudrAOaYerBaM43+UG6jlMX5szYEkTpe6vOCbTtfobDjQKPNnOVwwfptlhiGLVK8Fegma CI/eUhMbC1wMMAYu5kQ0mFrwiKhy5EEcoXXW98w/n4M9cLWOG7OqZr4QGm4nT2h2kK8UIceNHRas 7X4Ck0lLq7osDJu98HwAC/qsAlNNKCMxsgc3R1UYSBYdi/lqCnj7eaKuNxgr0YRkYpDoK3EjM8XZ mZ0nAqGeCFA7dn33GzTtV7ERzxSdPigS037/38QKCUl4BO1zVMuaGUe38BUPdEmvoIRNflr4tLwj ES7uB1BAgrvHkMSKetc2b+u2tSEK6rDI6Hvx2w5U+d/fiVsFnjO/AKzm4WFp2ESwlfm6sni1qr0k r8241koKoFo4oYEXcu0ELAoGazGVLx5pDYNl7/gqLRch/fYCff3a6iLMDenYvjPpJ2flM0svaOBK B3l/sY8ajT42IfLOBa8DJaW3DCiCs3SvX3cBIB6n1Ad3LgHFz11Q302AhbOqqp9iRAIgHFbL7Fxn LlL2xgDp0JGqos1aLLn+FJ3+P6E9rXtWUqQREwgyf/cq8r5L+QblnMFMX7vef/JXXBLPNrtV7jH8 geUOgG6hpKcZ1HanHWhNqSGiBX76lf4dUjiNL5eDwvInrlI6Jm203V+Q+GTiEH84tAPILdZnL3Po JlTOVdY4G5NhIlb9BVFoKBsPcD0S9VypzRjt/K/WRv0cGQD49m17CqCuLDl9NDnb/C3kV6I9pRVe tKr0h3ZOzkIC0xaDSTm+2PbUPT3kmhDmM5u7AFCGnNYuVAPa4OSnkYllWJhCSYJXobz5xfpUZrmV UtYQxE07tMoHbh2yecrlAHHvgQJoNo8SoYOs+zVo8i2IdHnz1HDbLY7GwmVLju97xuP2KUKrA0Ab PB1m2Uu02ggnOoBmd0pGu+8kp/yTkG9T4G7/DTlgCF1q8q2K6DE3xndDPqtKNenOPVyPq1TUQt1v Bnspyduwx/g35r5Stpl7ATC1MLL2jmrt50nomWooKBUJFj2tLCGlCD55/+ZtP0cSJaj/vwDG2p4b 2UtBo+k7mIKCU40+82TpzJQJ07LRzZyT3I7Q/+FCe/Banz9/a892ZOnhFjw6K+7kHKytpOm1uLwt vmO9R3Eksg5XuqmCSfQ/ce9GPIYA3BhjsfViOd2eOTEp5jZYPmKHnIb++EKRkenR6euwPHNND+Vg oDSyBbMxOxw3A/JA7Q5VLKR0/W+6/AhDPyIH9GQ/qarKrCMTzPoN01X7gqW8ptohz90tQ1mzH//f ZG47Rcf8VgeP5EdpjTFS12uKFqi8T9v2LW0mmQhWV4bI06fg34N6COmxTc50bId2rpWFWKhuMBUp KtwD2eT4I9TrRIHM+/3vRrg7gxNwFQ05jBULKsyBl7pgGeXs8UGfhYygdBzs27o8ocgDgi9VkJdc FYreshPNAB1emETWrnw2ooUSaMXe85aLi3yJWICVk1ZFXSj4jVuSyLvlM390jC9u7vE8AjqAdhia 5AzT+3FOsLz1i+LyMpTbPkR2ZVuo8AxMK5oevD4dYjF+5+0f/2ArvtNBmeWpBITbn8fAmIpjpVWE 82zJdC8Tm+VWeSsQV4RKRca1vkURvj4WJEne0n4/ua5cSOQJlbJjtOW/FBdj9w40NF0UQhPvl6Jo niYLc17/NFTaOcw9Ik9wMNROXjzD+pFZ40/uXeOFTNH2Ye7FCH46K2Xv3ZHoYgsRARu1pRM2gg4o //8SQgsm8WJA1Kgyz9hsQ2U30SrSZO6/lVbWt/g5FQyelzxWuv1kPig6SyYTgWEaZ5tgTXvlXFsq cbfJ2snF6bms5ROQQF/N7nbxZyipOZiff3FzyrSQhNFgRNCJcJTB3vXg+5gkVLmwgqetvgprMAex /EygBv8CS59bzTS4zF+aa98jLKeJgwruUKh+m35r23vBkhs9qyqgDDKdeVFEGX9vsdfFfw41hXXr FQKIeqalYg7WOmp5BtQFWKMC2MPvbqKyKNv82rQOqcc7MM4ifY0lryFjD4yJQqvaXygaCSlvB6pN 2L3dy9XTRyilWwNqHLlwA4mqSwEiQyw206MYBE2C6qeqJb7x0W/qkMMOrJDw/kP6d6Hv5EN2Y8l2 iwYfhJ335Xl5v4YUxXWjLYkYC6NnVu3S94LDTJ2MTzwpIzuWGqFlATt4yeB3m+w4Ww8UaFFUX1IH NTaajBsSpX0tKBANexZYj8Ei0T9Oag3cMI/pEMna0OOTaHUL/pOSIjL1Mu7XcOApsZlbJG1Ih7MR 3klm5AIUF/5DOFMNBoBfwydxTgsZmn1h1xkT2kawP8UgJ7XZSJtGPXDqxmre5chUm49KkZJyukRB IQjfUqmkjQWtI5y/Ta9k/Cq7pZvPDvzTqs3+KqoVXi5lk1jBWUhNKKZGMsDlx0AN3WLPxF+tpxo7 dB+LX1iG1jPEhhIqF/CExEQYcGDbGAQls8SmWWZPN7kON1VDkBPaxs0gX2cqjRP8HVemve/0nY2k 6HNIsSzhsIOousu2E5kIhO8E+driPe7nHUJrqWl+dpH0vtHGzS71ZcFgurMjmGu41sy0olnKolmI BCofIY1iryYZEGj8pZi8pw01GZRMalQO6gKvzrVnoaNoVdWmRmULSo4ZqjkWZ3eYIrV2wIUv/mak JW/pFnlZgHhgp8gXnu/FeWbHDgy1OvZibkL8GvyMO5GoodjqT7Ot72uGwPosDAZF0BsMGEz4YSa7 wNUyygAxwVPZN/Hw9NV99wfdUdY3QwdlQGZ9TIy9vWHlN/nxVWQSvHfsRQg5vawvLY443bKCKLlS LmusUjlF5ziFIAhE+IY1CsvvBgeEA90XpgJEYx98JCp+iuYcusWPTLJ9rrc7u8iTpaUItocT9bIB 44XU4oB0//E5SoZCWis/mGmUORC/4Vkk/I89f2BC3yc0QukVFX/MV8fWDdGnVzUPivMyZsbRUSm1 BNcMOHHxqrsfK1rjBVZgzENAwSUby2s7DXzbc9BkKm3FhF3bt5+MQU31411nXoLtct8PyYt3qANN KFluW9rxOQjp7HXqnS9u3jrov1DVyd6DHAyAGmsF1m6jTcC0GO3V5WsqbTG3DMq+K9EDm4Qgguxr Q4f7LtfwyUNBziHIRAf0xIJjUiBRJERss+z5nfjIByKHEtJu8u9YPX4rafUj31iwcf6DK/wA4LO5 PlU1lZILR9Tqo5SWndqi/CoxGyR8Fk3j+FsaKvSWAHmU2KG2NG2ujZZlVjsG9dTQq4Lav5gWy+IU g2L72MAzGxC3KsJQ/GvnrtX9c42HYAjPXNGlebytKAVby1l4pOZck93pxok9JegBv0rlBZnnFrOy zCeCXD1DBIUYwz34iLz+7yHyhyzRj5n4q14xvSlDGA1ZBKZJAKMbkvmu3mVnVRYMRmUoLwC+cJAd /68Q6oFijgNVKdw20tukDWZIElMQa8SN+SwboJkAiMpsXUVlYuB1bQLcUAoYpVt1vptfRXUmtnfV e+r+GZnvf6sYGZ4l3bdX9IzwDlxyadm5ELFeBE9Cgt04BrfDVlY8dQLUYThCLTGjbj3gFZeo8aoK JKJGMm+G5nNIEf2K5MThKM7ZTP9dBRYbo24OVAEPnV3pLwlwMo9f4Mr2BXRj/xsJErRML2Yx8VVj UXtX491jCm4BsjYxJlDu5h2hMx1UkASxdKucBfG/DpTN6L7dd6W53FOpvLifBlAH++UsDcLpzras a7va0wL0LJWV4C1xf+g3NEGQ0+v1Lu6jpXRiCSXQTVm6ZVBf4eQViArZgw/05a+BZm2ARC37JWR0 HgX+agc8Sq9waU7iuejZhayi7c/VCtNQ7ln+7tDHNjEdDqpDR0XVF/BNXVOWhMyYBV51EYXyDmlE +87UrBel0nvc7UWqqIJ1tBq0EBhtfEHhLlKKR8LPGqNvAtrfL55OZ8VTuIVix8aLJ8T+81CNECiA fCTINwnRM8A+p+FdSDPp9QLDeM5agtug8G2PkBPQKFGQUu4NEqO6O4YK0+hgufiMxxy055vH0068 Si1mYBnu3dDO0izyYyWpfSZZMHv58H/DStbtoSUQX+TIiTF9Dbpc9F4ji6Zmym4KZMY7TM//szGM wHtiCkSWHKhBQznlu67/9KGLQoaZrlbuL2bXALAnLQa5qzl7Kvq7+fQ8rLMxuwKNCKev1+Fo5Zw+ T+oy0tkEJpCbdMri+S24EtLO6aeSOvmtP0uf+MsScmWGeYgulRbEwI8kq3E4SrQ0WNkpnjPwZTQP T5u9M9ujBIdB0XTKlp64cGZQtriaY9cQpwG9UJs2QEHw/zJ+PUbyZ/iANxqAJOzfP4SDeKHs0NG9 IcvgXuwjP3qYDFS/LzaFBacxY5ZY542x/eCYT2XXPHHUkwwduG2NhPT2SERaLqS0bSj6YghQFP71 iIr85HX2W2kz+C/rwFX4Xdh9T9k7jkL33TZE6rkPHVDfRwcs6EkN630aOQPm26GIaUJI4zEDPS+W bvLL9rRaNE9OhDt/awLXMTrt3D8f1fh8P8UOBZFwggQ1rgqnFkDrWp0IapnP0+1bEUhnuIkUxbI/ swl9gc22SBdpqh/Ebhnh9uiWEN3Df/6Tgb78BLshtdyuEXapHSiL9CgLhgJAfUhst5/4GTQ8675s nUELxmdLIxK4W7AR3l0hu6jh6WzzJJV4paWT7gg7jhWXN0bFQQN5cSRceS6MpLCcvNBOt3hT8ogb KNzqipnemBIQLLY1ay6vegkRX6nMnz0XmUq+AULr5G7jNOKktqS+rwBUUJyz9bDc5Fdm3UMXiBtv Fh8HweAUKpRzphmw+1m9hdXtXhXc4srOyuxXRMWO/opeoNBbZ9Yw+BUsIsSTnxkrO+dEzbvDHvEQ vKEev+anwWa/VPXMlCeQ6rR4bA2zx45dTs/qfGKssX9ReiGNfIt8G+Xw0XPpqcMGFw6BrXpy7oOD RLxPHgT5Rcz+v7a6yA8tARh9Cpdkqc91FhepeEkTpG2OBdwIq5prKvc3QFavg36thcL6BHLT9R0+ Kt3Db5oJ1Wtf+9W3bbRx9JmLLMYfToamtcOWZ2Jk1mtgjC5g0wzty/CflLA3oYWWoho4w4pvGLDv OCJFZ9De72r83Gf2ZGx8a5cImgHKWlUNQgHtR0TMsiWor4qliQwr6bcdCeKU+jfyjsTSDZEAQUH5 twC1qbj3YeBCnQTzvKgWqNISc3zsCX1PWr8zsSCPN2W8uQnzP6C5KLivHVPdmUAG0DJ/Xko/OlZe mNw9+9A3Ko1w4u/KO8RWEVGgDPmn/B7i3YRBlUsIQEJzxEgL6QvsL/v/THz9FiZWeP1H01IUR9WV FPn4bwviYLpb4Nv/DzI9n5wZJU/h0aSDTJDkt4JVRLyCrthYOmhTEWoFeZiYoLl3Ng0nTZUchHZG GRWp+c4m8PF9yYBvDCkCksmWGUB+BKiNVE+BKmDyZuPay8Y65HKb01lf1YX4CUY+Cghm9lDQ5z60 OQU8RCRTU7Bm8soGMhkNscgxCsEMLWnqEdx0wu3DlV7veFmzp5A4IXF0QD48IeNQEIZiNyPVFQo9 dEBmuqJi74zWx2wwg902dxd4IcH0WcDDtzx1pmR3OUw72DkkJXQlcwDmvnNoeI0boVPGbsWqfrc4 2zg+w2f9o1fzBHbQNIJ13yGhYC6Sh65D7d/3dIZiPqObRNFwEaH9cWNxXAOKfDmAqW5gnzlcpMWM X4OV49HzTLoktM2a5vVzLbUjEKJFT56vKdfxI0lcW84QgHCdhwo/0gYbbyFvlnf6vL+CHkxnxcqK aXj5MQ1L5QEUH/AfgRj4F6z5go5tUPfN607FarkEkeRrt/JWW9sUASzA6Nmm8AhhUWui0lDviuYb DrKpJ79BloKkPEC5J8MXYZhtRNfX35mNr4KtYmp22qDHL13BuCc2rS1TkUddszdZi0+yyBG5G5lk h+TJ56tQq6HRc1YshJR8K6rQRiCLWOc6ndAujMtrg0VuieqLHxesneCujs6bl7LOYH+2FxcyoJU9 4dTRfPR4nfsWq6taxPl4kr4St0Nw4ikEEaFnTo3gvdBCSGMMWjgfRTvTm/1bbzW5AbiC8E4qsPC7 7aDuVLIm2flzi6RcqDoZAOWp5LSqo8XD3p8pRji81JrsljA9PmezCcr0GQU5uJG/QkjnYs74tGQI qIWZoXXm9knJT5kage0/W5gCQX87cZcQBhfEGG0OAfXHp2pNVm+zFfDmlOgHo+c6IZmOaR9mxvTG OoJjI6sxqfkhw+V31WJjkqV5vRy5qkd8Gi2JI7lTgqNq5D1KcS9G+rYaqoE0IYkVq6r7plQSDUGQ MPQ4Wcadw0VMpXPsOF+iyPHiYWvw1jZVeacpq2OpAmJ15mA4Q6DbFJI/3yGA0pxgOvD0g9rK6zc6 Cc26Q3wZoxe7OB/SbsaE57Mx9mcB+gHXDn82mMmBSbHDAUWWJV+pdm30VRZ4lcyW3Vzh8+iskS6r uB7At8WElKA1EuBkFD8HWabf5cMiPDHWyOKKP4oyWQIWwnmIjNzk6yjNMY3I2a/AMrX0yH7LW1Bf 82FFx/rd0al5dU8uWdSekFc58q5HI/H8VsQh6aS3G/nQH1QlSItq/MEoquU7kR+RHTGQ8vBIIF2X tQJTXgIuh9OggVKpSBwnll6yBE8ZI70NZJ1CX2XrCa583zS7nC4oNMlgZtUf5BbVnMZsvHv3mMQv DEOGjn3wuvlmF+rUfWNCyDVI3fZc31uAeaWy3jrOm7KpXoRwcze++KbQTfbZuEwFgSWVBXg71hHV ATInjrW+OG1wCZnYEGp3KxBEfwn29Yvu9xUpiFeePbAk16muVmOqKhIfvaoomhaFgTgQdAXQXEl2 MxFRsklvQWvveIm1wzQVFqGNak7KMY20ISjDcHivMOtGDnepH89BKK31LZIIoCgXSGW1nWlzwlzE +TB8RhR9LgsDFt1v36zGfc8FctuuAyLna/+HojaIaIiAkaA+71++vfd7yD/mvizhDtPJckMoTbSM QEf5JCTCbSavqwpGqrCJhCQVW71TXrBN32SvH2vE/pMG7jGjkd+mJI/UOArj7BuJGYMGM1qVf1Pd TD/ThXzFaMw1DxkuS+NZu0Mt8CYtMOKiA8m0/2OFZgSHS7VhpeWwwEhd7UyIjHnNuozoCYPX+fqh Ly3WToi+K4N4aLko2MqWHUTzN25PBsBCgAh+sAtMxsU3yhq5/Ftwud+zetsXV6QnCina6zjC/q8q oum81j1wSQN5tyIfMDaYSSY8cZY95FKcmYs0ngB4XV7Bl/+6lTCsHmFs/IT1bbAuAFp0AbdyXdxi OD3oEpSplK21gdZcw00SuXX8taFIeQfanjwYTNSRRrflghKPxwuGaZ3z4nLd8TTlVkba9XhZFgeU MDD8Pu3W/AHycnMhQ3VvZg/fHNM6R3i0JA0ATMKjaS8cAwTcKE34avvPgNg75BqOMtAg1EhjgHZP 5rE+zZ6+S1lBGk3LLp2qqnt8wLw95+55VoTTp/wWuMboe2CATEyqgOYT8+KfsnRLDEmXUU8Rx8Ql N36CgUrU8Hc8QlDOvpIW+iby8ll43hUkSys5bCViw0d2RwhICkK5rycwZQFJyrQkiCj1uiIwdZkh alOF54r5tGExATO2tWPDD/YEzayTs+4MwWaKHY3CCvGdGces2thKLGT60aZhC6uXcIdZQQjXMNJO rsU8rqyOvkd6qr9bG0bC8o4++jGJz/CyfGMiNogcYaUuOEG5reqPV2DTIKUpjjDIkC+qOxSDuaoM ByBFfINWgA/Dw5RWH5aiuzZkO51Tw2sUCag3bOVpFjZkyJe7lyNsPKQggQAK/d+AewB1F4MP2n0u UXjq0uIoKc/kltHHti97MfxWOiNAkqlUnu0FGmNKsu0VfdqvRI85jHKw3D4kTCjEaiTFVR7jYqZJ WlzahOjL3sgaADnx14AHOtDc/FwS43h4XrdXE3AuJZGk7jrqGr96enx7Umg2lWqv3WrWkqnYv6EF p2PdOs7+o1pceUPbWwUs2izS4+LXdKqLwejIa7aCZOqiR1Vb1FXmXDFwzqvw/8l0YPTtV3Ykp3Y6 uctkoiU+hD2CywZLgrywSN+b6fIJbGtTMve95U8a0qfle95cT5rD+O4BfjKEGy+BMPj8dwgW7Sjl lpQVa+a+Mu+gf75vl8fqTCU/2ENO4HVJFuxlv5JQcENMUvb89M21Z1YytHKN6Fxu4/XrqeH2lU2H mLv6gU2CTR8OfhxaGRSroEHqRLaD5dDGNoO6lvCc/xToqTYv7A67y6HgHB1Qr6hzZGwaDbnIybks jlRf+U9d0dwkChjuK/PqV//T3pDBGZePPZ7fAAPi/UILwVBzfSWAetGpQqEQ0SKLrFiL1F9BToB5 c9CdyigY9vl06Km4NipXpFfPlEzX6FdGHHcOuscUHsz/DO6fzW0y3+5IZ8tTgwfeZIbhaL1xnNiJ QJXsRfAF8+VvadehssneoSjCppEfMB3xyufkSZ4xod82w9VdTBOz8chz3QxmRvqJ0BqUFwvYuXnE hxhPfBAVN6sUppWCJ4aYdQng/mEZovjZg5yZcTWEtwzxoabw23xQ/RViB9kVaFcWrfXP/JEx1O9A ypSBYz5pONY+hKnYq5Cwh3L+ei21M9mUrGrNpC5R+tjCpQ63G3EEyaZUlaP1ncZ0dRsqbH3RHnva fZC3Nh3J8fXeEbBaStPu7xE3OBwxweDWuozC3TMctHaaQWS91DzOkMKWMi+bErgkVgOBH/DnXNKd 5tDHtVNyM8Vq1A5wP/dpdNTyIt18FlhsXdXR0N79noEPTsxbW9yFxGLonyPO07Flu7a7JT8HaX6N snG3+UZqSXrsBVHdY9Qd/0GVSm5lhUcytOeTkIHkNPqhli7Cm0j7SBRdiEXYneYge+OERKfhw+IW 6IyP2N6ig41ff/W7YOuUKlsyh5Qz4s48aIi+RBdpGR2o3b0LD9PVnYk8m2p0rPO1j0ljvM6e1DAi lhgn7nUqjANwfsCNvgGdxWxbyuef4KvHsHh2+UWBvUJ7ZAshOHNNrjEkbJIF8k6lijFt/vBgAQZ8 +7mFppJ/jH2CyrKtF1pc4/TfTHcI29EfXihcnYi2v9CPkZnJMa+ybCZI49aFfbaw+s+rbdbPwiY7 kkhMdwS/IDU0lhbk2hY04KdNXOgB0cenQLxJb4D+KrMAUr2ZQOyFNX/CxrNeVEHS5XZDDAnDrdvu Zc2Co1pZXM9aiFGJEgjF+rcPe1hueM6x5oiNpbTgEbBDBqUYeP745hot0m/AV0BevFWE0fDuXq6N BOxRsMCygu0IVcyieX2Dybo7ywUcHdTybUaM5GdHnkTsMMG7a3Y7jyJu0+r8r6jGOHZ3sC6RG0kD YiecGRpRigrTOwyCrha4/y3roNUx/F94+uCMvvu9VzmtH+kIBS0dY0u6RjVE7HSekumsqaxJSKWx 07WYLPQVpECVIyBnRDzQ7fLJOXBp83d4q7M+7iFDU9HKvfFka/TNxhYQLtms2gTnE4pTdKrOEu58 dZE7V1VSCb8LmnQ4t/10RkJXEnQJPadBCM3Pj8Ober+bQZIwovmW1IJHkw2GS/nust52+KUwzrD5 kb6O/26vvw2AKNeK3MYRfRFOfQdw4p0qL4KoZUN84DZZvLeLvKygkHDFqyW4ONHJB1gYxX+ZQDju vw5rWGEUmfNJIM5FOvu2OBEn6fKFOf9ogUjMOobxdlq261COBHARCYCFcSz4y/r38tXu5u7//X9I 2DqQVtwjJak49hVS0f5GgGhhRrHS0xI1eRwNYwY7/4Rx2pEmwEZODk1SvSYuZyiSrLssncVOiIKR jDs2ccQUKjpWSa64mrybYFn0LNkYcNXaAmWXEWuBeZzthRcl96z5nT0DkQCv3FMlQvdyvnawUQ4P GsR/MIJqftiKaafFi9XkY7jlkWHKnl8buSuTUzetAeTB+ONxGLEBoLg88KbaE7edSwYCb1PXkgnU KqpCXuCdhBUtY2iTT7/XjIcbG5OlexhZPOaxaQFHwy+h/2fRn/MH7Vv2ZQLIN1Gzy+eBtgXV+UDe YVRU/sSsQcRRXip8OI/ABXqeUY876TvMyP9q2312WbtjEQ7Jwewc+ErBSuSJKtHO3bwLmrOm7n2D CVwtQvJb1HZ1KTSMUoPhxJNNS3qmqN2iN5CMTY9tHczwzhwnyHEoXZ2Vzw3zd+R41rC3pvhzNl7m 4fBYwCoRjOY2hfYffxA0agVjHNEyx1z16ui0npWz0WHA1VBlPhxv+ns5VqK/TCPu8NCGdp6WrPdL PRpmxAz8XsJkZF9LuJfzDIKiytRbi9GOIHtWmNwdPvPYj5LKV6HakyJfddDjDWfYDTT+MbThyX0S b1b2wvEV7cBhUL9wZgkwNS4utMc93v2ncfs5Z0NyHEqlgWN4SReZLzcGXAwRyQyjOUs/4gV6Kdul UGEwsUs+DizP+tLCzgU0Lgvycowhh1aeKFoizYxaW6B6R/loKjJVelpbAhIohIQDePwRDsiewVgt sVhgYd2LxOUk1TE/V2LDtuAozGQhMji/pzQeFd3/VuJQzE8unR7olRsgi0WAZr3He7pzrgjOTHKz cDonLmaCQenbrX1OyyX3U94rWel+SYACHeJI1x5fKDHvm+m5IEZz2uu/CWp1uCeRFUFQ1I5lT5AI aurFBFdBxszBHU6v05b46bF3fUCcpSa7cacpFYuaDC+wOIX2UD7v8bs417XbcCTzXMbkuZtg71yo beYeQdB3kX/NUC0HEotJcMm9tQ5VEDwxTzJ7AguTAi+T4f/3baIh0HyC8vxqhomJOVECbV5WBul1 GZ+/rPMNNZkOpNZPDABkyOKEaOg6p04Vro3kY/sasUm/P6lbnXAWU77sCQuy6wF9iZOS9IuZ6ihE H8TKdN3c7ri8JPWh+CbB5ZAxYt8wKNiVnBg4+obKCrFmnJTT4Y0S6aOt3wB/zJIspjBprz0Ku1jR 0OnBEWCrjDa4OYbH5vxcpZQp8/tQnMmiwGijz8S8xnRIEHztrVFTEi60/evw+X9XVhiyBojM9XOE itfjJWHaBTbn9QahN9ItSaVnXfKTcsLsawAZ8ZtIrogFTblUNu+HdSKk/U15E/O9oXp1i7/AYpnV ZoWtgvzCf/HLNvuDrkrsKjlMoDm4NCQppuY46lHvG08PsZvSZixQyk4Y/oEvrAdu2QrdBa3CtfNP 6ay2jcnVTdyayx344CyqWifqxdEcQh5NZSUtEK7tt4nxQiVfD7dAfDbwAbXq/ay+/PRP1NLATWf3 Z68Oj6EqmuJu/M2aCzq4N7SL7IDN55SlNiAjccl4A4CuGv2sCoaBhfYHUbKn07iVfW6oCVYPAbKg EdASoSwU4BCpPJ9NXMZjlkBgnxe3PEkrM5TnPFtcioTc8sHC+Mz0OkN0QSqPkF9WL4IYxam5FnUF yBuAeslAMOI+S8ZKKM3eFPB2zPNaJlnsKDV6hrNAn99Lvxm7Orwn+5cUE3+++nbfmw1vDtM+KPDK Ks/iQG8Fy6KeEy2uIocAaQIoaYGQZPHe6u6mAHLTrK1GirpB/tYo6W53xOpBoJDtr9MnHYuPE8M6 dSOgAHYkZU7tpSA+1BP+ePAR2LI85aIC6UcQKR700NlkLY2O7i0fCiOHvyVN43U2MFtuF3t334J0 lcyfRn0kbr+YOkMGCRS3TeMElf+KAs2gGOYBuFLWH38TTGYZpKEcTzyGkXf814U1GAhdG4z3lasz Nwsh/dQ4kMT7nrWg4ihA464jeAqABfIYShigcJ4T/ZYocLEaqeaB5CnaJXBsPXyKvYxktHH9Hcvm YY46W7DD4dVKBd3JrtJX6RPvc5OVKcfguBsb8gjSpeDu6zXO+nk5BCOfnUzTlw+4MR2RyuSdUqP8 UpAsIFQEnRA+px0vKCnPkuWjy5kOUyocw1Ol55xwDkTKGbbzjU3wy7oec0VD3PwneHDEfpfJRBHA JCjAmKgZpDHiZVKOysfbigIu+GPYgBfD94/kpnes+YGluPZ56Z/td8djRKNzl7xvtw8buNDHqVdy IyitRhXzJamirx+GJQOH84Bm9eOb5PyLuHDHMeCKnisOEB+GF4EgQd9RFg27j1hHuOdO7xbQA3u1 Nn7wy+n7Gf1AsvWGf49BMdMUe702qab+9v57UxL7PT+4kwuAd76CG1aG8qZcjTJHAiITHLMkLRwq ozXOUI+tYyU3iAWH/QZB+ovCrQS9LJ16zLLQTp5TApKscWNr9a19F6VFhMnEKIQhxj1TQ6vpopPF 11b8wPNiBUJHPe9WXpBZPfbfme9a0SqPknZmtZQyCD/Mu9IC4ns0PSus0JHPJzdwTl4eoPruHKry o15jr04h50qOWkFVeiXm9ot52sn6uVkL215gx2saHrdBQn5wTJIZBzQ/CzdoAHf9myByWRlDLk2V o/PNwu5J2XzBqll7eobP6LoaBEnxLmzOONirPlO54X63RdubqK4k4Xh5yJr9xrNutS50x08pZAmu lo14hsUHAUZoPfGx88yFXdbMkh1LypVwaA7GKWg6EvIgepnL5eLNzWlUcQCHwJCm7nooUcx0oGzV BeWfbxyKQXHq0/NILhLq28ChMVN8WFZ53LgfSNWLqVuX2NO1mjXmpIXKFjM1sDOAHy1Z7QDuE8Ue VKoQcSBmhekX7uFIJbNwkShLkUGvkYQjsW5GBfTAlg58s+ttO9vyPtUcNgRddsmfaHMgG54dMp// 6AfE0nOXYQqvMi+EJPZEO4d9+aHfn2fMXDLLfsQf6ULF7S9ZTPh+LZQ5YRj7NbbdyUccmXAVG4Ol kB5X+KIuKI9dLRjWfyyDpizbzfyZXAi79hoO8IMbRdvzSdhGN7rDwSTQ3dGQrrN4f5hv2O3uRpJq T50DDV6M5JgG9QVC8CW7BHyoG3K3f86W0s5wz/JpR19f7ILLKCJYXDA3caJ71dtNyYNhEmA7NqW6 Xk0hoNEgp2ELoOBd/yAzuk9CvyIuYq6TFtktXOopAGAdgzsfFF2tP2NeLA2+Um6J0LScsKjqEKCf COi6acEQf8Jq4+f3oTCNfYAOr7D9rI09E6PnM3h6hvDeOAo/WFyJohpiKY9trhqEIAS7z36TDArD jMRFb1GLGREqeM5IrcKGN2xoYrONPXSYXs6HhRKHLRkkkfMyiSVzYrmeRBPs1H79tCaPQ9zlPk67 +U+4uxgJLNhkXO+Dw9oDvol0T4r5BouAM7pYNOWmlpcHyhS27dhgEvGziHgA/E+WAUJCwAP8k1FS YonWMNs7Pyh4XDVVM1AXD5QJg09dnS1UBPkbJ08VeBGcbl5SWqMHjgOUflb/lvMC6A2AsCL+541z Y6BHSo+noRRXwCHBpGZqsoZy+srbXmqJR7atJrssuokCRDxFEJ2tqejvppjQbQFbED2jEFKtaSQZ /XZcwdhhpnSTqA11qcdr0MKirfCh9CxGeQFbxuAIstW1clFums6l39UziLqBp/fN/lHFsmwqpa3c tVXbsjnp8HHgWceQFPjPkl9U+91F651+rznfyYcjivQhKBCmEzJ7eNrigzz6G5sKII8Yx8tvYMAc DDQHFdV/yvw103n/m9+xJX9IMInBqb3f+EHDG+r+RFF8gI2/yy9u6pU7jxNIWM/lqegp9qnYVwYQ 9Zs8gEfhZHKtFCd6FXTnrzTuTLqFN7lMKx2Kq5YUyzwU6SZ9IG9Hk4qMIbmf5RA+HKKurtQLV3hs skR0j+ktFwU1roq1nOdrZVwc0H1/TU4dCFLGJeimy9U0T7T7DIytnMlym9iykP9RvLaMrXsCeGz8 yMJHDyIJ37YH6YGYdi5Nun4th15O3BsHHw2kq+fuC94QshIkRwynr9/ZT6v+4jGckLClKZq01uod +0yHKM4mjgsm1tYbg2NDIxx4xEt/G1iNB5mb7nX9lVJWzez8lScxBY647jddOa9aQaDlduRJpuod 7JzEvkhG2FC+DrZuJCiMY+rozwQ+JRwpZcT5PjKsCrGpR3S6iAyMHDN+nEhbhXdP4Hlx56cj/MKf /DONwo2Zn8XkYPSYNVV8Q2KJMaBd2KrZrPdV4mQ73oPYLlva5ROyntexhfFG6K/9WGG+g7O3w+O9 /ulM0kA2pr2J+I42VdzDexCnklwYcRkMidXZ9AeB+SzjRlU8P54h/0FmnPpY9DsYPwa9pSUFhBtw p8G9mu7BfrXnWqr6SiP7+zlfaJ0ZBQWN+iqa+NZfnWTFNW/uKgdlEu3p/RNMciJ1oxepoDOJyXrk hAXkXChtbqm90fyH3zXz/b9hhmVaWm+iqinuky/r3jLI3YiLOxJ102kyUC5bF+tBb0bKG3Oj4OCD 3tgK/fLBxs/Bkhzyx4maGSKMnZhNg0k0/w9sC5EA3IxhoYWGAQ+3N8wUplhBRyd6XVy/qmI+/OAm TgMazjcXBCFfPCwjdhXMmRCWWKji9+YY7mzQfD8dwxTq3nitpJj9/zHmf939W8iiO7+EUF0G7fhh zuw9xzCagg54kgBxT0sb0z8RV5iWzxI0WW9esaKXtHQFhcgduhvLNG1H2r6Ww1D86rD0H2pKiJDn KeYLzo/4IpKx657c3eqMz4NjqagFj4SDZuHVuMM6qsFjSW7+gtQbiH58TvTUP0ad7AVByAECF+1j 93K8MdB1kRIJS7DoV90vXk8bpY77f1m2UQ2H+cuu+WJVkDm64ied6AQaSSkZ7rzU0ug6fuOhGpgv cw6gn60t94v0AanCQ1OOstP/bKPNJK1KP4o4MxHZlQwA25froINJvD/i+zhkn9ZU6oPTy2nXzb0i s1wanbwyl7HSGbgDLxP6DbsOlmcGYhXNIjOHZuNA59oRVd16zYpDUFW5G4OA6eHmtXjZqKv0Lwco 9ALZ7lLa8w+FG6Qs/g0KZgCviMEuobNmqFn6QZ+a8cVz52sgTkKhMSdCdV68psLkEos2lUavtac3 CE4aCTaP0StnMMdHe3JSLEQ62SpsZdsP+JTIf/LKXZKbkjNJ3veljrAPOUmZGjL5I0aDx/qQV02Y 7AqMeAQDoDuulYqC+sNYycMiOro2OOfiX+zAzred5xQUW3W9iHqG3ho1N2coWJc6RkS/dQRHPXb/ aNxDMGTLBmCUxg2ozQ34fkG4TApG8mR2p1xmVeAmg/1EyBGVfVhZn6/caUI/jIIoR9+Z6hw3O6U+ saPpkizSZUkxu1KkqFomDyeW3sh36P4R8t1U+9fhQLmfj+2egGYXZThiZAZeSCLUo7l2ZxXEMOQv q0pQvQWpPhnRjkoRGFCiHsnb0rI2j0kW8VdzbMqNXUXAgc5jQvucDY8HNFagW6ZuBqiyqN9S5Wmk +/fb/TfgbA4kCdflBUUbSgPVhrZAo04e9vOu703x1FPc6efFXW6Gha8Li8vVzMyLCRrVisYgcuyz zF0PXph0PERHCRcoE/j0PikmjUj0MYm1HnW83+cxm+IoZx3gHyz5Gew17ymnUMcAUzY0rlBt6wv5 y37RCl+ICHf8Gbp/nk4KfaFn2YI+DK70aaTV1ykRCjZYNCdJ8PsQ/igoicVTWpRy127r+YKzK2vH EsI8T/DYAO1p8ZmPzROEeaqUg1B97Ti9O4mb7A4rjWKREjzODpAx9nKX1cQ8OofuHHvQni0sxctY P4UsKV/C4ABoCnWWN/ubPDGIi7ibU8BH/+4LPcnEZFWyf/Moo8ZfNQKVgFLl/OQs1H0mir/y9adg hgi91zJuixM/dBrVGowtQ9G+RworRyRtcg== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block NtlIsBe5F9YzubYpAO/fSHeixgvLpg9p8gQFt/OQ15TQB26mSjNJ0nmRIQnTCB/s2nsvfRmBFgmE V/a8QL/UhQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block C5w101+m7inas2AWe/NPg4QE+RBDCesyQduQAvz/L5wqqLNFs6DOfYs3u04Rf6J8bnrfQVfLGv50 aAo9/0fzG7ocNM66/XcGmQX/W2wGLGg/rBHUGneHRV0nY4IzS7tQjJ06mtET53iAA/TKih/UThdt GXwkGKi043FBaqrG3UA= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block IrdoVQhIm0l0p2BFrzVlIqs5lZTffcI0vENlEyyhHSmb3Ki5dc2KC5Yzwuiit0EhMoKvrwVsCT1e JG3s3gV2r2/l5zOQMII+ixUWp1c/Uq5V9qTYpU+ufpE3a4r6df50e6pI/cAkjcrSs837plaBPVlk /tcSgs23L4TUhDW4eK7v/52TjfcFp/WkKKL0xU8akAjcp0N84gn0ChRLBAKFyfD0tzO7ozU/KC3L 68q/O4IKveoL94MPKmIFXifXqfQ7ZS/ZbtDCT8DGZJmqPZ9KTa6KuxM7pS7msaAkWbLpMn58bUs8 YVnOV0e/4LQmnCvcIvSC0ZprxJIvoPff5JQv3A== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block vOFSsmedqKgCVrikRzVIvd39H04bL+XSenLDYl/O7O35bROY1V3U6ifAfqn3uYB3oG0Tia1KkSPu GG379cevPbfzRmQ9q9v5EX60Rok/MkIS4zcjVJ2h2p/dPOAElJBN5omznhkPYV2hHS2MnsQ9+lPM SWxdCQNqGC8gOFl0c2I= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block dQFarBlt3CeoQ3dbYVIOw+0PGMJ1QXimw9a57ecsfSTOk8mKg6vGygDi/cYDy7ysZRLpn1jlzIp3 wBhK2IYMJ1etMkKrUuuDuJUZOt7YNXA5J0U+8XErFPszzuN86ugNSWudAxliJOqjo8QbTuE6o724 JZmrnGXN46xEKsuGdEhx4o0X5ZCFnRHna/fq6r4GGCP96fT1MqE0RPf/w6Wv3cTCGlT9pYvQfq16 TaGvjQO2HCB7ltdvI13J25Ngrmbrh9h8GWXafeSpbpEkAg7ZnGEcE9TsIKNy+IkenJgsoSE2PCgy XBwyMCUA3OadTEC0Op8FWzR8ElrWecxpER7DZQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 71104) `protect data_block e8K6d76gaNxync54wiEjlhBPVhybFHTwE8p5Q8mErFwZNul5RrRCKxEPr9uJc20A5k+c+XaN5hKg PZvSV6ba+nwGwEIqqdKGDfJO9ZAxLdl6bjFP/vHgGXeO5j0HnkThV0E4bq7wvYnkoME/RQ5aRGe2 jKO7+btCvvnzphm9rei9WRISibwoPMK+Ef59TXVpE0/Z640aApAVZgZuNTTkw/nRqRFlu5otGdF5 PVWSUZV45AwEuNtB0033tOs7rA1os1L+xZHM/Spdj2jph4dtkNkVeKNwPdfkMik2Wnlxcrvf2odu 9EhLq9mQtNSq5Wj33PnrsV6MxEORxv79jyPknIUaabBTZ9LdXgA7hSbriM41F48NVcOwmL07vVit /b+OmBnFTu8ptmgxC6H92ndnw09TrV0En8dkWUtwiXtFz86QOpHw21z5gzIcqvoqj3YA9syR1Evq VoBxye+GKFFqHCI2ZqwuEMnq6FR+fTNtL7k4Yw/pvkClTcHgD4nAqqAAJfbYe2QTgGIqgaNliNkr sO2jlz0fm4dUwX0mvyXCN2GuZ1U6t4p6VEpaTGdsXwkOq7Q+7j4TXRjoqDW6Mg0lr/Gy0244x2qc DqsAxpj4Ai4pkYIWMi8Tdr2jc7qeUfenbCXOwmL9aWu3QAk9UX3NrLVm/D+pmPbD+9m4dIRMXg5u ArU43JokZhKkg+bEuP5yX1giJJ/rWmNMgB4LZ+HQWJxhOiTW7Fi8z3cDPqYUmnXFdO1J1Ik/Ft0z GSOQd9mcMnzNC8BcjyfqomsnBtCa6ZSd+ijFZ3x6DaOarIltLtUBdIi5DK+RgyzQTUNBdBTbAqxz TCpx3/W3IXtw9ESbtMV/i0VRuNoZbb1GWCF663X9hS3P5dhYIDEMqy46vuwkObnbZGr4iOG/XTDc wsGQmlV/xinjNbDTDJ0C6uM5hVJT83fDuqrjiQCttxx96zHD7r6ajxeAkvWgj737+iXIOHfiYy02 FYZ0rgzAQxxOEbyVhblHX39difSrJz+L3wGcNhhw5a60Ec8rYBzJvmzlJSnPq5mjt2ODSxXICLQ0 sQ1H0NEypIqKQkMtHZcgg027XfPR1k71+Bz+M3giHhSuLAp7S8oqxEPsqsOM/PocZZrWPmDRPtCW 0+yN/PG1p86nXMvZan2yGUa2k5vQLKmeLJ6gOJ6aP3ehpsVIHMaduV/lk9tfP1uLgCPwBHImKdfC 8guvmfCozE/ztPuM2gYTaRXJPZt8Sc1z3ltG7JsA6+hsCXIHIWEIpFA2yUtOERLUaRmQhwyUmRhL ICQaSwAHIaf6mPj+EvO7oOg0YxkMWv+TdGheG9+pEv2lrNPthYsiPstUsBGIxEAbven+fCvIf9cQ OpNvCK6lv9+hNBTrd1evWQ7al8sWJD+Yx3KL4RZTQJi0nTu4b+n0CFpvhcB1/p9kODArftLvs+T1 WROLZO9UAe5u4thUlVgoQdXQaGETPGgOKl9w0/J+AfebmNezRQf8K/h5OZUA+tepRhxiQiuZAO6E C93RKjxf9VYmoGaKnUuf+hsSBgNoAiyZtL3d6yWF8Bozx6tey38tYsYK8y1bP2WCNTlGcPMeEWNH MJp6qOn6E39MNckkXQKx64aZrpFHrXVAAOAqP+gMAxqU8VwFrkNsO3AYcrkVUVDEfi6pA8L9t71Y ttVvo+tlnLPdSwBAeUDToPj8T2obxmFCg3ldQRiSxm59EI+BNtn8VphufKecEw7iYXucoSSlQuAN sabVMUMmxemKCHAlibMdwyh9bgGHQ/0nEYnv+tmgbAu8bFof9ZP6fZbW/yk6GqJcJTcAD5Jkx7VY joD6q/SzRKFO5ROORvf2vtRQy8ht3BMnbELKSgx2tYNFBIyqcE5q5DoEBSdMZI5uKregs6clhHWr 6i2EwR3CeyZS27+nParazTNU9P3JatcPNSfp+4aM1Tc13+d6wQbxr7fuHVscY8k8tfd43zPAil2Y S/GGxCAexkoDSdK4+76cnRR94VTV2MNWvIP2PycScu4E8CEjPPoV5NeIx/yDcNseYkcTwjhs+oOS 8y8e+EVODRW4TSKo/8mMBIfKInzYp+rzQBv/S2PYM+L4AzE0LYZr+zLXJ2kMKlR6pookWHK42T1n eDS5qOjHockvUWx/nKo7rTqRv4jhZRGTWq3/g90cFBoGdM8oaKJPkhvb1SMFb8iSMvtO02GhRcZX Pv3u+GJONneNdWYd+6bGpcmlNNW3RNKXl+KT+RnAQNp8AMY4miOODcSo437ukO5IeWK4OAUKfvXi n4thJmaVkOUPc2zmfsFafvT7fy7r5G8oeEEWgn++kmy5e56rKAZ2tuhe45r9Y8IA8Bfcs0CiLFjm wgxO0xXn2vzzQs+K2vqSJ2HispbaTFwjP1uPJ4kLEOUQrDXe+pNZDH34W1tjlA9xdbuFRxSKjGPG kswjmGiKGKMOJo6JZW8yUqnT+IvKBGGP1CBrQPwFuQR9eGzmx+QbNhbHts9MLfnGfyLLxFAhItvE LBHERqihBhXBWeozUdAU7BmIB69FYt8ezEkKL/sim/8mE6bwI8uEHjJgaPB/UbBwa9tmuosTm16P kS/L8KSpJ1sXsANir6AbR/g2Mp4tWM406EleKoKY6IqypbLncKiW3T5MdxC0YJdit7Dwx1CNND8h wCWkNexv61ZJAzP/bQ145v4zoHs2lsT/O/Decd1fqsLuAwNf+ikQpZWxSz6tyIam4bMTb7mbasPg DWiXX3CGEVNHxf+Pp3/b39tM/kjdIZTYFkOjWe9Mbc2vpRlExAAsYP9Z4EI3+XGX6YHga3aQ511b PIz/6t0XCyii7Dvv4C9MVeqtMmEFZCjVtiIy8yZX/uloLWumIVYyrclGVRpKvjI//zZBzKJxS2do hqfxMwNTC4jzIUfnxWP3GVUaSW63rdx0MkH5FiZZbCU8YKsDhbMp1N0B7tJL2NdvuS6eYvm8sD0J Q8ctnyqtDVJYRahxG81HOXRC5jiIqTtFlPJ+STu/ZXQEPO1A/jGoGtpcQbs/8/ur6L0L7quvHf06 XO4pJh/AkJeyOPStpmb9nFNztLwUezEqmMK1HdBO3BPkvU8PKDxWCna1os9eNJAF95o/FfikxnT/ ZvJMmSdMqd4WM4/WRs17gjvpnaRYrT/UrtH702GNd/pivTLnxSI1+SdyDJP5kvYFSVEYQaS/kwRV Nwb+RQswY2bY8jPYTKQhLPLKYX3mBbd7PXCjaXmarJry0zx6MsSHnbECtOIgIDRWhHkDREBSiKkH 4YCtw06ftZx7sEZE+G5kGopg50Ng0qMYJ4CU35JBwJBNDYed/84YZ8wsZbM/Slubqo22URcsj+nL ALJcaXVNQ/yw8K59tf80yponIXRbIz0sv/tHWSbw5fK8p63Wtwov8zt6MBG3m2q6F5VNkTBTu9Tr IeY/NWMO9j51gtcMSXu6CxguL3RuxeqpRA0o9rolLzhgRM1U7HPjPc8WTP6kOVWeuEJMbXuhw18u TGSCRWmttkGgdLY/AkQxExGTmg++nUJeECKXE7zfsi0Zxn1JVrln9TrtoOrK9IyRvsDntk3f5YAM Crd/LuKIwo33eTJ4uBoWbj7KJGgXoqn0d+8ntN9oBwXF8G3uKZHVnFhowQlaZZ7OM6udPM8274xs 2MfDCV/e7FWl+54vfEiOI+gxH+DEKDGCYfTG5RX1PFlCkivrBc6kd+DOFGKLFP1VwjMFr+9XuTRC HjCuSP9malPLjYy1yj2c5+Bd1ZQPTf/hDHV9xd44lh6AXHqgO8DcJcyjm+OzGOdlU25Wm0tB9Tlf 13B9eUZH+fqh3qH/wg0v6Pi9ob4U4kYYACn1Wo63MljUDb1Out05ZzUEJWsWY+8wuZ9XRHm51b2p 9NwEjtSNkZ0wSlIdkoOdtCZ8HAyo6gBuh5FochFtr4RGxQAgAX+Gy3nyXENBUiYANggpBjj2+lMT sMUvUmV8PdsI1YZ50u0EEzTZHQycLAGm+2qn7oOUHXAbCEnDC2H9HwnN1iwTRxSUZcAntqTpNGGS AdWl3ykNGrtYCpdJ4K/CaNq+VwjocB3F72DsDITAqpeItSSJvpq09Ug7u2RH38BpQq7vfcn83NPs /k0Th/tGD3JnaEWo5oTu5mk5TjFp/PG+kDDV1WsHGUq081WF9BqwPTTPEb6Joobskis/bOse4fsw i7dm1d/ZvCwrm6mRqGD8GB7vVjwj5FSGxa5kMi5Rn+Br+jpwD/LF5fTwiJGs3feFmT27xAPzHM8+ SBxnGnCiZUsNyp1J4Djil9mHwt2AqTaLUbNT4+56TRCqxPwNNYQQfnV2/gmdeE3xK26/vt/rPT7+ MC4BNXHTjV5lzzlIxiMQMR4qqPHdXMy0YiN/DGoySc6ikls4SL1DfeyX3TKWkQUOrrL78Lo5ENwR HqV59zdhhF4n8ASsGU9LukusKWMdSE0PlOPP7Gyc0izKQ2kONG6BuB9GuKoEnenYeA4ocTcl506a YYDhiXQqrCBrGqRQoF6ZWsEG6yJ7nxeTySyspAPNArj/Po/497uGhf1OiZdI35VTAkH0+50ly66g rw6bx2DnRsNeVLsNvVguDGMQTxFNQ7OEzmx4gSlczyRUiuIIfHsoJFxuAqTrLYJpFDo7+0prf0qR 2S+kayb8uAicRoBoGAAZVSkveJaJYKNpDQ6pFrfaBSzArFK+8RGk72pqN5pRd4+IwUMMzetVXUBz nIbY48SJlHbmXL9gd/Fbb6taTCMIWrQzm38B4Wtl0Bm3iqlLCN2phJTjWgtMn/CW9PYcPxGhwRl+ 6NXzavbtNczdt/FVlLQ/jGrNj+aU4F4qbloToMPaNDFr18wUgEqYzQEejAFIQQ0Vw7SW6O3IG6TQ t3NqLyEmZ8sjGoqIramLZptWEn3YoW7J5DNGjs6IAL20qrishFIJ7rpEj3mPLKMSBUVFymOFRXw1 6yD4MGKbiQO7VS3zodaYUD+j8bQMXpuOmBo136vV4v9Bak343+tr9ExuDJVgkhOKp/MNb/kvj8uL oGE4iB3GmPqCoNklcRBM3rkYewltKtiVINtTYY3Ytp7mAiW7CtmCqC3fkCM5Z9slM0AIDo4R8oN2 iDCFByMo6aNUXhPOyyEpM4UycDQskamyXMIsA9F6Vv5+zG2O5Ojq9008oxmLmu03Aqu86vaLAI9e h6Y+azPHaqMDQEJDUFlBVZ0rBDskdKXdhpRes3B7H52LnFxFknkjsWfhPOxLqDWsdWVeZibEdK3L SH+ReZve8GnkGwuZL6Xe06oJdChkVz4yx6H7j88banXD326R2A6mjq089UHiA3oulp9ThpZuKJoq 7N5VGw4vdPwUWaMHLV/PMkH+JEi8W138/vLHwngfk4TCXFZ3BrhZiLFN2CW+LsbGv7P7W9+ditD1 jrRLqSrztX5mVLq8ajolk/zSeVix4Hq7DUgCRuVPlxMeN7ZidLNpdiyIM2pOXj95a/JpZh1lBEvO eoEO73SzVG2oyYAT7APGZRZo1X4IoH/YRRLGOF8jVP+5ZPpIOEP+gknVziyH03T0a/x4Lf1DCu5T +2BczP/uYsqJXmOgvNOcL3gCFJpi6H/3N6M/1qvYR22+sPbOerjO40dyYHYaovHmTu+KwJ6c2Okv BdxT0KW+A7ymXWF9dUpocw8ABy58uWRdvPGWUUZP7O11UmTqbNH0UPAonad/lkqKZbm3wBacMbDl X0gcGow0OvRq5oT160UqY2fijZZ/rH1q85/A8lXHKMOgOWFsgmf+uI+ALsVJbHxJewqoTnTDD7PV cuDJkn5lAcktLB7BQzNMWBKvlBT6Wunct/h56DjXbDthO3A4NklfFcGFIOLvN7FVQbkJ1Iy11CAX kNlzID8dhcKijnEeFB0Pjn7yrQRvZDQkFGpVdmMwF9JDWjPWUW/l5YhvyaUd4QRSpAtB/Zlm1ilu js+9MWebHvyLR2W1PreTD0VJl20IEQN6F87/68Q+17AyOssfIb+EExcdHHcPlBceGyqHDrv3Kok7 EUw7M1a2U4SnUKxAMEpsbZl1n1b37mXVIlp3o/7s3PfCdSVx31ygPX2RHzPIihEpHBMIuhL/rJFw I5VvBDUrr9lfw/tiX2CcqLu9GiOSgpXN1pFKhstIwQ2vLvAqP/hq1s5pyaX//2SV6vtkBv5ImpBC 25RBMZc+32djzE0KYwHJpkDx5iCnYZxquh9/RjXytrcPa0l++ISavWlzJAFsOQOhCF2TDAZYeLnB 4RQBqV8f4g8oSmHAT/CeNL2K8W0C01olDITYL1FP4LMy8WNT8KhQtEv4Z8621WX9rsqMHWNlIere nwM74boWp4wKyDyzt8iB7KDojECqLvmyPAuUVg4Pgbed7NsU7FOVxxmQ+r8Ffi+L77LwVd6d2nF2 8fokwG1ZSUAlpGYoz/AhAbtYumIR/zRBgvCh20Vad1cfcSvKBvQcURcDuinptnTfLcHxWSc8U6KP A7R2JB6hubxajDHovn/VDBQiqPCcPWCp+MKV3J3b15wDs1PtxUWdMrYARebonVDUfKEph60xzG1V aKSm6lA/6IpOrWI64ItGMIq5zyT3AIvIqpCyp8i1b67S3/swC3rODS6bmoeT3MY4d5TiW5wyku0v fHlVITUIe4rtu4NTM6wZHxDik/ftBbV/HaxqzHhfZ0YkoFQGdjIEKTghv49gvD/JNnst6WiehDbJ ZLLj4LNLw1CGgY4B7K8fnbUo0ARg7346nztAwqnL74No50yfqXAa+yDazhYQRcTBIWqfvpxCzYkj C9rRj01h3Nq/Z0eeumVsMZtVbcLIfeMl2stGDQkxoKA4L8qBNWsTPGlDd1vEw+JCLwtjvaabOb+7 PlaaKbMgVmM55AEVACtsd27afEgySL70nQbwgL8YOHxCpbFSOAH1dK1cOB+qt+C7IbDBMC585gqS Z93kvxr2kWm/btx9o9tdsJq+XDt02mTzjZdHFRlapLTahznT/JMLqOMv2MwtTGsBmTjUb/OHReJ7 j+HZv8x+PVddWXh0AtD5aGnSjjXE8BtcC/BCLR5eMXF4piZfXmJtFVjqSi/FSpP337PDdstjJsyP ZRJHSxLeG0Q1pmDV3tbtFozaKIgV31AAkKObn1UzttJWLZYY8QdQb7VRvkRSAxkORPOGzy5qr2+6 DS7JdpJo8B1J4R5UEnwaDxu/F9dH4eUVgYup/CyBzqj5+RBW3lUgUdBGtq016+j3+CapXZpjghes 66X6sjkKdsvCqBUqogCUJdu2cYzcwU8HD45v0HKcwUatgxtV7r1VzX7En11/pBLjqLA/BRM4gweZ IW/gddPKHV6Sky+YikaqCbOLWP+QG6fbD+bYxoPA+0g8b2hp9xjV7XgB2BC7XoKf0gDhDomlnK/F rUwzPLuEw6YITZ8G8TDqaSg7e2V5T2rLxVp4v4THCUHTM6egGM8Vjfi3i6n+SszjVCL55cfaRgJT Sk2PSZz2IykRGcKr/6stnkOOiSwZFwXZWcMYODblLlIXu82s7MqpqC5H1jyqKs8vq06I/FfvDqsz 7TLgv5P11Kn3qrlnNPulgqpzoGT4Waa16JEQ/Uc2+b9gCGp5DQQ63shHNE2PYZ7+nY6M1V0RyRgy Pwy7C85py/eIsOnjCIjmPPwnA3lFvzafxbHZOTIFf2yWNSYBVG13E9cAp+sfz2bud4JkkLPo0Eaw 8RFB7khf5EhLdP7/mTf8mf06ci2T4zI9dj88YatftaLRuBm9M4CMZbGUGx2qiCP34MarIsMAyH3V WZyE5muwlQ3E8PiBBbMzLw0t38axob069Oc3jow5RuuTEtHIEvOf42dSGZxQzw7RBPLJCj6V6ztQ tY5AkPNjUutj6TYYmmm+IL3j+24YvnbEsmKyDsTK7ZMWHIbev71qWohcToDnjRpEIjTbZq6jq1Fi IakQ2ImFIu88MsmhiEDhfXxKZKifeKPZaYcED1Z+wvDj5gzoi7lUaGKC4PMHvDwBrGVxjHYW6W0g SmWWgWaaGQbN2aQMsdfn81oSWrUn9Cegjkn/605PCcEjVMGS35X6yFL1/dXveMLeKYq2EBu3PcBL I1rTZrQ3oP/FIiKJFvFtthzf/uX55etoh9mWcTDHFQeQh6uMHjAoikpc6jTxEA46q/YQm1FTOaez 6OnUx7LudtA21M4C3HNX0fCsi1ppl7pXkDu0HxfkCNetNZ39wJ1AaMmjj+O/8Je6vzUnXrMBTeFa 66ZDxuACIS3eh6Sc6A9v/cuPbSz+8wgcK8fzKLshheqhHhJza5bkGofK7c/Qvy59VSeoyU9XitFy t5czDYPFEiOqxu3gkoKR9lRo2SJvYTJbAM1tM07nhqmKrQwhWnst3sW+KqaimxlCLQdD09fPEY/C 31pFYDB7W3VkGLHYDaMLtHZvIOmfHrT2EbIcCo5YVZMNhKEL5E6KAEuEcDd39u5pB3Wjj8/3/4E4 8ILk7sklU2oUBhsZFG1B87uO7PSOF5ajwIzNkuHPekVlSCm3GEhzuTunnJT/l1nxy1KoAqvs4jzp RnPYYTNvnswLPm2/JveQTtJzq2ifRPNPbqG7iL2dA3H8gwVwCh+5AJ6JTe8EL2mHMfJwM3MoVKTI TGx822eMcpcFWFQv2dmMcOjEMlbda5MQEB0zAnPxx1Ny1qb5K+/lZHFEycG0iopTGTVYufY0Hf9O oLzZ/5ApL10ab+IbWh2rAPHCDqUo3UstJF93IRGAP4qXtnYGMTUz0YfZdx/cnpq+ZLJfsjyPV1eT uu1EXOsFaaCNEUrzGI5UkBDQxdwEb9tElVHE7MuyG9CgnscxLDESiXHUsYqna/UYem3/aAJQLzku Qi0t82PlinnahVfrTMIwrIATOaaxqGFLKDVH4xnFPnXmhhrIxwXKK3a+1nZx4Zw2QGF2O8t6lNM5 BknwCVxrubZGXM79vC1pAuPiRLb/gqofm6Q8Fhr+ilGkGKqUXsPDSTqxqhqeoroZqMOQC1C9Z5UG 6p9XYiXweVNz9QNnU1V+X7hrKEviFnaO0Zvtbug1EQqbHa8o0KDGdjz1Q8CV+PwTOoN+PUUu28uU 1rvZ4a4wZFf1PIISXfUUPUkJxrFSCqT/jZp48t9v9Mjs+/Bq3XHQ172bv4sgQIXLsT3i5hsHIfMw xj2wKVG8EVglvKfs+5Umy3vSuli/lxCztPoJwOxQJuxp82FqBvnWmLWI7T+j4EbeDLhIwFZEjUWS 0CKUSkwuZOTQ4Gnqn2W2OsK20nn6Sdi9ZVftF1xMihC87KgcpVRNoc4qkpbOVVc98f9OM8iIz7GA 5zpUCeZ6lmvI5MThNgZQFOu7McEivwqDy8Nif3Wn74TWIdIks3NE2AKG1RWdCQ7zWkrmGUm/4P+f aXYwa20dp+Guz2p5HFbF1M809wGEMjo6h1ecIic+WLxBVdYYvr9hIWVAXvIDjqVp2KE79OwCt/7m 4Y1M8g1a7XrlGzOc52UUx1Um0Pq1uk6YneWBYdCrgCIyQHVG8vwMrq7Dsyx+1X2fcm2qZZmizqSU FZXm2SSgnFxqnZTzJzwkmp0yOgxQv/1DF4PTDGHwpp0KcmOPszWrI0NVJKcz3giuB49sL8iduBpw pjlDw5sY7CtS4priCZbXRmWOg4Nz4prP1bGXhTin07rEesm15vw9gW7r5wZ3fbXsorDXUfH279eg UVwjUCxLqAX4wVcG1QT+bAksQTWJlwiZKLtmr0nTxi42kKRGeTnquNb2TkWD2hNTbbtJSmHVmWlM 4Q2ebFngYLgEiMuvOvEGOssurk1azsZsAhLw7cnt0NiasGqY8mDHyMzzUriWDbr0kSkbRx3lP/9X HN70tDbHsZ7Q6Z3eo3LV4W+4ofsS+NVmr0TJbSWUZOPkOxXEZWg1zF3LtYeeKjAlvFFwiY4OXgop XJIMytwbXhsb6fHSNeWLIhRSUcQUguTe0eBgsTecz4KTHjAGPoT/WLs6sZXMxISdO3Ird7bT9omf UYuh6pJ+M8vgzR8sKKzfN76ejY9rGmyM4IcAVif+AKOxN0VpVOGdN4gysdFcoRTpHS6JKaM1KUas utB4k24iAxGhP33YqVXUGc/Fk0vgvOtWuTd7X+SQAYw7iRAuIZOeo7s3JtZh6EYRqK6yJf8ilpR8 qHbT4tMWxPCDSh4FPH9/7W/yzzOiro/mlIwoIaCjAIPagpU6qJJzQ2Qby59tBOPb3Gsp7H/Hfau9 NDPv6rz7dEBvWwvZhdYXl0nQGj3T1kTbk2nf1OTy5BwOJbKbRC2tH+8iy9qyq0y0ljJvZ/pnavQo Nvqd76uB+BDrV8upBeo7VlOkcYaDycca3pXlXaW8TwcrJdzCaKhGDiRQkGMFsQHj4nrUA/0wu8Fl M41jcsGB3uViH+yPq0YnwrhWmMpD0I+7EjOTVKlsPh1IaLOl5dHhBTGhOurAgsgdY5Pak2kM9W3J o8ahK+J7gjJgkZ5JKJyCSoF+hfeSHijUnF/EfFle8n/rSMePfsnUdZmCO7+tV2RcEukXc8zaNgk6 ewfRaX462I6aFFduXRl2adjeGvYWjQg6pNmlNvDtdilCJP8PKpRozXR+/TSa62T9ZJ2ubLuXwdHe 2gICqIwNcU78+xapmuQTWF4uwPCZOZxLpklr1sL9HPE1fmV90LcBJRl+GyfbQCIuCieEWLnbwzog XdyzlBqlxDSE1NeLOf9Sk/NVvcOF/nFFOyTpomVP5UAYhpzW+sRUIP5OVV5YDkW023GEJoqps7Xu HKZRLDvzZ2tyI+CZWPauuyGzNJy7hB2XVns+4az1qPLH26aNtz8js6gg5TOEil6zE1PBAD/zCJAf r22XR36Md/H04uGAosKoTVXZwNQ6+dET+LDOBupD5wL73GwcKdNBSCABT7br3i/z2tfyqOoMmayP dnNhoYUEd5Jn5Jvz+bMHH2iwcHH0/s7gJSif18TVEshd9TePho0jXnvl1rsoSDpjwtGBUSWxAlY7 CZswcSpOeXvXgK28blSvNd7BoR7zeCiZyF3psQ3mL7f0U6kEPqjTCl6I+cv7cCQj17GYrdnZOSIW 2a5Vqct9H6eXXVu0WAwaoHQYujnLeIGLEeddj2EdEVpBdjNC+9zmEN1Gx5wQB+0WbKIdzF8XhRsx konDY0gYoeRRZkBozE+zCnx+l7jNQbRrU8VnxEqO3YzKC+B4OvG/hYHNv6vRO6QI75VFN3Z9IPNI LMGNpeq7MA7UtvjTWtpS0lBvjRUItx1DoR0R/Py8dYETrZnosK8ii419KdxX87CU3X6eYkiKof4J PKsJa+IoTGogJaASeKZJO/9LiIBv3CHD5qffzZs2d7IP2vadmwo7kPFvizLFLdmLj2aLXPewLFWB oNM+vt1+9mhBhIbksYdH7EA9U/hiACFrc97BM4JqnIVZwk1Jwzqm0To5c9koB47ObZ+yfFo5GZ8B 4B95+eG7QDfLanpU7wMKmLZ/rlX1fK3SXmfPOjnwBqqJ2MZqnjarJTBQ3d6fm0NNlzzeZ2DM6avC eI+A17K2DLs///g+qHK6InhlNZCIEr+W8x2OsRPxSweO83YA0zSNwLK8SFHk1rd7vo0gcCyC/0N6 uGme+6zOFDvH0xSrHF8D+HDSM+41i9Bd7+1EWvwGMs5tnMJPYVVfpo7uPIXHO6AOlw0vH4zY/lMk DZ3etMm3SH7laIJqpIq7KoSNTfClQ2NG3wiNxpuhRVuCKw50jhh84XeeAuBeKZLNFKsbJPdMMRJA K0iYggkitkdM8JxNa1bRlJuik5lL1Hldi/F3aAlxtnRlKBnQtav/lzcLV6xYqhx9D6zzXeMbt7Uk lpyrm0f/40TsL4R+3F2XbxrGjrU+HughjFnRTeqYjInhhxYXOY/GC6ckpFq5UqwzBjHXbnHmfsDq vK4bjXyoGdKw8WDYI7rmsf/GOoEXrNA5Rev3MLUc4K8hHZT74B8GSxW1wlX/O0RuoJMOIWWw2+Wc 8U16l2Joq5tYyLyyChOzPbCxAwaHcOnIkqRKIHPu1IKxp9VN9PxJnPsgLJYyz33W/TIXPQJ6X27z mxRACZkibLs9FyZog00Phm3ZWCDh8EXbhml9AaxdkOgmmw8rr4XR3iIJUK9JMYDNi6Qwg3vwwxZ9 rnG8elnzM32U2Ibc753/5NbOzgXtWuR4QwjKTKcbzka343PHwa+L0sfEiqJehlG4xBWPZATezApM TNeCAhOpvOfOHbrWtcV445hdv5gyhCOIJEb6KVB6xR/gjuvJBr/1KgxEFnxHD1Uu3AsEthCrVKA2 gWVXzvKIVTkGK57thNKTH1YUZg3LitKHSM34qoRzFTAktyy4azSUB99zG66tTXrr/LwNr0guM8qI s0s3D+VP5lvnAd9vSoEZPvov1H70lSxADn8BDpLYpD4q7kJrnaIlF0XSlk+XSdUy50RHiXtB3GvI Z1bUQ00fJ+mvRAQz/twSPwVLMDlLt+89oo6gRdqwGoB04fiLKibo79OpQcv8hlN77jK5DcT3cRY9 AtuexznVCU5QTGwQmPBH9KtCqe9g3QpXydlo3tkKU85QgOudd/wJ6absxeTY1taX3g9Ix8RjTYCO BleqU0AmTIaZFgXQWiEOl4ry+UdeX9BmhVnDwz61g3K+VzWtFR5h/2vdNIyFYLbX8VWw6wV5IGuq FD6e6prXT3Hl4ngXBdPPQr6tXoNLLY9kF9nZ5dYxWTt4bIQaqsnXvZ2WQRqG6HvURV/6CHkAIjtN PweRlyyJKdRkxW4HhoIBlfXJSeftrknEFLWoUN33ed6O+YqyjiPA91WdeILoojuXWhydGqoVYUFm WR/YI/ot2y75snR42NinXxi2THjkB7EiCkBIFCpZ450qHrNSAbU58t+anV5PGG82iIZfmwN240l0 4TZKhCbRfVDNHG3usgF8/xaxknHPC8O6f6mWS7qcC9mzmqRXGLKBRvXLZj/mHxjYxkhnKHQFQHs1 2jdqFUMcYBKuYcq96hl3+MS2GPgOGauhImSlpgIlKQnad0/zisj7Nfd1vzAXMS4unxda1OL59Qcy sSrxklVFfJikpb0hMuP/vB4sq3GdYeH8nN5kGwWwj35Sc0fO7sISNWDSrbQim45bGqY9mR6btyWQ g0WdhvKPcymiWE2kOovIuJgfLWYW/OOl58XTbcqIbaq8F3k4d87RzoSo8f5QhbYOEoGfN5WOy/z5 TxZtd6dEIgEx3oOVUNz62zMWsIaxjuf9P95+s8OXQmaP9LOFPBkN/7Necrq+DV5sEtYQ3ZVQoIcz DOu6hr44yqi34RmJxdV6O222bDwzkKjgj5wSFegAknph3cKtg3TJgNXW5ASBM3xqRIqdtVkbEBE2 FtMYaHb1HWUd84NnrK6kOsiTXM/vrlSCg1ll5qNi1NzLrQwDKpfDwd6oYZ5g59tBjG6onfsE5M4q M4ZuDOZHWVCSigR2S83J2OmQUg7jP9gSvKJgnxSlycZ0L1saSF/bF9cGrAg4dgmrrcYG7Cv2NIGU jUt31cnpKDQam9Vb12x2k5t1yNMCuF8VvA8xwu4YsS8zaIW5xvWxcStORMe/ePxZXRXnhd5/uFJl kFOvuBzxfzvrWZtt5N1QgV2jO30JOEo4BcQ6Vw99CRaPT7L4FMbhQE3viOf+6CwFelVANCHt4eM0 bb6xNtLvdJNUc+K366A59ZZU/kEHk+Rk5MW7IohR84eJSpP1ENtF/UGDyFHBgRh6F6qzdvGKpkE9 qzzB45rFxcIOM4r7A4AzVqJPEglvzg6kB2ujSJIyMHoGv3ZDbAotT9yInD9s3e3ZHVhEQnq+Vvuy W5nEUTJLeT/aSG0yyxArFuS+Nn6mN9AvnFUuGZsqCL3E99f1K/epWBhDiujrV4zwF+ttyWRsPCQo 2PopPa09rOpRoRV9GnbHtQBEcgCkOVW8/zA4GI3Y5IObtMDr3WkRBqeY5z/sUysZf2A24szBSNE5 zu+adynOSzoM0UtAhEZ8FbeUlMBRSeMF1gq00roq1eKf2McxACiwH10uaVwuYzMQ856hm4sWdFPp wMXhrYbW141AxLZ7ETQBEOdxwBcqOowjwSK74xZcaFazGgHBuxQ5ATFsKwN/kn0fQbecc6zGiih2 uryKNWY6tOxy8Z7Rxru4XJFCn7Govfjy/qGqVSY+6EtWIqyhOmv/tVV3XiOFTS3HgOKsndO4ZExr +s6AwLjN1p9MC2obnkyF3oSfICqw7C4ZcXyWgOyAPm+doLM24FmF6hixAP/AieYM8lxoNdohXoaI 70jDvOXRVXEEh/eLKynvq5E0n2el3CdONH3pqIpR/dA2X3TiqzdfLSIVh+MjXrXX9/ruG0WOz1po JRF4XWs8XLZXmlOcUTLr8MmAmXKONR6ZIp8BlOfhqHWLqHcrYrB635GZ6n4Q6Aszkj6AZLd41E5/ NeIig6MKHkjqMqdnn4AtOwkXy8kPp6/cJXoLz8rpYHa8NqT5uUwZ4Rk6keI4x5wk+WBJRH5Uv2Ev A5JS06GVTgLeDW9uYSIRt9Im3eQ8VoWh8tfwp5HJBupsYQbBD15rtiy2mYXObxxZX2evI7/N6q+E JPmZGfGzzZem6QuORlZ6Pi3IY1Y4gBXOE5ftdvUC/gCt+sgz1uZcRqluh3UAJP/NXCcgxZUZOSuG YdTujXaPggeplA2IBe8hOfoQEwNYO6IUtTlWpJtQ7dJpuYEc6ygq4gzFp91lcouzhiyu+IAfofN2 j30vGI9qGC8sYlT0SP68JG9ObnhKp3ZrwIwdKd5Xucic2WiHaA8vIsI9RnrjfZ+cXYHzrhr9Qlnw 15a+bAJuXzVnZuy6f71QgqWqv6T8n/s02jGxegYYbYDqSjShxDxtGTq93ii2g+17oui3FLLNODZ8 jO4Jd/0w+wlgMjBdkdh9wQogfMoA9LieV0hOWKjrxTgDimN0RPwKtEIdSg8tlpPRU2WlwqDEDGTZ ebUzHMyGMn8e3jKU3iW/dXuwENraAHTcOoAMbrh5LyRCC5aWQBntpUDYYQ06UllWig2FRaI/BGVp 67J6YjxyVFtQkKWGSXz9sOIrBLF3Y/eLLLYbJ2oiPYASJ3jKIHarJdPIUHlGMz/W3HB6ea06/ffs CAsuzIMPfoOMYOcuWbul5R5GhyVgSXcn6Cijlf+fmCtQJW/NawPJ2jkNigpHYNbx7OvKJpsl/PIa eB5tMQ9hVFa7xmCtZb8mVnlxeSQpbaDdFdysgzueFn89ycFr9jHKUJ6jZinuk8yV0AES2JphJBBq AEAVSd41TVTyv//Kq9A+xA4dhisvKGe/sHZkkF50s+SVG1bmCoNdEpgPWn494aEU3G+soiIA1asL VjP/wkUD+H9GctDDSNjPGBSj4e4jjuxoPqO4UEo8TIn1DD6wCovFAY5ONXPhdxhrtSP4oak9OqUe FMU6Zrtafmbne2eJn/+T61f97E5mWV8PRr3UAQ0pZPKQsNRAQNPXrhf/3qd5LyaWZHEE0h2o/ucj HXbuO8C2+U/1vdSmhhC0d2/VqpHp4WvLQtnFBHA6SlQZ1W5qaZlRFv8MBhL7a93rGCvpoFKr5Uiv jMb30ZxJnZDlRSjkId6luZLEt+pXmT5ydgcfhmxGNJS5fiVpBpPQLd6MQuBzyAQw0U8l8TAFvCm8 WtA4uVwipklME1fz39PXvUduMAYTeZcvmY8P9SA8x6H9WVfq6XjC7M4zaoxhDhUk0ypgHRkU99QQ PwSf66MFOIbLmkDCGw5ZuH5w16i31zoAmQ4wb0x5TVE9JeFiEqQ0p/acTcHdOIuNfr4De4A+/Mde KZxNF3wPrYGVHDGcnKHdtdrCe9M5Tb9V+pZ7q7MEQ+wIZHe29prjpxrBjejmbjHb2RKaNWknJ520 Rxwfj1WqwLeZ1Fu2DkZimgfhgUWFbRcCFLrLmvGfbwPyHAFsSobD4pInynG8a1M60QhopDz0V7qr KxW4XNF1YAjLuZyCZ2bLxJO8lZl26rEZSqQcp5yYKSt0BXbQf0+mIokaYMfiKTKJoTPzEz2Beg/N CprmZ0Tg5LFUvMzxX2Ck9un2sWnPeuMM50+SwjYoyvyHdlV0128nEojSPPlm5oCeARTjfsnVv2zo OPUpD9y+anGPriZnlQB6TXC9eCZ1i9m4o/UL4CApjwIyABCXGeFvb/iYvh3QrFI1PbkXqNlMvO+r amsbSM7/6WaPAJIIz4qocLz2YKCr0kjSZ+U4EFqiD5DlaJ3msKT1k58vowUwibnuJTdWBuBcW2Vq xu4939VkkyHGPZ/OSxM592k+2T2MDYcdW2kGfbzrRWDUPjQuG1USovVnHBZkj/4oVrTc4SLlrCax j/LoU2GslKoqZ6aHVK2iOTC1iAQyoCG+8n2xpV/BAtS3OJtD8FBtOSDghrtzfA3u7aABEynX/9vq 4nMmoLPvxjjHo6N6qOc6GKmZz++9kVQEgC95QGnxq2kz67wUVgFnQNuxjfKs5+nCOua8xdUFBuW7 L3xLyinyGD+b5Ukx+ELHCSvZS3U3ZM/f0dDUJq1c0p8pQyQEoHZSS4pgh/L0tGuig9jB+GqNPlYH u3LyfHj1/BR9Jop6Z/EpgBVLGmWX/fK+LUP8BhrByG5U8bUY/rjVeDgt68vCaS8PEwTFYakqk8fW aI9nZJrrBW/BXc++yjvFOY9D/BEgKurNEArltdzibCRs8mivRIsMa1f2WR1Fvt9ZhRr8FDyr8Hcf ImPLKhdSaE+jrw7gY33+Gyy0pb9z9tKplXJbvPemWiSxlh3cqhFdDdJ7JWeg7Oqi8LXTDQ1XSHwd EK9OQXJtIOqqZP4Vagoe1+WMCa3iClAt1809B+Qqz/FK601XrTPvK3i1vMCl+3ZFSH5nCnN+AXot SiSB6SJRehOLJ08M0Ugg7e1TSHJRr63ItkidbqKEeiE4aLwouescMxoOsBAKLwqi6AoE70+vT3Ip MuUSyTvUQsAFBungqYg/Z4oGhSIHpllPBUyvBiNZvIrf1w74thXY6xboYB9RBlmQ1j9UAJS5Wq2K rqYLr5hkTvw8UgYx5HrUnbM8Bb2UNUOOwPL7TicQqXLAHTnWPu9cCy1yiIweFKkosHmqVGK4SVXP 40CPcP2R3JVkzkXmoylNIKgJ5N7VU1SFTgXaQad7eA8cbcc0WigcLIl+35sIumOa+zG8vyykKmDZ cJ/9cJHDcFRQUH6e35769Lj4qYM1v5oig5K5xJ/O+VUMGnhjskVUo2Pngd5AijtvQrPVzIwFnuaX fV3+L5v+Cpv/d5YbLSmAKwXAJBBhMy2t/BD3aazqQmwmH/kAbdtCUnh9fGlTtEVMOvRk460cSc2U RbZg6f3j61Gyac0fxXvl72tk1GQD5hZqShzunXyFPyrkz7XaLyAaCRQlIDGbpGqWaueloXYwiFes 2zIyPFOe6p0pdx2A6NUuZy4qwmntpL0ZyEvy4d9A4dzuCCPZrry2CJtKMwciTturJIKmp/b5+L7d alsBYoGop0W/PfF8+IlMrgsLiOfz3Kfs6Z96LJU33hB8HOUrRnbZBzkZNouAI61/P6S6uEnhNw7u e9hWjsENW+2tCkjMPBn3P7kJ3C6fMDE/j8IMDmCl0BwpJAnA4NB+Q85VDWbgiO89EmO7MSOPDsL6 A9b/cNg0UO2ERXQJFyN4X0Qti6XJLjcJXpncXsvoOCLL4FGkuqC+SvkK2XSpFI6KwdZdRzqp0y+Y 3DCHg+FtE43Lmba099JwJBDPJsHnKGAbE/DMu2tVug2a/6RvZU/2KgBItNTeJVHCgRIaCMZVwlVw x+xk5/SE77KRLUMTpb/a6/6sh4md9LQ9w2orkxUIrgiNR4XtodDfOA21VMnI9xugesUrIOWZtqDD JznKE3u4JE+KIP1Jf8OCfLlVGDRmemprJn8COptTkY+cgwoD8mK/V914rbNbjeLvXyqevfX1dUju 3VpLcmeb910avDVOCHoMmn/U77/7D853ij3TUZdIPCv7qdmL8tEA6ZVNhizCjKUcglZRfp3MDfFI jnH5XzhsQFxlkOEMsKgIaNoKvpwx+uTtR7pzBgWJLgfDuwWIeBAF7ZaoEw2m1LHsHZufTtZfFr7C +J2HWTS/ZwuQEG+VpkLWGzpdPK9SlwAPpcnEOc0nPfN5BsV7fAPZ1Ol2H4ri0p9zBakp8yZFh5En sNBsPdcrgcd3omj3JgQ6Al1ik25ieh/OhYqu2qmAolkBkZNVVlhdLqWvGniwvIPpzIzVdKKjTR2F Wi+FtRmXYB/dd7OiXXZDdsyW0qDG9NiR2IPIaWz9bTjJy4DCB2bJjMTB8bLsBH7nB+JOZy9mLPKm KKzCECl/dOlh/3XUHTL+ySciLOFTrdcVSvEfap27QTTfDYONIDq9WxUGEdVurJ/9qqn9mh9VM/Bq gO4bWaNaCBIz2QP0qZ17+STkIypdgv3mPR4yxm5u/LYYlQUmj2zAVMMahN5uTePln1fYg+4VfHiN BojSxNKHA9H2js5lxF5R15BHiQ46OJlfGEf288f20ixo9H+IIGOWO6IjImFlPzC1LO/Qk+1WurSI 9anEfcRPxu64PwKP51tL/sib2awfeeaQ464ohh2QL+5LVrLkqi07u3LeMHtauQWjJC0FHFBQlJfn Gdb0aRcoJUubdzfEDeSQLsfc0Du4XieEwFBXNgnZHYhgBraofIeslCUjtlf+yVfBLVLEGqQSj/+/ U9bk4f/BA7TdvqkZRdoQkHQk9sHbyuSjVuBt/rsTgjZqFIoXYe8iCZMpJulc7wHZnCUzniFfN6gT fe3p18J6opQArYF4OBDK8w5HqoLjnbwmQ+3rM21HatjADW1IZleyheziSIDDgv1RHxWcy6ZzqOSc V6bf+HpH124Yq0lEwtVoP2tGmWoHxkak7jYhxB4fGJPfQ4CEAUFCrOouR0SayRM3oPyjHb1/2b4U kjZTD/7d7sudJa9JPylLQwFCZBI2JDee6oGTLI2inNhW2dqFsUqXfsNuTW9N1/Ikv7JclHRjsX4s 0PV+90SaYwx3QK9IkU2FJJytpsTsd5C/Fe7SK5xyySsTbN4hiwU3XD+twa67O7iwKsn0xVN/HaSq gbk0JBDgw2DcT8/WzNnvyuYsSBgQdvfj6kfQylZh8YBjPm4ihqKyfzB6l8Dt72vatu18PXEj+IHi ey4bLJboyDirgIHXARGmPAErcTLvc9Jap7BY1/N+Kmw6fm558CoPWgceE1DmmHYfwFiWWjIyTgga P2lLwz1+GJAjt2sNXYTINMnrhAoX+nswMqBPY+trOkxzHXlucI0j5pJWj5u1jYFRpLV6OBkXkjNp KjiM+1upzOs5+AmhMaA+q9b31dL9db8DwugZDdVPsxAo558WkUcflFCKaa/me7aLRCfzz3RZ3mV6 Fmnh9bdq/5nH3DWcI9aLkwsuEHB9t9WE/Et7wDSlZyti4t8UNbMGVmMyKii4F9rZ56I1PNqoCq8E uLCc0OEFl+rH9ciJxvzSumGSVWbGxgIoPANPz6L3VXAh55EZ3WOuZ22X6EOwODAHa6qN/IKXibza q+bcE1L3XFTiGrGw7PFS28XVgT6nuP5Sx+2VzhJeJbkWvwFoJemZX4Pej2Ubxz9lOv7BuEnVSi7I 8VrX8CMbC+M92I5YeTxrLxEDNZDJFCbEHlRuJ4/cIHR35TqsSYWkXuEobl3nqEtgoQ18YzFezgTT ix0Lh0NHPMV18nBHB2WkCW1mXhxrKPayma1GV1l1dStvEUgUm9T5ow4OzxmO6xAx2NlF+nMSQJpX JTDd/Ber7srp99AU+LofAeUrcR/fIcnHAglSSwupRPSGZDXH9w75hkBv1x8VanQD4PX+hEtjZUA9 xz4YjCRbtIAfW+e0yWjI/pdpvVv81FQ5eQ67Bp+NQdDNxAxYGo1Jw0zoxqpJ++Bi237T2o/IXndA Bm9aCwPCnsSgCuoqB37U7Lt7SZeK05wcaYhdGrpnSHEXc549PwebxTlrfZ+osX22HF8W9cbNosJG +yGZVQeidlukUS2ruV+++XFDgUXVkZCYqwC9S1UvavLoSVsrVP0ROmYUEPfep/zTdfxtUkWmj0Xt iT9arIzBNU/t0zy8EYkpZoJtFrkVpDKnNUXxMnXpk61JnKmEJcsLLx7gMBSsFMXtFjt8TpxTgm4w rm+u7k+1Q4tjbjnxvzHPUJt57gRfUQsh3Ps/N/yCNYUTejGeOutUDOKgkl6eUYswO4YrC9Y3JKiJ R1IDdpei1J2n5LFlMR0SsBbfiA+0KjIqATzmm0aLJJVhD5O9+SO2FB1TMb6GnNlNrg8zfs+ltj26 8iNbS7E6AmCKKYDfm3/4xpbIOvU3U/ACvndw7VWGvofgSx5ksjyW5Njrb7gCGCpZivjF72wURINE pIHLzTvXPiGccXPfAkDR3XJaH1eery/VKsbzhA5uYPtn3u+h86qsMuZYltK8o8Yo8UvU+RiENOLK ZxyVP2kdmlio3kOMuGnuyY61mfM/fYqY4CuTdzdF56VOmkTdS/OIFT5u5S32RZHC5e8Nnd9Tfc3O xfKlX9iI70/LCajkIckiWlJaD672KRKrRKgHcOHFJuPocKcD3ipemwnnOOCuf+ND3NlwgYykLVmc FJ3Iv/u0/PyHF9Hk6A4D0gTuiBzzMnELivGjNHwpOowueAaHmaUPQic7QGpHT43ZiUMs6zP6a518 2/i4m0aErqseMeuOgQ4Bvtmd/LfJzcwKf3JLvp1lUMBEYb7RVV0LI9CFK+M552jml8K9P0eEFNpw f9wsYaj/X+y+yZYtRYh2UNYylLK7lqCdkMpGYeiZqXdlVN4mqCwAwDuci/9lWy7+0Skgs2FFplWN 13hVioi4EaQdNTUTb+YcvZBrcBoM060bAZgdPGCJlMHtUUi1uUyTrGYU37LOX9ZrHV5CEC5fb1H6 3FGDyYzxl7GKJNiDGT+p8ZHkztNPLYkVVjHBZFmSKieRAN7wmWhwc9UhrD/jdTOdb9X081BOo6wn 7yk/EFRLkqjkQwou6t5ZSRXc6QCucfrkQagl997DHkysgUI7LU32XloHerMhONie1NIP0IvPN1DY 9zHMIYdroUwqJMtNV68dPrOOY6LMdtK06K1uY9C9e3xMemJHNJanvYtnTkJUsEaHVASscGVUCFe2 1SqazKEzSF454hAANkSoaw16hAP7nqrZCIYgzbfMOG1Aum49d4GectYESZ4ZVbsQ7TMn+NqZKWyv 7ozNi/D74t79dlLvEXe/MOs7UxENFnnSTIzBzkAYTozx8kjemoYVeONSxVn7B3l/xqPdvG8PNlVB jXCRVKrwwsw96odGKdoEPUt2Idz85nGMm2BzdMW5BqjAy/GdQQAEFO5u061DBRUJGg1v6FYnbZre AUFsXKHPwMRBDfmxI+pu11P2ClyvnonxzyiYyiS+4jPK62sGcOhCjOBbjM5+SOt8V+pNPFftPUHl htjxJYIWUnUoh861ddhlew/XaMnVtk81upQRNBJVaZN8ZKgcM6opP1BicIAf28k2rLrktYtxz2hG b+mRVooqo0RtQLr7dOkb00NAxXDxeQyltIeRK+mSR4ZtPphJnRHy9ipBq2gNxoc+TbFdJ8VAXiQI rpwIwKzCBvmqDVtGwj0YOc8mf9pMSq9tF0CHdtXGQBNHY8lYW3uOEE7ZPGHPGzSN7A/kcvxIkWXG IGzcZahwmS3zmUfksrrWPJU27xNuNWfT9knff7s/KrOikQtKTIQGm3yCVJpHE4dK14HiqBgZlYHY XgQDTK6vOFlbsaqD6U6D7zhVl0ZNG1hozOBncdOs/ns94I0dNjqcmRwmYNNASSzhZgS6ogRF2elm 3D4TXyJZbaMji/7WOAEk1Q/erioiUOnB6kXi3VAs/oJO8EwLQRXuDysJKG0m7vvzji7j+dRjQFoa ezbDxKpY7xPLqEg458Mppw3k2a9mA70vnOj0LKm2bXbLlsFSElRhyu1FL8bOqeDk4/SLRbKNx1gL lW16vyFKtNKpEmQTMsj6DDkUAymrWeQO8rKAOed48ewJbatmxrS6X4+aQEmjPbOS4ULrKga/JKqm mvuvx5yA/NrZva+BmjURBmjvFx9xrVLK3gsPEBiRL8hnI4X3aGeI64EusfW6EydGtsJpa/ji3QPS +Hgxs+ZU4DVN6VLkn9MqL9us33mtcM5WDYlWzZn11ESiNdTnV1anCVd4kyJlhUSqthH7R5o3ozKy IPn5k7Fr1Du6i7PSyWrCgBn6qdT3ELBCu2+rhPEfvw+cHp7dl7s6ARBGhzs+eWugvk6TXAB1bpNG gUdh+HKeQXarikVnf7VDG3KOlUjRUcXzSQNJRjm/9w3xK+wHjKTkKYh0HDBncdugwRYIfzk5mAwO W/j09q6OcqvatJFv4A5Nmez4QNORUmbQTyHeOPTTe610gyhjn5uzVecarufPX6I6OfhrnVw03Rlm wYZSGW0H37MxXgSYBwXu3CxlqHjhTqBPHsX6BY7K76x78ncxzDWsg+35l+2MOGZbCO3FNqtmKJJb 1M4sQnWHNLAC8bLIgnBxqHphXGUf8Dlu9qBfoJYKnYPLUiEPKWxxWXA+QmeLvSoFpwfTSEi7qm5X Diia95qrzrjblKRBLn/1fd5jobXpEItcofzjni7AVzmUuOBNqni7nHx7n9v8ODoRvTRB7rLGUbTY 5hXZn909hKSrH7q9I6yB8+UNuZj8/l7n9IfCGGEcLkihIEC/4BewCx5yBX9EDFG3g0C/VoxM5GfK L5FVE2NGEaqHBMzyD7GAA3nfpNi4cB6rba/foGz4FrLERI+g5kn1rPnkqBhREG1qmUBJnyCNsz2b OVDVT2OC4UYoAv7b81j4ZvJgHYKLDVF8aSN6YvfIFMEtuDQafezQuFeYC1kkA2EP8VB4GD8C9A6a MHhMxffjXeSShbVNzns1rZcACwOvyRYEcT/1P6tXUljAE0AEIacoXAAytbqvh3PBg7rtLh+z/0lt zA4WhxNth6uYDu11n6K+HpEFl1ym1TG4DMo5X/JZyhpxqQKlyn/L9EMIr08oznIhTZoF3XvvJ+9M mb7Z+WU+VH85wlS/UkoNKyMhFB/0mGaCfJGQRq6JuPWsPMfXF4WduS7ugTXvl0yMegGH4Dr14Vv2 EOdbVzq9GM3nVHn77Y7KqmCdNCrxhSB8Lf9RJ+Se1GPOeptfSyG4vX5zrcjVkxnictaYCRhbzNwu ErvY1B+svyhS5aK9gfk5HjHpN2/tCpEa5IKYoPbpjeFGfV5/8xAzylq9Off3kRtZ9PQoawe/SkQh iVlwAU0rZ0nZr+7zC497bsTsC+rl8rYhBtC0dSYFHXt0n9EsRr0LEzoRbABVMHpBAE1SQ2xQ+7kr 7fIpU1A+Mlt7jjxav6lTNKDvOriKHWaLlkZKaE1/AKTakgeRUAVF94FqLVWZsTZTPbXHWIF5fuHm sJ7j9WnYoxg7z/A4yoVJhnz3U/aPbt8RYlDi7hFme+t1IwoBNIqKZMC/1F6fsB4a16RPoKUlQnE3 ss8QQxW28eHbRCJ4tfgWnSM7xSS/US7m9HiQ2nAWqmI+qBSRKQndxxhFWd8xO1kjjDEP2RXSrzBy kjoLXL8eM8V7g9t8c3DCH4+1TFWzt5xqK5umucrLrECkHKjNHwoSxhsaxSV2vkdZp7KeL3GNUfV6 +iS0G0cY2L7Vtri7aELJkXYzKxzhyrPD4WgmFaf5Vkyjlli8UqTYtMK8Ta0wNCFhZFe101Nsz864 e8An0sV1TSVoUPGLcFfvuBBGNkBLG3ivtilVidBwiHZW1log9794V285tbZxGjJH73BfnZKJxXDU DFf4ReSNMig0WM5+JR2gZJO6zFVK6ike/CsXklNuu7XsV6+HgJ0TjluQgZi0GftGhzrNNrEXTgYx 1a10Kc3wK2rTbIM0PyblSvn7KxRCUEfsDehCbWJUJnKa3vd6A1+EOCC56MyIbndckA/nssyi7R2t eMMnRYBt07Tucnxa/jLD98OXlmmj/+NVZqTAX7r6oYWaqbOlHiJFOkm/HJb/cv2Zz9Jnhdsdrjx/ BraG3MlGWC2qCb9f0pJQBeZeJbCq6Bs4FPVsBkDEbWe8DDhT8cHDat0BsfnAmW9df2ulKS7uTMiB PDRePPh+rw5+Pes4HxmrtApM8iP9zSg8ksm2xc5zx5bd7/pPv28EuCI8DCOqFtscWQBkLxZoacbG oCgYVLEz+WNDnQ6VroJ8d1hFCn2kadtr98it10APmLtBErovgnDDvCSg2DJg4Ar2JUizv78ZkJww YxJO2NjQzfK2oO4i4p50SAngnzy1G5ZokCiSMGpgWpzjVSNXTrnoPhi3XOT8cYzrYd0d8W32LsHK X+DQwFwDK/6h1rcK/N25W1WGSnuAAnr5iG9M1odHzUsb+tOGrcS36sjKJaok4W0LgzbcLCi1XRam a/bY2cN5ddDxCJzUAWHCLGVfDgnZO1f2NlRB6texDQINQ2rx6uXzoiJJE3OZAJjr/yzLYQAkthjS E9XQdXKZsgDNN2rrfQzQVu+TdkYumdwvK6l5qldM31pc/JSwolvNB/AXsbO6OiwtV9+UJApGrlSE fuhEbRyYM5AQcg0zmzoWWxjtF36BFeTjXD3OjXADyqiOe5HHHcv/2i5hU/IEJF5CapWX2KmvOeXN T+dFkSiY70UQI66IRAurGb7pzXpNM5j4pl4FfiXKE4ovl4CsrZUj1DTeQcAnKmnR7XaI3EArPj0+ YSYWjsB+pfH3A7oUH5MuW8Isul7enL+GWhcGHr1q1wC7bz89g5wT5ugDCcpZaxSxEUrigBc/eWJW 53Ogs0qmi1ZFW6VIGqRWlf0YBg+dusoW918uXOQmRcnfDKF6svGufDWYWhDkk5fhACZJ5pe9gD23 Gmnss77RQY87dbdlFOZrlIoFQRY8ZqiGsnBIiq9wsPdHK3t2UI+aNukSg94uF6uvpBX0HOzEj1rp bl4bC1qtvpAu3vEbonteDtRrdzsXF0s+iDDpMiPbWrvpvu8mlS6SmRvN4yOIR6x+tPeSFC/j15Sa AQW/oSTaVGIbHXnG1sj+PzI7MElfCcrR1yZwED52tz5ny90uF8uWu+G1FJRTiC5YhSXcvH66fkTJ DhuBN1J578/FQBUgLTWBOY0tW8q4iZ8Bh6pa77AS3PaIcPx0uEue4BeHhoUgC3hx5f9gbITTMpKz iItwHLPulI0Icr0CxnZp0miia5w/q6HMg7QZ7GIBn1ITFFBF1+5+BgmXdUNn4ZySkb0pI71s1qKg 9EOe9ijertWPOzFA6XAKBAwFBe1yrbcmzQ32WtgsNI3jkPFotyY3n2sS1RVqzh2NWiClbjvtlq39 beTD1Ews7XvsrNih0Bh0ri8+RZ0KIFgtHfVbn36PaitJGasKc3p/GQaPgAnwYJ0alsrwiNhBJ2xj 72b4Kk4EOSyUPu224ni6jtaa6ov6vGibdsbPMgqrAMVLejbqmAHD4Z2UylAeMIDRW6JVjR2n6PFZ fMLOOyT/Hu8QafcP85pbW6euqb9MvXGjAgXadNy3a/qLDNM//z0ORGsHz6bFxl6oMQTuKVxrc+Ie 8sc191apYvBxQSNBTfjv2Zwn0ga7vxM7wRs0h72FNHNXdxTiu+cLZtHQNfOcCZY1Lcrp13TJeIPq FbtUoiHsXcAOT17a+I2jRtnf6C35R7bmh04B1LpjmappTqn4QkV/wSN7sI0sYUw/arWL46GXA0hB S+fz+VwSjINspL9AfQquJAP/AqvuGFfN+Q/zpht86o+2MgrCvKdQSGbfPWrKP2+2IqOQjnX4jk9o Q1lk1YM1N5sMeLdoni1U/BAxLdNMRcZBQeTM721svRhELaBsg8nIDNHn3xgsKNMOhatyOkbdnweC z08sz7Yj/9P9BEI3zYn8okYaQaxNa4GFKLbVKiFX19vpWJoqxWIo6YlyiCoJsB42bsaLTnq6lzxo N5y/gRmtizkExKojX6OLjL5slB7uTqd2pgLkk28JSx+06+P3Xw8ZdsBYEPM5aUtTnF2AC6iqNRlK NDWqj+Y4W22VOtFlikCk4jXG6Vg1DFlWx6l9zSpNdTHAPc5lotovdZUPpZAKYAIEEcXcDFpbydrP Ko3UIUOEuAPrZQMRvmiEnVFhMKbxG/0eh29fn+CohbSsPgidyDZHnuUGnQQHzIR6DPOuAWkCuy9H T+AXfXXkI07+Qk47BOwDUol7oMku2uN7CxOx78VXoCUrELMILg9rUCsk4FF58ctTCHh8qDgC1hL9 fUJTBlVrzeG6P2f3ipFjh3Hi2VGYggA34DeArwYuWJNJ8ezPA1OLb4kYJUELpE89eUQBEEWGIq9u wgt8faOIV8GNUztSPSadslxhLgMqLXzUAGHbVp610tDQCWX/8uGHKRfw9fWM6Jrx3FcgJvkqE/8v 8MhgP4enI3FCKIhb4Bp6UUpnEvPZXQ8YHO6Wdo5uMdhB+P2od3IcthVNbuhJgeLAsuvqjo3UYRCc qM1S2neUo7HwTFCTkHbsGKDUWDZZbSTz4l9ropB95JYGQotocoBJcUhL0RFndWZlh/UcAtWHeOQt qHU8CuUK/LjKG83xTBWiMpgpciAqFbf0wuFBmSdI3H/hmyxo+gAR2qFyfF1yn5dpYY50vwMW456I MzBjpGl1dkTP8bVHTY3Lb9vKVLtaTr4aBdI6Tj3YKdxF5CeliJr3jJVSEnNCANxVUYuMjkAZ0xG7 WSe1+OrSifluGmsE+fyVbOkmZZHEIlWJxocNMuLnQ/6cND4pTtIKtsRg7NLx8mPgvsM6vt0UnusT ivtJda3QDjI1Dp5Aa4E4x4xk5zyqWdGFDXnOKrZCVcrUzDzrxw63SEHFrgqw/aHRD2r+BAftimyb k2SKyDO4QOO++xzpGVs070XGq7YuHLisV9i1hMaKDsgLEbwwWGIGgqRkPvjAdl12oomJ5NPiy5ZL x2nem1bVJRmdMYoXY6Avuks7iCL/wnQgDFxK8O7/NDJjd3ECH1488vhag741Dc32IUffCxrGFwHH Fi68/xZ/WlyU/CayNHO2/Of0Kf41nGGErEquvt6BZRW3zXbg3K8jDV4+T/W5sY3wRvstYTfrlpkb hU89KFpprnmYYbOv/K7b6494oGbHjAwz/TT0twTJxlwm1GEcVUt/XcP/XX0zBV22md9xIU52JLkt 4c49Zx9DK8BTqh6/MhxcqrmyhIQhMLk8evofCtRjiBM4IcftsuBCGk8GBCE6BH0FiPotAEdrXZU7 dgdBGGW27OMZKZadfyJ3qkbApwyhrJ4HO5Q2lopgLQeoPN5IbV8OwhPwAGX6gUjAn5vRSPUCt8Md /lRLxFzBQpUlNTPJt8Uvfsry8ViYwNzHMhF40dz5ggYp1L+nJnCeQyo+jt3OAbWMo2rdZQ8Uwziq jLa6ZibTS+v7/YJAlaZ/S668FeQ60OIx7Go+Hhfy0V8XfDmU5P9Dy63tH60oPXU6jvXAQlA3uxN9 juh9aDXY8DeES/c+5l97ZmXhfQcDInwiS7enLazwts4Royt/j8qC6MKI9Tvoi/4fqNcpDkRBVhKI 7WONx3GCB4abGrW+ysmUrHUj+Kk3n18ghyi2USENBQ375KiGWdpdu/99gZKDLVijJkUHYZ3RB97Y VM/eylr6cCTMdiy1mH+EcSCKqEcBpBz6Vaefon98g1cWv78SdzuGVqaBDxhJxOh8mCD/Jawl6mGM ShfQg4J7gyNHern2+wP/FIBQgpKETW5D1WXXvviRBeiznw53KA+qjD3sS87lr0Va9MEDxkjEjlRl 4Dr1kzkQbq0cWqNgsf2WoujPWWeXJumXP53GIZD0Im2ThIUT34DG32GDgHM9BbaOvUOCjTzBQcam p43R0ktnl+37sZvhXoi/TgNPikysg3Dv43ObwhT7fk8CgiFtE4dkIPlb0oVl04arA+noULHenLFT gpkqZ0yzVZiBcuOoWOvOxj+QySaBtu4KvGWftOdQ/dVGjDRuuHVrUM2ITCX4drqha07nOOiu+ooK 6hPiDpT0fJaJNYe77nm6AvFvPeDA+np5WGrOkkv8rTZqiKnLbILiIDE6aHFVTcEIOAA1HW+VCce/ 5KqUlwwGt/CBGD1nhNsrjyRfNBsTZF5sE4TF4/JtAzd7nXh/uDGpjjKA7/ES9FviAooCJn+pU83b ld/4I7PKq+Kk7nopkzTEeUbKFronWOYaiEOF3ROQNKQJdGInRq0YxBqboXAM0UunyXJ1lrxeyh8L aSMrgbjwkPTmBrfLsbG5ssDzXeF1wWt3sxL5kbOlgDkX7hrqr/lRcunS5M0iB2NWGRgN377MdsSv LcIzQ+sLcNNGV5DPgutrWSePda2YcUoBr149cl1p8ZGnlgB6H77MIbphHtVajksFeDsjpqE/f/eu 4jzOjmQiOUEicMXPIgdrSfLKM5ZNgNCMpGBKWAVwZv0yEc5FRDVtMddMhUN8+ggvTLy1B1cEcEdE K1WDaaBrTTjvCorQkrpw6DjAvYs3EgXoOGeadjCeZ/sJONSWvb3GfvDcJw/0zpifvjq6e6NmvdBf /HpSMbNJ5DD+v10LgbzN9K0TDYRCb1IG41OTL1tdNwwT8LMIgmeX1553mlgmVJxoDEEb1zEukNeA FdMxj+J+VYy0HuWHgmVLkzkP4sdfCXD4I3lDU5vCk9xKVH2rM8U8uTPX/R5zEYB61gHM9NvFn3nd st+AVGuGtxlODsH5ipFYDrfznuWjrmXURGUGwPbIIr8Z/oWtGCuS0OJvwJ3U05Rm9AuAuJ5Mzme/ dWSvoS4RVjO9MRBRokO8MCJIYwGUBE5IpFk15Wh2CdnImWg3VBIQQEv2KBzxhkqayf2rq5m8YRlC 69sI/rR4osvcaWjKeyKJCwQTd/ul1wiuUAikZWFjivNTBmrgEmxmQwcwjnm344WP/TcXLsADepRT 0Yxaeql29hxKsN16p4NTVYwA6Ds0E6wra9GArHiqVNpPCVNlYVdq8HkWXUzpAV+ywUW8A08xulc4 KQuVLM7oLADdbBqSNR40dWyhMUldHizhMmw5nKGLt3Y8sF8SV7OVKkWCt+KG6hqhTica8yHzGuj+ rqFxltoQoPxmwY+IGZekGqDTBbvF07+eYEyBfS/PjXsOk5jeGwPaFsv5BazDsddYoqZjP7l998VQ +uBFN9JgLzsVbAGYIXuKeDJ7SEk5320+/8+GDADxtV5tM7o98YF0lcLFA22vDj9rpxm77JV7Bi8C w9LCNApQnXgfYhloUkdlCEVOwYHddfxdJjJliSgKwiku1Oq9UK42b4YWTQFdZmlQh3p24hH7ikbl zYokN22yI28PWcd/AeHrI/pwR8wdb9EY6rFyZCNDuSvtQ2lUa0+mopbCAsaPjwrpZ0qKVZFmFmk9 Cqe0mPoSY6FQKdmiMDSvUh6hPuTLKOqCgwsGIaIL5yENFfgysXfw8vuBMN9inYCQzM9KFxjnNBpZ PfGmgn8OU3tJfhVceELkXaOCaluPRsw7DRtXskbICTc4kG10kMS+QbKrNV4bftmBKESqMeIvLd2O ifmge8rmkESpGR5Q39IH9aI9Uwduq9KHtjn28IZwFs7KXLLMR0yuK0KwGT2tWP2T6h3FyZC6LpgP wY6pNZ1L3ylXl3Jll8G/Mej5VpQVfgcTm5KDZv3BcEZRDF6/0JnIYVsznOnvILTSGFE0r18V03Rd vAhlUGuRbVl9AsiNUU1gsJ5yphhtIj6M9XupTVGHpmX5LkOctYCeclg25GpIcxjqDvqavClIuG+j zolbrbxb9Zg7FipMSvlBij5rWizcAzQrt3zALRKKMUUhjg0Ltk7Be1FpQ6Us8oVw2VyaRYzjl0jr fHec9IU7uELzZNMm/fVnTxFylFdi/mmtqmoTiA8vkDD+FV6JEDiH8NC8GDhTqj2+75NkShzC8zJS vmKua/gGLWt5TI2xMg7UX3LNjzI42qwzcG7/Sk4BrlY+pfcN914f7CG2BzigzRjWUAciUztCaILg VCQD5BGa0nyCgWNKBoOpy8AINcjlPh1l0fJsiIA8NVpbEWUQ3KIM3bOdVSvGG6Bgzo92QrPn3SKZ iJeNdWMg1XWnpBG8Jh8N1Pnkgg2Cg7qgGSjwIFmIBSx25XKfIp0CSG4eneCNmiJTPh6p1AwtinGD xNY38hEuud5X7i9xL0AVWonviwmEMI6mdJWBsRJNbgQsXOarnZD7LwgIQJoi1pM7kh3EXKJVZfJ9 k6SAMOkXEXsmPW4MNALQbP3gopTIGwYLbYG7UWFjlm7+KmoMzcBaPpZPuxLJI2vnExmm1V429CSC MydEmQcgMVillHqZdQ4yaQiDRhJwnzDu16z3YYTdkuSdBFBIY+ExcEyw4VXrGnd8CZbc/p+AkkZy xOdTmp7MqV28dXtNvUaLjbkPpLQCzj6KWIsnUi/zAfT9WAh+wSKy0L7RsDhnc6RLp4vm3/2HmCX/ OM3J1ats4pWGB7k5R47ufXtHV3of+rlwplIcH5E7uE/Nm8PWSkyvb/hvVzY1xgAz/8Rw3rN89ubO QpdCl4U2yxDFNCuwPd2gQz0R587mw7SHza0dtEolDJ7bb4nYnuTLhrpW2bJw89ExopbbOlbbIOCo 7aAPV6+TMKqUA7PVXaTnaMXdVNEURQJw/R8hXQ87OrApX7G2P/fa3me9yMmOQ4KgvTPv3si5UHuo T/U/2lqozr5RLuC691s4Mc5PSQOT4mqQJNHCpY8W5ab8T2lJ/80u/u7mBul4KcbVCRK4b7WZczuc 36Ev/74YKb+zidvRmQp7tnGefjPGbWaZwHWkvHD+KaOmJiKyQvc45cwd1/OO9iKXSPXz1mZB5d8L U/2+mYKAzMWgi0eW7XhRwDooI6RGbKhXk9htckNR8gMtG5GMgOTLEbgglid5p4vwBZNIcp5ibcg/ 5SmRaFwAJy0pe7dnUBpjWiUXkJ3KpgkuEIcqXxxYp2k6tpySWwZ0yXQO3aKv5D0hz9NyWj7H/gg2 tsnmXzu2Wj55xTocJe+66Kox7ILuanwQgx3XpmN4pOdMuurja99X7fSCa04o04Wq2EO8XUz5PfqI tIthiJOWDezkfNnVOLzALK7mf8Jecy6jqmcsyCx5jTQQyfuVl7/l4iZBhMnV5GvONUmRiwvzQS3D AyKvmZ6Y4r2rB3IHra/H2FjdDbXqgMuSVmT2/CrQ/Qc1jJQrski3wmFG3iRPYZi8yJ/fHy6NgcEa MnaGGiRFWrxdTDf+ncfqRG4e7XQAVZOP9xz7yufCTxJK/0bekjVYUIFZlKiK+BTCzR0wmeAFt1gc 4MTyC206PmT+wxsoYOi/oI588OY4amiLbMOg+reDnfkYN2Xqbc106Amfbavcv22QW009uJKieBYy 709ThqCFeVkZmmfNZPItfTiIPJdjXZShqPt3cMwN0XQ2QTIo+SgsLM0b0RalJl0h9ZlmI02BKygh lcA5dbbmmLCxgZ7ldVGtUPK/elso70zmqvUpvyhFcTSrmy9f/gWoAfTH/0xR5v6+1TXRW09sQX45 A4kyqQTF9GrgMMJACIX4qKD4BeimRJhPH78XmCQui/Ee1zm/QLqLkK3kDm9gITP+jzGy4MqOr3Tt /4AHezko4hZzlDhmRUUWJdbLBaF+N+QUZe+z3RgPViQ+0HU2ygzlboBH5J02c0eaDv22X0aXf4oC 81Q8K/k2HkquSLaOr7zsHXD0whTIAcE1bvRGQA+GJnR3okjrjUa+csbYRvBGJD+tnjW+YG2apex8 PAcnC44ktwzfqf2NW7n9pHkF/d69Mn4WosJnigc+U4pMdaoxcVpPQc+VXkqerHzFrB8L5Rbc5rn3 XwlV7N64UD01UBJeMz++gd9XjRF0RNX/YiUgcJ0Nu8z4pT7OOFGdhqfZFj/vdwlskhJ71AyoUsTT F2s+dCajf5tcdVjy34JW4Tfdd3nSBzpzBo2bXOqSqbFuagFpnkz/IEyImNTtl+JOEsB8TUPOjKDZ 7NV3ND4yQ2v5Mr8oXn27b5ny5kQuYG0mA+G3zd8L5buECutXN/q5Mky1n/GIxwgMV03dYfgtPiD9 Y3hXhnWupED2drgweZL67bf/HDzQwPW17bMUKB1fxAr7lLhK2LwMdMP11BBv74Lv0DkKwDaLclYF 7/preEGehZ5LoGEcV/zJhXRLVLpkQbgx916MrLEEb//V4Pw7qqreuNluZZlip60v9buTMqg7vFqL JDV8qr/RX6nRXAJgF6XVjO8logpU0bAdi+Qz5d1I4v8QIXF1+P3xd3iZz9yXZJO+XCObFN8rnRx4 3lHRJ94yJDw0jOptgYnY/D9/IOJW3tmwaPeRjijOUy80ZENn5ysPR77X9eGvHtHEt6lpCEWhUp4V PeS5v0tUZJLyZ8hu2FXYr/Sof/EFJ+HYvPHhEFP31zrOuXomMMoXpHGNEUgYQICjjjlJ55/gCRf7 N9ACRg5lz3RG3FEF5f2rj7T/bEkcziYEebXXTm2RQVB6PHEl3+sPMWLuHT8eCH6IcLIq9+GqOLex ZDPqlQ7dLKQcsrUff8Y3gHj6gEd+Tjw0hrP4Wzr8x9CMwXmsHQAyJ9rlX6G4FgaaLYKgCFHSPP9s aTyzmD9Ugo9qaOo2kLh/z1yLrmD7IirooUwl3XiceU7t0h7zOqRdA25Phz2wtbLAtMqK18aMhSYD G9pctPO7vwzcBF16NbsOybkoXfhSPsYJmOnVkD1gnysyM/SGUzPC8oE6ih5cAHrJ4uBZcwDzUJgX N8rdIQoBn6Kp0XbhZGcnqrYtiVAUfFcuc4rZJcp4k+Cg1ab4emrtnjdjatPsNIZ1RBe4t5UrXTC6 F7kW46iNY+DzRy6W7YnXBMuQVaQdqRevmxDYCaP1CaDKHBhXidJGTYbKe4BpCx8kT0pT6TSYGw8/ rISLf6VmHX0UW0foLaiwW578lnziP02OSm5Tk0uqVFB/1vtSeixzMusFAQeE/zM7os365Jnb79jX AjumUH8KUGuZtEY9BzMSUBTs+M4otboio2GwSEvFrmToSfpVvlDTIMUk0YIRwf8qKUZ3yt58eIm2 7X/3bZcYYJF8u0waR/kv94eDIwj5nMRUvfxwbL0Xdps40iya/p9Q3zLpSMnvxxHl2iE6w+DidnYb QuwdC8HzHPUIZncu8VyqJ9Z3rlC0ac2JbnpOef51/08OREBXmCMiPIxkyOiZYGRD1tzgXgQfszPW 4Zo7k9yVUhi/LJXDOOESWzS0uv+BDk8SrZWdib+rIf3M+C7CbJv5dx6j5MRDheaTrzEHIoJMcuR+ LSQo/mrIFcUO5G+ZQToJk+PEIAkx4l92k7YO64+0qfwHfHrH6/c7yenUwx90+v19bjqonTsiBwvA LGp1ASSaLOuN/FmoyHO+LIZYYyOC8sTMv4Tc7CtWhowlSo4NkNqmFpSkWwNQM/QhRi1ZW5rJ4h1S /6PT+lq1G05CuSmT7RdAhLXvZHNB6Pyx6jJ7PqBie5odK6Q1H4PUbpYYsVA6RKfywNgb4L7hqC05 V7UviUwLNXLtfogidOP3JKUeyNN2tzGa48dv8TJ44ZJ8PQ9YAF+m9vhhsVNYDIybqKzBik16Xlpg yopXhPcb47TkttkMWlwvRUZRHyPk++sCKPPhY5+agc1Yp7JLLLQDnKenuF8rzWp6jWYIus6fSuw/ b2VinmUMf4vNVxlngKlPa2mD+ly3YRmI9yEnjT2UUKXeZaGl8DGHbC7A/qRXA5p1ab3wMtPyvfET mdylyYiZFJO3QyIKbcsbrOGqajXOvjmnaqvaJQv97VEeRzgMHw/R3hsjIOQqOQwX8uvDjjZTHtoT ITxcreapru3PK0yHgvZYzbCPFW1xi2aczbUG9TqnS9A2as5v0X3d9NSxOxvrvvk+kOUXm0Rn+RPO 8BoVilBIzb3O6n0UUPY/oD79tWo95ZTH6Q47qK+l1YOJDj2gJGQ04reVYIiKYeQzprT4LL2nHr7Z 7LZq6KhZaU2SeHrHNKTQp6rvBk9EYX5Y/hLk3CLi8TQQip49qXxcdRgD7pnKAgj9TPNVcUoPEjOi HFE5WGCWUqi5fsADSZ2z88WRQaIDiru+rIop3WcSglUd0ipHmFWztWqUnHLCRx9pNZimg5kvSmFG ZNHUOGyIGepADNSvUMvRMuJl6Ye68ux7GtEIfOEGwPwiBxCTKPiygmsiZQB+glZICEkAs++Su7c1 sWbiBvyX7VxYYMBM6gVaH8eEJbGtfMbyvOtQ6eM6e4fhw6XIFaI7mu9b6skGYA04sWXVUUsfFWy8 w0KVXKtnMiggTrHFkwvpmWVsy5Du4nOykQPiVqdkdq1BGseLIJiIqnnnGWeTZmi0Gw0Bl6jsKmOJ Bd4oJVKzvRMcXWOJaZ7WBSwA0WOg72snAPRd1cdj8ik6bxEIYB1dA5GljbPbTen5/nQDTIOFcjrA 4BrAY/qn/5zNeorMOnaw+5uDqKlkRRKHPcaLSfVboJRN02qANhAKm6+CreuNxBHOKsQBpZIP2b3O H6tLFiIkopgeQWahuuKyaVEkYOCeZGADVl/2ah9AEo6N+mFygkH13cpBH4KQTpJnnUCU7x6GXmde as8HzzBRApNphmdVasfpjb43WWx/zfAlG1xnzLZJevPJy0jMAE8xltE8ltZmDb3WgGOS/eaXIo/d oW+uykoWLMrISEc2MJIeOcQTKDu5P7Q/W6Dxh5Q4O5ih87rEvNHrKXnWnrZYzvDezsR8u0JiXBx0 c2tcBfl42LggRCl3hgRm/WBaR7sS2Ud0dNI3fzskxgKM1bM5VLMp3VQqmH3gyOib1KBeH2+ZamyN aIulOd3/7fDclb86rXr4B3HP+gLPj0CMvEpQv8I/NN0w2pV5OspepKnFWw0Ynr/u7kqvPvXnPYxb yhV6BuEr7LScS3Bb3U5gbDTI3WCVcfYJ0tyv339muXcNmhcUYiqgGXcDOqmLDXci+lGMaNm8mv8i jANXw2R1/hJg44rb250s64B7SvF4v1sEUIy/CLJ7VohyEta27UbcOnK1utu+ovMiLadnB80l7fFc ygCjvdjg4CM0r1NXfGyiJlW+UF4fReB8N+QmrAEDkHe56d/EZCVBNMXDsXiVfRnFGipxrHyhdN9k 6Z5CEXy5JOlRNFq3zKvIW60HF67k6Q91pEBnIN8brJefaScl5/Fz+j43aceQaHr8MwH8Db9nV6Ab Tj1UkFCjo7qAdK5DG+/W2zPWYMXB7qZ6s7XOMGYQjXYBLNSPX0dsXBKFiRqEGoZnkQ1PGd74H13Y 7pYgzVQy5niuOC3t8nhkLpxRVE3xoW5/hQ9nXp0blCXLxBmmVgyAlcNu3rcJECBADaiSsDZTX3UY 5xpzh6wopgHRm0m/xLVwjYnm8yDFeUV5Dr0TpJq1HQfdPtrdqUq7Nzj5OLSshiKgsE9WFNStjm1w wUs7quHXw4B9uZ/S3UXESpeqTdIJQ/dvMqU8amKawynH1YL+R1hCbGC8V9U1TkShvhyVSmaMckNC xd0DmNv3cOHPLWPWM949qDHwUalyyWb0pBaZKsFKR9wLHpUjueaDeeFRgsxLT2wJjv8cpTWiS5JI 9/392bnE6oHXoCTw89gzqflhyWG0Baeihlnc15lx6HZTg3EKxhiodZoFdC2t2sVBckmQjmMp7qYi ZHyrldAmQO2hpshKBK1V5+aEMpD9deIZKLtY3OfSsYW7aTm8xRS5+Xq/rK1hg1ymhRsb23P2N/Xs 5nBXovAx+PgQdfr1RHiomAS+GvBuUlGTdMDnZdfeMl6pcB4Plcp+npLHAeEHMoODSpTfLCbnAjmY j2cCROBkNIxg5LsZAhpXIDid/hBdlAi8sUeAzmTWlipTM1iFjzumlm2Id7A/4J1flLYbkU7sZJ+W YsDnde68aGJ18XmzVAzv4fFrnHOdExiazEEnbx25QI2qoNXTrHOKnYU8wkfl8SoRAt1uJvVyG4PA YtaVrq1k3KSFvO6yRbGMx2SFolgLMQG14iFMD3IOWY3eUP6Zu0KQjQAjtT63HKxt6E0DPNAtmO/b wQalyqPI5XMfSm5NVbIvXbAs224CIbJcj7rpXDEMUvWYR6+RqE0wjSW6yecQs8X1N8gDKmEPvOnb ddA8UcxjbjxmAYTpKShP8y5ZQSqvsFiRk9ToS944cdbGAxicVOlWmFclXUx8iT8UeJz4ymPg0qtW OqdgvhYGISw235ltWOp7SOdOsDi3Zcv9HIWkjvsvtXrcubD7NK+NB/Kshh0G0Ejb6mlFYs58lDDd L1eOghGtVsu6igRrvp06KgMJX8ATZo8LyJON+U7r9DyVaVb1za5dMhQVUqnAG5pW99JI2rlyxvMn YixE/pjT+wQ83+053QRu+nOt2rWOLWkiz9lW7iwHdG82F6L5wdha9nGl0P9GJQ6vVlIFLLxMwu9f 6McHGSa9A1G45eLraZ3GNjnq3XYDD2cD2f5CLqKDJD2dE4yHL4pm5OYvZjf2b+oYZgQrMYHbYd+x R/f/xeNSSKCvAomn7Begdm/Igru+EtPNeHvLI+UqFlogAIC4Dgv45VYqyP2NaFV9E9FNGtAsqKzj MQaUgz+IoJvJEKDduqXeE5c5JyvYNJEYTHPedrrTS1X+4yvEnZGtnUztpGAB/cLyhNXqsMBAtatN mtkTNMSG2kA2Faymgf/7s7KH9gcYSZYNXoBALWg6cxh1U3jJCWV16dCgCnProqxWPmE21zarJXru ElKKVR3RPMayKyF5QrCCzGBgIoVMkRTIBw+vfopLd9E+OXguGEvNsB6sUq0KmJQA2qrnAYUyQre0 YKZn0XvETyH8Z4Kbd3vxML/Q7I7flVtKJGx/6vg097twPs28kQNJQuotvcTvPAiEpgoxQKXY5C19 bioZPtBQoBhXqGXXV24OCHCWu03j2RGA7RqQVAYXtc40SXG3pDsyjfOvjmkk+nuvl4yzaW9Zu0yP 82JHWixmzNr22jERgI36kPYw1P0jhrdLXVblsP4JWIn7auWXv+mJ8oaYQOLJP1OyEnN3mTZ6irFV Tq9w0jQqVxSSckz9n97V/FrnL+RW5t7/wZ5gcf4lfynYXTOnDZ8E0sTn9RHMvYsgU3mtf79oGb6O XkqX5IyUwzuB9kYprJU9pPlCFV0fQ4azGcNEeY5pnA9+7Ke/SynQjFuo1eru3jCzdYA0qgKCNok8 ptqMSvi/3Yj1BjMx4v9C8jUorkAA3Zxdl3VnKBoG7bcqzRUEo8ZU6MsoPKBwpiyKu5KMhd5fouy0 MGqjPCObk0GzGLHyyhUO2fToiN8465J2WVqSgdcE7AFSTMAqd9fjlYOkV684CP5KY9uVSbHUC0FV rc3rXlm6wXEmQvqQt+DYjm+gxdYHMUTH4j7mXk4GJ6VdEAXO5nb0MMOMj/AZaJOoxhjpgbdNPKgI GENMMVdSQ9QYhBR6bYBYqlPsKPBFKfpwqI4O/Awu7eioabfZ7haC6CYYePBkZNG5EVW0XKcCDd1U nIj62Rg+lTfY3sSeIvzoQ0MvwG42HDbG+tBknLkm/AfRlaPPZtHyssKAMhOQQZtN9WogJNISm1na bxiwyt/N+82P2jLq0kqrIQXt81brngC6736ywzLdiSXn5l85ljyJTusG32N+9Uq31IHfRehtOTUB c6XQVO+t81n2Dg1xibqUBfIEWejjAgFns+xNahmoWpWcBLAE+irN2wvh7A5DH0C7BRSsXtY1RchB ipH7WgaER1kZqtj6dNJUXeOS8OEfPBQvLLA4bWf8sTtnwMZ6IvFKAuuCvzXbZ2IQtk/Am8/8rCCt X3b05Om37k7j4f3oa46y3lh5rArRV9EQ3mUNFj/2r5vGGRIMc1TKQqSTWuQ4H1SjLukhMjEmpgfD mzwTnkOZQAysR/Gh00SYLeXJHZuGS56Aol25A58MmQdCwlktMg2XBID/yT+iJ1K/LH2dxyxAJM4Z kOgf+7yxdOu9Ek+pO+9hSTRXi63qPSTf4WNEi/B/lrKJhMU1CooNRQmfhKCWHMe2OQYYRp92H66h tj1HNEwSJHVjd5fJ5qZ5+lY01EeOncRlVKwwF/RWpGrrV00o7i22SShjAO7oMOwVE/UzyrE4EG7M ZTF7REK1paO/Kx6sPTM9/PhkbJJd+UwGrzN2hSK+C4aZmahURT4jImVU+1aSpKWuMPcODmKGsbBW v/3vOiAgkf7OV/gfjmKWf43ERtxgOmENIi9cC2D13ZBQ8Mj26Vr/FI1tcfk/hPa4hwvDi92mTT+p 26rL7WyeEq9iWOGJ7s+Q9m7U8CZPId2TY0mYkuSiL7NxJFxWahgkA/SAI5o8g9zRwD82WYvBk4qH w34U+K7iDuH678OQVD22h6ShaQhQ7U1XYwvmypxEBDQxRAn2h3tDLOuDASdNC/sedpubyQC11iY6 5+xWyBSoEIa8bk3eqCf7QmK2xI8VZrlUU8MSXHgpigrxMM83twwae6vkFGQhacH8GoB0//wTkrP4 PWwj8bEyxydqSBAAgScAa5qPBoe8E5kjTLAQVT0uL5pr8j6PMNsAVY9Fxn0NLy63Rj1GpVSBAcyV oJlJ0pfIImyw3JFnH2/xK9TRfxQULuwhPBB92CIkJcQ5NLbzDlmAr5ib7uSM4P9jWwve0B7heELP 4FmgDVg+wQTESt4fdJ2SF+H1TLOvIJs4YWiMMnsGpIPkp2Em+e+lS8vs+hNVW6W3XH/lbmcDQKAx qGlyoyzLSw8nEqY/Jleq2aempdRgQ4TA0uRqOwIfLyLlqEQY5NO/L41yt9PP3rPBS2h5FifjR4kR 3SNoSEhAMoYpvWuFAEizz5N5S35KoFsYaa7fM/SnAbZ1MBRHn4gNX6z4LmUu5I2x3j2Yxsef4Hly 4PTTNOEo9Tb7yDjDmII1lkzJU7OhGaSJoB7SQlvVOG1tOT1LmREJXfQdbhfpl/43ZWrsvP4sK5Ei 2iEngPApG+W3C7jgXBn87ZzkQgoqAwnGuAdIlwSlr9kJwgs1LDD9XMrv5CQtnwt9T209Y7hQ4ubz bWYo8w7dOI8vr1VC5d9fAfddDK7SU0ybtAOoY5YGzf3JEwcqz+DYWKoUadD79Jt6yDIwSyfMN0KF QgaWyFOZVqD/lrIM0O666Dje5l7dQtuqIXYT3a1JsWI4625Mx0HQb+PKU5DyoyJAJPd5t0xGA/dd +mp2jofHfXiOCmTUx/2s0F2lTs0i4vXeiPRPX2/dszG+80VdJU/V8dRqhOSPOCWxBlqSD7+Zo3lG xA5M+J5pxCdfL/oRV+EAkppvLjS3eW8z05dlo3luY/YNnsW5h8ZaUCF+P8nLubXQOb6LJzu4L9d3 UKw20JrXbXvRt9BTKu6NFqYQY2i6X6bE42ZTNf00cyLD7P/kMFEA8vEuAQSAH37e0yn38vaW7Rt+ cA/6Yh52Y8DZlTic2V1xPnX5vuMUvTaPLE14EoCwv+dmxXpPnByr0SUw2lqOVUDchd541xx6zwkj pnDPY/3MKGejSkyZigqSDhiriY13pVWmaV5qTnYlVv4IEBUvBq8pW5Qiif+aXMZstM69OzCjkalM 7B3seUPMSWN75vbmULhKiKtfFCF13eaeG35QTrH0KbIYm8MEQV7PYpaHJCu85uRROikHtmOnTiaQ ZMimBz6GB0OBVbw0qPUKSEvvyobfbhi93/5zbI7qW0FaXyleSL40k7+U2kdKHR8t2l5LUj7k+CBM JcGzV5xypecK3DfhZcGaak+mY6iZQ2pH2k55mXtOoZ0nmmiIXPvtojFFtC27fUgZsuV2DdMd4Rll pKuQ6T+zatam0MBei36bvpTPmdIl6GVDzEy1RlYn5/lpuQYlbuY/7umcVwS09zYkywcHynxAJvKo topRb0GdouLmOxB8Tdo1oUOWrkaBfI1JpiubCnCoKWZP0jJP5bfIotG5ut75N21NjoVvij1KrUEd BZX2c9gX9LH78gTpVvpytip+bxKOF8523cVe6b61OVxPMiYoWUwMsRB/IxenJyqAeGXUlk8r0Jx5 F/Jh4W0kgoQtINmQoE05XXnVLO657CCxr2MBLP6FkS1IMXBZwsR42xJ4YzQhMEf1DPEhdT07LR/g Yo9xx5/WB5lWbkMFA3+5WwZFvzHosSS77e5t1V7bb4lyy08xi+hoPUVzOHqjDSuS84Ec7UUXqOhV nNvXeR0oywO9kkx/+dslpPgEzNFFoN7JUEXFoK9JSVR/+Zg6+M5lKF+qKsomBR0SXgQWDIN6ddTM PKiKm65bOkzOftfijUzwR/zDf9ay1F81crNlu9LFD6IFuATHTXJvG5A+SR8nEdzQ2ko0o6VUHMyG pqkebEcWI2ywaSdd9LCcIEjYS+ED+GRShi4oQ2sfLk4Dxr8m1ntqUGp8jY9VxBXSKKialotS57DS GUbx67D7Btn2kcdogWRDoOJL+PIEAUdWDdBPQbg4rWy7b3dt5ShxZvYoTUG2zApaf+xZOmv7aKb9 0bGFYn9SOyJtS5tGrFptnI4Gf08YhtGfPzxMLbgiFijFydMUQrxU0jUqzh4M+si7QaAtqdVMeQv2 +lWPn024/fKb1dsrkjfyzIWZW201PKxFZlazJd7cBNITPNUn6rW9h+htZQg9aedNA2q9b94fUpIq dILGW3rvZzGZtKXkDX1UkQYxMcvQonnOPNVYwtgG2nRuKmNBle05+IEficqGLObJC8hqZqWdMFI0 YCGeOa3F2778+RoMfsRiZPkvdoYGH9njrj8kokGEckpqdZjgs5Iw+rrbmnN4JIjxV4FYj7+/v7lD k1GLVuoWWat0KeLCBP9ZRA6SyuzWZuMuPweKiUAebRnlNgMQyIpm41boZ5BegMNvTyx0dsKuyX/P H9e2vheTLnLDPCyqVDCtkYEeHef/6ggmUGvEJkGKV0zUr2xDTQ4xv8vlrA5ot4YCtlwbpgxmEyA2 oe1bMrnRQT04BSg9/ZW3DmAegjURFl4rpQ7WfsK8iLXMYFK2YgQbIASWV9XHxgKqDM1oHuMMBUfV Blp4LyTCdllYZhTWhrzuGh9cqHeO8qUkfsYfGmEE39KMsw1w12EHcICMege8GD8PtDr3KIoe2P2O gBGMGIXAFaFN6VwrUfQO4/ZHVMjBsh0j3+LFDapdtWDAe0SXzJPbkOCggk/41/mW7dKmQOFXvYg5 yM0842IPFxNkfoOtmPXcYzmDW6ncDbNCX4mq7UZ030/W9ieQAgcXAsCR3wQdj5cbY4ZzObpi2Axe eMIohdbf8JrJBlA8vLDeeKIHziDR/81mxmMEO5rTaUCHTt1eH65WRL8twcqBpOAQoCwi3BW/ugvr f7fPeVDEAJ24R+MHSO3723zQFddvFzTSoWiyprXZNUzOizJNfWncOIGRf/QmJrp9Pld1dHo/2XqZ gxMSNaGNAtJQqLAUo/iHz1j8ak71MVoMzmspX7RWoxsH3Gwv8Uh2x28Lqxd+M/wEVj9NcGFIjRP+ oliTO2IF2OvONTwWdptu+Glwwg07/iYMn7BuTqweaL90OmGVkIuZ2ZORv6ME/WM+I+oRVVTXs25Z Clu+N5WOyppfKdL6tPbkZ5etQlhcC2DSgd9x3/tGstshpJ88Vt7ryfPnFROfIgO21qxsI/Nwi/kl F208L7lNhMmECSSpXrKVBmBTFrkGZt8gNEIFqCcOCDVh+DhEt8z3oRmJNfYZgD8Ut8D2BY3vUllC D4s1xVCfTc5PZT3Q6TyR3mYuQt79eEj2XUuqevZt+NGmEGJ3FSTbZHQXYFJdNhHb1aqkci1PIj/V GhBSjHqpA0j1PNNwbLTVV22q5WIrmirh+tAU2I8hkWDuohLsv/GtVbsurJEn3Vc+FXrxuocSJkGR PNh+FJwso9jhoqlNF07zlhFnefDah+l4RmcXh/e/NKnG0bf4afLp93FumTATuxIPC+DpQwrSv9dN 2mqZvJdLNt5txHbkNLiyilxq1D7rHUfgpBl9Um2rY2hrTmUTMWE4CB1FKULSauphXU9vM9D1SseP 8ATi6vxWE2A8D2ldeRgOuwqKgyRSN58lCv2e4SRtKkbRB2p6ww0Cp4q0D980+mljDXt086azfDQ5 6gVb7uzygRWYjOYDCNIO9yzfofnB3aGBhPsYqm8p/w/uSJ++5aWqHAr07CoP/WC7EDJcoqKd/rz9 9LrwUqxxSwSEvytFb4VjxZYMdmO3AMV827pxVyw1GjlT4tufxOSgecakyzSzr3Si/thEKwH9V4QS gu1R1E88LaI1X2dSMcceTPJmfTAPJjNyLKK538PYQB3MeWp97lhoKmrxPBpbQ9tjJ3j3ZpVi6tVW 4lvG9VsitkgTFb2TfWJS6E9gfevDFn/XmCVVi11SQqncTD32kWsEdYxHjWtF0OREZ0eicCXb3oLE ncrwRO7nyLyjH/ldVQmSE+tlPns3LX3zfcho6c/TKuaRBjM7uSOHw3eh3g/2knw5917r2eYOQLJe UoUBiX+0MnYnIB3VDBo9SMHnGqRYy+7Q3PKfKHcIDDT/I3RyumKGcwhGKD7YzOXiqro23WKkss44 3PxEtruh6jDJEDHAArBDSSgIdspjmooFqtLzGm3J4Ll+jCF+0NIQ3hJ/l7iTbKpXg/06TeVlrurE uYIK70zccmvy3tPKziTg6q3T1U1c83gOcYbrzELl8UkZtKK2PBZXq42T8m9NWv/IL73t7fYAomAh rtnMtky7U5/mE+JprqRsLV0RJifO80DsU6G9iMCcBSlHPbDTQ727IAnnzDQPuCKDbHbgHvy62kNP bgHOcHNnYFEPKVS+0c4J89zHPTrjd+Vy4MLLs09sCDZgT+EbNITF7LflW51uVLRJ/guPH4Qp7pN1 JfyholIMYncHbTCxnRDfQ4lW0lB49ZJ8rhmZwBRhcf5Ko8jWm3jrt27gDdEq44gVL31z1AL6sgkZ cPCp9oWbWRzm+F+GcrPOovnsd9YVKVq3VcRw1vJ97bAvaKlZMM87mFuliHmN+acCB9M1hgbHH88b pG2x/rtnSzrRqW/UZdk+6np6ELQyPpMncTakp/TQMS0F57Fzkrx/SZQHwgVRSHfKqOxsC+fA29RP 3nZrUh8stPVLi/ykPOnWCmkNQMf2TOGGxM21iqICzThuNsB6rO+wvm9mTbnMzrE0/HaxkWbvCXuo xaL8x6fTs9wRqAXtPuLK37gJwRgHDcgBajGNjgPmNEDA/MxYyCyW/p7Kd68wArNH45MHi7DDF63o 2c2KY9VAAo/t66ZnDFvvgCBFwnjC6KtqvE2ujAF9eOtZhJz0LQ1NYECIFRa7gfELI2g76ajXXfux inhXyRNTI6xkG7iOD6CaNnpoD6e79qgJR/JEm+HkvyZ5WjiG/PbxMcr6u5rwmDd1qatSaC1XramR sbaIvQGLBGIOtmbv4VsMGf6N9V5nYU2gZilVfl3RzZTbtCZ1H8M7/7Wnhqs06/cBEIxoGuyDLnnY IR4hIep+nvBl6FouTdxzSYT0pCmx7f3RVcdsle2MMB2F7EvkUWE4NIny8CMjrjty1dEt3WijnKfS 7xg68lwkOmcuEZnXU8vkZU7e4y3cs20MXEzepQXPEBV/KZWTCYK84yn0EIKSTCIjLPr2znC/0d+9 l6cRz6hkyU2wb82/3EdaJG9MecoJEfWnY4XKWSwQKpN5TatBlqZJStEdtPTquki2Ap06PTEztu7+ 54dgqraZQX/McP6x7LduCB1lrOQgZ/BkWS3exyuAB4nmjQELpSNLgmF8yus54aBnkq9TJItWyNoF H/GD96gdC/cl15c3IHh5+sNQ/ogrb2cECrdNfi/Q9WjbNY5lfiTB3IMyxxTg643LalHo2QKN8kP0 q95/nSI8BXDsRjhccNnU4ORUEjLQyjUe72W42IAq/Zc2ANFz9vCkN68hQp3IcYHKG662pT585eMZ fJ3qHT58cCpONceshwMCdgDWb3+DvT9wveHNKnprkTcPDQyvJ0MO81AC6eP+E+fSqFmoPXGILs3C p1kOOk6bpEvAB81Ac6awY9UHaP+k+HFV9+0CeJfbIODsyx7yyplhU66I2v2tmAIh/w3BKyWUa8fJ ZSRfSREHfFcc6Ddnp0YfZ7JWIPCNJhe9BDlc0p8CS/f+973HdUAwwP7nmVEvklx/xzmo0nGefFBk P9V9u/qxuxqtLelpCxEN8asBSrSFFuQmcGIRTld1sKosVuSo7We/pLPBd1dQBV3dWbrnntv/s5cX wrUVcyQrLH+eo/dthwJxMSiEo3tPb+RFmUl+viFIQlqzffGlUKNMaPQBRXoeqJuly6eygXfw0Llw VX7rc4WTW8+QJwyMyg5zBKCR9HbuUXmXWyiHAH4v35CiiMiLNLAlxZAUharu1SEs9TQWorCaGrbm NAiKtDSgYfOHumIKawIueancchqDP5Sx/P8C7o3LbDofzCXiJavNfJ1FJbUVvMAAOy2BsT2PVZo2 NV+2YpM6oYTg7x40D7rQ1zV0kYF95zQoQEi/AayjMvLlk7QOBDSf0nhiDHIkwgKc0riwb4ZPrgqk BGoL/FPxPI762hAqj1qg0fXua8tH+SSSHWOJ5K1IcBxtg+fxU1jXXiZfpw5PuGlqGJ0rkxYjkZXv Tm0Hl5YDbfTVpFkSPQchvoeemCrLsCWRJWxS0/gDl+aC/DV/mh8J4pJ0N/Mvsx7Ks3BCENpl+taU 62/08jwcvRunZHvszCpuibcKN/jyQUpfcuJfCdDzATDvGe9BGoaoxC0qbrRzd9CUhwZzNzMgFv3U QIxdIQmK47D41+rte7Xpz9qibHnRFBFA09KDpcQxCrK6XrrDAriCwMjxHPIpn5RZOc5bloyzBaSs 4PSNzbneexLh9DbfjCa1T13XleElBLJBVIGHz9Z2ofTt/rzIE+CSB6H98B97I49esRlN/7fDRYPz efLRPdYRZsAbM1NcJE/IJb9nfEBH7zb35unM9pmM847ZQAVsEuLQ2tcaHWLoNEVPFH6+kU8NSQlU dVBYSGL0AK8shoNly1aBhsY86xOeZdwZZV9sRxM0lGt7AUBZ6iSCS+fpMeuf6w04ob88v7tY/jNf aSuY5xnynGj1+EY+a8AEycqXiIAKtnkPIpxfstcfKMUmgZo5lhd+CjfusM9yxzTh5hDL1itWrWEj 3R0hsxTLM85PPr/1hgirUhxgdI3Zmw97sBagC5TnzW0RE2gTt6Icd/dji00QsZVRmNyaTqVMQCsV d3Oh6a+tdKq0RgDJLLECulFV1qWRLdqfUB8kFtDt2Hg21fI+qZ2nlwbu2oBkBTFEny2IBDcMlEzT ts5rPU37Yy6we20pa/Wokni3eVjslEt4Pvo20OBhG/5WVUe20LFv9WFNAPrcgoObYzf8+XmuTu4r z1DX0ujRCZu57U01e/QQ/ylKvgjkZZAPfpiUax+RvVLNK12rdZH7G5IVhn03Bxns6mKHISBYLk34 JYqkchabJls64OObpUyEVkh7Oi56V8rZnu9ZbDxCFS/Nou4JQAjLvMFCCNDCObbpTmZMGerz42uJ 3AOMnlprcqfPCV7kfDJFXbFJboFyzjcVBg+N1L1oSJdmGmPsHD1Qba2oMlV3H0n6MV3NjAweN3ai ARgiNk4bIM4WGmc6qMYXKPkSItJxOkjLNYSQH/pSS9zvcEkxtdrfeQ0jPOTRapx62nd9J06L1F6E TztY/96SQScAveKzVIdAcuq2aJv657rl30OKLLytomKIPf68mDDzosal4vupsgkKyVlFhtWkIYNf O1N8CiBGsEn1vIktgajS+Xqm1GOI+QRDuxndDtDxHHIOHlDQTXtKlX8VsWmPTItGeQi28OcqLJpp v/aoCRbQ5prl1noSYSFExU0D4qpbHvdk23pFtp98VSBbEb9IHvufj7H6ZEeeulgTGKdWU8zXWqeO r9/k6ck89Ex8fncStTot+HGOCUj9RyQEjN3H8HvSflV8o1CE25z2QBul5ndeOw5jy5R2k/CLtfqI xuiK3vNO1VK1km5Q9N2BCBCtZAtVgGp55MN4GjMJ4ZMTvEUU50JagMiKLh4HrgoMuB2Me08zzQVH 17W7rytUnt8CkD44afsD+WxVfSzYHYzjTHKfjp20n/ZlUJRn+tU1L2j4Wugds7s3GN9EOWMmNsOs 6n/qMdUn3ph73KJ16wOZa4h1BEDxWQbaoOy+p8iU7w7PU727yhUwOXpSNUe00iFex2Pf3Y+k51ko pb9TYwOsWc6zKzRmSZRTNjXC3NvSM0xZs+OPeZ/HLYo9NLg01ZriKHujP1h0irPHwhFt66eRv5Ne 8S1LdaA8ZVDV2+HkHzL8v9Cy2uK+2FCPLsf8wPyr1zI0ddxS+LDl1YZx1WjTajyk3kKs+D6+poc4 eeLEzi55/4j1WV0H5W8Q/kpKjK19AeEIWX/OLYFZ0e+iq0lIjsNmThQSwIykg3HUINFkYOivwm7u ikV0x3sINDhquAH0iKOT/R3Ql9IbTCy21heqCqk9utDPu2ad8Upysp5mx7zEdDGamyGitsCbwujq +ZY7FHKwvZY+gAa8UxpBCoAboXpW/m0cPFyZk55GSqWMuWfYiR2oeVH4SJeidXPvU5WRI7MSkJAo DT/6AI415NAfmwdF9CmxWM3wmzd+yIooCRzQUIrxlUL/4FoA+W20ekaZvbwq5LH6YJ3Uy9i14ipb 7sIh3kfrXkvmQIJPwqZrboINF/4M6xNu1Wh8K1YhWZvuVh21I/OqQfJeWMWm1hUsHEc9rggz4+U1 i9qkgAy1/o9xXSD/k6q17CaBeIaTPBOKyuuvl/b/WQ7hhWMxkDKsyD58FEpK9C6XoukuXo/2dY7y m7oJWlzXqv2qcyl8VAnrHsXpBPKb7k5n8M4MdjJIblAY/jGmqNcclw7+ahT3PKpFswIVOIvHIZh/ BtibgoSrCOaxgszTwX8OOVmDyohq2NtE6p4V87co1uRGO0lnge0Ob9G6KDryaZbm1Dyi50GrHUgY a3B5fzP4LHGed044zKe25liGAq+fehpazm0dD44s/UXcDDgkxIxSKSaxQck40nFIrMIZ5k06ZIHC Q26dj7vrYoE7hA3lfOlfxC7NSB0vxJbTyDt3W/h4TSJf9g6g9hExXQ+lH5Jss1gKK6S6msKdSniV jTu79PpsR97OikxWmSYfkkfpl7TpcZ+5wMyfZSTBNcA4p6PnxWodkCND+vbk1ZCYHdDHl0qBA8oK pFRbnZLiAO1JphZXODq6enMbQRuaMq/UBrGdjeQoIDgy/3uSU5Au/iczBBzoYHvIXiwXgr3/eJm0 SJI6t9n3owOT6AlulWWjjCCpaE3wLSvZkv1oLUHuXHnW/25JTfVHwyk9f6DdlpaBesu3HVSIvOlK xMDuZFnioRmtCwcCjGwJ2SITX1Y8oIXBmGN2cUXtOwL3QNcuaWys9N3kSoDfBdkjSZHTf3WdCJyq LHxCjcgaYrQyMts4HLK5UTx9L8UYTlb0c0DXkbFrsvvp/vDQ1ge+DMJAXrUhmHwUXjs0zMCSu5uf u5PJpXuMsyQ48NTJJ2E9h82rkhZj3qo5c+gVeCCAkgRvEArZJeEg6+b2CXa1QsdQ4eBPCNV2QUlY tqDPzXtisuU2jg9ooUyBmu+Px3AZCuG8wxvF7ClXe0Jr2UG1u8kQUgs0gtXXQVrdUf2ZadJH28ri BQ3Uzb3ZDU8YtpOlIBA1uYiYSwOOOGvNN3MKZtRNhBNlN+TVOZy0AM8Zigqc3nJfCD1q29PftbwN k/8lchl9GEvbvGvgU85veq0rKhD4btyzhYAqkPPc0qA17IZQ3Xb/FQw+mbTNDdsURJJGIOC0IN1B vf0MGICn8Rb7uMfErn3jOp7q7X/ZRycAMMpgfeuOe6pyvWHiMjHVeUeXpaU+P0QFe4xIPbDdKctU 1StDIlXcfXiOXvI8Ewp5a0OqFqZP+d9eAnb8XDV1KnTLC55H3wUMs97BRgqwq1E1MXw2xjYVbhH+ aOiBRMh8nJtuKSak6nkm26qWBOa1zmkwdUdJ+qjics2ypSdVKNXbCiGwYzkChzMSH9Tbg6u6/e4M 7hXoC/rS79n/jSjcqAzhx2XQCT1X9peeBO0sf+PLvXrm0YT0hXYazRZMAoBoZ81ALIDOVfRLtapt B9Il+bDsdx4h4zEc8M8VjRY+gQMCwLg170p/HrB0JWVBOFLZUBeKWON8trKyloBP3EPkTy3xCRKw celuzGAtaeJpcX7hMnu+ScrnGqeiUO4kaIK9GzxiMLAYnDmDAyFTHRP3/4RroHsh0z2oZcRmAyKE unIRDfYc+mI8FNFE058ftE6b8PSyP3LQ48RTCMAwZrSfquXraZJYAZlo0kXNO5kdz4zfdYCYvNhh qebe7gHfYsKhh4YVaAIDLtxpmpCFdg6jqrqxmevhMX5SBVxyfEcrCjo/tr01KgHcP5RQ0Y3+A68u 9tQDKiPBZIIji6NAOGuIgsIlRQnz9dtQ9GMTCCN9wp9YHIVlkFn4M6LI2ZzAEDOKNjd6Me0cQf6Z EHoCigchuPYGlATR0BAAfGl+dZeddrE6YACxrVWBl1FN9fxRHu/uf2WHuFnRGqAVW3Y086mLLpZA R2gi90uxW+rpas5GWh6nLDZQs9cKXwYjWbpGZ5iJ2bSC/1gZRz9qMYAP8jqXWtHN8il2wbs63bWB LTuSoVZcaIe8qgTEFrzDvpvvTNRYjWv8qW2uJ3K09jTSMtdlJI3MSJHpnP3+qxdaDGQ06AXlI4q7 LZiT0jkwhnX+IAlfKSvLjbBIISTt4AoyREbKK+8fPYI+baEr9sDhSt/LlL9sYZS/YWVsTq7kh/i6 iPGtkU0IhsHh32FawtPE1nzJo1C/bpJ4B5r1F6/Q/RhGEZuWxlS8LxU/DZtycAn/eLKfsp7sEJhA bK1htNnHF8mQbXqQQM/0tVUDqEXc/u6bXN5jjyBfH7xfYYb7My68cLVm9M4qvrlkqdGK3OJP9GHO pFyBbvnR8/V74LFPn6bQvSKQegS/HnGSkcxCOgRdIYAz5kf716VO/8QLre2R6hIeguIyZg/9a6tF 7jDEfOXmJO0JeSx+0z13yXXayvt25UT1CdoC0vn77+j/Aqgu3JK5I79Qry+jVmIOZ7dAFwRs+SG+ kYLeFaysebXT2/pHhWqVeUMWbZYR26xFI/vWzfVIXbx9AE4qno2V8G7he70ZqtBHn6aUZlLyV5mb xwMqQNrojA2p5eJdx+6313gW3Czg346rQ3ePwmRfR5ubRWZYb9IlxbR5yMZ/IdNn4u9KczkGTi7w 3DlfVypzfOR2r9gA8z/OVnRmjZzj5461Pdmcyiq9UuFVxKR71B2P5NoYCaaDg3lcwZLOfjZ4MfOe F9DLZ4NMEX83jrrFHu+BXp9icG++PLmvuo0g2AKJ5O0951o29j29SIwMHxybAl6DIf1MSJ47//q3 WRRnGmM28KoYAfTnoq9k+aig1cufFP79FhUJZcGtBgmHF0SH9JwtjQ0UMsWbX5n2EtkbWpbWrjjV l/L6QtYSbioTtqx8otjp+pou6fMqP3X4RKJc3Tybpeg7Ya0Y/XwaVAIMRJOmGaQnxbz0FAcLTAWa RG1oxeQE8X0f+XzqOm7yS370XaIhfyuJHj3wIxfB5XMGds5LMfLqef7XlSZl/jgY5T+U6W0tfMhi QuLg0vcD4RJTz0t/qxMaPEhPIwtieYsWT/IrZWrHYf/Jvx9n2HHRCleZ1O7qax0PrL+L5luCpV+R WI7dy6W5Y3FMhr3w9iwSoBhb3aX/fgCDm/nWiaDOxJ8ib8kjtDxSDDfj9ruVIRpvrh9MZSKPh+kK MHMkzpd1jsw32AUzazPXweiN9jCu/d8cVEGXc/BHwE9ypJ8dlj6l8BZw5UJ4S7f4C9s3YT9ohlxN aso1MUSZi+bZxydhUXzNf1NwOHq9Lz729DMM7TrSL4Vyz4Q8jZEpd91Qt610GeySvUnf1AcQEZDH smyttk5vZx9H66AMsTN0UQYSu6oBDu7Vl2Cnxn3dWNzUdQ/1kOy3ZcnpysAh8pfnnZtOsi7ZtTRz VDWBOKPjgbYzH1wcc969u+p0Q5tJUQf7zksBqy5mppncOQoQSdgTEjxF3MU5/1PeWuRbpq9yobAe 3Oh22jAjBY//UsJUAe5DEf7prdIHiRbMv3MKdGJTdDC8sXw1aZ1XFwL7tRurU0JQAx7ggk+7aJDE /xp6WE2FyrPHYQOciJvuC0u9SIzTGCOgMl9ylytNnU4f14XzGaw5vdIA9jeNcviwt0IVP1GHcHO4 0HYpvU/A35Dku+rFndyT6Nv2c06jN8YS1QhtW8Dkccc7H0hjHhzbhetYkYPDOktgexKN6eC+wDBh tag+FVR5h33wUE/Lny4vNoc6TW5TbcTIOqoAEq2gUYyuKA39UTmMWj5ZK1eqgfxNestPWc3vloZV tkhsMTpUAsVvKZ/W8/UCqf+yVSiIGhQ+Kxvp1hIdHVtHSLIbIjaJJ6nWvFZP8G72KsX8QD6CyStc m6ORM0ZXArnM9opOfW1akGMznwIzk9KsCbYgY0D45aKY5NbU64R8A3SRGEjMXLYjqnRyniGFZ940 TL7HleBNDmalI9/mLIBQIsk0m18D1q4vSeN9cauh2X3H7pFGqMVxlfDuO/WoFxoqSMYy9y9t9pOT STG/dsbvVs/DdvYBJrFx99M0T9rzmyVUQw9mtUE+YWCTnPBB0c6aicTtOfhd6dQ57piQmFOru7xX +OPSUOYMR+aZ7B4u0WSIi0SGkHZOAgUfSYsHqPsS4pPhjrHXwqxR1hhIiTzyXop5ayZw/QcKeNNW MqvVusHscC9tisrFTg6Rv76QoyB7IDWLrSPurbefqMyre5jZg9jscwQvVL1UmO2O46/ylk0ColpQ TjBJLPlk4nSnKvGzykDUhc9EWnVZqXukHdDc7xR9pP3BLCJSy0bEBtFaWnp/ziOkpbnttC2GrHNp Jr9A0kP7XJQyVAd75Cn0pbvXDeqROUqirVLOWjE1kUzqHiVCJGCdGhf+BpYHjFQzBV/uy03eDnE4 plPQTRYlHSN65hSRw871qoMKMDZF17fsrQMBDHYg3Z/qL7gRdmWO5FoyGGHSDqV0iTO24juRbXla tfwXAIir9HcP6ZMgQlFPUB2PCPwPuueMq/DIW/v4fzoRfw/gBtyR7bG6Zo34i5kyxR6AiMSfHDdW CvpoTvEvlaRyd2K+3CAd131GDKTrEIx4z/AZ5VA7VjdguNknAeL+2Iirw22Tp84cIbeFllZlc770 KzLqtEoAzzkr28EdsJSeOntRlRqd2DASYkI8n4bhP2BadEgeBMwtmMiU9NCTzS+AuAo6zFKFC/F8 Gs3jRe2tbeBZ5H3eQEZ+ohBo9HDsSVVNUBTbIJynzurWm6nfaTcVudfBYsyqt1CFyPjlXSLBWZXS eTw81uBya6zlZ/8SIDbw6J76RtwLrEGQaEFkon2NbRnW4OXdRzSsAP6AK8UUu92kAaPpOdsIxhdn ctKVeGjKfI0fo2nkQjIyIuI3Zv9uK5dC8E+ghfhugt5gM7EFF7gCbPSRKZNtXvXQ5e2VvRu6Rtgi XXPPqvLx5Ft0hR4PYgBUzXTNuHzNjAPMPgf5CNG4FeaByyh/AljrJ6uTbueb+/UCQGzhDfttVJE8 1gqjIQHQg3HnO2ledHi6pa7ICIhvQLrpKUIyGaNGe6x+MJ1QDfgQmsOENnxzXzsSXoKvfR2YIm+8 0RKkdy+Ej9q2PXOLLGFHyP+Q5b7ERpLZAovFHM5iZGDralxcAhycKOr2UMkjkdEUSgTAZ36ykMYN X/boFkRVdxwAzBmxyzbpqDghpZnSNGXhRmUe51uoa5I0mEw3AqQVdyauCmwStHOJHMFujss3+wDo XvZXQ8kKDze0a1+LnXySo6rPXVa3R0pCdHCh6veAmaQLT+oYUaQR/H3f1febnRlls5LXDB3uRuQx gqJ1bzJ9xHVNwkNqjJnYODXq0Ycv9bf70T5cMEzDhWTDV3N55SzUBDkmzmZh5VnhzzNB+g5Z4Ay3 kgHVgoTSOw1Cavt2d1xyi/4TSRyA0p6AEgc8hsCleMPJy0snXdFRD2a5NoYd7cazBcFlpXa8qdQq 9sMCHep+nVWTQfCSK8tUInkoxb3Sg6fLlK4q1meC4W6Z/YwxSt9lnKIJMXEm3Gokzf3GeDXh+aGv g2DzjGJKBFptTiibnFI4aqb6LmOODpl+zbFVzJ2bHHRech4/KHGwe6qzCpCeC7KjSABVW1u6lwbh Yt42L/pJcXe8OIje3Kf2cgaw5kkI47MKdlhQw2oy2tgKpcpgFg3l2M/ByITKnsaQEqBMi/R4exLb +GnjdU9LBR+5lNtaJeYOk2oCPP8n8KrUl1h/xC4+Q9SCZUnTkdBp+DTJANM59eCB5ojY/NAgLVCz YD+KfspU8vBucnU7rnDwVuXXUo94H/RQV80nEXujyHP37Zg4qGWZwk6rhrdWNp7wZSwIbBy8Oe44 uA1B79x07GQMOP8aI2Tq4BzxoKeSQRUd9ofkTQwhw7NB3FiZp/Bjtjo1I4Y++Z/Zq1WGVuYB6RGI AjMSYsqib5xOA4ZIBrxgWW9TYFnk0tcuJmlD89KbelXSIHQetmPRVWYFd/1drhic29Gns0ZPQX+q vEqLyetxWgMfXDxOu5H7nkSGaxAqSr0/tFflL9NaUphBeGiEaEUn6U37aVgIimAyvzEqknX4NN0z CSMJ1/+Ry6UXG8DX6UWsq0leOxv0fFFx/Q/x+hZKnALINuKoqTrEC3BzuKVC5oqoo4cFtxa/EQ3X jaXhC/uVNo41+jG+yuHI1+VcAm67rvohQ1TLvVZ/Gk//MtWc0s3XktWAdHc+hlssbRV/ohSI/xgA 1gtSxvRp3tM0AYRxUrMDFrvDiTOORWedGm0lIRFPmux9vKJQ5mAIFhWOC3SExv2hWcRUB6+tpit8 DjAN7rm6g7nwHMPc6MDmEEkY+GnyjuNKgPHVy3qDIPz0T/6O7eJIPmQXwM37brqfMhpPtp3W+yG4 gV3aric+H0dUz4lfLMGgMPZAoUIch7+9Q4EqZe+fxtvKHVSGVlxE5NXCPefuk3ZLQnZidmxWTYG6 CB1yo3gxCVZ/2S9mZcoxgLiU31AprbPNzyPDqirL9WJiNNbZ81lRpayOoUz4uTj/KENozhhsA9sa 18xhpmfSssrFfjRUxsp6YZiXVAxWxHC9qaBUNI/N3okWPEgD0bsKZn3/+lDhR9sTIbR6wwAjCAIH MTPuKgvqcIdelRThYh2cCKcDoozW2z9PCkbK01Q7xkqZhFpGqOY06md0DeasQxWwzL0y2satv3U+ Pooicpo4wlWvdrS2DGhgm5YpieYQsG8kJVi/I8SC6tzu9L1//Q+fcIbAj+AMc4UQ62nX8Q/HV6YB oxxTm5npmc4qGkjhrAF0av3QeWPTdPsQ/LM58OvMsVHY3ul1Yxv6/IEPPYvLLgdV2C82iajGCWI3 8abPRHnAAJ18ecFpmO/CxFXLztPSICmcZfqvhM206ERr3iGOZBnzDdH992dMMVIVXtSyKLYC7Y0k ulvcuduBwkx/D599kC1CPcyYlNYOG4/4PQARa34wtQQscwfuIN30G43RXnNY3/mbCfhf3pc7vUKV MpexErxybZ7PCrmHxVOdH6hStQTA3NwgY/1W8JSWZ6EF/GBpklrlszhW8f+E7YSs+DW6pGXw7k6W hN5d/emfV1/R1E03KOVkmjlsmeWIIq1znU61m8g7QQ0YhJ0MKFBwiGis8TdIy/FqhyL+nHc2Qx5o Me6ezDyzWleoMu2qwBOMNLaHntodII8dDVbugYa0NbKQSESzdnm6BTwRlotKGWfbAFU687bH7pFL XV/XIzTPZL6SqG19+wDv6Fy1+N8spFpJn1wQqCfhTnf77DfE252qqsmI2cjWpOwKcpdvwyNr7veB iBIoMxLjgjmlmHLrjm8HChNqz+VM4W2T7p7/MDLBWYbPFcdujZ7VD2Lptc8FRlW3wfS+CRCtbJhS OKtMvE4d8Cjw8bdgXyZW4p6WNxLeJH8l34K/KJQXF7Ky/N0MiILEHbvrFnRTbbw2y0jE3ViGBCfc zym8QFOXJhWglUyTv1+j8+JdgP3YLp6fGw+McDraaQn6n2MonLOM8kX1JkpyNJvrsrHSLNZtbsZK 3fn2nZnCd4ywFOsvbs1kudJHm9ETbm5XFYV+4IeIjxU4dV/e0Ud1ZDHw6bJvMuOdhyQ5f4R4mN/f Hvd7In10IXXQswadEz5aw7dUajyU1VBE+lzprPIItVzOHu1r/E+E/qxJM6GQTdjFLuzHXZ2mFhKt n/xuQ5OMajJySuQfjh62nGoQZ8rrq1m259Vc0wdk6FG1DJtTjlg7H0Vfy+iGeujMDX3Y7VxQnZ2p VTwdR5QYaK2h6E32JW3aij/cOoEQuIfqYWHkuUqicxMpKtOSEr4lueeXRI3vkPXgTNh0fXaDtoKR wF6hjptBpXAe5jv53J5HWVFUWvd4pIKHEKURCU6Zsa0SfRPB1XSJqL1EX5Z1mbti30CJif4qGCxu CNv7cqIFNs5QzpcB95VNYKYyc6a4HdTYRFT5VhK0eT2neXZD/w58HvhuFnobMrLBhYQBTIiNvL1M kUb3h4A0/cx+8kj+rZXstQBiBrR522QSCXg5aRgH91g4nD4beiozVXLwhENAwUMl0u43C8AtPAxa lVHdTO1dOyIcwbgey6IzCYGXqOICSubk9iBGLm0WbRo4xJXs3q19ks7YNEYaL8dhPh7eO2EwwMre ajunz0huSSJpwPyf2cJlVz0w1RyVkED2eqpxqJTGouFbPLAHn4V4/LFSNJUfu3ONgIhXtbDvyoSU ezdXhMpnDCau9cnC2sb+v2NBXmhYR4+VfxLUO++W3GSMW5Kr+EP6ImTKc5BPGEFOe9wLkFagOely OLD7y8n9M2sSf+AO1/c19doJ0fvF5buxjRBVN0s9NRSXaw6V+VkHr28YjedBVz5SwWHB7ZoC3IQs So7rXSIgO4v8aar1VkS/iFnc1qiByUUsoVPN8gdLsc7vLcbN0Eie3Ykf/4O4xIGNyyJ/bPWuGqhX 3lrPH6Ht1fahR9WY90s9DweIfZiQNFeLJmkUpAb2G8T4nwEXIVAfdfX01YVn6ypsHTk2fZGCJS7U 7rrpejek7mJakzNjzNzY90hae8ewyyb1CK9jnomJ6ErGbHInj6i/69578XAax8I0A7GGfp1QdkCE 5xiB+bdhqa8QDSyrAo7zAPMbkh2oYc9YqKaMPO1AXBccKSYnXTKYthxt3UyLJX9GQHKh/HjqZI3q mnxGvyB7U3s4v19+kts6F3AtecoRgDj8FV7qGtfHOBBfsKarHvkLLbZdbY0XRoI5ZHwI2qpIrk5J 6VHfgXP9wMAqeahODJ5QWRMolWNFIFYQkPIJqDFjn0LBDawCxjFUMqyw3sDzk64b2I+vZ6sPd2x1 4hcjUmhdqkeLg3gNIFU9cJ8pYXBL1TAdfnTeRQSNzRPPZGZgxFXboOe8GDI0a+/uwaCsBxIkacph SrI/zV8MOPmXhwp75/qRxSk/ergRnOIgLvPqz10xBfXGBhOOSozUo2UVf40zQ6n4mDP4E/AMeHN4 TMst0eFCM5pvvlpcgW/kFLcbvr40DLve3SJNCUoSAQyx4yaiQnUu1CkOJNMeoCvTg9jrMppmm+7z Zt0e7xwcQVQ02OEPDxncQHKRekm4pSq15qCS7r1jRB+FbOMb2oKxyvlQBhUzqE96+8WQ+geqqb0+ IQtwAzipypmn+ZKD/kSQFDfBDST0ph7i8XbwzRckc7KYLxxhb7LA/w31D9M+bd/xaAZcRxs9/H+7 /VDKLiL2CsHxKbGYp84vCaFuNL9uUU/QDdCjEzsCeyM2rEdDNisQCsJGXg5q5tYjowRcweQyyNq/ /LbVsza5GbklFyKbhb06qfR0cIBLeDg5bDhxLiYb4r4Lx2I2grWfjJXdG+m47mmoEgpCXXB7woIt qupm5SsawcCHxfSInAp/oQd9jx5Pt+jqKIQ/uFHmgwBhEjpers9lBa0OpOvrNbV0E+EMQg469FLA ylU5/wJ8dhR0AwrdFo5AwQ9wNa+S5OqpA9CftWI+SnNDyfRxR6Eg2mwhxA9XcTUDmsjJRSsQLrj0 LxKjVYJ9OmymofRTEtWtiPfd2J0GnjPs1Hm+qJZT8ek6HKrF+YGzXCxD3P8XDkiFVJ5jCp1ytWFY lATvE+jI2a34/U60/YKIqiSusXemn92CY0Kff65rjrF44eDUjccEX+E3KLU3dgiWP7dVbXsZeNeg Zxz+apgBm2ug5zXW92jdY3/ZGPO35JrhEdkxRlaoBu7oiJSWdh4eSuUU4sTv0n+sT2jEBgpYxGN6 LEQjxFMVj8x82HPPaXt90R14pWrgrHEw8vcd8Y38L5HKUvgqbJmSY1CIPKX0j9NvdZMz3fCYce6r lSoOAyv/++264bhujtd7z5zCmupkvFe06s4SlbXwrsPro96Fifl54hExCxacv4T33iga4w4DO0ml ClNgsaUQ2/R8CHKJW6XekKb1PyUr0akGxmuvWIswPngIycoFJYFQd1bT+FWoJCacWQzEHeRrVjyF cajpFwCNPrqj8+3WuQ80VsJsltHi7ezlruzzRwW2l0kkn9UmMG07kQqUNFXvh2r7uKxxB0UATbXZ eWQV2Zq9uvakwJ9h2/qW8iOV83JU7cheP0ra8t1aNe1qzEnswK/AfsNKWWSIyNGOR8EoGVptEuBo KKv+FKkFkcdQCZJZSJ+39sEehjKMQ4iRUs1yGLLI6nFXFJtD82cy3RE0CTdqu4gwZ2zaUVp6bnmO xXiFeBTwSrwar8uPF99rKL4QdDjTTrWyhQKogQ94o+MTNrcwTuSqRCKNxeas51mdS1fUQhoK5QUg KlP6sN0zb4fU6tuQbtetGYGpG3wU9og45bpS3NH0Edj4u6NaYBCaT6m3U0DwOMCec51aveBJZcce 8a5k/YKhfh0BSk/q1POjgCYBjX4jpI4Nj5K6j1ZuM78m5CeD9ZDhKPfGXAUzumpHYMr8fYe92UUn OEu07PUFTwankHwNtwmtfiz6P5wN3BzlVUBYse2CkobqRQVvzcrNNeOYw4i1O7EDFsf2Hti932+Q cktdMjrnfULDnqNV0gceaRws/FE/B1lNKVQGipdd6l9haSKbW12HUNRr+dRYQ+RX6MaoVTdQ0VKs zLn1FwsuPva9XSBb2yeg/M2IGc1gM8SBM2j8Z4LDgwMMDjAOfmr1fUvhMeqP78MzVjGdwnJolIx9 fKRxImNWChKwTYoE5OyEVARkyFewu/Zi+PclFDyH08Db5mfRXGbZ1IPJMuNy3D4+sxzuvMvUHscl u/2CVV28wxvIvbLGIg6n4m2oZEtoJRYwiDQXnLfldecC60OPIB/f/uP+D8r4NAasnafamj9EI3Yq hCrFu6BEV9Bwv8PMPp9t8e1rrzC8DlpSLMwUyHxrKKFUKE1dQbILPY6eJNpzanXmX0pSCxTG/viS XCxBzjjPv4ha+bR73Glrf1oA/v/lLxnUuvKgc3wOdg8HH8qqdKzF/MR9Ns5JfLixDAfPz1fZZ6C4 m1bYlt/Dbxadkfz2/oi52wzZfwJciycolq2Czn48VT1QM90nFBa4spyAUIfHonRcSpQVlZ7tJRti 4O9oGjjPM9KW6jUegM3NZ98rrQIaZvXJ/ppP1a+CORCBWe14Kx3f0Ycxzt8RDK2XMNN9J6uU3RJ4 tlIJFknvxe/mvVbM9BXzVDPq3lcScQXkZ9CZo7w8MtgwpmPX0a/nYtlwxoC5e//UIlKtx7LvF8A0 L+o59wp+VakMUP6J7EbuZEcKkJlDD3g79MD1yhx29qoe89cuudyzwh/PxEzkPBbWk3iNaUP4DKXB UDk+0ciOmO97k8FmIzL2MUW1Aw0HOdlPRJIpGUKJiZwTyMjJLKTAqBwpK/gwXCfv0kh2NSdWGI9d zHiu1lp5qzdyN/NpI3bXhP3MSWzau1K0dGFl+LHPiG+JgM3KoXhvslWQTJF8ipgTTd1yhFI0r9DX c5p0zlc788w3k/XJW6Ko6xdrrW354FQvEJIyHu4N39GakRTnBu4+Zc0THCn4Ej31d/CyVrPlHfQJ Tp5Os0WPVeOrthUzZxTS9cBxHlDNFrIiR4388Y21e415pWhxEk81XfIrQ7kL2ECohCHlKgXwpw4G 2xJp/+wsWBA8T9GNLeQ2XtPdsmFdDXr6MUJXXfRVfFmdIeZqu0smTZMtiBk7nEcc7CaJMleTmTz7 +6yZQ40R6/oFQkG5XaUxavWgNKG2ceVjGcgcFLS/2nj6LlMTpYmFq0OsyOBoRvsBSBo81IsYUFLI l6nZdeoLi7n5xXlOk4b9XW2WSHH9JJSfgYzov4tFvzPBDtMlh+ZVaNuWHdmNBOoEaRTawwC/m1sD fz2Xk67X28MAHmZCFwwLI8TYtt/QtiFr6GdiBZi39L2kwYS0H62+F5L42HeJm6kx2kcHPykGUR8K PnKEXXiXvvFEcBcRsw+4yRqmKH0m3Ek/z7EMlxt6Dcqmrri5Da1x97znt4fE+mSkbBJQguaBehU3 Cu1G3Cgs6byWy2PpqAQk3k9qUJcf9uvf1fKdRXlA/VFyotGWe2ATU2N17tC/YwF5LGd1pFH5olk7 HgaOIOF1BuRd84MdOhJCrpQdf5lWpRA8UGgdQvC7wlAx8NKkyOVAPeN5LYDDmZDXkC3cAqy/OtRC rUUyteCZvVoSUij32fEQ8Mem67fV7dvkfuIw2k/bMb+zjQacfd/oXi6REhbvem0kxyLmgFrQuvYN vj8St13+gGjLYX1VWtymLejRFIlAXR2gwFLPAU1Egx2wzU5WPueH3jor/SSNKnpR2ER0ICXcTVaQ CNDgqDtK9V64S2OxUxnSr4Rrifx9zqvw0zWwEwg4XNFAOYmWhVGezHeT72sjBaZN0b8F35QVKcq7 /Wubcr7blLf0frpepBICQwsNvWiorb2w3OZN7v2nWXp04szr6LXgeIvz8pEnaI4blwn3QuRwcGJy QJ045Z/j/TTB/ACyc1oH2wLiAhAOZkWHYUSaZdVfcmX2glAoXMXpjUFyfRY0fcy35FJMTrSj7e5H O9EUog9BjoAUOZNw/v8EWHLJOery6gGmdosQSlErxpx4PCFDH8E09j0HfcnmYWkvkfFSFXALsrND fMFQTUOsSDVUjbKmpP77PM+slmVZ0s1/85+8tmfkZrf/Ll6mTlQRHuTVMLWv7nsxQnaoailV6vUm 8HMIrt7p1RPChups5fu9F/XDDtyq84QM2JbrR/uGYvqj+Y1azVZoROzqHuvwbI4h22WNW7x/NadL WzKi8BJgN+n8A7XEbc2Zwzu1hTEZWsH5cVPmP0T+pjWc9sqPM10bbW7ne2om0CjaxD0g4afaNugm W9P9/ajgs6h7v6ANXGSAMYNzRi6f9eddZZ6Lmb9A2DShSd6VQItuVs8OCdv9dkdtubP3wjuY1WPs K/mK+fNyM8mV62GD3cO3eqF0Nhu/dvBlVimiBh5ZBRJHF5dzLC/e1Qn/7BdqFaRgN9N3LzpAomqk aJoKdwz6Mv3OY1y+V3Gpt1N8kvCOVPn410vIt4eRknmdj5v0faoNCYHjXBgmMDsYOOEGPf4nDoEG fIyuqPsS4PzvSExXbNJNl8pH9Cyy9Kz3ASSkLCKOdp1F3wi3uXRfjp2e/ljD1/Vimppfnh0fJTC8 04h1UYEmCJQl5YD1tJmwzPyHdq4EzGzZswAKqFHkrMnoB6D4JUFn0Fz6zYwa+ZI7mqUeAoDvFBKv siJ9/9GbMgwhC4pZKjNLp1NWBn5IBOEtiEtNrFxgWdNtmYFLqjXH4jdRaNmpyW5Gmd1tkqWYUYcv m52AWa9feQx3Kc+w7GFrG8XYqxWtNfH1L0GYr20PVNBvCke+twexh6UE2Q/pll0Fv3GLhLFFxUed mDnpFRO+7XDrbMHnwxhLKYb9SMrEJS+PKfuqVKkPuj/TODC8m2+mkDBLHWfQQ8LPyPjyt7/bkkKY GnWIAXpkMJyIpAEgpvHvdKCRU79NTStfMyDHabTTS5Z5ZdcgRQzqeGpGxtC//4MRtR/mPRCiR1xT nSz7KhpGaY0/PKdghDW2WZHHCngAwG/KzC4ACV7LoBTYYft74pb7mhKo1K9PeZS7x3Tra24CqNAz iRLs6hbtQFsqGwtZCwGlqrEU7z8cv/RIhZJKQDSVU1DqSBqBkGf+RyP8Rv2Az9d1UylUAA9ZSC3P MimFfikIRssukN/C/vhsMqe5PxBi0V6hQfbQ6tIKnfoUveEAElVE1SUyLzW83H5dqDt0ZkkwWdsb onbDW3bhmiiSClQsg3PdtN4WX7Q7qNDGTICtDJxoknX2duDq/K0qmst3NGp9d//BQY7U7Yu4AG5Q CXrT9RHnExtAptINg5PE0HV8d1jwnQEQTM+HqfVh/52usCzFyKk1JxeEp8xXQ3x1NRRkSwWO1tQf fkn0a+HnI99Fn46QzX2A1F886N8Iv0ke8PI701fj3c8mO6BFo3EKnDYMKNocaMiYTa+4AVQZUyM0 InYoLmmN50un7yCmYI0g5kiUr2Kj78cTiWDETtb5nMEZ9u7++Kct1wVBi/TicVbkLUgVGBjA/YpW zlC2X8+0fjFnn14VkiCpCL2mkg1eKcXE+b/B1P/dvIxjr+ETvEYWiP5KR9U2nowq32zBOzWIpAuX SGxgb36P8IEWgXxMyX+VGQNzkLkaL0bHghqV8s5FKSwIyk3rkee+zRerpkvEUN80X6+3ofYuePGv L2iWuvw4uLZUT/hOQyatZ07d3lJJtptQe+K7lWoObhY21BZ16/Fk5rqFAJt6idnPRE2nUzfOsFan 70tuo84NElIBJjTSpgINVbxfAtkVx+wOAiG4eoSU5zUDjNRY7fLqqE7S5wIAQDULVsbtTs/A6imi SDNnk7LIA7MsX09ApGU8wRfRBYK1R29YWWx0pZNZJ4xQzSRW9QR5O8g/eI4cEly3YdXptwUY9v9b 0DK5sA3YAR8dKMLa48apbhlMUODgsScnn4M4Iy1Nj9E/2FZ04ziDWxoSNL9E0zqsRiinOVE+ANLK 3z7sHnY0mWjXD+znKWQF2ZbnbNNnEEeJc7y0gkASNgfM5nyYqY8tYd+0cNZDFh3+Ogv+BTMwgFcC c11jJ2eHCfCZQD93IEi6FkrFT3asPl02FYGc06u2Vf4SCqvy53OgY/P6XClaL3RZ2WrJ2vzoFZ6L a7aC3l56R3/d1U3+W2PJMV0ruvlKCZeLj3TKq+hGmu96Pb4/b345o1uzAgjkiCPUqprO+s/vcHbI Jo6CyxWOaVvbcY5mTfayEhnc2KShImzJ+ShU1LyXllBbHFXnRv8TdXyHiLBl1gNr1QcALHq5OBFM 9H2zt1SCdUYuXqEaIKaKlrvLm59VrhGbNaa2X9OSYMTcs/zKKqyxkMYsi0fB/2DjHXQ3kjvbwD6d hbn7OiMnjgz7tM2zKlN+Rpqt/97ldtOSO/xmRf2NCSc5QgG6eBros6bmgOt4q7Odta8GVOCoHrW9 q109nvstVNdQ/yuVWJKRF17k2dedJTt3GzM+1qG+jKLABByN9buV7D9bZO+FkD/M6Qm91KWuBDFn Sqv3Bzs9kxZnf8Ebjto8Z5Ym8WQrsVJbsUy+BkZMoA6XCXlDT15FqSkkvC3M3gFG6DKk6dBgjKCT 7nHjfWOeXg3774dAJWipwbqxtQFLMyYOsrIYQdZ06hK/G5MapRBiIE4/DtkZZbGmAc71P25bCwvd sLQCkAqFr+OeFm2MXK8aagKhuaf+Idz512m2VLoIFB08lnnFD5RbmAEITV9C+yB2umtwZRbXO3os jJoE8TDUbUUC0toalvL445O9GytSH7/UkQWlOW1yTM5v8/EEH3z92JyFSSFaWadGF5MDs98Mqec8 5TzmnwUAVbV1lvOIZ/nJ3LGCnX9CALm6CnZ80/gfTshVkfUtE1lHf/5li38sAxFNC8IpBwNaqhdt XbAABlUgmd2Mr+5wtS6om1z6Alv6mHt2anAPITnq1q4NFG9MkXKu5BFfO9rRjhPychdvhVpE4SO1 g+Yyg+2kZoF0O3C6LrlU9qdWbsQBh/Gw64BBOEh9HMg8PSSTvRHtNRjje9s/kxg8D/3i45jQNf+W S+YIyLVT6hR0IuxBH/p9mNohLQuknlvgKAkiXsbBuCHOxPoudwqE1kQjy908AzqfCPv46aP/o/oT iuaUFopvwbLe5mY6lJX2X+R2nN2u4ye3DPa4A4TOHrYPrbs0cDUIRX9eh+5CDpWzoVfRkEpUxeJI Cig2Im6gbzgoq/7bLKu9XL6H0i8dFbj+DZNbe5nUnUpwikWsd9AdOYDG2ef+K9DdQSVEmz/t3koV DTP91TljE8DyCROagk+vSIB6H2m7DPvIENwzzdGFB42ZjWUPOtS9FYee3kEDvXd0Mlx0h8lkbbB+ ZLpI0sq0IomacJHKa202zZ2h30V3CknbBUd5lFsBqX221pX7GZO5arys+tkxlF+VGPiG6xPqSg0R BjzZnXMRs65k23UzN/UoFIcmb5ZOF1fG9bGOqcpni/CrXpOQKDmtwkgb8VsVuJZhIJnR802snbWp hkQ6vWAiGO0vm8Ey2KPKQB4pmQ7/neccNuFtQ+RRf4ntSax9/Zee1V9AIHCtRwqu3Yr+SR0QEwZ3 /hybkynytOLgv42lTSr6uBCglNAQ4hAL468wv9ifl/8a1B3nnsuQ3Pz0cHlqBxh7FkhMnItg2VYh sxAeZjJSYv6IkeAFWNLZw9T82ISBbtOs8EgnFwkjqtqlYse5vkX/KYbZlCBTfJu4kmMECCo5PHkv VXZXDN9AYwDJOgXiekboqtTEsUmXDhDS91uiSbicEkckGSrhIVK50dl0TSczyvZwQp3KlNOhDo4J jaPxmTBbttgXPFGq5a4GZNLK+VKhDwRtzR0TlzNtic+TFDmbz2sVdz2l96zjv7ECcQipCVsV4zV5 +7EGnJ2A7KI236WSNbbtVhRENdpHgbZWEwxQPOdXJDBPJi7XRvFLsyGQ6KR7Sr1fcl3j9u5CJViX OvasP79J3hSIP7h9vuyRuzwfmO7hhP8hKeVAuEievTOdc+ALhw//Ga4TTalC3DJYjdCszZqDx6og dTZp0Pvh/bqzMf6BwxiDUqCir0GA+lZ3crjnPI/XTTpTN+VtFSjvbJGz+YaHcDbstdiKY60x8HVV tmmYO1qnaLH05CYDA9FpYNn2FzyGm35W2RA0rrr3dQ7F9jlhifRnuJUo6DXd/5VE0C0eyoncYt+2 F/3Bat2wFPrk7cLMKPXuvi9yHbcBcp6E9dXGs/Ug5EbLktvCOql0bSU8+S0dFxuFALKPYwn7aj9u gyAe+0pbb6GJMqF/hl4KrThycbpofx0ioi8QzjONf2uVhxzkXafX5LdG0hyg7e38wNhDLcHj4594 krdFHrBFZRADpJR15jAfC1uqNwUv5EI2QPyuU4KK6SmL5VZIfLiAXIy9aJcL2Zym2sQY+EX7ClSO 5IdSP69YgOA6PaMtMITit7wsVxqfyLaZYUNkgcQjjT1jQlaRA9iYOBeP1CSUxZJI5oK8lVPzLI0s p0gMdY68lbS0OpeggbqxFzXTmcpxlFoLTmtPm723lj9Laai1WdsmUbH4KrH5GU1gh+fnei/0aiCK +3rJRAh+ooFd0DRdqOuKZxWVgT4LJdOGFOfvDrB9CCCN9tkcLNUH8kmC38WcSlvph35jSwtjBAsR nEASiubbMEQCKhTox3Q9MuTxbQwxEaCpopVBAMwfLJHRiJFsKu26eLkZn0lqyVIUJJSBKSsPxKG6 1kybP91TB9k+3xPzpPvmnsI/KYz4623N/jxJbYYGMHrOkmlUM5AjaIOuxPOhjjA82GNKfuDiMgLN Rv+qTqG6kq14lVIG7AYGllvlVVBxuC5UnmJ/s8Jl0WLDfMnG1UegQRNms8Y1f/4Lv9DsrOJ5U+Ok 9eDZ9ffdxzgQNvE3rtHq8RNWE+hHCK3OgBbpv+GrQZQ4gedGxNF5AsnYLwbT6M/P3aHJLTisxpVL /87P3eUJcmmumvQr9w75vYX54/spjoKu8+DEXCjFn+O1pz+sVXgtrGvuNdu4aj2ukvFFKTfcQThm dzD/GVe3vdfUUb8kNDQPGSmrVoq+lhnA69Zr93Hbpt394EWqLJ4vsItE3l8DQRxu3aTPnVJOqdSw A5+/AmW4loJTbgAtut5WEYg9nBczT82L+fubK7tTBbqQduMc8N43NbQgWVMNNBy4mqGKUwhDlUk7 oLMbqRhq95hHDsCMZng7eBYdhbPLe3cuYTrJushutmcotPW/cpNnN2Zx2F+BdZg9j3A43K6XwbND KaTObnmTEF9/vXjeK3KLpJLqzlaSvjF5YGQUuackd2xZ2kOYLg81diBlH20QBjCMGVhRlGavWtX2 7TeM52r3WZlUs3gAcstm05b5ealJYvEY8l3uiDzQgGNedpefMDCcy1UNjSSoQI1b2DG19wjaqUjZ 0Lq6UEpcj8MeV1DqC6inr/X+rbv1OISSomug3sLQo97iAF5hb1os9Ta6qACSsobBSCAHnVj6I0dU x7wOAcGvraRhyFRbR1Ax3c2wORYhIqAX/WCHymSNxUqX8hB5jUqHfqummUboCC2uTRX/kE36n0h0 p+MeH4KeCG9bvz7ZdGuU5Yv5zu9ca3Ax6xp8tBHqR3TMX7H4C2qAlQ1+AVkiG4bgMdr4qT+GsezN fcPF1oBxpjmB97jbWE424UE0TSWWdzmdg3dZf2qNlxWX3emlLqxUXQ65OZdL2BWm7K3H8vM+cliW y+qSvJvBwEF2GBVMgkTuYQB84mzcRd6SiyCgtOnywxUHNnJPBteiYo5Bmthpz6d687X7a9uOcPbx ZDurhtuyPk/3X/23iCta6+u3HztNVUz6yjnTUTCti6sepQA4yKy2LW/LSUi9uhohAq3hHcaO2/pk z/1NdDrHkp2d+w+H0UAF1tx6DNzGN+7JJmSp3xw6+tDXaCS23qVsuNOP0MkxdtRr95yoEHDVxv7y eB2Pmw5Rt9f6SrQCHWu/qJXFCKfIj2arb9vPosfGEFNNmU9DYWdf6Hv5xDR/GvT7KpBNfkW9yg8Q 7yYoiTztToj7TN6y7Nu1t26+yThSMapNgzqAy+CEWxPE0s9H/lnFO7vMuhXfpzBSh10FisUylYY8 dFyh+lcX8VfQVvzJgfPY6EVd/e1vC/OH5UI0mjO5ckazk6+D9DBc0CPfkCOIM9VTrWv63WWaMwq8 Cv/Xj95C8fI8adtw9bDaXkdl+PDsVcSTEPzMi7aTStZHndId1VUi2qov8e6S7OLCQah1to37Xyco c1C8it9V58UVNn7iFwn3WO75EQLIRkTU0XSO+vC4IgoqEIGrmH4Cy9QcaN9QzuMpvaPatGV4EEwM R8DOdl77251QByKg0E1mDFJUwMqpEH84J2ZbkASespKCGty2SxVvpe2lzvjexizM3kM8Wbu4tVkP i6W7I8RLo5LfDsRn7cKYNh72lyHOvCjxUwSSZJqiGqPSsbhzriykiWkptygKsYRrPuI27nVwHmID cXFsUJzhAjyTDETSBpbWRC6YvQJBuirJq39gq5Xm4ZGLKcj5+Udx7iB+RG3zKiKhk7tEMqqBl73d a19NYzmnsCkSVfVmDQcBDR18I9rVbmXSAArKigu6lmH4fJDtThjDCBYX2wzRCi7zaRvsOqH3d/F0 OT6TUj3LmeVkaxX+2vAN6U7Cn89MOhJDJ8PUYsk/CM//sX8GxIE+KdtxUEQguk5prsK8tuf/JHti acISZLs/6TPaDAbbgiykpTq5qwi5JHcnYu1Ugz/zbDrpoIj9JugWGeVIURZ+knviWrgD+RZtBvvH 54WWcBmH3TV5CMyHRm7a0/5dcBh4cNEl5xEE483YHVYOx05OEqBn/aYyj9FbrsM2IuKsbqdEDViP mnn1w6F3rxuHim9iQa62YhvaCd6K40OTx1HUhD+EaQETHq/0mEcGaAyKxlDeUrBwj5vWqM9pD+Vg vyCxSuAWn6rmZFr7ycroZI20TNZj6yT2p1aildMbHBBbjWBuhgVBUgxmqRK4AW0jRwENi4+HKb3o DevwAud3oDY4+mzqrPjmES/Vui75t7KtJ4G75ml0Wo1tBNAvFa3YOm73LOGEqlBdr2uxPjSt+Erz tH3pJ9q5EYrorAFUavxM0rK/NSM5m29cDBjKDI0yZliyJUbWGxc4GZMXhe787u6o3S72gMyLqJ6E kgxrVYLA827zVU5O1ogrnkjrJHFJ/aXUY2mt3qUVlgjwEBbjiv3HqlHwlLWN3yAIewS7s5jOTznP 41Eo+bM1rJ9bC6h8K7fN0bdoQXrClcrxxSEl1WA/nGhqU92cNH5cqvmqqSL3YuwOqHQTTSOkK2YB y81ZC57TsDh/1tEcAQwzXQ5WAK1evypKaTdumoVP1Y7yVJ/+oF/cEHrCAP9fJztUlH4MZqjcGf4B HmWch0efDFleePTLGmkVdpJuKBxdDuVqc7GWyljgUkaUtofsAMtO8seLyUtslKGTk9buWIpaCwgR 5sJiOPg85Tw7R4gn9YRn/1JkEa1MXKZpvjFaoEPnEpLiPo/65aMKz2CwHHw8GTMINnIqy8eeMkWF RNbMlINmqn6J8zmK5RXG8GnNFkqshLr+RBUQHBdnBueE+ond2879nWUAp5W3i+A+4g+Csib1fvdE zEBPGTFen08iVZDrY3NQo1mYy46zcnbss9MmYW6VoQbtaXCr4/dcXiQAyJHot7L+tzvgO7XoiNbt kCBce9egtVsVTmK21+gxJuiYRAWgGNxUf2JFP1IC6B6CIISp4In9C9p2H2snDgdMMunBXKTtk34V WNgNoSQ+YYjAIUxfXf/saDp1PNuz1/yOtIzXi/TK5KQeBCpcIKZwKSakVfNDNcsrIH5jXLeWWEyi JtF3qYerYldD53KE3YnTvxCvTPk54abpWq5C8L5jgzWEmEXhwnPGos+oQwX+d86cQTzjlVYCZEvb NzA2OKWR+HocVV3lK5JaVVxHzLLVP0zYPYfO/CUZYeDMx2TQn5IpK5ImcP1/hscyQmNgcg0YnMm4 V4N3MOI6wwax/bk/3fSfCpk4XjQ4cE2XDXAlmdIs6jdjiAqq9rrtiFBv7V0gEnju3icRFIwZHznl SjW6gHRnM/qfJd79NEJTko5s/XTmuRoG5UTDKEvlcgfsztlL0lXMnD5O4hQU36VRAZc8YUi6WV3s yIM0Or53GqZ8f47PbaRyLIZqX4NPCjuRgCDSU8K74EFnKXsm1oo2/8BI6kLu2IU1Iz6y07IgdlYg W2nnusGdrffJwssHoNElJZ7HPRXuMKrsvsobHuNKfL/Mu7p19XWbLQ9BSmXM4JIiv4TVCjCp3rM+ Lx5U/OGOaj2Vjp7g2qi1WabG64Yw8oBGGnKEuOey3jOiOdmJhx5sWTLOvjQQomwPJpBqEpkIgVI6 bfb9IoP+GIJCVki0uFAxosczoCwws9W0gMr6465I1GZLTc1tKvyOko+3JkhdId4MKSFJnR7yMKzB dHSrllASHU1DD2hLwt/p/VjN7nPx3QzOEPLd639eZQIoQZKm5B82fWDYwX2hMU+Hoi4yVu8V1FZQ Cex3Srn3i0YHQH0nSaAuCKdPCtgfg9eygEdPm/vq4k3kfLNTVD62+mbbpZrca49cv7DF+fNk/7GC WFSlj9J/+g2Bismi3ozzy7ESQLKkskYhAUkrYO6ZO7wDSZZUgYgxk0Lrr1MzLumOgReQcneJtK3L yMi0urAWr5U4sZXDYv00VUF4Z+wo0+yfr5ex95Uar1EwDM6jOkEEDj4OtzgDxo0flBDyICg/PYyg hxq8303yfopR4HuQPMUS/zY8y8Hq7k2aj4b1UEu2OGLzoSVxIx/x+U44lnF1OUfBvFOUX0OfIqBU Q9O4P8vuDzCllIOJz+kt6odPzHtlOaVAQ78f+D4ccsoIs6ISkEWGzzdZEo5wKG1le9dI0EdRn+KR s4p8Pq8owkZLm62jMCmwjGHqSwbObvt7ukWydoZymTbYPVa1OYfTdPYZEWQDFu6A+H+Wh6GTmZPz gXXHvJprGGP8tKi75RTnPCPv45zj8wfF/cdbI/LH2lqBsAy7aiiyTdWFWSpShuCgVVWpiLJqEKhb 4mOFxjNx8Dq5fmyI5QIfLCmrHhmfgT7Sj7IwnIzth0GsGo3Y7yknopcyKB6Z2sutd1xBEJicY1Y/ H0kR3cdHAyd8jSYLfntD0rTjRelpEoxrcHp+6+1flaO15Kvl1Q+zfmaDnyD1dQeTBsArk7awx2Sb 67a83C/YuG2aBii5IM5mY2NY5wjRgOXNDElbt/EnvzrVK3hQxpCKX+zZ9UJvhGC4x4m9LV6h78ru sh46gJCu4M0nxKUFN8iV8NPdLP9dyEd7FQBEyinPn3U0pfjAJia2ZxjK01qg/iEw3smcmHUh32fi iYoML+i390MeXQyCfTv1cdVGpOyhIWWXTfP85rBsXFA4xHEeHofkTnOdCk31rQAe3ghxVZZXvii8 dBHJLKBHXqqPPBrlWfB5eNdmCW0Kec3E4JdH3pY2tUij5EHbk2JCKrMMzm/fRsgDKJJuuXqknPoA hW5BE3scBDZJaqvudA3Ya55WK4dqoc9lp5p533IjtJFnCLAmGjbyadiaYqNu7OxoMhmNHpxJkzSR +xt+dH0U/yJvZxHB+0ueVRNiKol69Qwmfs9O3amUd3npazOcTkYT+wQ7D/eD3aJ3CKI1dj8WBYjG 5/9DnpG+zOpLK3tutBlBVC+t4P345kOhsRffSfs7ecUuU8S676wmy/yIoG3J4reeOQHp9rPjPFyi MAiLkUMV82l8yyjg3n/YgB6SF12k1QBrvLwyIKzvtqSmMpL1SOwVsKfJ7soKZR423hdluDBtIRhQ lqXDpCpYTMYofZtxErPrvJId3UV5yvIEAZzynqT3BxjLXMjHBD5UKli5xTBkOmxT0BkRiBsbN4Am 3ZlMy6z6UPG5+E+2lKhHj21iXAKQEOIw+Y+kgMCsdspCfU5wE7t78o7vNf9Y6Q1O+i2WeZ7N4elH ER62e7h4nXFODyK/02sWMiGN7aOrTQK/s7DdRd8ZqyfwJa0q3XJqwNO6p4x8su2TEvUh1Sw4BiFu DFwuuUBHAsZXmAThbGGyJtfVU7S/a50s3GWaVT7bB+9/SfBd7nsW+q9lDrtcH+MAgUtUZmMfervq MFAG+7JpxymGed5m6zl9fQNMX/9eHxAqg4a3V7C+yLm4rQoEc8pNRCRQ+1AfFPlpjHgiBTk3gWe6 nXs9sZsPYFq2OLdC95z/8aQf48gvtK20xasYFL034SdBv1+n1k+LR/r4wYdDarzFbumXyM/7WAuB u5BOiygtR+c18E6JdHFNpvjRfQP0sCcT1H8WQc6bHHZ3nvNUHvL5yJavhoReuuMsu+DDHRin9zIq SrqyZ+39KbDaEEvXJ11ExNMq3iX80GLw9b/jsKOWNOzPJvCa0NMKGOKRMkcA/ezA8TtRMJj2Ozf1 UuhAm+Nv4J620D/9otPwqNcD+xcPQj8UZC/E9j9Tw/XkHhMWiB0/mwOXJ7RdavlWUTTmx0yVFjG8 uLOhgAGHQhhkVyEMdRDTnhubYhGk1p2JKeiML1b31TymSCHlWSpNjA9lgXUrBZEvHKOC2efdAhOo gxFTJuqMgikyJ3eWpesD/MH9+8JpFdfAd+4iFJYd0on5dbdyWuEogWdJUN+kP9R+WmaW/OEqmSUJ AebflrAOdQUmgPut9RiSEh2GykPCIXNXcHB5mbADGUnjDvnWcWt2gg6pnqziiHvP/uHhq561PzD/ E0tGvKAxAzqeTa3N3Qx4clEH4bfv+C7ZU5PDEe1OSurO/Pf3qo5f5+I4Eur0b1WKt2XnzN2sL91j VQHDYKRWhupEu6tQA6BnlZtBauL1Zg02wJmHQnIj4B0RQotuBNo3WuzCzZsJtKDuHwFtk0767EIe 6d0PDcCAP68RAc51EwFCYh6/kH7F3eUt+5tiKTf7bbF9vIsFjX3vNk6aGV/mc69Ko23DZ/NsjqVI SoyTo51p9JVm/1ruB8gjb3R9M4hJs8VIj6ypggkKHd6ELfwvTQHcH2dqzxvLTEN9mL/Ra2l7d+UQ 9LndRgcQY+gqjoZZO0lUl933jPpB3/VcjyGIucc+Bg9O/xBpaNJ3f83bzD7Ktd3GB9nBn4HgovZB 7ATdR0qzXTsZ2o1J8g8ZFwEsBjcOZf5X7ybYlem4BoBX2+hxs/mJe7VkhzW0WYaXgMwzWQ2cb8Pm nezM7niccZyYV0fqDpeBJwro4hysn5/3VQEMd2zaHyV84+UkzHpmHXqWzgFiPnYhbam31Hdvw6Wz 8MvnEaVNwBoJunT8nGbYCK+UkQqBvtMum9q9MATdugJEjmRinEOE5Edq673AWSCm1V0wuZmjgE0p tahnBB/nmmrmxMD66P+iqb86DYiRM0DLMYIXCvtUrYrJQqx9qfg5fzm6zuwr+dZ9BhaIJK9BEMvU aRusw8tOoyW3dkKDurw5P8euJPLYPDgGvXunm7dS9d64jTlQTeZk/Fpwsm1CmXBfOMBZhJ+/p8rE k2q92v7v9E2BLeTTstAAbKrvg80fEy9KAgsEeo+3eEqLAWXgHpwUqcamtmxI02c3xXvmvFEz+UTs qGB5Afw02+wHoDot5NJYpc7R4gXvjeVaGu//fDXGcn3K8GX7+KU3s0wE+vEMq5vtQURLhUTd5rw9 wCWiUcUgw3oT5c64NsKOQSL23bHZ8Mi2MAh32ECfpIsDInmmQTZluw+wWXZez3bN2IxV1HqvyuCy u+tlLqMpjat67akzD15t3/rBhhO7Wuik8xs30qOEE8wYKF2RyhODOEtDEmQPtW879IrBiEFh/Nr+ WleR3mpT3J3/8BCWE4zKnQcXiCn80rnOEViMGcrAnnpy5MSqTlBxuqyPkpX+ZYcoLkDp6nn3tEy6 qZfLHbpzmvnRcJhNPH4aFVjr25rP1BR8xIAWV4AgsGo0/yqgZMg8g2DRtX+7kr99Mgh5E8dI0uUG dh86jbaWExwbxMxiUaKyETB3tMQepvMlRVk77jmfmwT56W3+exQlPmKVq9Dk+uKkkkBdqIMW3h76 hlozO+WhlPLVL+aA3Dc9qDqlk86eZo4HgnQhhZRWrJ70pPURP+TuWBUQEGfksxSz8uYk19WaXL2v UasQiasHI2UhhM0vly2YVZilX+yqN6bafYBQqyX5L5M/nH/nnNHXDv2W2PCi7P/oSmi5IBj8Wl6b BrdB3Z8Ny4QyMAXkklr4yB38+phlm9nR+bvPqe/d94UZFVvSTRQCCw4Z8bsohkWlT/syMFOvQBbF 8U1qQxDnCki9HT4OAsqvQ8PibclmOxvSkJE7+Sr4FsmdNKGpslGna50TomP6/obydbryBFVml4/Z 2HGS4BLZbqK1ZAuHadRg/xNul6qB8s+HSdOCOHpfTpR5hIXge5YxDsLMxzA0UbL0RwGZcRozpe/S EYxRquuhWBSs9SYExHUpe2+xftQORvVzqBaT+fDdXSiluKEdIwF/OCNCw2U2JkG/vhq5f7/Y+Mnq Z8vkU1w5pnBYCqEJpv1UL2PPxCVAj7kmbAZ9M39u0xspChVIHe8idzPy34CxYHJLa41KzOuCZ0HL NElNhU+9cRSh9lKOLSWjJdDrj9wz53fjq7+Xx91fVA5OWbvl8WiX47/EXXCqsfxxdJfu9hshGKoW I00dkVb/zMs2yQScxDGRgXMC+xn5suKIkoU7LQ4PDpIFaXPoFwjHrOnKhruV5GELO2WWgexRJut2 czllOPPRPvPyCDEStFhOhta0rj4H/bOgRpDNzJ3kTbFfaYyYJ4LrRI1JdM2jUp8AG8dw5ntl8fqh kj+3UmxMJIX3rBAj2oRNnen2PvtD+okEZ0tLB0c2aY8/j3t7UdQcUwL+dfCtgLN0e7jQfC5aIvEz p0HX70pDc17NCNuMpSeXF5/EHqBOcqetqu+cSesJKfIKg/FaHdjdfL/NrqQI8G27/W356Ns4p2Sl DoLLSf9VQWwziE8wAsIyYlXD5G1JkluRbpI6Odz3b94ScYW1BiOhxZUdmbN7UgkLZX+uCKammdZ8 deEcc/XPZlMDREzall0hbbxLTRFxvoOdDTVOLR8PkepfDjSgtMPj0v3bgncUPLl6bmS0Q+9yDG+t fVxZHDp54hpeuYVxv5iAIHvouM2xiGmSG3E3n++o9/ABbl/X+Q1LpE78EeT41q9yJTLBlLVTx1X8 elFrJe33wy/M1s3rtUXG8xl+WhYx/FZDQKo70e7tygswwKnnXXXW+Io7D54rMpGt0ZM/1aNzT/Rs LlgMNO0FthpulcD8REGP8jBIRlBxHQ9NiVmG1NV9yKO802PX+svR7XZrOvwZ3aKcTJBkP3FevyPg w3WTTbyZEj0vl3doHG53DPF+TH3ifWkcqxfYrxPWF95DTKiuTAbuHwcI1IkVai6nOZYfjMYKIjDd RpEFJToJg9zx6SjiGFq6rVPKYEFy9tfrF6Q0IPIT6oSmQkJyc8Bsg3/zwyf7R3vkOnxff9Y2YEYS I1dedDIFVRpQ6kntBdf1A1SjR4pEiIk8fTA6vUUjWaDf8mx0VccWn+T4HVgtJ5znofzcuZD59xWG ovqdSwPu17CzfhjLR7ts1YkE21ZE+7S+bkF+okJDQ0hP/Vm9QggcJMoQJaJ+lpZSg1WRTOs8+f96 4yVdCAaH8FCt6O4gcKdjjfnUO9bF6qCwyxtOTwL2dQxm7tbCr3NK2zCSSpPorumaQqaVL4S0Iugv Vx/kqwqD4XagBN4XX3KQ2k28GDtTm3NqMwhlViUx9txa0elitayJVIDL6Cb5ArTBOSiDZLoY+Zdr f4aDBfoVlk0gL0VI26HuJG8Mvx0XM/54oxhkWwlCJs9Fe41iCsaU4USMhkWwjF9ONE4J6w0LSZph 4tS2YdTQdQJv370jIsxHZgvZV+n2Ga9mQMkBiBy68eJGfF0VanhyDXyFj4dzeF51XW4KfDh0Z6jf a2PbWL8gtCBODXano1IpNu54XqWGBeTbenC0oHpypFgjVyJDARXm4vSyRmTmbUnuxxDkzho1TRvl nFt+oDXPvFwuWNETVdiUM83GxUjbiZkG40U24SzWDD2vUZM+B3enp4Rc+swkpGXYnz7JVID+jjsj i8eL7zP1hGAYENOjzEjb5nC+60BXKuZB1tdKRX0BPzi0ggiObYWkf7Jr+eBKNRRcX7YZorUc/Bqf 56hBFnFG+3KZDdMJJMOYVDO/G0iBBsnXxmDO2cc9Ygx8lJpE0+q9MtdFzoobkUyn3pLxbUFGxXwp h3sieIgXGVrdbgYpBANiPeE+//HI80lKkxBdoiE3OPtSQ1hbpFFpTxRsM+9kuby36FmZv4Wo0r+4 9puK0CCddCrCXiTqxfU/ePm1U3VpxoVTCn5dUKDnNh4QXvI+2TVxu972Ek0ArgZuMrlxM/qb23a1 anJAtSsWeozo1j6ziYIA3ILnvG6pB4BXfytfhF8z2Khu/TtgDyUSz/pQASu/uU0Gmoj/ky9AXLZp rCxQuHtR0OulaIyuiQl1/m7hCJXiTjGPNFT9+UWP0ybHxJ3Wek4uE54w+O/220GwQatJAEIwkigq El0F0MiK9wqcdVDJA9xG9jyRc6aQCSYX7zAjQPtjDH0WMS1wGu9cCeX/P+a/A5xu2td/vfvFPt0E nG0L6i4t1fEQUfBrmP+wfLs37KDeebPq9zk5wQXcQ7br97TFkxMUp2FsfwqOkl3lVW3l1GNIwGsB QtVv6xtOoh+9kpbz/E1LJ0hnX0c4VGoWTaEMCEOhtKWk6VGLjT+so/2fVTeeOkL3WAfmYJXRr9gz 4vFxx7TQZIRflcCONyiahPYCV6R7sf9S6+NS8DTOsbXZY2E9SCHcsP2GZNfXP5SwilheFDaQlLWx eSmwLvhYbAQJjbsyEhmaw6Z2qNUktMLD21aODe9WZve9TatX4kgynVb2Sg87Fyqbgcr4RcIpAXqc x1K/jfnq/VNkE0H/4FOrAZvTzGSDqlIDIaAWCbaAQ7/whSCjS+UQRsQdLqsw0j4QwbETE3qDsI1h XlQTRBzP27DQ67PuR9F9/ctqRGJNPO4qxihh2m55v/tSRQgE8cTAfWn2fqspNs0HKph5GGkkRtZO lE1afGl3sCxuDs890zAbfQXI2PhkpgF5a/yk77ZA2KU6H91pFPdXE/B8Vok8coGFkHnf7JbHxYpI MgE6d6B5i2b8U3oEoNhQQ/7le584dqS13UF4Ex97mG/ZllGlkUQUNxiGFbp+CthcTDcKwP/7EEAZ RHJu4GS3ngHYfM9aZY1NeISjGEizEQ/7fUHu2wh9r+akN/0FgnelVU/xbwkIwZoqOP1cU9e+uqBG i6K6148jmF7Gq7X1ZqTlEK8m/wO7RGUOzUBTkliY6ZYLWS9NH8LkQUPQd+v/qkqG0d5uKe32A51P 1OBzTCFPr0Sa4g+FC+v/B1QcvtsmGY1lpYUToy/fAr9AqByY9+/aDdYzxJGQdgTLtNRKB/2vXjAd f38fXhTQKll7kOXtD+CJEyBtP9m2HoKm+Aa2vB/zJ/4sCXrFzk5WAx29fcmY3ZQ8B6nSYWWfu5ZK jzW91KZD8nD1grtmIyvuaNVAysdwPr7sLfT1QZtMFLzR8uhX4ww2XDq2rYvCLgJxTq5IhnsKlblH GjqVbooR26Z6IE3LyRHo5z2BC6YRWoEjU5KMrPScHxl5PZG/qKXDIGqukdMQeFbwDCcI832Mdn81 EnZw2fnivinQiEQ3KVf8c01kl7lX11TLLHLQHD8aZGVoJrKFVQK2GRCKg3SN9vPK/rfL70k5GDaq j2ej64ESKlqJqNhJ2mmRaWqHoYb7e7EnEDQyzOV2FlK27WGqlo3qDlTLyx6ues2xNfd2PgWw9QBe +GXDmXGUSrlSFvsSThSn9M0OHpJw1fpiw91QSFr9CwoLlaawQHjh/b/M8XccWSzuV6AvBacvdCAH aL0kPnDeEVY6yc8gFJRLVm1CD11hhvrY1BNeS8s5erlI6p+fwbSHQXz+rMXq80/fHGuVbvSz9qwB nRLkHLoEsZWFIlFg4F4oeDJ+Or33nchF788CK71aNCezUqRiFUmRQbN4v/mxSwGQPshrMT6Q9awg wpsejYfhM4UA6Ed9tR9MHd+TzjHpJ5J85RpBUYPgPJusxYT6Lu/fZsWUGALC4tra3rHRtBjxq802 APA6lVDjYfWwhuxnzglkeSrzM4h1cTM9zo/p94ia8KTuBnaRUT71rjspYhM3Wvln4kFVW+SJ8RyV u5+K2TGOtAQOxbn3q7nnEdnQn01KFv9zWwoyi0u28CrwB3KayNsFu/bwJ5Rjm5bjxwbZtjqpGn8c mZIcidtmesRhpiGaFg+o0tS0WLSC+fJHgvWwWdsgNGQzlAx7Y8w+iNzZDnGm/0Q11WPu95QOSPjT CYjrZN651lTaLlD1GheWqma9i5BUfCPmmkvEgfCK+ig6cJJiIK6riYVUFo6338XTVWGZI5ad1t4m +YZnbo981IZe7H0UoZUKax7pypRf0ww61JGNKBaQsV4tIyM8yiNBLajgltoPGnXWHMJ1pBL2kTmL wxsuOP90gCO78OIBct/IPdMWiU3OPgzNkhWDGS6hs8Dby/x/3pvymZvpCbwy/kWAaZhtSOjnE/8w YteKCOGO5jpdaLN66JrcwaqkfLBQWjGJLF2xUN/NXBpvvRE8/qpAfvLbyjo45dP1GkqaOB8LElUl uohq8y5RNgN49YyYeZb6ZQLY7ER4Hs9qJZXzqaUKrxExLzSbk1/rvNgV1ySnbBI5qcRaLTX0KaFt ZqwxZIYPyYAPo+FQEw0I3/BGEf5zmcSKs2+mctrx+kBD9Kct6pS+8hj6ZGzuRTgas6LyD6KNkaqQ NLrH/UWP2+rzBjYSXwavUBkhs6Ist2na5IePxRHfLcIXwzA/7SwNtmKmZ7c8oDEO09nC9rMFgm8y +DNP5XTAGC+qpojbGNBsMNp58kVTtAp/qGOe7zhTWrErHgU3Tqq6jFKZDny1HW6MjA//yXqEfAiQ a7aYkvlPTO1g++kt2JTicNDBKlMPFH/qzLxt76z4IYuDlaP3wrLpHpspwJUs20Sk4+HHeHKJ4rsK JfV89IE7FbzGhl1UKi9DunfzXDJJOyfGRmw5LnkSY+PhPvRUY7wj2MNol9+CAoMs57eVsnHQcDbZ V93U7F9kDC8Lv7Ea0gpWv4OxFtSZjMPfcBpO9E0BnCsT0e0ksRQwGsoNG5xe8O9FS7jYTaXyfZp3 uRRipdvpxSxvObFNciZ3h+wVZiy4LxaDQszHCaCq6C7a3xDLr+Wr+obNrkgRAoiHfUIXRtfsHOTM Q4TTJQ7RV0n3OHYyVLgBLb+RSe30Mk4U/XiarVhdWzDusO9l+njzHg/9RYAY+3M6vZNZrqpBIpV1 vhiVX2XPYJftcvUKuP3iFflKb5+JXXVY3fcdrb7myX+sBMImmt+U2p+ucDjmsbzt95kjCdDfQ41E ZP9KQXyXqx9rKNh6Qo4TSr2BvpP5ANNsvBEhRVIdKGDrg9jeuk+P1DDPOYP5pIgmBHg02iZblpMw 0vpzEkJn+p6Bs2YEOKjjEXV0VShK5JUKAyTVZEJrGNwXJXCOiiNkqBXLcPA1tpTlFIIBaok5CG88 4qKN7tzTwE6o8xSp0JDhBjb4thdPC2LeNFbvqX/T2Ahr4Ur5/RsAoYr1zyDs0wOLfMSCMjnMna+l PvFcVFJz0o+wX82+bQNBrmRyvbCkEVNXE7ZMZtuPAJq4ZL1WsIjeMIn7HBzc5Uwf1XS/S70h/JFD uWPOwesa7y8asZqHbmSVSuq6d68H2Jg0hhyXVAzZUR6leOwJQORdOVALxmFWcZ3jOavxinvUXipc rxjZLlTkA5jVH01JUgXnd3hjBM38mH56a1nRh1XW+obVM/+5YRVuED5HKZ2XR4xmXGpGDsPqOdIl Hvf1pe70w7IL3XYs+DdJs4e+8QiJbj2udTrW+iTQBOyL0QUEGdUnAgoxHgJBln40bzFXU37nQ1Ue EycXLtYFZlW8gSOs2ytEtFZtMmYCjSMZa74OguzsoUS863uZ8ppDIVOPiJIdkGScyrM3QvAE9PVm Oq4LGGF9eS3prLZfXJ+PxR+LQHdOKJvFxdcbLwenSg1Qhkk1VOMVeWkSGMBpYBcBq4hXNIzLigxw sssNOqmwHSTGgQXJMHrUnuNf5dqtHVcifufL/lqi49yOa+sXXYbxE0PdmYYvd2w2KWSpCZzT0Ody dSWLeUBFeaJDHYFuz1i3RMNxAd2BbWlydXBiYHRGl9XxpLXcA4c+/ou5eImtQ/oQMVMGTbMGcOLw 19JjbjvCTARRydmriamI/w1oDFacUrWWncQGpnhNHwdpPNizIJWBpVBChgnLA4Y8tOWMpKIK9aU9 5X4vASI5VcHkyqjrmVLR3cuJcWTzFBwjLYHjrJr0+Ccq62F1iM8Z9Zq93TNXUCQeu8cAIUkHcMsz OWifxS5wDVZ51WYi/CMhm/QzNnqW8ygYHQaaqeMfkPLphjBWpPTaUzHdxAueZ8W5i43MZb91MjBA nENmEU0RwaxkOcfJ18c0FxycHJbnKhrgtwQnXQ8RCRORHDyd6ui9FOACKve+7EbQndpIVOc4pupw rKqiQyx9CNj/GbvhR7cjVP780Gem3TOsR/IOukOYrNwupIRYrNgfLO7ND/1XYTMD06mkR8miHhlE kDlCH5MBMzuQavOnCpZmfXJSracy9/Eu+rgf07dN6OPfdtgN9xxU+DkODzKyGBu84zis3yBBPHpq p1gmzUWJ4K+Cg0VouNzuLff09lH+Iwethhd/NwIhY5qHSKu1HGq/tsKuekV8o1ER4gOG+kGCIozx kK0MZmBbzFYR5eG7T2g4Gt90b2VH5ycoZ1PvbcVn7Dgwco+LAcyKDfCROcawt9vF48J8f4CwkLGk HFGPOGE+iacW+cMAB5GjDXVd9Ihpnl2Fk9K8YWClB2lGRRglke74MiXAtBcb8SG5awD+TZcH2Hm+ dawvpX+MuqR/vmADJ/bxHWsvqj9n9modchpkUBV3l1GJA3O06iD7jnGRq0zfTC2Sf9gFnQ1NeTXZ M80hxmXOFDyZ15D6e5GP4UHDCIyPo9ZUOaqwKyDR1fgYhWUfWoukIN8RE7g+aQ5zSqvgNQYsYqr2 RiaG90PVFXeB4S7S4cpj1kaLBoaPz97A9svsu/MewdMEv+bLDD9h19kI2fTCy2G5cIXA1lgYBJRC VNlq3Reb677/xcSOFAqGPjMFz1u3caakR7fzDDT5AKwuKWdw5I1gKrjAWFXPACf+Bl1Br9V6ATt9 CObFyjylHqdbn0SZuuowRM7r7xbpPWGlzzbD3/FRk2Qk/GYgQjCerM/wqRCmmfv7Z3Benj/0iy5c 5w55Dsfyflk9ATBvJCSNhsIluwcfTWCcWov1puSa7ZaX8ukb3n6z7d6fIRRrNlZ6Y5K3L4xxUImT F9Udfj37AcdGkGCTlgBctxtMGyZQ8UHhxsdebBDM/5wn1wN/3m9gOYYMlAiVPNpqUzZ2sGGSYO18 DUHldpw4HuWbmrOixqvCPF7hmq4Y1Jwm+kT9AG1pXpNU0S5JQQgS18kSy8cqxPIWu7zkW8TcoDD4 TAhsvDQGzD3snngOK8GogWJ/2je+c3gBzQYfbCWm9AUyBbcPhIa90KW5lF3cZjx/tMUdf390YOGm R80K1CkNt8VhYTwXCsY+bK5neBCXUiLLsDotx75UNck/Q+m6faa8vC8UKqbvm6GxT6snlu0784D+ ++NmEInN+1856erXaurx8aB1wL6nm0/sWr9HifxU1TM/PR9ZN8I/zD0yKV9Cw+R1EELhaZvdmgiP kRmgcVweeXFMVyb5P7HF0PcDbQfknX4ry60MLCK95W2GYDyGXB7iQvdS/qmLxnaalcFpnc/H4idR c67PSgbUHqf6GvfzHDwsncc54JN7Iw593pbsW3mklLZQ6crUEtUz7xNtWN6GS/F+0oEWZ3K5PUuD 0hadkH2Vq3ODatel56E6O1xpqmB4rGEWj7+K4qvhoqXqTldU8MERZgMqEw6xQaxDaqdp6I09iYr9 cAh2QkDWcpB9pTC6jetXccrqTiHl79ijhc1K91PqOMOdZb4dgaICyewagnT1MPTRBX/fRfPXir58 +5tExW52fB0UIInACZVIhWYOslKS4QevH4BKvs95ws7MwM+gT3liFYt6jh0dX3yhuNuZlKwpXPD7 lBf3iw4V9Zo+Jg4H0JegnNsQ9GiSqgnzxzt09opau1ELBKJUPOQgKQfVUc9uIqnxu2FpjCg0sZZj Wk51V02DlGEupxVYx62EmaIdC1fCQWYruIRmgBSaGedphoDSy6YA/1aULSAmOstWBPLWP2aBmqkI lA6hdVLIudrAOaYerBaM43+UG6jlMX5szYEkTpe6vOCbTtfobDjQKPNnOVwwfptlhiGLVK8Fegma CI/eUhMbC1wMMAYu5kQ0mFrwiKhy5EEcoXXW98w/n4M9cLWOG7OqZr4QGm4nT2h2kK8UIceNHRas 7X4Ck0lLq7osDJu98HwAC/qsAlNNKCMxsgc3R1UYSBYdi/lqCnj7eaKuNxgr0YRkYpDoK3EjM8XZ mZ0nAqGeCFA7dn33GzTtV7ERzxSdPigS037/38QKCUl4BO1zVMuaGUe38BUPdEmvoIRNflr4tLwj ES7uB1BAgrvHkMSKetc2b+u2tSEK6rDI6Hvx2w5U+d/fiVsFnjO/AKzm4WFp2ESwlfm6sni1qr0k r8241koKoFo4oYEXcu0ELAoGazGVLx5pDYNl7/gqLRch/fYCff3a6iLMDenYvjPpJ2flM0svaOBK B3l/sY8ajT42IfLOBa8DJaW3DCiCs3SvX3cBIB6n1Ad3LgHFz11Q302AhbOqqp9iRAIgHFbL7Fxn LlL2xgDp0JGqos1aLLn+FJ3+P6E9rXtWUqQREwgyf/cq8r5L+QblnMFMX7vef/JXXBLPNrtV7jH8 geUOgG6hpKcZ1HanHWhNqSGiBX76lf4dUjiNL5eDwvInrlI6Jm203V+Q+GTiEH84tAPILdZnL3Po JlTOVdY4G5NhIlb9BVFoKBsPcD0S9VypzRjt/K/WRv0cGQD49m17CqCuLDl9NDnb/C3kV6I9pRVe tKr0h3ZOzkIC0xaDSTm+2PbUPT3kmhDmM5u7AFCGnNYuVAPa4OSnkYllWJhCSYJXobz5xfpUZrmV UtYQxE07tMoHbh2yecrlAHHvgQJoNo8SoYOs+zVo8i2IdHnz1HDbLY7GwmVLju97xuP2KUKrA0Ab PB1m2Uu02ggnOoBmd0pGu+8kp/yTkG9T4G7/DTlgCF1q8q2K6DE3xndDPqtKNenOPVyPq1TUQt1v Bnspyduwx/g35r5Stpl7ATC1MLL2jmrt50nomWooKBUJFj2tLCGlCD55/+ZtP0cSJaj/vwDG2p4b 2UtBo+k7mIKCU40+82TpzJQJ07LRzZyT3I7Q/+FCe/Banz9/a892ZOnhFjw6K+7kHKytpOm1uLwt vmO9R3Eksg5XuqmCSfQ/ce9GPIYA3BhjsfViOd2eOTEp5jZYPmKHnIb++EKRkenR6euwPHNND+Vg oDSyBbMxOxw3A/JA7Q5VLKR0/W+6/AhDPyIH9GQ/qarKrCMTzPoN01X7gqW8ptohz90tQ1mzH//f ZG47Rcf8VgeP5EdpjTFS12uKFqi8T9v2LW0mmQhWV4bI06fg34N6COmxTc50bId2rpWFWKhuMBUp KtwD2eT4I9TrRIHM+/3vRrg7gxNwFQ05jBULKsyBl7pgGeXs8UGfhYygdBzs27o8ocgDgi9VkJdc FYreshPNAB1emETWrnw2ooUSaMXe85aLi3yJWICVk1ZFXSj4jVuSyLvlM390jC9u7vE8AjqAdhia 5AzT+3FOsLz1i+LyMpTbPkR2ZVuo8AxMK5oevD4dYjF+5+0f/2ArvtNBmeWpBITbn8fAmIpjpVWE 82zJdC8Tm+VWeSsQV4RKRca1vkURvj4WJEne0n4/ua5cSOQJlbJjtOW/FBdj9w40NF0UQhPvl6Jo niYLc17/NFTaOcw9Ik9wMNROXjzD+pFZ40/uXeOFTNH2Ye7FCH46K2Xv3ZHoYgsRARu1pRM2gg4o //8SQgsm8WJA1Kgyz9hsQ2U30SrSZO6/lVbWt/g5FQyelzxWuv1kPig6SyYTgWEaZ5tgTXvlXFsq cbfJ2snF6bms5ROQQF/N7nbxZyipOZiff3FzyrSQhNFgRNCJcJTB3vXg+5gkVLmwgqetvgprMAex /EygBv8CS59bzTS4zF+aa98jLKeJgwruUKh+m35r23vBkhs9qyqgDDKdeVFEGX9vsdfFfw41hXXr FQKIeqalYg7WOmp5BtQFWKMC2MPvbqKyKNv82rQOqcc7MM4ifY0lryFjD4yJQqvaXygaCSlvB6pN 2L3dy9XTRyilWwNqHLlwA4mqSwEiQyw206MYBE2C6qeqJb7x0W/qkMMOrJDw/kP6d6Hv5EN2Y8l2 iwYfhJ335Xl5v4YUxXWjLYkYC6NnVu3S94LDTJ2MTzwpIzuWGqFlATt4yeB3m+w4Ww8UaFFUX1IH NTaajBsSpX0tKBANexZYj8Ei0T9Oag3cMI/pEMna0OOTaHUL/pOSIjL1Mu7XcOApsZlbJG1Ih7MR 3klm5AIUF/5DOFMNBoBfwydxTgsZmn1h1xkT2kawP8UgJ7XZSJtGPXDqxmre5chUm49KkZJyukRB IQjfUqmkjQWtI5y/Ta9k/Cq7pZvPDvzTqs3+KqoVXi5lk1jBWUhNKKZGMsDlx0AN3WLPxF+tpxo7 dB+LX1iG1jPEhhIqF/CExEQYcGDbGAQls8SmWWZPN7kON1VDkBPaxs0gX2cqjRP8HVemve/0nY2k 6HNIsSzhsIOousu2E5kIhO8E+driPe7nHUJrqWl+dpH0vtHGzS71ZcFgurMjmGu41sy0olnKolmI BCofIY1iryYZEGj8pZi8pw01GZRMalQO6gKvzrVnoaNoVdWmRmULSo4ZqjkWZ3eYIrV2wIUv/mak JW/pFnlZgHhgp8gXnu/FeWbHDgy1OvZibkL8GvyMO5GoodjqT7Ot72uGwPosDAZF0BsMGEz4YSa7 wNUyygAxwVPZN/Hw9NV99wfdUdY3QwdlQGZ9TIy9vWHlN/nxVWQSvHfsRQg5vawvLY443bKCKLlS LmusUjlF5ziFIAhE+IY1CsvvBgeEA90XpgJEYx98JCp+iuYcusWPTLJ9rrc7u8iTpaUItocT9bIB 44XU4oB0//E5SoZCWis/mGmUORC/4Vkk/I89f2BC3yc0QukVFX/MV8fWDdGnVzUPivMyZsbRUSm1 BNcMOHHxqrsfK1rjBVZgzENAwSUby2s7DXzbc9BkKm3FhF3bt5+MQU31411nXoLtct8PyYt3qANN KFluW9rxOQjp7HXqnS9u3jrov1DVyd6DHAyAGmsF1m6jTcC0GO3V5WsqbTG3DMq+K9EDm4Qgguxr Q4f7LtfwyUNBziHIRAf0xIJjUiBRJERss+z5nfjIByKHEtJu8u9YPX4rafUj31iwcf6DK/wA4LO5 PlU1lZILR9Tqo5SWndqi/CoxGyR8Fk3j+FsaKvSWAHmU2KG2NG2ujZZlVjsG9dTQq4Lav5gWy+IU g2L72MAzGxC3KsJQ/GvnrtX9c42HYAjPXNGlebytKAVby1l4pOZck93pxok9JegBv0rlBZnnFrOy zCeCXD1DBIUYwz34iLz+7yHyhyzRj5n4q14xvSlDGA1ZBKZJAKMbkvmu3mVnVRYMRmUoLwC+cJAd /68Q6oFijgNVKdw20tukDWZIElMQa8SN+SwboJkAiMpsXUVlYuB1bQLcUAoYpVt1vptfRXUmtnfV e+r+GZnvf6sYGZ4l3bdX9IzwDlxyadm5ELFeBE9Cgt04BrfDVlY8dQLUYThCLTGjbj3gFZeo8aoK JKJGMm+G5nNIEf2K5MThKM7ZTP9dBRYbo24OVAEPnV3pLwlwMo9f4Mr2BXRj/xsJErRML2Yx8VVj UXtX491jCm4BsjYxJlDu5h2hMx1UkASxdKucBfG/DpTN6L7dd6W53FOpvLifBlAH++UsDcLpzras a7va0wL0LJWV4C1xf+g3NEGQ0+v1Lu6jpXRiCSXQTVm6ZVBf4eQViArZgw/05a+BZm2ARC37JWR0 HgX+agc8Sq9waU7iuejZhayi7c/VCtNQ7ln+7tDHNjEdDqpDR0XVF/BNXVOWhMyYBV51EYXyDmlE +87UrBel0nvc7UWqqIJ1tBq0EBhtfEHhLlKKR8LPGqNvAtrfL55OZ8VTuIVix8aLJ8T+81CNECiA fCTINwnRM8A+p+FdSDPp9QLDeM5agtug8G2PkBPQKFGQUu4NEqO6O4YK0+hgufiMxxy055vH0068 Si1mYBnu3dDO0izyYyWpfSZZMHv58H/DStbtoSUQX+TIiTF9Dbpc9F4ji6Zmym4KZMY7TM//szGM wHtiCkSWHKhBQznlu67/9KGLQoaZrlbuL2bXALAnLQa5qzl7Kvq7+fQ8rLMxuwKNCKev1+Fo5Zw+ T+oy0tkEJpCbdMri+S24EtLO6aeSOvmtP0uf+MsScmWGeYgulRbEwI8kq3E4SrQ0WNkpnjPwZTQP T5u9M9ujBIdB0XTKlp64cGZQtriaY9cQpwG9UJs2QEHw/zJ+PUbyZ/iANxqAJOzfP4SDeKHs0NG9 IcvgXuwjP3qYDFS/LzaFBacxY5ZY542x/eCYT2XXPHHUkwwduG2NhPT2SERaLqS0bSj6YghQFP71 iIr85HX2W2kz+C/rwFX4Xdh9T9k7jkL33TZE6rkPHVDfRwcs6EkN630aOQPm26GIaUJI4zEDPS+W bvLL9rRaNE9OhDt/awLXMTrt3D8f1fh8P8UOBZFwggQ1rgqnFkDrWp0IapnP0+1bEUhnuIkUxbI/ swl9gc22SBdpqh/Ebhnh9uiWEN3Df/6Tgb78BLshtdyuEXapHSiL9CgLhgJAfUhst5/4GTQ8675s nUELxmdLIxK4W7AR3l0hu6jh6WzzJJV4paWT7gg7jhWXN0bFQQN5cSRceS6MpLCcvNBOt3hT8ogb KNzqipnemBIQLLY1ay6vegkRX6nMnz0XmUq+AULr5G7jNOKktqS+rwBUUJyz9bDc5Fdm3UMXiBtv Fh8HweAUKpRzphmw+1m9hdXtXhXc4srOyuxXRMWO/opeoNBbZ9Yw+BUsIsSTnxkrO+dEzbvDHvEQ vKEev+anwWa/VPXMlCeQ6rR4bA2zx45dTs/qfGKssX9ReiGNfIt8G+Xw0XPpqcMGFw6BrXpy7oOD RLxPHgT5Rcz+v7a6yA8tARh9Cpdkqc91FhepeEkTpG2OBdwIq5prKvc3QFavg36thcL6BHLT9R0+ Kt3Db5oJ1Wtf+9W3bbRx9JmLLMYfToamtcOWZ2Jk1mtgjC5g0wzty/CflLA3oYWWoho4w4pvGLDv OCJFZ9De72r83Gf2ZGx8a5cImgHKWlUNQgHtR0TMsiWor4qliQwr6bcdCeKU+jfyjsTSDZEAQUH5 twC1qbj3YeBCnQTzvKgWqNISc3zsCX1PWr8zsSCPN2W8uQnzP6C5KLivHVPdmUAG0DJ/Xko/OlZe mNw9+9A3Ko1w4u/KO8RWEVGgDPmn/B7i3YRBlUsIQEJzxEgL6QvsL/v/THz9FiZWeP1H01IUR9WV FPn4bwviYLpb4Nv/DzI9n5wZJU/h0aSDTJDkt4JVRLyCrthYOmhTEWoFeZiYoLl3Ng0nTZUchHZG GRWp+c4m8PF9yYBvDCkCksmWGUB+BKiNVE+BKmDyZuPay8Y65HKb01lf1YX4CUY+Cghm9lDQ5z60 OQU8RCRTU7Bm8soGMhkNscgxCsEMLWnqEdx0wu3DlV7veFmzp5A4IXF0QD48IeNQEIZiNyPVFQo9 dEBmuqJi74zWx2wwg902dxd4IcH0WcDDtzx1pmR3OUw72DkkJXQlcwDmvnNoeI0boVPGbsWqfrc4 2zg+w2f9o1fzBHbQNIJ13yGhYC6Sh65D7d/3dIZiPqObRNFwEaH9cWNxXAOKfDmAqW5gnzlcpMWM X4OV49HzTLoktM2a5vVzLbUjEKJFT56vKdfxI0lcW84QgHCdhwo/0gYbbyFvlnf6vL+CHkxnxcqK aXj5MQ1L5QEUH/AfgRj4F6z5go5tUPfN607FarkEkeRrt/JWW9sUASzA6Nmm8AhhUWui0lDviuYb DrKpJ79BloKkPEC5J8MXYZhtRNfX35mNr4KtYmp22qDHL13BuCc2rS1TkUddszdZi0+yyBG5G5lk h+TJ56tQq6HRc1YshJR8K6rQRiCLWOc6ndAujMtrg0VuieqLHxesneCujs6bl7LOYH+2FxcyoJU9 4dTRfPR4nfsWq6taxPl4kr4St0Nw4ikEEaFnTo3gvdBCSGMMWjgfRTvTm/1bbzW5AbiC8E4qsPC7 7aDuVLIm2flzi6RcqDoZAOWp5LSqo8XD3p8pRji81JrsljA9PmezCcr0GQU5uJG/QkjnYs74tGQI qIWZoXXm9knJT5kage0/W5gCQX87cZcQBhfEGG0OAfXHp2pNVm+zFfDmlOgHo+c6IZmOaR9mxvTG OoJjI6sxqfkhw+V31WJjkqV5vRy5qkd8Gi2JI7lTgqNq5D1KcS9G+rYaqoE0IYkVq6r7plQSDUGQ MPQ4Wcadw0VMpXPsOF+iyPHiYWvw1jZVeacpq2OpAmJ15mA4Q6DbFJI/3yGA0pxgOvD0g9rK6zc6 Cc26Q3wZoxe7OB/SbsaE57Mx9mcB+gHXDn82mMmBSbHDAUWWJV+pdm30VRZ4lcyW3Vzh8+iskS6r uB7At8WElKA1EuBkFD8HWabf5cMiPDHWyOKKP4oyWQIWwnmIjNzk6yjNMY3I2a/AMrX0yH7LW1Bf 82FFx/rd0al5dU8uWdSekFc58q5HI/H8VsQh6aS3G/nQH1QlSItq/MEoquU7kR+RHTGQ8vBIIF2X tQJTXgIuh9OggVKpSBwnll6yBE8ZI70NZJ1CX2XrCa583zS7nC4oNMlgZtUf5BbVnMZsvHv3mMQv DEOGjn3wuvlmF+rUfWNCyDVI3fZc31uAeaWy3jrOm7KpXoRwcze++KbQTfbZuEwFgSWVBXg71hHV ATInjrW+OG1wCZnYEGp3KxBEfwn29Yvu9xUpiFeePbAk16muVmOqKhIfvaoomhaFgTgQdAXQXEl2 MxFRsklvQWvveIm1wzQVFqGNak7KMY20ISjDcHivMOtGDnepH89BKK31LZIIoCgXSGW1nWlzwlzE +TB8RhR9LgsDFt1v36zGfc8FctuuAyLna/+HojaIaIiAkaA+71++vfd7yD/mvizhDtPJckMoTbSM QEf5JCTCbSavqwpGqrCJhCQVW71TXrBN32SvH2vE/pMG7jGjkd+mJI/UOArj7BuJGYMGM1qVf1Pd TD/ThXzFaMw1DxkuS+NZu0Mt8CYtMOKiA8m0/2OFZgSHS7VhpeWwwEhd7UyIjHnNuozoCYPX+fqh Ly3WToi+K4N4aLko2MqWHUTzN25PBsBCgAh+sAtMxsU3yhq5/Ftwud+zetsXV6QnCina6zjC/q8q oum81j1wSQN5tyIfMDaYSSY8cZY95FKcmYs0ngB4XV7Bl/+6lTCsHmFs/IT1bbAuAFp0AbdyXdxi OD3oEpSplK21gdZcw00SuXX8taFIeQfanjwYTNSRRrflghKPxwuGaZ3z4nLd8TTlVkba9XhZFgeU MDD8Pu3W/AHycnMhQ3VvZg/fHNM6R3i0JA0ATMKjaS8cAwTcKE34avvPgNg75BqOMtAg1EhjgHZP 5rE+zZ6+S1lBGk3LLp2qqnt8wLw95+55VoTTp/wWuMboe2CATEyqgOYT8+KfsnRLDEmXUU8Rx8Ql N36CgUrU8Hc8QlDOvpIW+iby8ll43hUkSys5bCViw0d2RwhICkK5rycwZQFJyrQkiCj1uiIwdZkh alOF54r5tGExATO2tWPDD/YEzayTs+4MwWaKHY3CCvGdGces2thKLGT60aZhC6uXcIdZQQjXMNJO rsU8rqyOvkd6qr9bG0bC8o4++jGJz/CyfGMiNogcYaUuOEG5reqPV2DTIKUpjjDIkC+qOxSDuaoM ByBFfINWgA/Dw5RWH5aiuzZkO51Tw2sUCag3bOVpFjZkyJe7lyNsPKQggQAK/d+AewB1F4MP2n0u UXjq0uIoKc/kltHHti97MfxWOiNAkqlUnu0FGmNKsu0VfdqvRI85jHKw3D4kTCjEaiTFVR7jYqZJ WlzahOjL3sgaADnx14AHOtDc/FwS43h4XrdXE3AuJZGk7jrqGr96enx7Umg2lWqv3WrWkqnYv6EF p2PdOs7+o1pceUPbWwUs2izS4+LXdKqLwejIa7aCZOqiR1Vb1FXmXDFwzqvw/8l0YPTtV3Ykp3Y6 uctkoiU+hD2CywZLgrywSN+b6fIJbGtTMve95U8a0qfle95cT5rD+O4BfjKEGy+BMPj8dwgW7Sjl lpQVa+a+Mu+gf75vl8fqTCU/2ENO4HVJFuxlv5JQcENMUvb89M21Z1YytHKN6Fxu4/XrqeH2lU2H mLv6gU2CTR8OfhxaGRSroEHqRLaD5dDGNoO6lvCc/xToqTYv7A67y6HgHB1Qr6hzZGwaDbnIybks jlRf+U9d0dwkChjuK/PqV//T3pDBGZePPZ7fAAPi/UILwVBzfSWAetGpQqEQ0SKLrFiL1F9BToB5 c9CdyigY9vl06Km4NipXpFfPlEzX6FdGHHcOuscUHsz/DO6fzW0y3+5IZ8tTgwfeZIbhaL1xnNiJ QJXsRfAF8+VvadehssneoSjCppEfMB3xyufkSZ4xod82w9VdTBOz8chz3QxmRvqJ0BqUFwvYuXnE hxhPfBAVN6sUppWCJ4aYdQng/mEZovjZg5yZcTWEtwzxoabw23xQ/RViB9kVaFcWrfXP/JEx1O9A ypSBYz5pONY+hKnYq5Cwh3L+ei21M9mUrGrNpC5R+tjCpQ63G3EEyaZUlaP1ncZ0dRsqbH3RHnva fZC3Nh3J8fXeEbBaStPu7xE3OBwxweDWuozC3TMctHaaQWS91DzOkMKWMi+bErgkVgOBH/DnXNKd 5tDHtVNyM8Vq1A5wP/dpdNTyIt18FlhsXdXR0N79noEPTsxbW9yFxGLonyPO07Flu7a7JT8HaX6N snG3+UZqSXrsBVHdY9Qd/0GVSm5lhUcytOeTkIHkNPqhli7Cm0j7SBRdiEXYneYge+OERKfhw+IW 6IyP2N6ig41ff/W7YOuUKlsyh5Qz4s48aIi+RBdpGR2o3b0LD9PVnYk8m2p0rPO1j0ljvM6e1DAi lhgn7nUqjANwfsCNvgGdxWxbyuef4KvHsHh2+UWBvUJ7ZAshOHNNrjEkbJIF8k6lijFt/vBgAQZ8 +7mFppJ/jH2CyrKtF1pc4/TfTHcI29EfXihcnYi2v9CPkZnJMa+ybCZI49aFfbaw+s+rbdbPwiY7 kkhMdwS/IDU0lhbk2hY04KdNXOgB0cenQLxJb4D+KrMAUr2ZQOyFNX/CxrNeVEHS5XZDDAnDrdvu Zc2Co1pZXM9aiFGJEgjF+rcPe1hueM6x5oiNpbTgEbBDBqUYeP745hot0m/AV0BevFWE0fDuXq6N BOxRsMCygu0IVcyieX2Dybo7ywUcHdTybUaM5GdHnkTsMMG7a3Y7jyJu0+r8r6jGOHZ3sC6RG0kD YiecGRpRigrTOwyCrha4/y3roNUx/F94+uCMvvu9VzmtH+kIBS0dY0u6RjVE7HSekumsqaxJSKWx 07WYLPQVpECVIyBnRDzQ7fLJOXBp83d4q7M+7iFDU9HKvfFka/TNxhYQLtms2gTnE4pTdKrOEu58 dZE7V1VSCb8LmnQ4t/10RkJXEnQJPadBCM3Pj8Ober+bQZIwovmW1IJHkw2GS/nust52+KUwzrD5 kb6O/26vvw2AKNeK3MYRfRFOfQdw4p0qL4KoZUN84DZZvLeLvKygkHDFqyW4ONHJB1gYxX+ZQDju vw5rWGEUmfNJIM5FOvu2OBEn6fKFOf9ogUjMOobxdlq261COBHARCYCFcSz4y/r38tXu5u7//X9I 2DqQVtwjJak49hVS0f5GgGhhRrHS0xI1eRwNYwY7/4Rx2pEmwEZODk1SvSYuZyiSrLssncVOiIKR jDs2ccQUKjpWSa64mrybYFn0LNkYcNXaAmWXEWuBeZzthRcl96z5nT0DkQCv3FMlQvdyvnawUQ4P GsR/MIJqftiKaafFi9XkY7jlkWHKnl8buSuTUzetAeTB+ONxGLEBoLg88KbaE7edSwYCb1PXkgnU KqpCXuCdhBUtY2iTT7/XjIcbG5OlexhZPOaxaQFHwy+h/2fRn/MH7Vv2ZQLIN1Gzy+eBtgXV+UDe YVRU/sSsQcRRXip8OI/ABXqeUY876TvMyP9q2312WbtjEQ7Jwewc+ErBSuSJKtHO3bwLmrOm7n2D CVwtQvJb1HZ1KTSMUoPhxJNNS3qmqN2iN5CMTY9tHczwzhwnyHEoXZ2Vzw3zd+R41rC3pvhzNl7m 4fBYwCoRjOY2hfYffxA0agVjHNEyx1z16ui0npWz0WHA1VBlPhxv+ns5VqK/TCPu8NCGdp6WrPdL PRpmxAz8XsJkZF9LuJfzDIKiytRbi9GOIHtWmNwdPvPYj5LKV6HakyJfddDjDWfYDTT+MbThyX0S b1b2wvEV7cBhUL9wZgkwNS4utMc93v2ncfs5Z0NyHEqlgWN4SReZLzcGXAwRyQyjOUs/4gV6Kdul UGEwsUs+DizP+tLCzgU0Lgvycowhh1aeKFoizYxaW6B6R/loKjJVelpbAhIohIQDePwRDsiewVgt sVhgYd2LxOUk1TE/V2LDtuAozGQhMji/pzQeFd3/VuJQzE8unR7olRsgi0WAZr3He7pzrgjOTHKz cDonLmaCQenbrX1OyyX3U94rWel+SYACHeJI1x5fKDHvm+m5IEZz2uu/CWp1uCeRFUFQ1I5lT5AI aurFBFdBxszBHU6v05b46bF3fUCcpSa7cacpFYuaDC+wOIX2UD7v8bs417XbcCTzXMbkuZtg71yo beYeQdB3kX/NUC0HEotJcMm9tQ5VEDwxTzJ7AguTAi+T4f/3baIh0HyC8vxqhomJOVECbV5WBul1 GZ+/rPMNNZkOpNZPDABkyOKEaOg6p04Vro3kY/sasUm/P6lbnXAWU77sCQuy6wF9iZOS9IuZ6ihE H8TKdN3c7ri8JPWh+CbB5ZAxYt8wKNiVnBg4+obKCrFmnJTT4Y0S6aOt3wB/zJIspjBprz0Ku1jR 0OnBEWCrjDa4OYbH5vxcpZQp8/tQnMmiwGijz8S8xnRIEHztrVFTEi60/evw+X9XVhiyBojM9XOE itfjJWHaBTbn9QahN9ItSaVnXfKTcsLsawAZ8ZtIrogFTblUNu+HdSKk/U15E/O9oXp1i7/AYpnV ZoWtgvzCf/HLNvuDrkrsKjlMoDm4NCQppuY46lHvG08PsZvSZixQyk4Y/oEvrAdu2QrdBa3CtfNP 6ay2jcnVTdyayx344CyqWifqxdEcQh5NZSUtEK7tt4nxQiVfD7dAfDbwAbXq/ay+/PRP1NLATWf3 Z68Oj6EqmuJu/M2aCzq4N7SL7IDN55SlNiAjccl4A4CuGv2sCoaBhfYHUbKn07iVfW6oCVYPAbKg EdASoSwU4BCpPJ9NXMZjlkBgnxe3PEkrM5TnPFtcioTc8sHC+Mz0OkN0QSqPkF9WL4IYxam5FnUF yBuAeslAMOI+S8ZKKM3eFPB2zPNaJlnsKDV6hrNAn99Lvxm7Orwn+5cUE3+++nbfmw1vDtM+KPDK Ks/iQG8Fy6KeEy2uIocAaQIoaYGQZPHe6u6mAHLTrK1GirpB/tYo6W53xOpBoJDtr9MnHYuPE8M6 dSOgAHYkZU7tpSA+1BP+ePAR2LI85aIC6UcQKR700NlkLY2O7i0fCiOHvyVN43U2MFtuF3t334J0 lcyfRn0kbr+YOkMGCRS3TeMElf+KAs2gGOYBuFLWH38TTGYZpKEcTzyGkXf814U1GAhdG4z3lasz Nwsh/dQ4kMT7nrWg4ihA464jeAqABfIYShigcJ4T/ZYocLEaqeaB5CnaJXBsPXyKvYxktHH9Hcvm YY46W7DD4dVKBd3JrtJX6RPvc5OVKcfguBsb8gjSpeDu6zXO+nk5BCOfnUzTlw+4MR2RyuSdUqP8 UpAsIFQEnRA+px0vKCnPkuWjy5kOUyocw1Ol55xwDkTKGbbzjU3wy7oec0VD3PwneHDEfpfJRBHA JCjAmKgZpDHiZVKOysfbigIu+GPYgBfD94/kpnes+YGluPZ56Z/td8djRKNzl7xvtw8buNDHqVdy IyitRhXzJamirx+GJQOH84Bm9eOb5PyLuHDHMeCKnisOEB+GF4EgQd9RFg27j1hHuOdO7xbQA3u1 Nn7wy+n7Gf1AsvWGf49BMdMUe702qab+9v57UxL7PT+4kwuAd76CG1aG8qZcjTJHAiITHLMkLRwq ozXOUI+tYyU3iAWH/QZB+ovCrQS9LJ16zLLQTp5TApKscWNr9a19F6VFhMnEKIQhxj1TQ6vpopPF 11b8wPNiBUJHPe9WXpBZPfbfme9a0SqPknZmtZQyCD/Mu9IC4ns0PSus0JHPJzdwTl4eoPruHKry o15jr04h50qOWkFVeiXm9ot52sn6uVkL215gx2saHrdBQn5wTJIZBzQ/CzdoAHf9myByWRlDLk2V o/PNwu5J2XzBqll7eobP6LoaBEnxLmzOONirPlO54X63RdubqK4k4Xh5yJr9xrNutS50x08pZAmu lo14hsUHAUZoPfGx88yFXdbMkh1LypVwaA7GKWg6EvIgepnL5eLNzWlUcQCHwJCm7nooUcx0oGzV BeWfbxyKQXHq0/NILhLq28ChMVN8WFZ53LgfSNWLqVuX2NO1mjXmpIXKFjM1sDOAHy1Z7QDuE8Ue VKoQcSBmhekX7uFIJbNwkShLkUGvkYQjsW5GBfTAlg58s+ttO9vyPtUcNgRddsmfaHMgG54dMp// 6AfE0nOXYQqvMi+EJPZEO4d9+aHfn2fMXDLLfsQf6ULF7S9ZTPh+LZQ5YRj7NbbdyUccmXAVG4Ol kB5X+KIuKI9dLRjWfyyDpizbzfyZXAi79hoO8IMbRdvzSdhGN7rDwSTQ3dGQrrN4f5hv2O3uRpJq T50DDV6M5JgG9QVC8CW7BHyoG3K3f86W0s5wz/JpR19f7ILLKCJYXDA3caJ71dtNyYNhEmA7NqW6 Xk0hoNEgp2ELoOBd/yAzuk9CvyIuYq6TFtktXOopAGAdgzsfFF2tP2NeLA2+Um6J0LScsKjqEKCf COi6acEQf8Jq4+f3oTCNfYAOr7D9rI09E6PnM3h6hvDeOAo/WFyJohpiKY9trhqEIAS7z36TDArD jMRFb1GLGREqeM5IrcKGN2xoYrONPXSYXs6HhRKHLRkkkfMyiSVzYrmeRBPs1H79tCaPQ9zlPk67 +U+4uxgJLNhkXO+Dw9oDvol0T4r5BouAM7pYNOWmlpcHyhS27dhgEvGziHgA/E+WAUJCwAP8k1FS YonWMNs7Pyh4XDVVM1AXD5QJg09dnS1UBPkbJ08VeBGcbl5SWqMHjgOUflb/lvMC6A2AsCL+541z Y6BHSo+noRRXwCHBpGZqsoZy+srbXmqJR7atJrssuokCRDxFEJ2tqejvppjQbQFbED2jEFKtaSQZ /XZcwdhhpnSTqA11qcdr0MKirfCh9CxGeQFbxuAIstW1clFums6l39UziLqBp/fN/lHFsmwqpa3c tVXbsjnp8HHgWceQFPjPkl9U+91F651+rznfyYcjivQhKBCmEzJ7eNrigzz6G5sKII8Yx8tvYMAc DDQHFdV/yvw103n/m9+xJX9IMInBqb3f+EHDG+r+RFF8gI2/yy9u6pU7jxNIWM/lqegp9qnYVwYQ 9Zs8gEfhZHKtFCd6FXTnrzTuTLqFN7lMKx2Kq5YUyzwU6SZ9IG9Hk4qMIbmf5RA+HKKurtQLV3hs skR0j+ktFwU1roq1nOdrZVwc0H1/TU4dCFLGJeimy9U0T7T7DIytnMlym9iykP9RvLaMrXsCeGz8 yMJHDyIJ37YH6YGYdi5Nun4th15O3BsHHw2kq+fuC94QshIkRwynr9/ZT6v+4jGckLClKZq01uod +0yHKM4mjgsm1tYbg2NDIxx4xEt/G1iNB5mb7nX9lVJWzez8lScxBY647jddOa9aQaDlduRJpuod 7JzEvkhG2FC+DrZuJCiMY+rozwQ+JRwpZcT5PjKsCrGpR3S6iAyMHDN+nEhbhXdP4Hlx56cj/MKf /DONwo2Zn8XkYPSYNVV8Q2KJMaBd2KrZrPdV4mQ73oPYLlva5ROyntexhfFG6K/9WGG+g7O3w+O9 /ulM0kA2pr2J+I42VdzDexCnklwYcRkMidXZ9AeB+SzjRlU8P54h/0FmnPpY9DsYPwa9pSUFhBtw p8G9mu7BfrXnWqr6SiP7+zlfaJ0ZBQWN+iqa+NZfnWTFNW/uKgdlEu3p/RNMciJ1oxepoDOJyXrk hAXkXChtbqm90fyH3zXz/b9hhmVaWm+iqinuky/r3jLI3YiLOxJ102kyUC5bF+tBb0bKG3Oj4OCD 3tgK/fLBxs/Bkhzyx4maGSKMnZhNg0k0/w9sC5EA3IxhoYWGAQ+3N8wUplhBRyd6XVy/qmI+/OAm TgMazjcXBCFfPCwjdhXMmRCWWKji9+YY7mzQfD8dwxTq3nitpJj9/zHmf939W8iiO7+EUF0G7fhh zuw9xzCagg54kgBxT0sb0z8RV5iWzxI0WW9esaKXtHQFhcgduhvLNG1H2r6Ww1D86rD0H2pKiJDn KeYLzo/4IpKx657c3eqMz4NjqagFj4SDZuHVuMM6qsFjSW7+gtQbiH58TvTUP0ad7AVByAECF+1j 93K8MdB1kRIJS7DoV90vXk8bpY77f1m2UQ2H+cuu+WJVkDm64ied6AQaSSkZ7rzU0ug6fuOhGpgv cw6gn60t94v0AanCQ1OOstP/bKPNJK1KP4o4MxHZlQwA25froINJvD/i+zhkn9ZU6oPTy2nXzb0i s1wanbwyl7HSGbgDLxP6DbsOlmcGYhXNIjOHZuNA59oRVd16zYpDUFW5G4OA6eHmtXjZqKv0Lwco 9ALZ7lLa8w+FG6Qs/g0KZgCviMEuobNmqFn6QZ+a8cVz52sgTkKhMSdCdV68psLkEos2lUavtac3 CE4aCTaP0StnMMdHe3JSLEQ62SpsZdsP+JTIf/LKXZKbkjNJ3veljrAPOUmZGjL5I0aDx/qQV02Y 7AqMeAQDoDuulYqC+sNYycMiOro2OOfiX+zAzred5xQUW3W9iHqG3ho1N2coWJc6RkS/dQRHPXb/ aNxDMGTLBmCUxg2ozQ34fkG4TApG8mR2p1xmVeAmg/1EyBGVfVhZn6/caUI/jIIoR9+Z6hw3O6U+ saPpkizSZUkxu1KkqFomDyeW3sh36P4R8t1U+9fhQLmfj+2egGYXZThiZAZeSCLUo7l2ZxXEMOQv q0pQvQWpPhnRjkoRGFCiHsnb0rI2j0kW8VdzbMqNXUXAgc5jQvucDY8HNFagW6ZuBqiyqN9S5Wmk +/fb/TfgbA4kCdflBUUbSgPVhrZAo04e9vOu703x1FPc6efFXW6Gha8Li8vVzMyLCRrVisYgcuyz zF0PXph0PERHCRcoE/j0PikmjUj0MYm1HnW83+cxm+IoZx3gHyz5Gew17ymnUMcAUzY0rlBt6wv5 y37RCl+ICHf8Gbp/nk4KfaFn2YI+DK70aaTV1ykRCjZYNCdJ8PsQ/igoicVTWpRy127r+YKzK2vH EsI8T/DYAO1p8ZmPzROEeaqUg1B97Ti9O4mb7A4rjWKREjzODpAx9nKX1cQ8OofuHHvQni0sxctY P4UsKV/C4ABoCnWWN/ubPDGIi7ibU8BH/+4LPcnEZFWyf/Moo8ZfNQKVgFLl/OQs1H0mir/y9adg hgi91zJuixM/dBrVGowtQ9G+RworRyRtcg== `protect end_protected
library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity UartClkDiv is port( CLKin : in std_logic; CLKTXD_out : inout std_logic:='0'; CLKRXD_out : inout std_logic:='0'); end UartClkDiv; architecture Behavioral of UartClkDiv is signal count : integer :=1; signal count2 : integer :=1; ---------------------------------------------------------------------- begin ------------------------------------------------- process(CLKin) begin if(CLKin'event and CLKin='1') then count <= count + 1; if(count = 434) then --<FOR CLKIN 100MZ>-- CLKTXD_out <= not CLKTXD_out; count <=1; end if; end if; end process; ------------------------------------------------- process(CLKin) begin if(CLKin'event and CLKin='1') then count2 <= count2 + 1; if(count2 = 27) then --<FOR CLKIN 100MZ>-- CLKRXD_out <= not CLKRXD_out; count2 <=1; end if; end if; end process; end Behavioral;
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; library reconos_v2_01_a; use reconos_v2_01_a.reconos_pkg.all; entity hwt_semaphore is generic ( C_BURST_AWIDTH : integer := 12; C_BURST_DWIDTH : integer := 32 ); port ( clk : in std_logic; reset : in std_logic; i_osif : in osif_os2task_t; o_osif : out osif_task2os_t; -- burst ram interface o_RAMAddr : out std_logic_vector( 0 to C_BURST_AWIDTH-1 ); o_RAMData : out std_logic_vector( 0 to C_BURST_DWIDTH-1 ); i_RAMData : in std_logic_vector( 0 to C_BURST_DWIDTH-1 ); o_RAMWE : out std_logic; o_RAMClk : out std_logic ); end entity; architecture Behavioral of hwt_semaphore is attribute keep_hierarchy : string; attribute keep_hierarchy of Behavioral: architecture is "true"; constant C_SEMAPHORE_A : std_logic_vector(31 downto 0) := X"00000000"; constant C_SEMAPHORE_B : std_logic_vector(31 downto 0) := X"00000001"; type t_state is ( STATE_WAIT_A, STATE_POST_B); signal state : t_state; begin state_proc: process( clk, reset ) begin if reset = '1' then reconos_reset( o_osif, i_osif ); state <= STATE_WAIT_A; elsif rising_edge( clk ) then reconos_begin( o_osif, i_osif ); if reconos_ready( i_osif ) then case state is when STATE_WAIT_A => reconos_sem_wait(o_osif,i_osif,C_SEMAPHORE_A); state <= STATE_POST_B; when STATE_POST_B => reconos_sem_post(o_osif,i_osif,C_SEMAPHORE_B); state <= STATE_WAIT_A; end case; end if; end if; end process; end architecture;
architecture rtl of fifo is begin process begin var1 := '0'when rd_en = '1' else '1'; var2 := '0' when rd_en = '1' else '1'; wr_en_a <= force '0'when rd_en = '1' else '1'; wr_en_b <= force '0' when rd_en = '1' else '1'; end process; concurrent_wr_en_a <= '0'when rd_en = '1' else '1'; concurrent_wr_en_b <= '0' when rd_en = '1' else '1'; end architecture rtl;
architecture rtl of fifo is begin process begin var1 := '0'when rd_en = '1' else '1'; var2 := '0' when rd_en = '1' else '1'; wr_en_a <= force '0'when rd_en = '1' else '1'; wr_en_b <= force '0' when rd_en = '1' else '1'; end process; concurrent_wr_en_a <= '0'when rd_en = '1' else '1'; concurrent_wr_en_b <= '0' when rd_en = '1' else '1'; end architecture rtl;
-------------------------------------------------------------------------------- -- -- UART Loopback Testbench -- -- Self checking testbench that wires the UART in loopback configuration (Rx -- data is echoed back to Tx). An ASCII text is transmitted from the external -- device and the testbench checks that the same text is received by the -- external device. -- -------------------------------------------------------------------------------- -- This work is licensed under the MIT License (see the LICENSE file for terms) -- Copyright 2016 Lymperis Voudouris -------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_textio.all; use std.textio.all; entity tb_uart is end entity tb_uart; architecture behv of tb_uart is ------------------------------------------ -- uart_tx ------------------------------------------ -- Emulates an external UART device Tx -- txdata : Data to transmit -- tx : Tx data line -- T_UART : UART period (bit duration) procedure uart_tx ( variable txdata : in std_logic_vector(7 downto 0); signal tx : inout std_logic; constant T_UART : in time) is begin tx <= '0'; -- start bit wait for T_UART; for i in 0 to 7 loop tx <= txdata(i); wait for T_UART; end loop; tx <= '1'; -- stop bit wait for T_UART; end uart_tx; ------------------------------------------ -- uart_rx ------------------------------------------ -- Emulates an external UART device Rx -- rx : Rx data line -- rxdata : Data received -- T_UART : UART period (bit duration) procedure uart_rx ( signal rx : in std_logic; variable rxdata : out std_logic_vector(7 downto 0); constant T_UART : in time) is begin wait until falling_edge(rx); wait for T_UART/2; for n in 0 to 7 loop wait for T_UART; rxdata(n) := rx; end loop; wait for T_UART; assert (rx = '1') report "Incorrect UART stop bit" severity error; end uart_rx; constant C_CLK_PERIOD : time := 10 ns; -- 100 MHz constant C_UART_PERIOD : time := 800 ns; -- 1.25 Mbaud signal clk : std_logic := '0'; signal rst : std_logic := '0'; signal tx_i : std_logic := '1'; signal tx_data_i : std_logic_vector(7 downto 0) := (others=>'0'); signal tx_data_wr_i : std_logic := '0'; signal tx_fifo_full_i : std_logic := '0'; signal rx_i : std_logic := '1'; signal rx_data_i : std_logic_vector(7 downto 0) := (others=>'0'); signal rx_data_rd_i : std_logic := '0'; signal rx_fifo_empty_i : std_logic := '0'; signal transmitted_text : string(1 to 9) := "TEST_1234"; begin clk <= not clk after C_CLK_PERIOD/2; rst <= '1', '0' after 1000 ns; -- Loopback. Connect rx fifo output to tx fifo input tx_data_i <= rx_data_i; -- External device UART transmitter proc_external_uart_tx: process variable txdata : std_logic_vector(7 downto 0) := (others=>'0'); begin wait until falling_edge(rst); -- transmit each character of the string (least significant char first) for n in transmitted_text'range loop wait for 133 ns; -- wait some arbitrary amount of time txdata := std_logic_vector(to_unsigned(character'pos(transmitted_text(n)), 8)); uart_tx(txdata, rx_i, C_UART_PERIOD); end loop; wait; end process; -- Read/Write UART Rx/Tx FIFOs proc_loopback: process begin wait until falling_edge(rst); -- Repeat for every character for n in transmitted_text'range loop -- wait until rx fifo has some data if (rx_fifo_empty_i='1') then wait until rx_fifo_empty_i = '0'; end if; -- Read pulse wait until rising_edge(clk); rx_data_rd_i <= '1'; wait until rising_edge(clk); rx_data_rd_i <= '0'; -- check if tx fifo is full before writing -- any data (not really necessary in loopback -- configuration) if (tx_fifo_full_i = '1') then wait until tx_fifo_full_i = '0'; end if; -- Write pulse wait until rising_edge(clk); tx_data_wr_i <= '1'; wait until rising_edge(clk); tx_data_wr_i <= '0'; end loop; wait; end process; -- External device UART receiver proc_external_uart_rx: process variable rxdata : std_logic_vector(7 downto 0) := (others=>'0'); variable received_text : string(transmitted_text'range); begin -- Receive characters and store them in a string for n in transmitted_text'range loop uart_rx(tx_i, rxdata, C_UART_PERIOD); received_text(n) := character'val(to_integer(unsigned(rxdata))); end loop; -- Fail simulation if received text is not equal to the transmitted text assert (received_text = transmitted_text) report "Received text: " & received_text & " is not equal to trasmitted text: " & transmitted_text severity failure; -- All is well. Report success assert false report "Successfuly received transmitted text: " & received_text severity note; wait; end process; ------------------------------------------------ -- UART ------------------------------------------------ uart_inst : entity work.uart(rtl) generic map( G_BAUD_RATE => 1250000, G_CLOCK_FREQ => 100.0e6 ) port map( clk => clk, rst => rst, tx_data_in => tx_data_i, tx_data_wr_in => tx_data_wr_i, tx_fifo_full_out => tx_fifo_full_i, tx_out => tx_i, rx_in => rx_i, rx_data_rd_in => rx_data_rd_i, rx_data_out => rx_data_i, rx_fifo_empty_out => rx_fifo_empty_i ); end architecture behv;
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA library ieee_proposed; use ieee_proposed.mechanical_systems.all; entity stop_r is generic ( k_stop : real := 1.0e6; ang_max : real := 1.05; ang_min : real := -1.05; damp_stop : real := 1.0e2 ); port ( terminal ang1, ang2 : rotational ); end entity stop_r; ---------------------------------------------------------------- architecture ideal of stop_r is quantity qvelocity : velocity; quantity ang across trq through ang1 to ang2; begin qvelocity == ang'dot; if ang > ang_max use -- Hit upper stop, generate opposing torque trq == k_stop * (ang - ang_max) + (damp_stop * qvelocity); elsif ang > ang_min use -- Between stops, no opposing torque trq == 0.0; else -- Hit lower stop, generate opposing torque trq == k_stop * (ang - ang_min) + (damp_stop * qvelocity); end use; break on ang'above(ang_min), ang'above(ang_max); end architecture ideal;
library IEEE; use IEEE.STD_LOGIC_1164.all; entity NOR2 is port ( a, b: in std_logic; z : out std_logic ); end NOR2; architecture Arch of NOR2 is begin Z <= a nor b; end Arch;
library IEEE; use IEEE.STD_LOGIC_1164.all; entity NOR2 is port ( a, b: in std_logic; z : out std_logic ); end NOR2; architecture Arch of NOR2 is begin Z <= a nor b; end Arch;
library IEEE; use IEEE.STD_LOGIC_1164.all; entity NOR2 is port ( a, b: in std_logic; z : out std_logic ); end NOR2; architecture Arch of NOR2 is begin Z <= a nor b; end Arch;
library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; -- La video ram es implementada con la dual port ram, por ende A es la escritura y B lectura. entity video_ram is generic( -- Bits por fila/columna N_bits_row : integer := 10; N_bits_col : integer := 10; -- Numero de filas/columnas N_rows : integer := 480; ---NO SE USAN N_cols : integer := 640 ); port( clock: in std_logic; write_enable : in std_logic; barrido: in std_logic; A_row : in std_logic_vector(N_bits_row-1 downto 0); B_row : in std_logic_vector(N_bits_row-1 downto 0); A_col : in std_logic_vector(N_bits_col-1 downto 0); B_col : in std_logic_vector(N_bits_col-1 downto 0); data_A : in std_logic; data_B : out std_logic ); end entity video_ram; architecture video_ram_arch of video_ram is --Declaracion de componentes a utilizar (se utiliza la dual port ram) component dual_port_ram is generic( data_width : natural := 1; addr_width : natural := 18 ); port( clock: in std_logic; write_enable : in std_logic; barrido : in std_logic; addr_A : in std_logic_vector(addr_width-1 downto 0); addr_B : in std_logic_vector(addr_width-1 downto 0); data_A : in std_logic_vector(data_width-1 downto 0); data_B : out std_logic_vector(data_width-1 downto 0) ); end component; --Senales auxiliares signal address_A :std_logic_vector(N_bits_row+N_bits_col -1 downto 0):= (others => '0'); signal address_B :std_logic_vector(N_bits_row+N_bits_col -1 downto 0):= (others => '0'); begin address_A <= A_row & A_col; --La dirección A es la concantenacion de fila y columna ---CREO Q HAY Q OPTIMIZAR ESTO address_B <= B_row & B_col; --La dirección B es la concantenacion de fila y columna ---mirar gen_dirs.vhd --Instanciación de los componentes a utilizar video_dual_port_ram: dual_port_ram generic map( data_width => 1, addr_width => 5---N_bits_row + N_bits_col ---TESTEO ) port map( clock =>clock, write_enable =>write_enable, barrido => barrido, addr_A =>"00000",---address_A, addr_B =>"00000",---address_B, data_A(0) =>data_A, data_B(0) =>data_B ); end video_ram_arch;
-- ------------------------------------------------------------- -- -- Generated Configuration for inst_ok_2_e -- -- Generated -- by: wig -- on: Fri Jul 15 13:54:30 2005 -- cmd: h:/work/eclipse/mix/mix_0.pl -nodelta ../macro.xls -- -- !!! Do not edit this file! Autogenerated by MIX !!! -- $Author: wig $ -- $Id: inst_ok_2_e-c.vhd,v 1.2 2005/07/15 16:20:01 wig Exp $ -- $Date: 2005/07/15 16:20:01 $ -- $Log: inst_ok_2_e-c.vhd,v $ -- Revision 1.2 2005/07/15 16:20:01 wig -- Update all testcases; still problems though -- -- -- Based on Mix Entity Template built into RCSfile: MixWriter.pm,v -- Id: MixWriter.pm,v 1.55 2005/07/13 15:38:34 wig Exp -- -- Generator: mix_0.pl Version: Revision: 1.36 , [email protected] -- (C) 2003 Micronas GmbH -- -- -------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; -- No project specific VHDL libraries/conf -- -- Start of Generated Configuration inst_ok_2_rtl_conf / inst_ok_2_e -- configuration inst_ok_2_rtl_conf of inst_ok_2_e is for rtl -- Generated Configuration end for; end inst_ok_2_rtl_conf; -- -- End of Generated Configuration inst_ok_2_rtl_conf -- -- --!End of Configuration/ies -- --------------------------------------------------------------
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity IPv4_source is port( data_in : in std_logic_vector(7 downto 0); enable : in std_logic; reset : in std_logic; clk : in std_logic; source : out std_logic_vector(31 downto 0) ); end IPv4_source; architecture Behavioral of IPv4_source is signal address_counter : std_logic_vector(10 downto 0) := (others=>'0'); begin process (clk) begin if rising_edge(clk) then if reset = '1' then address_counter <= (others=>'0'); elsif enable = '1' then address_counter <= address_counter+1; end if; end if; end process; process (clk) begin if rising_edge(clk) then if reset = '1' then source <= (others=>'0'); elsif address_counter = 27 then source(31 downto 24) <= data_in; elsif address_counter = 28 then source(23 downto 16) <= data_in; elsif address_counter = 29 then source(15 downto 8) <= data_in; elsif address_counter = 30 then source(7 downto 0) <= data_in; end if; end if; end process; end Behavioral;
-- (c) Copyright 1995-2015 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:axi_timer:2.0 -- IP Revision: 6 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY axi_timer_v2_0; USE axi_timer_v2_0.axi_timer; ENTITY Test_AXI_Master_simple_v1_0_hw_1_axi_timer_0_0 IS PORT ( capturetrig0 : IN STD_LOGIC; capturetrig1 : IN STD_LOGIC; generateout0 : OUT STD_LOGIC; generateout1 : OUT STD_LOGIC; pwm0 : OUT STD_LOGIC; interrupt : OUT STD_LOGIC; freeze : IN STD_LOGIC; s_axi_aclk : IN STD_LOGIC; s_axi_aresetn : IN STD_LOGIC; s_axi_awaddr : IN STD_LOGIC_VECTOR(4 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; s_axi_araddr : IN STD_LOGIC_VECTOR(4 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC ); END Test_AXI_Master_simple_v1_0_hw_1_axi_timer_0_0; ARCHITECTURE Test_AXI_Master_simple_v1_0_hw_1_axi_timer_0_0_arch OF Test_AXI_Master_simple_v1_0_hw_1_axi_timer_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF Test_AXI_Master_simple_v1_0_hw_1_axi_timer_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT axi_timer IS GENERIC ( C_FAMILY : STRING; C_COUNT_WIDTH : INTEGER; C_ONE_TIMER_ONLY : INTEGER; C_TRIG0_ASSERT : STD_LOGIC; C_TRIG1_ASSERT : STD_LOGIC; C_GEN0_ASSERT : STD_LOGIC; C_GEN1_ASSERT : STD_LOGIC; C_S_AXI_DATA_WIDTH : INTEGER; C_S_AXI_ADDR_WIDTH : INTEGER ); PORT ( capturetrig0 : IN STD_LOGIC; capturetrig1 : IN STD_LOGIC; generateout0 : OUT STD_LOGIC; generateout1 : OUT STD_LOGIC; pwm0 : OUT STD_LOGIC; interrupt : OUT STD_LOGIC; freeze : IN STD_LOGIC; s_axi_aclk : IN STD_LOGIC; s_axi_aresetn : IN STD_LOGIC; s_axi_awaddr : IN STD_LOGIC_VECTOR(4 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; s_axi_araddr : IN STD_LOGIC_VECTOR(4 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC ); END COMPONENT axi_timer; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF Test_AXI_Master_simple_v1_0_hw_1_axi_timer_0_0_arch: ARCHITECTURE IS "axi_timer,Vivado 2014.4"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF Test_AXI_Master_simple_v1_0_hw_1_axi_timer_0_0_arch : ARCHITECTURE IS "Test_AXI_Master_simple_v1_0_hw_1_axi_timer_0_0,axi_timer,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF Test_AXI_Master_simple_v1_0_hw_1_axi_timer_0_0_arch: ARCHITECTURE IS "Test_AXI_Master_simple_v1_0_hw_1_axi_timer_0_0,axi_timer,{x_ipProduct=Vivado 2014.4,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=axi_timer,x_ipVersion=2.0,x_ipCoreRevision=6,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_FAMILY=zynq,C_COUNT_WIDTH=32,C_ONE_TIMER_ONLY=0,C_TRIG0_ASSERT=1,C_TRIG1_ASSERT=1,C_GEN0_ASSERT=1,C_GEN1_ASSERT=1,C_S_AXI_DATA_WIDTH=32,C_S_AXI_ADDR_WIDTH=5}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF interrupt: SIGNAL IS "xilinx.com:signal:interrupt:1.0 INTERRUPT INTERRUPT"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 S_AXI_ACLK CLK"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 S_AXI_RST RST"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awaddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWADDR"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wstrb: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WSTRB"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_bresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BRESP"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_bvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_bready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_araddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARADDR"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_arvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_arready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RRESP"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RREADY"; BEGIN U0 : axi_timer GENERIC MAP ( C_FAMILY => "zynq", C_COUNT_WIDTH => 32, C_ONE_TIMER_ONLY => 0, C_TRIG0_ASSERT => '1', C_TRIG1_ASSERT => '1', C_GEN0_ASSERT => '1', C_GEN1_ASSERT => '1', C_S_AXI_DATA_WIDTH => 32, C_S_AXI_ADDR_WIDTH => 5 ) PORT MAP ( capturetrig0 => capturetrig0, capturetrig1 => capturetrig1, generateout0 => generateout0, generateout1 => generateout1, pwm0 => pwm0, interrupt => interrupt, freeze => freeze, s_axi_aclk => s_axi_aclk, s_axi_aresetn => s_axi_aresetn, s_axi_awaddr => s_axi_awaddr, s_axi_awvalid => s_axi_awvalid, s_axi_awready => s_axi_awready, s_axi_wdata => s_axi_wdata, s_axi_wstrb => s_axi_wstrb, s_axi_wvalid => s_axi_wvalid, s_axi_wready => s_axi_wready, s_axi_bresp => s_axi_bresp, s_axi_bvalid => s_axi_bvalid, s_axi_bready => s_axi_bready, s_axi_araddr => s_axi_araddr, s_axi_arvalid => s_axi_arvalid, s_axi_arready => s_axi_arready, s_axi_rdata => s_axi_rdata, s_axi_rresp => s_axi_rresp, s_axi_rvalid => s_axi_rvalid, s_axi_rready => s_axi_rready ); END Test_AXI_Master_simple_v1_0_hw_1_axi_timer_0_0_arch;
-- (c) Copyright 1995-2015 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:axi_timer:2.0 -- IP Revision: 6 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY axi_timer_v2_0; USE axi_timer_v2_0.axi_timer; ENTITY Test_AXI_Master_simple_v1_0_hw_1_axi_timer_0_0 IS PORT ( capturetrig0 : IN STD_LOGIC; capturetrig1 : IN STD_LOGIC; generateout0 : OUT STD_LOGIC; generateout1 : OUT STD_LOGIC; pwm0 : OUT STD_LOGIC; interrupt : OUT STD_LOGIC; freeze : IN STD_LOGIC; s_axi_aclk : IN STD_LOGIC; s_axi_aresetn : IN STD_LOGIC; s_axi_awaddr : IN STD_LOGIC_VECTOR(4 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; s_axi_araddr : IN STD_LOGIC_VECTOR(4 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC ); END Test_AXI_Master_simple_v1_0_hw_1_axi_timer_0_0; ARCHITECTURE Test_AXI_Master_simple_v1_0_hw_1_axi_timer_0_0_arch OF Test_AXI_Master_simple_v1_0_hw_1_axi_timer_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF Test_AXI_Master_simple_v1_0_hw_1_axi_timer_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT axi_timer IS GENERIC ( C_FAMILY : STRING; C_COUNT_WIDTH : INTEGER; C_ONE_TIMER_ONLY : INTEGER; C_TRIG0_ASSERT : STD_LOGIC; C_TRIG1_ASSERT : STD_LOGIC; C_GEN0_ASSERT : STD_LOGIC; C_GEN1_ASSERT : STD_LOGIC; C_S_AXI_DATA_WIDTH : INTEGER; C_S_AXI_ADDR_WIDTH : INTEGER ); PORT ( capturetrig0 : IN STD_LOGIC; capturetrig1 : IN STD_LOGIC; generateout0 : OUT STD_LOGIC; generateout1 : OUT STD_LOGIC; pwm0 : OUT STD_LOGIC; interrupt : OUT STD_LOGIC; freeze : IN STD_LOGIC; s_axi_aclk : IN STD_LOGIC; s_axi_aresetn : IN STD_LOGIC; s_axi_awaddr : IN STD_LOGIC_VECTOR(4 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; s_axi_araddr : IN STD_LOGIC_VECTOR(4 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC ); END COMPONENT axi_timer; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF Test_AXI_Master_simple_v1_0_hw_1_axi_timer_0_0_arch: ARCHITECTURE IS "axi_timer,Vivado 2014.4"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF Test_AXI_Master_simple_v1_0_hw_1_axi_timer_0_0_arch : ARCHITECTURE IS "Test_AXI_Master_simple_v1_0_hw_1_axi_timer_0_0,axi_timer,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF Test_AXI_Master_simple_v1_0_hw_1_axi_timer_0_0_arch: ARCHITECTURE IS "Test_AXI_Master_simple_v1_0_hw_1_axi_timer_0_0,axi_timer,{x_ipProduct=Vivado 2014.4,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=axi_timer,x_ipVersion=2.0,x_ipCoreRevision=6,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_FAMILY=zynq,C_COUNT_WIDTH=32,C_ONE_TIMER_ONLY=0,C_TRIG0_ASSERT=1,C_TRIG1_ASSERT=1,C_GEN0_ASSERT=1,C_GEN1_ASSERT=1,C_S_AXI_DATA_WIDTH=32,C_S_AXI_ADDR_WIDTH=5}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF interrupt: SIGNAL IS "xilinx.com:signal:interrupt:1.0 INTERRUPT INTERRUPT"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 S_AXI_ACLK CLK"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 S_AXI_RST RST"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awaddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWADDR"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wstrb: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WSTRB"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_bresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BRESP"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_bvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_bready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_araddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARADDR"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_arvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_arready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RRESP"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RREADY"; BEGIN U0 : axi_timer GENERIC MAP ( C_FAMILY => "zynq", C_COUNT_WIDTH => 32, C_ONE_TIMER_ONLY => 0, C_TRIG0_ASSERT => '1', C_TRIG1_ASSERT => '1', C_GEN0_ASSERT => '1', C_GEN1_ASSERT => '1', C_S_AXI_DATA_WIDTH => 32, C_S_AXI_ADDR_WIDTH => 5 ) PORT MAP ( capturetrig0 => capturetrig0, capturetrig1 => capturetrig1, generateout0 => generateout0, generateout1 => generateout1, pwm0 => pwm0, interrupt => interrupt, freeze => freeze, s_axi_aclk => s_axi_aclk, s_axi_aresetn => s_axi_aresetn, s_axi_awaddr => s_axi_awaddr, s_axi_awvalid => s_axi_awvalid, s_axi_awready => s_axi_awready, s_axi_wdata => s_axi_wdata, s_axi_wstrb => s_axi_wstrb, s_axi_wvalid => s_axi_wvalid, s_axi_wready => s_axi_wready, s_axi_bresp => s_axi_bresp, s_axi_bvalid => s_axi_bvalid, s_axi_bready => s_axi_bready, s_axi_araddr => s_axi_araddr, s_axi_arvalid => s_axi_arvalid, s_axi_arready => s_axi_arready, s_axi_rdata => s_axi_rdata, s_axi_rresp => s_axi_rresp, s_axi_rvalid => s_axi_rvalid, s_axi_rready => s_axi_rready ); END Test_AXI_Master_simple_v1_0_hw_1_axi_timer_0_0_arch;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.constants.all; entity spirom_wb8 is Port( -- bus signal naming according to Wishbone B4 spec CLK_I: in std_logic; STB_I: in std_logic; ADR_I: in std_logic_vector(XLEN-1 downto 0); DAT_O: out std_logic_vector(7 downto 0); ACK_O: out std_logic; -- SPI signal lines I_spi_miso: in std_logic := '0'; O_spi_sel: out std_logic := '1'; O_spi_clk: out std_logic := '0'; O_spi_mosi: out std_logic := '0' ); end spirom_wb8; architecture Behavioral of spirom_wb8 is signal tx_data, rx_data: std_logic_vector(7 downto 0) := X"00"; signal tx_start: boolean := false; signal spi_busy: boolean := true; begin spimaster_instance: entity work.spimaster port map( I_clk => CLK_I, I_tx_data => tx_data, I_tx_start => tx_start, I_spi_miso => I_spi_miso, O_spi_clk => O_spi_clk, O_spi_mosi => O_spi_mosi, O_rx_data => rx_data, O_busy => spi_busy ); process(CLK_I) type ctrlstates is (IDLE, OPCODE, ADDR1, ADDR2, ADDR3, READ1, READ2, WAITSTATE, TX1, TX2); variable state, retstate: ctrlstates := IDLE; variable doack: std_logic := '0'; begin if rising_edge(CLK_I) then doack := '0'; O_spi_sel <= '0'; -- select device case state is when IDLE => O_spi_sel <= '1'; -- deselect device if not spi_busy and STB_I = '1' then state := OPCODE; end if; when OPCODE => tx_data <= X"03"; state := TX1; retstate := ADDR1; when ADDR1 => tx_data <= ADR_I(23 downto 16); state := TX1; retstate := ADDR2; when ADDR2 => tx_data <= ADR_I(15 downto 8); state := TX1; retstate := ADDR3; when ADDR3 => tx_data <= ADR_I(7 downto 0); state := TX1; retstate := READ1; when READ1 => tx_data <= X"00"; state := TX1; retstate := READ2; when READ2 => doack := '1'; state := WAITSTATE; when WAITSTATE => state := IDLE; when TX1 => -- signal beginning of transmission tx_start <= true; -- wait for ack that transmission is in progress if spi_busy then state := TX2; end if; when TX2 => tx_start <= false; -- wait until transmission has ended if not spi_busy then state := retstate; end if; end case; end if; DAT_O <= rx_data; ACK_O <= doack and STB_I; end process; end Behavioral;
entity call2 is end; architecture behav of call2 is procedure p (n : natural) is begin for i in 1 to n loop report "hello"; wait for 1 ns; end loop; end p; begin process begin p (5); report "SUCCESS"; wait; end process; end behav;
entity call2 is end; architecture behav of call2 is procedure p (n : natural) is begin for i in 1 to n loop report "hello"; wait for 1 ns; end loop; end p; begin process begin p (5); report "SUCCESS"; wait; end process; end behav;
-- ---------------------------------------------------------------------- -- DspUnit : Advanced So(P)C Sequential Signal Processor -- Copyright (C) 2007-2010 by Adrien LELONG (www.lelongdunet.com) -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the -- Free Software Foundation, Inc., -- 59 Temple Place - Suite 330, Boston, MA 02111-1307, USA. -- ---------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.numeric_std.all; use work.dspalu_pac.all; use work.dspunit_pac.all; ------------------------------------------------------------------------------- entity dsp_cmdregs is port ( clk : in std_logic; clk_cpu : in std_logic; reset : in std_logic; op_done : in std_logic; addr_cmdreg : in std_logic_vector((cmdreg_addr_width - 1) downto 0); data_in_cmdreg : in std_logic_vector((cmdreg_data_width - 1) downto 0); wr_en_cmdreg : in std_logic; data_out_cmdreg : out std_logic_vector((cmdreg_data_width - 1) downto 0); offset_0 : out unsigned((cmdreg_width - 1) downto 0); offset_1 : out unsigned((cmdreg_width - 1) downto 0); offset_2 : out unsigned((cmdreg_width - 1) downto 0); length0 : out std_logic_vector((cmdreg_data_width - 1) downto 0); length1 : out std_logic_vector((cmdreg_data_width - 1) downto 0); length2 : out std_logic_vector((cmdreg_data_width - 1) downto 0); opflag_select : out std_logic_vector((opflag_width - 1) downto 0); opcode_select : out std_logic_vector((opcode_width - 1) downto 0); irq : out std_logic; debug : out std_logic_vector(15 downto 0) ); end dsp_cmdregs; --=---------------------------------------------------------------------------- architecture archi_dsp_cmdregs of dsp_cmdregs is ----------------------------------------------------------------------------- -- @constants definition ----------------------------------------------------------------------------- constant c_refresh_cmdreg_length : integer := 10; --=-------------------------------------------------------------------------- -- -- @component declarations -- ----------------------------------------------------------------------------- component dsp_cmdpipe port ( reset : in std_logic; clk : in std_logic; cmd_out : out t_dsp_cmdregs; read : in std_logic; empty : out std_logic; cmd_in : in t_dsp_cmdregs; write : in std_logic; full : out std_logic ); end component; --=-------------------------------------------------------------------------- -- @signals definition ----------------------------------------------------------------------------- signal s_dsp_cmdregs : t_dsp_cmdregs; signal s_dsp_cmdregs_buf : t_dsp_cmdregs; signal s_dsp_cmdpipe_out : t_dsp_cmdregs; signal s_dsp_bus : t_dsp_bus; signal s_dsp_bus_conv_circ : t_dsp_bus; signal s_op_conv_circ_en : std_logic; signal s_opflag_select_inreg : std_logic_vector((opflag_width - 1) downto 0); signal s_opcode_select_inreg : std_logic_vector((opcode_width - 1) downto 0); signal s_op_run_resync : std_logic; signal s_op_run_sync : std_logic; signal s_op_done_sync : std_logic; signal s_op_done_resync : std_logic; signal s_lut_out : std_logic_vector((lut_out_width - 1) downto 0); signal s_load_pipe : std_logic; signal s_status_reg : std_logic_vector((cmdreg_width - 1) downto 0); signal s_read : std_logic; signal s_empty : std_logic; signal s_write : std_logic; signal s_full : std_logic; signal s_run_flag : std_logic; signal s_pipe_loaded : std_logic; signal s_op_run : std_logic; signal s_op_done_irq : std_logic; signal s_empty_irq : std_logic; signal s_current_sr : std_logic_vector((cmdreg_width - 1) downto 0); signal s_empty_reg : std_logic; signal s_op_done_reg : std_logic; signal s_empty_ie : std_logic; signal s_op_done_ie : std_logic; begin -- archs_dsp_cmdregs ----------------------------------------------------------------------------- -- -- @instantiations -- ----------------------------------------------------------------------------- dsp_cmdpipe_1 : dsp_cmdpipe port map ( reset => reset, clk => clk_cpu, cmd_out => s_dsp_cmdpipe_out, read => s_read, empty => s_empty, cmd_in => s_dsp_cmdregs_buf, write => s_write, full => s_full); --=--------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Register bank accessible from controler ------------------------------------------------------------------------------- p_cmdreg_buf : process (clk_cpu, reset) begin -- process p_cmdreg_buf if reset = '0' then s_dsp_cmdregs_buf <= dsp_cmdregs_init; elsif rising_edge(clk_cpu) then -- rising clock edge if(wr_en_cmdreg = '1') then s_dsp_cmdregs_buf(conv_integer(addr_cmdreg)) <= data_in_cmdreg; else s_dsp_cmdregs_buf(DSPADDR_SR) <= s_status_reg; end if; data_out_cmdreg <= s_dsp_cmdregs_buf(conv_integer(addr_cmdreg)); end if; end process p_cmdreg_buf; ------------------------------------------------------------------------------- -- Bits of status register (readable from cpu) ------------------------------------------------------------------------------- s_status_reg(DSP_SRBIT_LOADED) <= s_full; s_status_reg(DSP_SRBIT_DONE) <= s_op_done_resync; s_status_reg(DSP_SRBIT_RUN) <= s_run_flag and (not s_load_pipe); s_run_flag <= s_dsp_cmdregs_buf(DSPADDR_SR)(DSP_SRBIT_RUN); s_status_reg(DSP_SRBIT_DONE_IE) <= s_dsp_cmdregs_buf(DSPADDR_SR)(DSP_SRBIT_DONE_IE); s_status_reg(DSP_SRBIT_EMPTY_IE) <= s_dsp_cmdregs_buf(DSPADDR_SR)(DSP_SRBIT_EMPTY_IE); s_status_reg(DSP_SRBIT_DONE_IF) <= s_op_done_irq; s_status_reg(DSP_SRBIT_EMPTY_IF) <= s_empty_irq; s_status_reg(cmdreg_width - 1 downto DSP_SRBIT_UNUSED) <= (others => '0'); s_op_done_irq <= '1' when op_done = '1' and s_op_done_reg = '0' else s_dsp_cmdregs_buf(DSPADDR_SR)(DSP_SRBIT_DONE_IF); s_empty_irq <= '1' when s_empty = '1' and s_empty_reg = '0' else s_dsp_cmdregs_buf(DSPADDR_SR)(DSP_SRBIT_EMPTY_IF); s_empty_ie <= s_status_reg(DSP_SRBIT_EMPTY_IE); s_op_done_ie <= s_current_sr(DSP_SRBIT_DONE_IE); irq <= (s_empty and s_empty_ie) or (op_done and s_op_done_ie); p_irq : process (clk_cpu) begin -- process p_irq if rising_edge(clk_cpu) then -- rising clock edge s_op_done_reg <= op_done; s_empty_reg <= s_empty; end if; end process p_irq; ------------------------------------------------------------------------------- -- Control injection of datas in pipe ------------------------------------------------------------------------------- p_ctrl_pipe : process (clk_cpu) begin -- process p_cmdreg_buf if rising_edge(clk_cpu) then -- rising clock edge if s_load_pipe = '1' then s_write <= '1'; s_pipe_loaded <= '1'; elsif s_run_flag = '0' then s_pipe_loaded <= '0'; s_write <= '0'; else s_write <= '0'; end if; end if; end process p_ctrl_pipe; s_load_pipe <= s_run_flag and (not s_pipe_loaded) and (not s_full); ------------------------------------------------------------------------------- -- Control the pipe output ------------------------------------------------------------------------------- p_pipe_out : process (clk_cpu, reset) begin -- process p_pipe_out if reset = '0' then s_op_run <= '0'; elsif rising_edge(clk_cpu) then -- rising clock edge if s_op_done_resync = '1' then s_op_run <= '0'; s_read <= '0'; elsif s_op_run = '0' and s_empty = '0' then s_read <= '1'; s_op_run <= '1'; s_dsp_cmdregs <= s_dsp_cmdpipe_out; else s_read <= '0'; end if; s_op_done_sync <= op_done; s_op_done_resync <= s_op_done_sync; end if; end process p_pipe_out; ------------------------------------------------------------------------------- -- Synchronization of command signals to the dspunit clock ------------------------------------------------------------------------------- p_synccmd : process (clk) begin -- process p_synccmd if rising_edge(clk) then -- rising clock edge s_op_run_sync <= s_op_run; s_op_run_resync <= s_op_run_sync; -- cmdregs can be considered as stable when s_op_run_resync='1' if s_op_run_resync = '1' then s_opcode_select_inreg <= s_dsp_cmdregs(DSPADDR_OPCODE)((opcode_width - 1) downto 0); s_opflag_select_inreg <= s_dsp_cmdregs(DSPADDR_OPCODE)((opflag_width + opcode_width - 1) downto (opcode_width)); else s_opcode_select_inreg <= (others => '0'); s_opflag_select_inreg <= (others => '0'); end if; opcode_select <= s_opcode_select_inreg; opflag_select <= s_opflag_select_inreg; offset_0 <= unsigned(s_dsp_cmdregs(DSPADDR_STARTADDR0)); offset_1 <= unsigned(s_dsp_cmdregs(DSPADDR_STARTADDR1)); offset_2 <= unsigned(s_dsp_cmdregs(DSPADDR_STARTADDR2)); length0 <= s_dsp_cmdregs(DSPADDR_LENGTH0); length1 <= s_dsp_cmdregs(DSPADDR_LENGTH1); length2 <= s_dsp_cmdregs(DSPADDR_LENGTH2); end if; end process p_synccmd; --=--------------------------------------------------------------------------- -- -- @concurrent signal assignments -- ----------------------------------------------------------------------------- debug <= s_dsp_cmdregs(DSPADDR_SR); s_current_sr <= s_dsp_cmdregs(DSPADDR_SR); end archi_dsp_cmdregs; -------------------------------------------------------------------------------
-- ---------------------------------------------------------------------- -- DspUnit : Advanced So(P)C Sequential Signal Processor -- Copyright (C) 2007-2010 by Adrien LELONG (www.lelongdunet.com) -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the -- Free Software Foundation, Inc., -- 59 Temple Place - Suite 330, Boston, MA 02111-1307, USA. -- ---------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.numeric_std.all; use work.dspalu_pac.all; use work.dspunit_pac.all; ------------------------------------------------------------------------------- entity dsp_cmdregs is port ( clk : in std_logic; clk_cpu : in std_logic; reset : in std_logic; op_done : in std_logic; addr_cmdreg : in std_logic_vector((cmdreg_addr_width - 1) downto 0); data_in_cmdreg : in std_logic_vector((cmdreg_data_width - 1) downto 0); wr_en_cmdreg : in std_logic; data_out_cmdreg : out std_logic_vector((cmdreg_data_width - 1) downto 0); offset_0 : out unsigned((cmdreg_width - 1) downto 0); offset_1 : out unsigned((cmdreg_width - 1) downto 0); offset_2 : out unsigned((cmdreg_width - 1) downto 0); length0 : out std_logic_vector((cmdreg_data_width - 1) downto 0); length1 : out std_logic_vector((cmdreg_data_width - 1) downto 0); length2 : out std_logic_vector((cmdreg_data_width - 1) downto 0); opflag_select : out std_logic_vector((opflag_width - 1) downto 0); opcode_select : out std_logic_vector((opcode_width - 1) downto 0); irq : out std_logic; debug : out std_logic_vector(15 downto 0) ); end dsp_cmdregs; --=---------------------------------------------------------------------------- architecture archi_dsp_cmdregs of dsp_cmdregs is ----------------------------------------------------------------------------- -- @constants definition ----------------------------------------------------------------------------- constant c_refresh_cmdreg_length : integer := 10; --=-------------------------------------------------------------------------- -- -- @component declarations -- ----------------------------------------------------------------------------- component dsp_cmdpipe port ( reset : in std_logic; clk : in std_logic; cmd_out : out t_dsp_cmdregs; read : in std_logic; empty : out std_logic; cmd_in : in t_dsp_cmdregs; write : in std_logic; full : out std_logic ); end component; --=-------------------------------------------------------------------------- -- @signals definition ----------------------------------------------------------------------------- signal s_dsp_cmdregs : t_dsp_cmdregs; signal s_dsp_cmdregs_buf : t_dsp_cmdregs; signal s_dsp_cmdpipe_out : t_dsp_cmdregs; signal s_dsp_bus : t_dsp_bus; signal s_dsp_bus_conv_circ : t_dsp_bus; signal s_op_conv_circ_en : std_logic; signal s_opflag_select_inreg : std_logic_vector((opflag_width - 1) downto 0); signal s_opcode_select_inreg : std_logic_vector((opcode_width - 1) downto 0); signal s_op_run_resync : std_logic; signal s_op_run_sync : std_logic; signal s_op_done_sync : std_logic; signal s_op_done_resync : std_logic; signal s_lut_out : std_logic_vector((lut_out_width - 1) downto 0); signal s_load_pipe : std_logic; signal s_status_reg : std_logic_vector((cmdreg_width - 1) downto 0); signal s_read : std_logic; signal s_empty : std_logic; signal s_write : std_logic; signal s_full : std_logic; signal s_run_flag : std_logic; signal s_pipe_loaded : std_logic; signal s_op_run : std_logic; signal s_op_done_irq : std_logic; signal s_empty_irq : std_logic; signal s_current_sr : std_logic_vector((cmdreg_width - 1) downto 0); signal s_empty_reg : std_logic; signal s_op_done_reg : std_logic; signal s_empty_ie : std_logic; signal s_op_done_ie : std_logic; begin -- archs_dsp_cmdregs ----------------------------------------------------------------------------- -- -- @instantiations -- ----------------------------------------------------------------------------- dsp_cmdpipe_1 : dsp_cmdpipe port map ( reset => reset, clk => clk_cpu, cmd_out => s_dsp_cmdpipe_out, read => s_read, empty => s_empty, cmd_in => s_dsp_cmdregs_buf, write => s_write, full => s_full); --=--------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Register bank accessible from controler ------------------------------------------------------------------------------- p_cmdreg_buf : process (clk_cpu, reset) begin -- process p_cmdreg_buf if reset = '0' then s_dsp_cmdregs_buf <= dsp_cmdregs_init; elsif rising_edge(clk_cpu) then -- rising clock edge if(wr_en_cmdreg = '1') then s_dsp_cmdregs_buf(conv_integer(addr_cmdreg)) <= data_in_cmdreg; else s_dsp_cmdregs_buf(DSPADDR_SR) <= s_status_reg; end if; data_out_cmdreg <= s_dsp_cmdregs_buf(conv_integer(addr_cmdreg)); end if; end process p_cmdreg_buf; ------------------------------------------------------------------------------- -- Bits of status register (readable from cpu) ------------------------------------------------------------------------------- s_status_reg(DSP_SRBIT_LOADED) <= s_full; s_status_reg(DSP_SRBIT_DONE) <= s_op_done_resync; s_status_reg(DSP_SRBIT_RUN) <= s_run_flag and (not s_load_pipe); s_run_flag <= s_dsp_cmdregs_buf(DSPADDR_SR)(DSP_SRBIT_RUN); s_status_reg(DSP_SRBIT_DONE_IE) <= s_dsp_cmdregs_buf(DSPADDR_SR)(DSP_SRBIT_DONE_IE); s_status_reg(DSP_SRBIT_EMPTY_IE) <= s_dsp_cmdregs_buf(DSPADDR_SR)(DSP_SRBIT_EMPTY_IE); s_status_reg(DSP_SRBIT_DONE_IF) <= s_op_done_irq; s_status_reg(DSP_SRBIT_EMPTY_IF) <= s_empty_irq; s_status_reg(cmdreg_width - 1 downto DSP_SRBIT_UNUSED) <= (others => '0'); s_op_done_irq <= '1' when op_done = '1' and s_op_done_reg = '0' else s_dsp_cmdregs_buf(DSPADDR_SR)(DSP_SRBIT_DONE_IF); s_empty_irq <= '1' when s_empty = '1' and s_empty_reg = '0' else s_dsp_cmdregs_buf(DSPADDR_SR)(DSP_SRBIT_EMPTY_IF); s_empty_ie <= s_status_reg(DSP_SRBIT_EMPTY_IE); s_op_done_ie <= s_current_sr(DSP_SRBIT_DONE_IE); irq <= (s_empty and s_empty_ie) or (op_done and s_op_done_ie); p_irq : process (clk_cpu) begin -- process p_irq if rising_edge(clk_cpu) then -- rising clock edge s_op_done_reg <= op_done; s_empty_reg <= s_empty; end if; end process p_irq; ------------------------------------------------------------------------------- -- Control injection of datas in pipe ------------------------------------------------------------------------------- p_ctrl_pipe : process (clk_cpu) begin -- process p_cmdreg_buf if rising_edge(clk_cpu) then -- rising clock edge if s_load_pipe = '1' then s_write <= '1'; s_pipe_loaded <= '1'; elsif s_run_flag = '0' then s_pipe_loaded <= '0'; s_write <= '0'; else s_write <= '0'; end if; end if; end process p_ctrl_pipe; s_load_pipe <= s_run_flag and (not s_pipe_loaded) and (not s_full); ------------------------------------------------------------------------------- -- Control the pipe output ------------------------------------------------------------------------------- p_pipe_out : process (clk_cpu, reset) begin -- process p_pipe_out if reset = '0' then s_op_run <= '0'; elsif rising_edge(clk_cpu) then -- rising clock edge if s_op_done_resync = '1' then s_op_run <= '0'; s_read <= '0'; elsif s_op_run = '0' and s_empty = '0' then s_read <= '1'; s_op_run <= '1'; s_dsp_cmdregs <= s_dsp_cmdpipe_out; else s_read <= '0'; end if; s_op_done_sync <= op_done; s_op_done_resync <= s_op_done_sync; end if; end process p_pipe_out; ------------------------------------------------------------------------------- -- Synchronization of command signals to the dspunit clock ------------------------------------------------------------------------------- p_synccmd : process (clk) begin -- process p_synccmd if rising_edge(clk) then -- rising clock edge s_op_run_sync <= s_op_run; s_op_run_resync <= s_op_run_sync; -- cmdregs can be considered as stable when s_op_run_resync='1' if s_op_run_resync = '1' then s_opcode_select_inreg <= s_dsp_cmdregs(DSPADDR_OPCODE)((opcode_width - 1) downto 0); s_opflag_select_inreg <= s_dsp_cmdregs(DSPADDR_OPCODE)((opflag_width + opcode_width - 1) downto (opcode_width)); else s_opcode_select_inreg <= (others => '0'); s_opflag_select_inreg <= (others => '0'); end if; opcode_select <= s_opcode_select_inreg; opflag_select <= s_opflag_select_inreg; offset_0 <= unsigned(s_dsp_cmdregs(DSPADDR_STARTADDR0)); offset_1 <= unsigned(s_dsp_cmdregs(DSPADDR_STARTADDR1)); offset_2 <= unsigned(s_dsp_cmdregs(DSPADDR_STARTADDR2)); length0 <= s_dsp_cmdregs(DSPADDR_LENGTH0); length1 <= s_dsp_cmdregs(DSPADDR_LENGTH1); length2 <= s_dsp_cmdregs(DSPADDR_LENGTH2); end if; end process p_synccmd; --=--------------------------------------------------------------------------- -- -- @concurrent signal assignments -- ----------------------------------------------------------------------------- debug <= s_dsp_cmdregs(DSPADDR_SR); s_current_sr <= s_dsp_cmdregs(DSPADDR_SR); end archi_dsp_cmdregs; -------------------------------------------------------------------------------
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 10:02:49 07/24/2015 -- Design Name: -- Module Name: fetch - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity fetch is Port ( irnew : in STD_LOGIC_VECTOR (15 downto 0); --·Ã´æÄ£¿éÊäÈëµÄIR pcnew : in STD_LOGIC_VECTOR (15 downto 0); --?ØÐ´Ä£¿?£¬?üÐÂPC clk : in STD_LOGIC; --½ÚÅÄ pcupdate : in STD_LOGIC; --¸æËßÒª¸üÐÂPCÁË reset : in STD_LOGIC; --¸´Î» t0 : in STD_LOGIC; t1 : in STD_LOGIC; irout : out STD_LOGIC_VECTOR (15 downto 0); --Êä³öµÄIR pcout : out STD_LOGIC_VECTOR (15 downto 0); irrep : out STD_LOGIC); --ʹÄÜ end fetch; architecture Behavioral of fetch is signal pc : STD_LOGIC_VECTOR (15 downto 0); begin -- process(clk, reset, t0, t1, pcupdate) -- begin -- if reset = '1' then -- irrep <= '0'; -- pc <= "0000000000000000"; -- elsif t0 = '1' then -- irrep <= '1'; -- irout <= irnew; -- elsif t1 = '1' then -- irrep <= '0'; -- if (clk = '1' and clk' event) then -- pc <= pc + 1; -- end if; -- elsif pcupdate = '1' then -- pc <= pcnew; -- irrep <= '0'; -- -- end if; -- pcout <= pc; -- end process; process(clk, reset, pcupdate, t1, t0) begin if reset = '1' then pc <= "0000000000000000"; elsif pcupdate = '1' then pc <= pcnew; elsif t1 = '1' and clk = '1' and clk' event then pc <= pc + 1; end if; pcout <= pc; end process; process(clk, t0, t1) begin if t0 = '1' then irrep <= '1'; irout <= irnew; elsif t1 <= '1' then irrep <= '0'; end if; end process; end Behavioral;
-- test_ng.vhd library ieee; use ieee.std_logic_1164.all; entity SAMPLE is generic ( WORD_BITS : integer := 8; STRB_BITS : integer := 1; O_WIDTH : integer := 1; QUEUE_SIZE : integer := 3 ); port ( CLK : in std_logic; RST : in std_logic; O_DATA : out std_logic_vector(O_WIDTH*WORD_BITS-1 downto 0); O_STRB : out std_logic_vector(O_WIDTH*STRB_BITS-1 downto 0); O_VAL : out std_logic; O_RDY : in std_logic ); end entity; architecture RTL of SAMPLE is type WORD_TYPE is record DATA : std_logic_vector(WORD_BITS-1 downto 0); STRB : std_logic_vector(STRB_BITS-1 downto 0); VAL : boolean; end record; constant WORD_NULL : WORD_TYPE := (DATA => (others => '0'), STRB => (others => '0'), VAL => FALSE); type WORD_VECTOR is array (INTEGER range <>) of WORD_TYPE; signal curr_queue : WORD_VECTOR(0 to QUEUE_SIZE-1); begin curr_queue <= (others => WORD_NULL); process (curr_queue) begin for i in 0 to O_WIDTH-1 loop O_DATA((i+1)*WORD_BITS-1 downto i*WORD_BITS) <= curr_queue(i).DATA; O_STRB((i+1)*STRB_BITS-1 downto i*STRB_BITS) <= curr_queue(i).STRB; end loop; end process; end RTL; library ieee; use ieee.std_logic_1164.all; entity issue434 is end entity; architecture MODEL of issue434 is constant PERIOD : time := 10 ns; constant DELAY : time := 1 ns; constant WORD_BITS : integer := 8; constant STRB_BITS : integer := WORD_BITS/8; constant O_WIDTH : integer := 2; constant QUEUE_SIZE : integer := 4; signal CLK_ENA : std_logic; signal CLK : std_logic; signal RST : std_logic; signal O_DATA : std_logic_vector(O_WIDTH*WORD_BITS-1 downto 0); signal O_STRB : std_logic_vector(O_WIDTH*STRB_BITS-1 downto 0); signal O_VAL : std_logic; signal O_RDY : std_logic; begin DUT: entity WORK.SAMPLE generic map ( WORD_BITS => WORD_BITS , STRB_BITS => STRB_BITS , O_WIDTH => O_WIDTH , QUEUE_SIZE => QUEUE_SIZE ) port map( CLK => CLK , RST => RST , O_DATA => O_DATA , O_STRB => O_STRB , O_VAL => O_VAL , O_RDY => O_RDY ); process begin loop CLK <= '1'; wait for PERIOD/2; CLK <= '0'; wait for PERIOD/2; exit when(CLK_ENA = '0'); end loop; CLK <= '0'; wait; end process; process procedure WAIT_CLK(CNT:integer) is begin if (CNT > 0) then for i in 1 to CNT loop wait until (CLK'event and CLK = '1'); end loop; end if; wait for DELAY; end WAIT_CLK; begin CLK_ENA <= '1'; RST <= '1'; O_RDY <= '0'; WAIT_CLK(1); RST <= '0'; WAIT_CLK(10); CLK_ENA <= '0'; assert o_data = X"0000"; wait; end process; end MODEL;
--************************************************************************************************ -- External multeplexer for AVR core -- Version 2.2 -- Designed by Ruslan Lepetenok 05.11.2001 -- Modified 29.08.2003 --************************************************************************************************ library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_unsigned.all; use WORK.AVRuCPackage.all; entity external_mux is port( ramre : in std_logic; dbus_out : out std_logic_vector(7 downto 0); ram_data_out : in std_logic_vector(7 downto 0); io_port_bus : in ext_mux_din_type; io_port_en_bus : in ext_mux_en_type; irqack : in std_logic; irqackad : in std_logic_vector(4 downto 0); ind_irq_ack : out std_logic_vector(22 downto 0) ); end external_mux; architecture RTL of external_mux is signal ext_mux_out : ext_mux_din_type; begin ext_mux_out(0) <= io_port_bus(0) when io_port_en_bus(0)='1' else (others => '0'); data_mux_for_read:for i in 1 to ext_mux_out'high generate ext_mux_out(i) <= io_port_bus(i) when io_port_en_bus(i)='1' else ext_mux_out(i-1); end generate; dbus_out <= ram_data_out when ramre='1' else ext_mux_out(ext_mux_out'high); interrupt_ack:for i in ind_irq_ack'range generate ind_irq_ack(i) <= '1' when (irqackad=i+1 and irqack='1') else '0'; end generate; end RTL;
--************************************************************************************************ -- External multeplexer for AVR core -- Version 2.2 -- Designed by Ruslan Lepetenok 05.11.2001 -- Modified 29.08.2003 --************************************************************************************************ library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_unsigned.all; use WORK.AVRuCPackage.all; entity external_mux is port( ramre : in std_logic; dbus_out : out std_logic_vector(7 downto 0); ram_data_out : in std_logic_vector(7 downto 0); io_port_bus : in ext_mux_din_type; io_port_en_bus : in ext_mux_en_type; irqack : in std_logic; irqackad : in std_logic_vector(4 downto 0); ind_irq_ack : out std_logic_vector(22 downto 0) ); end external_mux; architecture RTL of external_mux is signal ext_mux_out : ext_mux_din_type; begin ext_mux_out(0) <= io_port_bus(0) when io_port_en_bus(0)='1' else (others => '0'); data_mux_for_read:for i in 1 to ext_mux_out'high generate ext_mux_out(i) <= io_port_bus(i) when io_port_en_bus(i)='1' else ext_mux_out(i-1); end generate; dbus_out <= ram_data_out when ramre='1' else ext_mux_out(ext_mux_out'high); interrupt_ack:for i in ind_irq_ack'range generate ind_irq_ack(i) <= '1' when (irqackad=i+1 and irqack='1') else '0'; end generate; end RTL;
--************************************************************************************************ -- External multeplexer for AVR core -- Version 2.2 -- Designed by Ruslan Lepetenok 05.11.2001 -- Modified 29.08.2003 --************************************************************************************************ library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_unsigned.all; use WORK.AVRuCPackage.all; entity external_mux is port( ramre : in std_logic; dbus_out : out std_logic_vector(7 downto 0); ram_data_out : in std_logic_vector(7 downto 0); io_port_bus : in ext_mux_din_type; io_port_en_bus : in ext_mux_en_type; irqack : in std_logic; irqackad : in std_logic_vector(4 downto 0); ind_irq_ack : out std_logic_vector(22 downto 0) ); end external_mux; architecture RTL of external_mux is signal ext_mux_out : ext_mux_din_type; begin ext_mux_out(0) <= io_port_bus(0) when io_port_en_bus(0)='1' else (others => '0'); data_mux_for_read:for i in 1 to ext_mux_out'high generate ext_mux_out(i) <= io_port_bus(i) when io_port_en_bus(i)='1' else ext_mux_out(i-1); end generate; dbus_out <= ram_data_out when ramre='1' else ext_mux_out(ext_mux_out'high); interrupt_ack:for i in ind_irq_ack'range generate ind_irq_ack(i) <= '1' when (irqackad=i+1 and irqack='1') else '0'; end generate; end RTL;
--************************************************************************************************ -- External multeplexer for AVR core -- Version 2.2 -- Designed by Ruslan Lepetenok 05.11.2001 -- Modified 29.08.2003 --************************************************************************************************ library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_unsigned.all; use WORK.AVRuCPackage.all; entity external_mux is port( ramre : in std_logic; dbus_out : out std_logic_vector(7 downto 0); ram_data_out : in std_logic_vector(7 downto 0); io_port_bus : in ext_mux_din_type; io_port_en_bus : in ext_mux_en_type; irqack : in std_logic; irqackad : in std_logic_vector(4 downto 0); ind_irq_ack : out std_logic_vector(22 downto 0) ); end external_mux; architecture RTL of external_mux is signal ext_mux_out : ext_mux_din_type; begin ext_mux_out(0) <= io_port_bus(0) when io_port_en_bus(0)='1' else (others => '0'); data_mux_for_read:for i in 1 to ext_mux_out'high generate ext_mux_out(i) <= io_port_bus(i) when io_port_en_bus(i)='1' else ext_mux_out(i-1); end generate; dbus_out <= ram_data_out when ramre='1' else ext_mux_out(ext_mux_out'high); interrupt_ack:for i in ind_irq_ack'range generate ind_irq_ack(i) <= '1' when (irqackad=i+1 and irqack='1') else '0'; end generate; end RTL;
-- ------------------------------------------------------------- -- -- File Name: hdlsrc/ifft_16_bit/RADIX22FFT_SDNF1_1_block1.vhd -- Created: 2017-03-28 01:00:37 -- -- Generated by MATLAB 9.1 and HDL Coder 3.9 -- -- ------------------------------------------------------------- -- ------------------------------------------------------------- -- -- Module: RADIX22FFT_SDNF1_1_block1 -- Source Path: ifft_16_bit/IFFT HDL Optimized/RADIX22FFT_SDNF1_1 -- Hierarchy Level: 2 -- -- ------------------------------------------------------------- LIBRARY IEEE; USE IEEE.std_logic_1164.ALL; USE IEEE.numeric_std.ALL; ENTITY RADIX22FFT_SDNF1_1_block1 IS PORT( clk : IN std_logic; reset : IN std_logic; enb : IN std_logic; twdlXdin_3_re : IN std_logic_vector(16 DOWNTO 0); -- sfix17 twdlXdin_3_im : IN std_logic_vector(16 DOWNTO 0); -- sfix17 twdlXdin_11_re : IN std_logic_vector(16 DOWNTO 0); -- sfix17 twdlXdin_11_im : IN std_logic_vector(16 DOWNTO 0); -- sfix17 twdlXdin_1_vld : IN std_logic; softReset : IN std_logic; dout_5_re : OUT std_logic_vector(16 DOWNTO 0); -- sfix17 dout_5_im : OUT std_logic_vector(16 DOWNTO 0); -- sfix17 dout_6_re : OUT std_logic_vector(16 DOWNTO 0); -- sfix17 dout_6_im : OUT std_logic_vector(16 DOWNTO 0); -- sfix17 dout_5_vld : OUT std_logic ); END RADIX22FFT_SDNF1_1_block1; ARCHITECTURE rtl OF RADIX22FFT_SDNF1_1_block1 IS -- Signals SIGNAL twdlXdin_3_re_signed : signed(16 DOWNTO 0); -- sfix17 SIGNAL twdlXdin_3_im_signed : signed(16 DOWNTO 0); -- sfix17 SIGNAL twdlXdin_11_re_signed : signed(16 DOWNTO 0); -- sfix17 SIGNAL twdlXdin_11_im_signed : signed(16 DOWNTO 0); -- sfix17 SIGNAL Radix22ButterflyG1_NF_btf1_re_reg : signed(17 DOWNTO 0); -- sfix18 SIGNAL Radix22ButterflyG1_NF_btf1_im_reg : signed(17 DOWNTO 0); -- sfix18 SIGNAL Radix22ButterflyG1_NF_btf2_re_reg : signed(17 DOWNTO 0); -- sfix18 SIGNAL Radix22ButterflyG1_NF_btf2_im_reg : signed(17 DOWNTO 0); -- sfix18 SIGNAL Radix22ButterflyG1_NF_dinXtwdl_vld_dly1 : std_logic; SIGNAL Radix22ButterflyG1_NF_btf1_re_reg_next : signed(17 DOWNTO 0); -- sfix18 SIGNAL Radix22ButterflyG1_NF_btf1_im_reg_next : signed(17 DOWNTO 0); -- sfix18 SIGNAL Radix22ButterflyG1_NF_btf2_re_reg_next : signed(17 DOWNTO 0); -- sfix18 SIGNAL Radix22ButterflyG1_NF_btf2_im_reg_next : signed(17 DOWNTO 0); -- sfix18 SIGNAL Radix22ButterflyG1_NF_dinXtwdl_vld_dly1_next : std_logic; SIGNAL dout_5_re_tmp : signed(16 DOWNTO 0); -- sfix17 SIGNAL dout_5_im_tmp : signed(16 DOWNTO 0); -- sfix17 SIGNAL dout_6_re_tmp : signed(16 DOWNTO 0); -- sfix17 SIGNAL dout_6_im_tmp : signed(16 DOWNTO 0); -- sfix17 BEGIN twdlXdin_3_re_signed <= signed(twdlXdin_3_re); twdlXdin_3_im_signed <= signed(twdlXdin_3_im); twdlXdin_11_re_signed <= signed(twdlXdin_11_re); twdlXdin_11_im_signed <= signed(twdlXdin_11_im); -- Radix22ButterflyG1_NF Radix22ButterflyG1_NF_process : PROCESS (clk, reset) BEGIN IF reset = '1' THEN Radix22ButterflyG1_NF_btf1_re_reg <= to_signed(16#00000#, 18); Radix22ButterflyG1_NF_btf1_im_reg <= to_signed(16#00000#, 18); Radix22ButterflyG1_NF_btf2_re_reg <= to_signed(16#00000#, 18); Radix22ButterflyG1_NF_btf2_im_reg <= to_signed(16#00000#, 18); Radix22ButterflyG1_NF_dinXtwdl_vld_dly1 <= '0'; ELSIF clk'EVENT AND clk = '1' THEN IF enb = '1' THEN Radix22ButterflyG1_NF_btf1_re_reg <= Radix22ButterflyG1_NF_btf1_re_reg_next; Radix22ButterflyG1_NF_btf1_im_reg <= Radix22ButterflyG1_NF_btf1_im_reg_next; Radix22ButterflyG1_NF_btf2_re_reg <= Radix22ButterflyG1_NF_btf2_re_reg_next; Radix22ButterflyG1_NF_btf2_im_reg <= Radix22ButterflyG1_NF_btf2_im_reg_next; Radix22ButterflyG1_NF_dinXtwdl_vld_dly1 <= Radix22ButterflyG1_NF_dinXtwdl_vld_dly1_next; END IF; END IF; END PROCESS Radix22ButterflyG1_NF_process; Radix22ButterflyG1_NF_output : PROCESS (Radix22ButterflyG1_NF_btf1_re_reg, Radix22ButterflyG1_NF_btf1_im_reg, Radix22ButterflyG1_NF_btf2_re_reg, Radix22ButterflyG1_NF_btf2_im_reg, Radix22ButterflyG1_NF_dinXtwdl_vld_dly1, twdlXdin_3_re_signed, twdlXdin_3_im_signed, twdlXdin_11_re_signed, twdlXdin_11_im_signed, twdlXdin_1_vld) VARIABLE sra_temp : signed(17 DOWNTO 0); VARIABLE sra_temp_0 : signed(17 DOWNTO 0); VARIABLE sra_temp_1 : signed(17 DOWNTO 0); VARIABLE sra_temp_2 : signed(17 DOWNTO 0); BEGIN Radix22ButterflyG1_NF_btf1_re_reg_next <= Radix22ButterflyG1_NF_btf1_re_reg; Radix22ButterflyG1_NF_btf1_im_reg_next <= Radix22ButterflyG1_NF_btf1_im_reg; Radix22ButterflyG1_NF_btf2_re_reg_next <= Radix22ButterflyG1_NF_btf2_re_reg; Radix22ButterflyG1_NF_btf2_im_reg_next <= Radix22ButterflyG1_NF_btf2_im_reg; Radix22ButterflyG1_NF_dinXtwdl_vld_dly1_next <= twdlXdin_1_vld; IF twdlXdin_1_vld = '1' THEN Radix22ButterflyG1_NF_btf1_re_reg_next <= resize(twdlXdin_3_re_signed, 18) + resize(twdlXdin_11_re_signed, 18); Radix22ButterflyG1_NF_btf2_re_reg_next <= resize(twdlXdin_3_re_signed, 18) - resize(twdlXdin_11_re_signed, 18); Radix22ButterflyG1_NF_btf1_im_reg_next <= resize(twdlXdin_3_im_signed, 18) + resize(twdlXdin_11_im_signed, 18); Radix22ButterflyG1_NF_btf2_im_reg_next <= resize(twdlXdin_3_im_signed, 18) - resize(twdlXdin_11_im_signed, 18); END IF; sra_temp := SHIFT_RIGHT(Radix22ButterflyG1_NF_btf1_re_reg, 1); dout_5_re_tmp <= sra_temp(16 DOWNTO 0); sra_temp_0 := SHIFT_RIGHT(Radix22ButterflyG1_NF_btf1_im_reg, 1); dout_5_im_tmp <= sra_temp_0(16 DOWNTO 0); sra_temp_1 := SHIFT_RIGHT(Radix22ButterflyG1_NF_btf2_re_reg, 1); dout_6_re_tmp <= sra_temp_1(16 DOWNTO 0); sra_temp_2 := SHIFT_RIGHT(Radix22ButterflyG1_NF_btf2_im_reg, 1); dout_6_im_tmp <= sra_temp_2(16 DOWNTO 0); dout_5_vld <= Radix22ButterflyG1_NF_dinXtwdl_vld_dly1; END PROCESS Radix22ButterflyG1_NF_output; dout_5_re <= std_logic_vector(dout_5_re_tmp); dout_5_im <= std_logic_vector(dout_5_im_tmp); dout_6_re <= std_logic_vector(dout_6_re_tmp); dout_6_im <= std_logic_vector(dout_6_im_tmp); END rtl;
entity driving is port ( i : in integer; o : out integer ); end entity; architecture test of driving is signal x : integer; begin p1: process is variable v : integer; begin x <= 1; assert x'driving; -- OK assert v'driving; -- Error assert o'driving; -- OK assert i'driving; -- Error wait; end process; end architecture;
-- $Id: pdp11_tmu_sb.vhd 1181 2019-07-08 17:00:50Z mueller $ -- SPDX-License-Identifier: GPL-3.0-or-later -- Copyright 2009-2018 by Walter F.J. Mueller <[email protected]> -- ------------------------------------------------------------------------------ -- Module Name: pdp11_tmu - sim -- Description: pdp11: trace and monitor unit; simbus wrapper -- -- Dependencies: simbus -- Test bench: - -- Tool versions: xst 8.1-14.7; viv 2016.2-2018.2; ghdl 0.18-0.34 -- Revision History: -- Date Rev Version Comment -- 2018-10-05 1053 1.0.2 use DM_STAT_CA instead of DM_STAT_SY -- 2015-11-01 712 1.0.1 use sbcntl_sbf_tmu -- 2009-05-10 214 1.0 Initial version ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use work.slvtypes.all; use work.simlib.all; use work.simbus.all; use work.pdp11.all; entity pdp11_tmu_sb is -- trace and mon. unit; simbus wrapper generic ( ENAPIN : integer := sbcntl_sbf_tmu); -- SB_CNTL for tmu port ( CLK : in slbit; -- clock DM_STAT_DP : in dm_stat_dp_type; -- debug and monitor status - dpath DM_STAT_VM : in dm_stat_vm_type; -- debug and monitor status - vmbox DM_STAT_CO : in dm_stat_co_type; -- debug and monitor status - core DM_STAT_CA : in dm_stat_ca_type -- debug and monitor status - cache ); end pdp11_tmu_sb; architecture sim of pdp11_tmu_sb is signal ENA : slbit := '0'; begin assert ENAPIN>=SB_CNTL'low and ENAPIN<=SB_CNTL'high report "assert(ENAPIN in SB_CNTL'range)" severity failure; ENA <= to_x01(SB_CNTL(ENAPIN)); CPMON : pdp11_tmu port map ( CLK => CLK, ENA => ENA, DM_STAT_DP => DM_STAT_DP, DM_STAT_VM => DM_STAT_VM, DM_STAT_CO => DM_STAT_CO, DM_STAT_CA => DM_STAT_CA ); end sim;
------------------------------------------------------------------------------- -- $Id: pselect.vhd,v 1.1.4.1 2010/09/14 22:35:47 dougt Exp $ ------------------------------------------------------------------------------- -- pselect.vhd - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2001-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: pselect.vhd -- -- Description: Parameterizeable peripheral select (address decode). -- AValid qualifier comes in on Carry In at bottom -- of carry chain. For version with AValid at top of -- carry chain, see pselect_top.vhd. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- pselect.vhd -- ------------------------------------------------------------------------------- -- Author: B.L. Tise -- Revision: $Revision: 1.1.4.1 $ -- Date: $Date: 2010/09/14 22:35:47 $ -- -- History: -- BLT 2001-04-10 First Version -- BLT 2001-04-23 Moved function to this file -- BLT 2001-05-21 Changed library to MicroBlaze -- BLT 2001-08-13 Changed pragma to synthesis -- ALS 2001-10-15 C_BAR is now padded to nearest multiple of 4 -- to handle lut equations -- FLO 2002-03-26 Corrected implementation for case where C_AB -- is not a multiple of 4 and the C_BAR values -- at the pad bits are not '0'. -- Removed implementation restriction that -- required C_AW = C_BAR'length. -- Added assertion to flag invalid generic -- combinations. -- ALS, FLO 2002-04-09 -Implemented XST workaround for the case -- that C_AB = 0. -- -Removed remnants of earlier -- "instantiated-lut" implementation. -- -- DET 1/17/2008 v3_00_a -- ~~~~~~ -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library unisim; use unisim.all; ----------------------------------------------------------------------------- -- Entity section ----------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Definition of Generics: -- C_AB -- number of address bits to decode -- C_AW -- width of address bus -- C_BAR -- base address of peripheral (peripheral select -- is asserted when the C_AB most significant -- address bits match the C_AB most significant -- C_BAR bits -- Definition of Ports: -- A -- address input -- AValid -- address qualifier -- CS -- peripheral select ------------------------------------------------------------------------------- entity pselect is generic ( C_AB : integer := 9; C_AW : integer := 32; C_BAR : std_logic_vector ); port ( A : in std_logic_vector(0 to C_AW-1); AValid : in std_logic; CS : out std_logic ); end entity pselect; ----------------------------------------------------------------------------- -- Architecture section ----------------------------------------------------------------------------- architecture imp of pselect is component MUXCY is port ( O : out std_logic; CI : in std_logic; DI : in std_logic; S : in std_logic ); end component MUXCY; attribute INIT : string; ----------------------------------------------------------------------------- -- Constant Declarations ----------------------------------------------------------------------------- constant NUM_LUTS : integer := (C_AB+3)/4; -- C_BAR may not be indexed from 0 and may not be ascending; -- BAR recasts C_BAR to have these properties. constant BAR : std_logic_vector(0 to C_BAR'length-1) := C_BAR; ----------------------------------------------------------------------------- -- Signal Declarations ----------------------------------------------------------------------------- --signal lut_out : std_logic_vector(0 to NUM_LUTS-1); signal lut_out : std_logic_vector(0 to NUM_LUTS); -- XST workaround signal carry_chain : std_logic_vector(0 to NUM_LUTS); ------------------------------------------------------------------------------- -- Begin architecture section ------------------------------------------------------------------------------- begin -------------------------------------------------------------------------------- -- Check that the passed generics allow for correct implementation. -------------------------------------------------------------------------------- -- synthesis translate_off assert (C_AB <= C_BAR'length) and (C_AB <= C_AW) report "pselect generic error: " & "(C_AB <= C_BAR'length) and (C_AB <= C_AW)" & " does not hold." severity failure; -- synthesis translate_on -------------------------------------------------------------------------------- -- Build the decoder using the fast carry chain. -------------------------------------------------------------------------------- carry_chain(0) <= AValid; XST_WA: if NUM_LUTS > 0 generate -- workaround for XST; remove this -- enclosing generate when fixed GEN_DECODE: for i in 0 to NUM_LUTS-1 generate signal lut_in : std_logic_vector(3 downto 0); signal invert : std_logic_vector(3 downto 0); begin GEN_LUT_INPUTS: for j in 0 to 3 generate -- Generate to assign address bits to LUT4 inputs GEN_INPUT: if i < NUM_LUTS-1 or j <= ((C_AB-1) mod 4) generate lut_in(j) <= A(i*4+j); invert(j) <= not BAR(i*4+j); end generate; -- Generate to assign one to remaining LUT4, pad, inputs GEN_ZEROS: if not(i < NUM_LUTS-1 or j <= ((C_AB-1) mod 4)) generate lut_in(j) <= '1'; invert(j) <= '0'; end generate; end generate; --------------------------------------------------------------------------- -- RTL LUT instantiation --------------------------------------------------------------------------- lut_out(i) <= (lut_in(0) xor invert(0)) and (lut_in(1) xor invert(1)) and (lut_in(2) xor invert(2)) and (lut_in(3) xor invert(3)); MUXCY_I: MUXCY port map ( O => carry_chain(i+1), --[out] CI => carry_chain(i), --[in] DI => '0', --[in] S => lut_out(i) --[in] ); end generate GEN_DECODE; end generate XST_WA; CS <= carry_chain(NUM_LUTS); -- assign end of carry chain to output; -- if NUM_LUTS=0, then -- CS <= carry_chain(0) <= AValid end imp;
architecture RTL of FIFO is begin process begin end process; -- Violations below process begin END process; end architecture RTL;
------------------------------------------------------------------------------ ---- ---- ---- ZPU 8-bit version, wrapper wirh memory ---- ---- ---- ---- http://www.opencores.org/ ---- ---- ---- ---- Description: ---- ---- ZPU is a 32 bits small stack cpu. This is a modified version of ---- ---- the zpu_small implementation. This one has only one 8-bit external ---- ---- memory port, which is used for I/O, instruction fetch and data ---- ---- accesses. It is intended to interface with existing 8-bit systems, ---- ---- while maintaining the large addressing range and 32-bit programming ---- ---- model. The 32-bit stack remains "internal" in the ZPU. ---- ---- ---- ---- This version is about the same size as zpu_small from zealot, ---- ---- but performs 25% better at the same clock speed, given that the ---- ---- external memory bus can operate with 0 wait states. The performance ---- ---- increase is due to the fact that most instructions only require 3 ---- ---- clock cycles instead of 4. ---- ---- ---- ---- Author: ---- ---- - Øyvind Harboe, oyvind.harboe zylin.com [zpu concept] ---- ---- - Salvador E. Tropea, salvador inti.gob.ar [zealot] ---- ---- - Gideon Zweijtzer, gideon.zweijtzer technolution.eu [this] ---- ---- ---- ------------------------------------------------------------------------------ ---- ---- ---- Copyright (c) 2008 Øyvind Harboe <oyvind.harboe zylin.com> ---- ---- Copyright (c) 2008 Salvador E. Tropea <salvador inti.gob.ar> ---- ---- Copyright (c) 2008 Instituto Nacional de Tecnología Industrial ---- ---- Copyright (c) 2009 Gideon N. Zweijtzer <Technolution.NL> ---- ---- ---- ---- Distributed under the BSD license ---- ---- ---- ------------------------------------------------------------------------------ ---- ---- ---- Design unit: zpu (Behave) (Entity and architecture) ---- ---- File name: zpu.vhd ---- ---- Note: None ---- ---- Limitations: None known ---- ---- Errors: None known ---- ---- Library: work ---- ---- Dependencies: ieee.std_logic_1164 ---- ---- ieee.numeric_std ---- ---- work.zpupkg ---- ---- Target FPGA: Spartan 3E (XC3S500E-4-PQG208) ---- ---- Language: VHDL ---- ---- Wishbone: No ---- ---- Synthesis tools: Xilinx Release 10.1.03i - xst K.39 ---- ---- Simulation tools: Modelsim ---- ---- Text editor: UltraEdit 11.00a+ ---- ---- ---- ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.zpupkg.all; entity zpu is generic( g_addr_size : integer := 16; g_stack_size : integer := 12; -- Memory (stack+data) width g_prog_size : integer := 14; -- Program size g_dont_care : std_logic := '-'); -- Value used to fill the unused bits, can be '-' or '0' port( clock : in std_logic; reset : in std_logic; interrupt_i : in std_logic; break_o : out std_logic; mem_address : out std_logic_vector(g_addr_size-1 downto 0); mem_size : out std_logic_vector(1 downto 0); mem_instr : out std_logic; mem_req : out std_logic; mem_write : out std_logic; mem_rack : in std_logic; mem_dack : in std_logic; mem_wdata : out std_logic_vector(7 downto 0); mem_rdata : in std_logic_vector(7 downto 0) ); end zpu; architecture gideon of zpu is signal a_we : std_logic; -- BRAM A port Write Enable signal a_en : std_logic; -- BRAM A port Enable signal a_addr : unsigned(g_stack_size-1 downto 2):=(others => '0'); -- BRAM A Address signal a_wdata : unsigned(31 downto 0):=(others => '0'); -- Data to BRAM A port signal a_rdata : unsigned(31 downto 0); -- Data from BRAM A port signal a_wdata_slv : std_logic_vector(31 downto 0):=(others => '0'); -- Data to BRAM A port signal a_rdata_slv : std_logic_vector(31 downto 0); -- Data from BRAM A port signal b_we : std_logic; -- BRAM B port Write Enable signal b_en : std_logic; -- BRAM B port Enable signal b_addr : unsigned(g_stack_size-1 downto 2):=(others => '0'); -- BRAM B Address signal b_wdata : unsigned(31 downto 0):=(others => '0'); -- Data to BRAM B port signal b_rdata : unsigned(31 downto 0); -- Data from BRAM B port signal b_wdata_slv : std_logic_vector(31 downto 0):=(others => '0'); -- Data to BRAM B port signal b_rdata_slv : std_logic_vector(31 downto 0); -- Data from BRAM B port signal c_addr : unsigned(g_addr_size-1 downto 0); begin cpu: entity work.zpu_8bit_loadb generic map ( g_addr_size => g_addr_size, g_stack_size => g_stack_size, g_prog_size => g_prog_size, g_dont_care => g_dont_care ) port map ( clk_i => clock, reset_i => reset, interrupt_i => interrupt_i, break_o => break_o, -- synthesis translate_off dbg_o => open, -- synthesis translate_on -- BRAM (stack ONLY) a_we_o => a_we, a_en_o => a_en, a_addr_o => a_addr, a_o => a_wdata, a_i => a_rdata, b_we_o => b_we, b_en_o => b_en, b_addr_o => b_addr, b_o => b_wdata, b_i => b_rdata, -- memory port for text, bss, data c_addr_o => c_addr, c_size_o => mem_size, c_inst_o => mem_instr, c_req_o => mem_req, c_rack_i => mem_rack, c_dack_i => mem_dack, c_we_o => mem_write, c_data_o => mem_wdata, c_data_i => mem_rdata ); mem_address <= std_logic_vector(c_addr); a_wdata_slv <= std_logic_vector(a_wdata); b_wdata_slv <= std_logic_vector(b_wdata); a_rdata <= unsigned(a_rdata_slv); b_rdata <= unsigned(b_rdata_slv); i_stack_ram: entity work.dpram generic map ( g_width_bits => 32, g_depth_bits => g_stack_size-2, g_read_first_a => false, g_read_first_b => false, g_storage => "block" ) port map ( a_clock => clock, a_address => a_addr, a_rdata => a_rdata_slv, a_wdata => a_wdata_slv, a_en => a_en, a_we => a_we, b_clock => clock, b_address => b_addr, b_rdata => b_rdata_slv, b_wdata => b_wdata_slv, b_en => b_en, b_we => b_we ); end gideon;
------------------------------------------------------------------------------ ---- ---- ---- ZPU 8-bit version, wrapper wirh memory ---- ---- ---- ---- http://www.opencores.org/ ---- ---- ---- ---- Description: ---- ---- ZPU is a 32 bits small stack cpu. This is a modified version of ---- ---- the zpu_small implementation. This one has only one 8-bit external ---- ---- memory port, which is used for I/O, instruction fetch and data ---- ---- accesses. It is intended to interface with existing 8-bit systems, ---- ---- while maintaining the large addressing range and 32-bit programming ---- ---- model. The 32-bit stack remains "internal" in the ZPU. ---- ---- ---- ---- This version is about the same size as zpu_small from zealot, ---- ---- but performs 25% better at the same clock speed, given that the ---- ---- external memory bus can operate with 0 wait states. The performance ---- ---- increase is due to the fact that most instructions only require 3 ---- ---- clock cycles instead of 4. ---- ---- ---- ---- Author: ---- ---- - Øyvind Harboe, oyvind.harboe zylin.com [zpu concept] ---- ---- - Salvador E. Tropea, salvador inti.gob.ar [zealot] ---- ---- - Gideon Zweijtzer, gideon.zweijtzer technolution.eu [this] ---- ---- ---- ------------------------------------------------------------------------------ ---- ---- ---- Copyright (c) 2008 Øyvind Harboe <oyvind.harboe zylin.com> ---- ---- Copyright (c) 2008 Salvador E. Tropea <salvador inti.gob.ar> ---- ---- Copyright (c) 2008 Instituto Nacional de Tecnología Industrial ---- ---- Copyright (c) 2009 Gideon N. Zweijtzer <Technolution.NL> ---- ---- ---- ---- Distributed under the BSD license ---- ---- ---- ------------------------------------------------------------------------------ ---- ---- ---- Design unit: zpu (Behave) (Entity and architecture) ---- ---- File name: zpu.vhd ---- ---- Note: None ---- ---- Limitations: None known ---- ---- Errors: None known ---- ---- Library: work ---- ---- Dependencies: ieee.std_logic_1164 ---- ---- ieee.numeric_std ---- ---- work.zpupkg ---- ---- Target FPGA: Spartan 3E (XC3S500E-4-PQG208) ---- ---- Language: VHDL ---- ---- Wishbone: No ---- ---- Synthesis tools: Xilinx Release 10.1.03i - xst K.39 ---- ---- Simulation tools: Modelsim ---- ---- Text editor: UltraEdit 11.00a+ ---- ---- ---- ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.zpupkg.all; entity zpu is generic( g_addr_size : integer := 16; g_stack_size : integer := 12; -- Memory (stack+data) width g_prog_size : integer := 14; -- Program size g_dont_care : std_logic := '-'); -- Value used to fill the unused bits, can be '-' or '0' port( clock : in std_logic; reset : in std_logic; interrupt_i : in std_logic; break_o : out std_logic; mem_address : out std_logic_vector(g_addr_size-1 downto 0); mem_size : out std_logic_vector(1 downto 0); mem_instr : out std_logic; mem_req : out std_logic; mem_write : out std_logic; mem_rack : in std_logic; mem_dack : in std_logic; mem_wdata : out std_logic_vector(7 downto 0); mem_rdata : in std_logic_vector(7 downto 0) ); end zpu; architecture gideon of zpu is signal a_we : std_logic; -- BRAM A port Write Enable signal a_en : std_logic; -- BRAM A port Enable signal a_addr : unsigned(g_stack_size-1 downto 2):=(others => '0'); -- BRAM A Address signal a_wdata : unsigned(31 downto 0):=(others => '0'); -- Data to BRAM A port signal a_rdata : unsigned(31 downto 0); -- Data from BRAM A port signal a_wdata_slv : std_logic_vector(31 downto 0):=(others => '0'); -- Data to BRAM A port signal a_rdata_slv : std_logic_vector(31 downto 0); -- Data from BRAM A port signal b_we : std_logic; -- BRAM B port Write Enable signal b_en : std_logic; -- BRAM B port Enable signal b_addr : unsigned(g_stack_size-1 downto 2):=(others => '0'); -- BRAM B Address signal b_wdata : unsigned(31 downto 0):=(others => '0'); -- Data to BRAM B port signal b_rdata : unsigned(31 downto 0); -- Data from BRAM B port signal b_wdata_slv : std_logic_vector(31 downto 0):=(others => '0'); -- Data to BRAM B port signal b_rdata_slv : std_logic_vector(31 downto 0); -- Data from BRAM B port signal c_addr : unsigned(g_addr_size-1 downto 0); begin cpu: entity work.zpu_8bit_loadb generic map ( g_addr_size => g_addr_size, g_stack_size => g_stack_size, g_prog_size => g_prog_size, g_dont_care => g_dont_care ) port map ( clk_i => clock, reset_i => reset, interrupt_i => interrupt_i, break_o => break_o, -- synthesis translate_off dbg_o => open, -- synthesis translate_on -- BRAM (stack ONLY) a_we_o => a_we, a_en_o => a_en, a_addr_o => a_addr, a_o => a_wdata, a_i => a_rdata, b_we_o => b_we, b_en_o => b_en, b_addr_o => b_addr, b_o => b_wdata, b_i => b_rdata, -- memory port for text, bss, data c_addr_o => c_addr, c_size_o => mem_size, c_inst_o => mem_instr, c_req_o => mem_req, c_rack_i => mem_rack, c_dack_i => mem_dack, c_we_o => mem_write, c_data_o => mem_wdata, c_data_i => mem_rdata ); mem_address <= std_logic_vector(c_addr); a_wdata_slv <= std_logic_vector(a_wdata); b_wdata_slv <= std_logic_vector(b_wdata); a_rdata <= unsigned(a_rdata_slv); b_rdata <= unsigned(b_rdata_slv); i_stack_ram: entity work.dpram generic map ( g_width_bits => 32, g_depth_bits => g_stack_size-2, g_read_first_a => false, g_read_first_b => false, g_storage => "block" ) port map ( a_clock => clock, a_address => a_addr, a_rdata => a_rdata_slv, a_wdata => a_wdata_slv, a_en => a_en, a_we => a_we, b_clock => clock, b_address => b_addr, b_rdata => b_rdata_slv, b_wdata => b_wdata_slv, b_en => b_en, b_we => b_we ); end gideon;
------------------------------------------------------------------------------ ---- ---- ---- ZPU 8-bit version, wrapper wirh memory ---- ---- ---- ---- http://www.opencores.org/ ---- ---- ---- ---- Description: ---- ---- ZPU is a 32 bits small stack cpu. This is a modified version of ---- ---- the zpu_small implementation. This one has only one 8-bit external ---- ---- memory port, which is used for I/O, instruction fetch and data ---- ---- accesses. It is intended to interface with existing 8-bit systems, ---- ---- while maintaining the large addressing range and 32-bit programming ---- ---- model. The 32-bit stack remains "internal" in the ZPU. ---- ---- ---- ---- This version is about the same size as zpu_small from zealot, ---- ---- but performs 25% better at the same clock speed, given that the ---- ---- external memory bus can operate with 0 wait states. The performance ---- ---- increase is due to the fact that most instructions only require 3 ---- ---- clock cycles instead of 4. ---- ---- ---- ---- Author: ---- ---- - Øyvind Harboe, oyvind.harboe zylin.com [zpu concept] ---- ---- - Salvador E. Tropea, salvador inti.gob.ar [zealot] ---- ---- - Gideon Zweijtzer, gideon.zweijtzer technolution.eu [this] ---- ---- ---- ------------------------------------------------------------------------------ ---- ---- ---- Copyright (c) 2008 Øyvind Harboe <oyvind.harboe zylin.com> ---- ---- Copyright (c) 2008 Salvador E. Tropea <salvador inti.gob.ar> ---- ---- Copyright (c) 2008 Instituto Nacional de Tecnología Industrial ---- ---- Copyright (c) 2009 Gideon N. Zweijtzer <Technolution.NL> ---- ---- ---- ---- Distributed under the BSD license ---- ---- ---- ------------------------------------------------------------------------------ ---- ---- ---- Design unit: zpu (Behave) (Entity and architecture) ---- ---- File name: zpu.vhd ---- ---- Note: None ---- ---- Limitations: None known ---- ---- Errors: None known ---- ---- Library: work ---- ---- Dependencies: ieee.std_logic_1164 ---- ---- ieee.numeric_std ---- ---- work.zpupkg ---- ---- Target FPGA: Spartan 3E (XC3S500E-4-PQG208) ---- ---- Language: VHDL ---- ---- Wishbone: No ---- ---- Synthesis tools: Xilinx Release 10.1.03i - xst K.39 ---- ---- Simulation tools: Modelsim ---- ---- Text editor: UltraEdit 11.00a+ ---- ---- ---- ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.zpupkg.all; entity zpu is generic( g_addr_size : integer := 16; g_stack_size : integer := 12; -- Memory (stack+data) width g_prog_size : integer := 14; -- Program size g_dont_care : std_logic := '-'); -- Value used to fill the unused bits, can be '-' or '0' port( clock : in std_logic; reset : in std_logic; interrupt_i : in std_logic; break_o : out std_logic; mem_address : out std_logic_vector(g_addr_size-1 downto 0); mem_size : out std_logic_vector(1 downto 0); mem_instr : out std_logic; mem_req : out std_logic; mem_write : out std_logic; mem_rack : in std_logic; mem_dack : in std_logic; mem_wdata : out std_logic_vector(7 downto 0); mem_rdata : in std_logic_vector(7 downto 0) ); end zpu; architecture gideon of zpu is signal a_we : std_logic; -- BRAM A port Write Enable signal a_en : std_logic; -- BRAM A port Enable signal a_addr : unsigned(g_stack_size-1 downto 2):=(others => '0'); -- BRAM A Address signal a_wdata : unsigned(31 downto 0):=(others => '0'); -- Data to BRAM A port signal a_rdata : unsigned(31 downto 0); -- Data from BRAM A port signal a_wdata_slv : std_logic_vector(31 downto 0):=(others => '0'); -- Data to BRAM A port signal a_rdata_slv : std_logic_vector(31 downto 0); -- Data from BRAM A port signal b_we : std_logic; -- BRAM B port Write Enable signal b_en : std_logic; -- BRAM B port Enable signal b_addr : unsigned(g_stack_size-1 downto 2):=(others => '0'); -- BRAM B Address signal b_wdata : unsigned(31 downto 0):=(others => '0'); -- Data to BRAM B port signal b_rdata : unsigned(31 downto 0); -- Data from BRAM B port signal b_wdata_slv : std_logic_vector(31 downto 0):=(others => '0'); -- Data to BRAM B port signal b_rdata_slv : std_logic_vector(31 downto 0); -- Data from BRAM B port signal c_addr : unsigned(g_addr_size-1 downto 0); begin cpu: entity work.zpu_8bit_loadb generic map ( g_addr_size => g_addr_size, g_stack_size => g_stack_size, g_prog_size => g_prog_size, g_dont_care => g_dont_care ) port map ( clk_i => clock, reset_i => reset, interrupt_i => interrupt_i, break_o => break_o, -- synthesis translate_off dbg_o => open, -- synthesis translate_on -- BRAM (stack ONLY) a_we_o => a_we, a_en_o => a_en, a_addr_o => a_addr, a_o => a_wdata, a_i => a_rdata, b_we_o => b_we, b_en_o => b_en, b_addr_o => b_addr, b_o => b_wdata, b_i => b_rdata, -- memory port for text, bss, data c_addr_o => c_addr, c_size_o => mem_size, c_inst_o => mem_instr, c_req_o => mem_req, c_rack_i => mem_rack, c_dack_i => mem_dack, c_we_o => mem_write, c_data_o => mem_wdata, c_data_i => mem_rdata ); mem_address <= std_logic_vector(c_addr); a_wdata_slv <= std_logic_vector(a_wdata); b_wdata_slv <= std_logic_vector(b_wdata); a_rdata <= unsigned(a_rdata_slv); b_rdata <= unsigned(b_rdata_slv); i_stack_ram: entity work.dpram generic map ( g_width_bits => 32, g_depth_bits => g_stack_size-2, g_read_first_a => false, g_read_first_b => false, g_storage => "block" ) port map ( a_clock => clock, a_address => a_addr, a_rdata => a_rdata_slv, a_wdata => a_wdata_slv, a_en => a_en, a_we => a_we, b_clock => clock, b_address => b_addr, b_rdata => b_rdata_slv, b_wdata => b_wdata_slv, b_en => b_en, b_we => b_we ); end gideon;
------------------------------------------------------------------------------ ---- ---- ---- ZPU 8-bit version, wrapper wirh memory ---- ---- ---- ---- http://www.opencores.org/ ---- ---- ---- ---- Description: ---- ---- ZPU is a 32 bits small stack cpu. This is a modified version of ---- ---- the zpu_small implementation. This one has only one 8-bit external ---- ---- memory port, which is used for I/O, instruction fetch and data ---- ---- accesses. It is intended to interface with existing 8-bit systems, ---- ---- while maintaining the large addressing range and 32-bit programming ---- ---- model. The 32-bit stack remains "internal" in the ZPU. ---- ---- ---- ---- This version is about the same size as zpu_small from zealot, ---- ---- but performs 25% better at the same clock speed, given that the ---- ---- external memory bus can operate with 0 wait states. The performance ---- ---- increase is due to the fact that most instructions only require 3 ---- ---- clock cycles instead of 4. ---- ---- ---- ---- Author: ---- ---- - Øyvind Harboe, oyvind.harboe zylin.com [zpu concept] ---- ---- - Salvador E. Tropea, salvador inti.gob.ar [zealot] ---- ---- - Gideon Zweijtzer, gideon.zweijtzer technolution.eu [this] ---- ---- ---- ------------------------------------------------------------------------------ ---- ---- ---- Copyright (c) 2008 Øyvind Harboe <oyvind.harboe zylin.com> ---- ---- Copyright (c) 2008 Salvador E. Tropea <salvador inti.gob.ar> ---- ---- Copyright (c) 2008 Instituto Nacional de Tecnología Industrial ---- ---- Copyright (c) 2009 Gideon N. Zweijtzer <Technolution.NL> ---- ---- ---- ---- Distributed under the BSD license ---- ---- ---- ------------------------------------------------------------------------------ ---- ---- ---- Design unit: zpu (Behave) (Entity and architecture) ---- ---- File name: zpu.vhd ---- ---- Note: None ---- ---- Limitations: None known ---- ---- Errors: None known ---- ---- Library: work ---- ---- Dependencies: ieee.std_logic_1164 ---- ---- ieee.numeric_std ---- ---- work.zpupkg ---- ---- Target FPGA: Spartan 3E (XC3S500E-4-PQG208) ---- ---- Language: VHDL ---- ---- Wishbone: No ---- ---- Synthesis tools: Xilinx Release 10.1.03i - xst K.39 ---- ---- Simulation tools: Modelsim ---- ---- Text editor: UltraEdit 11.00a+ ---- ---- ---- ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.zpupkg.all; entity zpu is generic( g_addr_size : integer := 16; g_stack_size : integer := 12; -- Memory (stack+data) width g_prog_size : integer := 14; -- Program size g_dont_care : std_logic := '-'); -- Value used to fill the unused bits, can be '-' or '0' port( clock : in std_logic; reset : in std_logic; interrupt_i : in std_logic; break_o : out std_logic; mem_address : out std_logic_vector(g_addr_size-1 downto 0); mem_size : out std_logic_vector(1 downto 0); mem_instr : out std_logic; mem_req : out std_logic; mem_write : out std_logic; mem_rack : in std_logic; mem_dack : in std_logic; mem_wdata : out std_logic_vector(7 downto 0); mem_rdata : in std_logic_vector(7 downto 0) ); end zpu; architecture gideon of zpu is signal a_we : std_logic; -- BRAM A port Write Enable signal a_en : std_logic; -- BRAM A port Enable signal a_addr : unsigned(g_stack_size-1 downto 2):=(others => '0'); -- BRAM A Address signal a_wdata : unsigned(31 downto 0):=(others => '0'); -- Data to BRAM A port signal a_rdata : unsigned(31 downto 0); -- Data from BRAM A port signal a_wdata_slv : std_logic_vector(31 downto 0):=(others => '0'); -- Data to BRAM A port signal a_rdata_slv : std_logic_vector(31 downto 0); -- Data from BRAM A port signal b_we : std_logic; -- BRAM B port Write Enable signal b_en : std_logic; -- BRAM B port Enable signal b_addr : unsigned(g_stack_size-1 downto 2):=(others => '0'); -- BRAM B Address signal b_wdata : unsigned(31 downto 0):=(others => '0'); -- Data to BRAM B port signal b_rdata : unsigned(31 downto 0); -- Data from BRAM B port signal b_wdata_slv : std_logic_vector(31 downto 0):=(others => '0'); -- Data to BRAM B port signal b_rdata_slv : std_logic_vector(31 downto 0); -- Data from BRAM B port signal c_addr : unsigned(g_addr_size-1 downto 0); begin cpu: entity work.zpu_8bit_loadb generic map ( g_addr_size => g_addr_size, g_stack_size => g_stack_size, g_prog_size => g_prog_size, g_dont_care => g_dont_care ) port map ( clk_i => clock, reset_i => reset, interrupt_i => interrupt_i, break_o => break_o, -- synthesis translate_off dbg_o => open, -- synthesis translate_on -- BRAM (stack ONLY) a_we_o => a_we, a_en_o => a_en, a_addr_o => a_addr, a_o => a_wdata, a_i => a_rdata, b_we_o => b_we, b_en_o => b_en, b_addr_o => b_addr, b_o => b_wdata, b_i => b_rdata, -- memory port for text, bss, data c_addr_o => c_addr, c_size_o => mem_size, c_inst_o => mem_instr, c_req_o => mem_req, c_rack_i => mem_rack, c_dack_i => mem_dack, c_we_o => mem_write, c_data_o => mem_wdata, c_data_i => mem_rdata ); mem_address <= std_logic_vector(c_addr); a_wdata_slv <= std_logic_vector(a_wdata); b_wdata_slv <= std_logic_vector(b_wdata); a_rdata <= unsigned(a_rdata_slv); b_rdata <= unsigned(b_rdata_slv); i_stack_ram: entity work.dpram generic map ( g_width_bits => 32, g_depth_bits => g_stack_size-2, g_read_first_a => false, g_read_first_b => false, g_storage => "block" ) port map ( a_clock => clock, a_address => a_addr, a_rdata => a_rdata_slv, a_wdata => a_wdata_slv, a_en => a_en, a_we => a_we, b_clock => clock, b_address => b_addr, b_rdata => b_rdata_slv, b_wdata => b_wdata_slv, b_en => b_en, b_we => b_we ); end gideon;
------------------------------------------------------------------------------ ---- ---- ---- ZPU 8-bit version, wrapper wirh memory ---- ---- ---- ---- http://www.opencores.org/ ---- ---- ---- ---- Description: ---- ---- ZPU is a 32 bits small stack cpu. This is a modified version of ---- ---- the zpu_small implementation. This one has only one 8-bit external ---- ---- memory port, which is used for I/O, instruction fetch and data ---- ---- accesses. It is intended to interface with existing 8-bit systems, ---- ---- while maintaining the large addressing range and 32-bit programming ---- ---- model. The 32-bit stack remains "internal" in the ZPU. ---- ---- ---- ---- This version is about the same size as zpu_small from zealot, ---- ---- but performs 25% better at the same clock speed, given that the ---- ---- external memory bus can operate with 0 wait states. The performance ---- ---- increase is due to the fact that most instructions only require 3 ---- ---- clock cycles instead of 4. ---- ---- ---- ---- Author: ---- ---- - Øyvind Harboe, oyvind.harboe zylin.com [zpu concept] ---- ---- - Salvador E. Tropea, salvador inti.gob.ar [zealot] ---- ---- - Gideon Zweijtzer, gideon.zweijtzer technolution.eu [this] ---- ---- ---- ------------------------------------------------------------------------------ ---- ---- ---- Copyright (c) 2008 Øyvind Harboe <oyvind.harboe zylin.com> ---- ---- Copyright (c) 2008 Salvador E. Tropea <salvador inti.gob.ar> ---- ---- Copyright (c) 2008 Instituto Nacional de Tecnología Industrial ---- ---- Copyright (c) 2009 Gideon N. Zweijtzer <Technolution.NL> ---- ---- ---- ---- Distributed under the BSD license ---- ---- ---- ------------------------------------------------------------------------------ ---- ---- ---- Design unit: zpu (Behave) (Entity and architecture) ---- ---- File name: zpu.vhd ---- ---- Note: None ---- ---- Limitations: None known ---- ---- Errors: None known ---- ---- Library: work ---- ---- Dependencies: ieee.std_logic_1164 ---- ---- ieee.numeric_std ---- ---- work.zpupkg ---- ---- Target FPGA: Spartan 3E (XC3S500E-4-PQG208) ---- ---- Language: VHDL ---- ---- Wishbone: No ---- ---- Synthesis tools: Xilinx Release 10.1.03i - xst K.39 ---- ---- Simulation tools: Modelsim ---- ---- Text editor: UltraEdit 11.00a+ ---- ---- ---- ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.zpupkg.all; entity zpu is generic( g_addr_size : integer := 16; g_stack_size : integer := 12; -- Memory (stack+data) width g_prog_size : integer := 14; -- Program size g_dont_care : std_logic := '-'); -- Value used to fill the unused bits, can be '-' or '0' port( clock : in std_logic; reset : in std_logic; interrupt_i : in std_logic; break_o : out std_logic; mem_address : out std_logic_vector(g_addr_size-1 downto 0); mem_size : out std_logic_vector(1 downto 0); mem_instr : out std_logic; mem_req : out std_logic; mem_write : out std_logic; mem_rack : in std_logic; mem_dack : in std_logic; mem_wdata : out std_logic_vector(7 downto 0); mem_rdata : in std_logic_vector(7 downto 0) ); end zpu; architecture gideon of zpu is signal a_we : std_logic; -- BRAM A port Write Enable signal a_en : std_logic; -- BRAM A port Enable signal a_addr : unsigned(g_stack_size-1 downto 2):=(others => '0'); -- BRAM A Address signal a_wdata : unsigned(31 downto 0):=(others => '0'); -- Data to BRAM A port signal a_rdata : unsigned(31 downto 0); -- Data from BRAM A port signal a_wdata_slv : std_logic_vector(31 downto 0):=(others => '0'); -- Data to BRAM A port signal a_rdata_slv : std_logic_vector(31 downto 0); -- Data from BRAM A port signal b_we : std_logic; -- BRAM B port Write Enable signal b_en : std_logic; -- BRAM B port Enable signal b_addr : unsigned(g_stack_size-1 downto 2):=(others => '0'); -- BRAM B Address signal b_wdata : unsigned(31 downto 0):=(others => '0'); -- Data to BRAM B port signal b_rdata : unsigned(31 downto 0); -- Data from BRAM B port signal b_wdata_slv : std_logic_vector(31 downto 0):=(others => '0'); -- Data to BRAM B port signal b_rdata_slv : std_logic_vector(31 downto 0); -- Data from BRAM B port signal c_addr : unsigned(g_addr_size-1 downto 0); begin cpu: entity work.zpu_8bit_loadb generic map ( g_addr_size => g_addr_size, g_stack_size => g_stack_size, g_prog_size => g_prog_size, g_dont_care => g_dont_care ) port map ( clk_i => clock, reset_i => reset, interrupt_i => interrupt_i, break_o => break_o, -- synthesis translate_off dbg_o => open, -- synthesis translate_on -- BRAM (stack ONLY) a_we_o => a_we, a_en_o => a_en, a_addr_o => a_addr, a_o => a_wdata, a_i => a_rdata, b_we_o => b_we, b_en_o => b_en, b_addr_o => b_addr, b_o => b_wdata, b_i => b_rdata, -- memory port for text, bss, data c_addr_o => c_addr, c_size_o => mem_size, c_inst_o => mem_instr, c_req_o => mem_req, c_rack_i => mem_rack, c_dack_i => mem_dack, c_we_o => mem_write, c_data_o => mem_wdata, c_data_i => mem_rdata ); mem_address <= std_logic_vector(c_addr); a_wdata_slv <= std_logic_vector(a_wdata); b_wdata_slv <= std_logic_vector(b_wdata); a_rdata <= unsigned(a_rdata_slv); b_rdata <= unsigned(b_rdata_slv); i_stack_ram: entity work.dpram generic map ( g_width_bits => 32, g_depth_bits => g_stack_size-2, g_read_first_a => false, g_read_first_b => false, g_storage => "block" ) port map ( a_clock => clock, a_address => a_addr, a_rdata => a_rdata_slv, a_wdata => a_wdata_slv, a_en => a_en, a_we => a_we, b_clock => clock, b_address => b_addr, b_rdata => b_rdata_slv, b_wdata => b_wdata_slv, b_en => b_en, b_we => b_we ); end gideon;
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 22:21:54 12/01/2014 -- Design Name: -- Module Name: befunge_pc - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use IEEE.STD_LOGIC_ARITH.ALL; use ieee.STD_LOGIC_UNSIGNED; --PC outputs x and y coordinates to read from grid --dir input affects PC incrementing, it denotes the direction of execution in befunge_pc --skip increments the pc twice to avoid a cell --en, so that the operation of the pc can be clocked entity befunge_pc is generic( grid_width : integer; grid_height : integer ); port( clk : in std_logic; reset : in std_logic; pc_address : out integer range 0 to (grid_width*grid_height)-1; dir : in std_logic_vector (1 downto 0); skip : in std_logic; en : in std_logic ); end befunge_pc; --dir: --0 = right --1 = down --2 = left --3 = up architecture pc_v1 of befunge_pc is signal pc_address_int : integer range 0 to (grid_width*grid_height)-1; begin pc_address <= pc_address_int; process(reset,clk) variable increment : integer range 1 to 2; begin if(reset = '1') then pc_address_int <= 0; increment := 1; else if rising_edge(clk) then if ( en = '1' ) then if ( skip = '1' ) then increment := 2; else increment := 1; end if; if ( dir = "00" ) then --move right: add 1 pc_address_int <= pc_address_int + 1; elsif ( dir = "01" ) then --move up: subtract grid_width pc_address_int <= pc_address_int - 8; elsif ( dir = "10" ) then --move left: subtract 1 pc_address_int <= pc_address_int - 1; elsif (dir = "11") then --move down: add grid_width pc_address_int <= pc_address_int + 8; else --do nothing, laaaatchhh!!!! pc_address_int <= pc_address_int; end if; end if; end if; end if; end process; end pc_v1; architecture pc_v2 of befunge_pc is signal pc_address_int : integer range 0 to (grid_width*grid_height)-1; begin pc_address <= pc_address_int; process(reset,clk) variable increment : integer range 1 to 2; begin if(reset = '1') then pc_address_int <= 0; increment := 1; else if rising_edge(clk) then if ( en = '1' ) then if ( skip = '1' ) then increment := 2; else increment := 1; end if; if ( dir = "00" ) then --move right: add 1 pc_address_int <= pc_address_int + 1; elsif ( dir = "01" ) then --move up: subtract grid_width pc_address_int <= pc_address_int - 8; elsif ( dir = "10" ) then --move left: subtract 1 pc_address_int <= pc_address_int - 1; elsif (dir = "11") then --move down: add grid_width pc_address_int <= pc_address_int + 8; else --do nothing, laaaatchhh!!!! pc_address_int <= pc_address_int; end if; end if; end if; end if; end process; end pc_v2;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc99.vhd,v 1.2 2001-10-26 16:30:02 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c04s03b02x00p29n02i00099ent_a IS END c04s03b02x00p29n02i00099ent_a; ARCHITECTURE c04s03b02x00p29n02i00099arch_a OF c04s03b02x00p29n02i00099ent_a IS PROCEDURE p1 ( prm_in : IN INTEGER ) IS ATTRIBUTE attr1 : INTEGER; ATTRIBUTE attr1 OF prm_in : constant IS 300; BEGIN ASSERT prm_in'attr1 = 300 REPORT "ERROR: Bad value for prm_in'attr1" SEVERITY FAILURE; assert NOT(prm_in'attr1 = 300) report "***PASSED TEST: c04s03b02x00p29n02i00099" severity NOTE; assert (prm_in'attr1 = 300) report "***FAILED TEST: c04s03b02x00p29n02i00099 - Attribute reading in subprogram fail." severity ERROR; END; BEGIN PROCESS BEGIN -- p1 ( 0 ); -- wait; END PROCESS; END c04s03b02x00p29n02i00099arch_a; ENTITY vests25 IS END vests25; ARCHITECTURE c04s03b02x00p29n02i00099arch OF vests25 IS COMPONENT c04s03b02x00p29n02i00099ent_a END COMPONENT; FOR cmp1 : c04s03b02x00p29n02i00099ent_a USE ENTITY work.c04s03b02x00p29n02i00099ent_a(c04s03b02x00p29n02i00099arch_a); SIGNAL s : INTEGER; BEGIN cmp1 : c04s03b02x00p29n02i00099ent_a; END c04s03b02x00p29n02i00099arch;
----------------------------------------------------------- --------- AUTOGENERATED FILE, DO NOT EDIT ----------------- ----------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; package desilog is subtype u8 is unsigned( 7 downto 0); subtype u16 is unsigned(15 downto 0); subtype u32 is unsigned(31 downto 0); subtype u64 is unsigned(63 downto 0); subtype u2 is unsigned( 1 downto 0); subtype u4 is unsigned( 3 downto 0); type string_ptr is access string; --function str(a : unsigned) return string; --function str(a : integer) return string; function dg_boolToBit(bval : boolean) return std_ulogic; end package; package body desilog is function dg_boolToBit(bval : boolean) return std_ulogic is begin if bval then return '1'; else return '0'; end if; end function; end;
----------------------------------------------------------- --------- AUTOGENERATED FILE, DO NOT EDIT ----------------- ----------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; package desilog is subtype u8 is unsigned( 7 downto 0); subtype u16 is unsigned(15 downto 0); subtype u32 is unsigned(31 downto 0); subtype u64 is unsigned(63 downto 0); subtype u2 is unsigned( 1 downto 0); subtype u4 is unsigned( 3 downto 0); type string_ptr is access string; --function str(a : unsigned) return string; --function str(a : integer) return string; function dg_boolToBit(bval : boolean) return std_ulogic; end package; package body desilog is function dg_boolToBit(bval : boolean) return std_ulogic is begin if bval then return '1'; else return '0'; end if; end function; end;