text
stringlengths
938
1.05M
// DESCRIPTION: Verilator: Verilog Test module // // This file ONLY is placed into the Public Domain, for any use, // without warranty, 2013 by Sean Moore. module t (/*AUTOARG*/ // Inputs clk ); input clk; integer cyc=0; reg [63:0] crc; reg [63:0] sum; // Take CRC data and apply to testblock inputs wire [7:0] tripline = crc[7:0]; /*AUTOWIRE*/ wire valid; wire [3-1:0] value; PriorityChoice #(.OCODEWIDTH(3)) pe (.out(valid), .outN(value[2:0]), .tripline(tripline)); // Aggregate outputs into a single result vector wire [63:0] result = {59'h0, valid, value}; // Test loop always @ (posedge clk) begin `ifdef TEST_VERBOSE $write("[%0t] cyc==%0d crc=%x result=%x\n",$time, cyc, crc, result); `endif cyc <= cyc + 1; crc <= {crc[62:0], crc[63]^crc[2]^crc[0]}; sum <= result ^ {sum[62:0],sum[63]^sum[2]^sum[0]}; if (cyc==0) begin // Setup crc <= 64'h5aef0c8d_d70a4497; sum <= 64'h0; end else if (cyc<10) begin sum <= 64'h0; end else if (cyc<90) begin end else if (cyc==99) begin $write("[%0t] cyc==%0d crc=%x sum=%x\n",$time, cyc, crc, sum); if (crc !== 64'hc77bb9b3784ea091) $stop; // What checksum will we end up with (above print should match) `define EXPECTED_SUM 64'hc5fc632f816568fb if (sum !== `EXPECTED_SUM) $stop; $write("*-* All Finished *-*\n"); $finish; end end endmodule module PriorityChoice (out, outN, tripline); parameter OCODEWIDTH = 1; localparam CODEWIDTH=OCODEWIDTH-1; localparam SCODEWIDTH= (CODEWIDTH<1) ? 1 : CODEWIDTH; output reg out; output reg [OCODEWIDTH-1:0] outN; input wire [(1<<OCODEWIDTH)-1:0] tripline; wire left; wire [SCODEWIDTH-1:0] leftN; wire right; wire [SCODEWIDTH-1:0] rightN; generate if(OCODEWIDTH==1) begin assign left = tripline[1]; assign right = tripline[0]; always @(*) begin out <= left || right ; if(right) begin outN <= {1'b0}; end else begin outN <= {1'b1}; end end end else begin PriorityChoice #(.OCODEWIDTH(OCODEWIDTH-1)) leftMap ( .out(left), .outN(leftN), .tripline(tripline[(2<<CODEWIDTH)-1:(1<<CODEWIDTH)]) ); PriorityChoice #(.OCODEWIDTH(OCODEWIDTH-1)) rightMap ( .out(right), .outN(rightN), .tripline(tripline[(1<<CODEWIDTH)-1:0]) ); always @(*) begin if(right) begin out <= right; outN <= {1'b0, rightN[OCODEWIDTH-2:0]}; end else begin out <= left; outN <= {1'b1, leftN[OCODEWIDTH-2:0]}; end end end endgenerate endmodule
// DESCRIPTION: Verilator: Verilog Test module // // This file ONLY is placed into the Public Domain, for any use, // without warranty, 2013 by Sean Moore. module t (/*AUTOARG*/ // Inputs clk ); input clk; integer cyc=0; reg [63:0] crc; reg [63:0] sum; // Take CRC data and apply to testblock inputs wire [7:0] tripline = crc[7:0]; /*AUTOWIRE*/ wire valid; wire [3-1:0] value; PriorityChoice #(.OCODEWIDTH(3)) pe (.out(valid), .outN(value[2:0]), .tripline(tripline)); // Aggregate outputs into a single result vector wire [63:0] result = {59'h0, valid, value}; // Test loop always @ (posedge clk) begin `ifdef TEST_VERBOSE $write("[%0t] cyc==%0d crc=%x result=%x\n",$time, cyc, crc, result); `endif cyc <= cyc + 1; crc <= {crc[62:0], crc[63]^crc[2]^crc[0]}; sum <= result ^ {sum[62:0],sum[63]^sum[2]^sum[0]}; if (cyc==0) begin // Setup crc <= 64'h5aef0c8d_d70a4497; sum <= 64'h0; end else if (cyc<10) begin sum <= 64'h0; end else if (cyc<90) begin end else if (cyc==99) begin $write("[%0t] cyc==%0d crc=%x sum=%x\n",$time, cyc, crc, sum); if (crc !== 64'hc77bb9b3784ea091) $stop; // What checksum will we end up with (above print should match) `define EXPECTED_SUM 64'hc5fc632f816568fb if (sum !== `EXPECTED_SUM) $stop; $write("*-* All Finished *-*\n"); $finish; end end endmodule module PriorityChoice (out, outN, tripline); parameter OCODEWIDTH = 1; localparam CODEWIDTH=OCODEWIDTH-1; localparam SCODEWIDTH= (CODEWIDTH<1) ? 1 : CODEWIDTH; output reg out; output reg [OCODEWIDTH-1:0] outN; input wire [(1<<OCODEWIDTH)-1:0] tripline; wire left; wire [SCODEWIDTH-1:0] leftN; wire right; wire [SCODEWIDTH-1:0] rightN; generate if(OCODEWIDTH==1) begin assign left = tripline[1]; assign right = tripline[0]; always @(*) begin out <= left || right ; if(right) begin outN <= {1'b0}; end else begin outN <= {1'b1}; end end end else begin PriorityChoice #(.OCODEWIDTH(OCODEWIDTH-1)) leftMap ( .out(left), .outN(leftN), .tripline(tripline[(2<<CODEWIDTH)-1:(1<<CODEWIDTH)]) ); PriorityChoice #(.OCODEWIDTH(OCODEWIDTH-1)) rightMap ( .out(right), .outN(rightN), .tripline(tripline[(1<<CODEWIDTH)-1:0]) ); always @(*) begin if(right) begin out <= right; outN <= {1'b0, rightN[OCODEWIDTH-2:0]}; end else begin out <= left; outN <= {1'b1, leftN[OCODEWIDTH-2:0]}; end end end endgenerate endmodule
// ---------------------------------------------------------------------- // Copyright (c) 2016, The Regents of the University of California All // rights reserved. // // Redistribution and use in source and binary forms, with or without // modification, are permitted provided that the following conditions are // met: // // * Redistributions of source code must retain the above copyright // notice, this list of conditions and the following disclaimer. // // * Redistributions in binary form must reproduce the above // copyright notice, this list of conditions and the following // disclaimer in the documentation and/or other materials provided // with the distribution. // // * Neither the name of The Regents of the University of California // nor the names of its contributors may be used to endorse or // promote products derived from this software without specific // prior written permission. // // THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS // "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT // LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR // A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL REGENTS OF THE // UNIVERSITY OF CALIFORNIA BE LIABLE FOR ANY DIRECT, INDIRECT, // INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, // BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS // OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND // ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR // TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE // USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH // DAMAGE. // ---------------------------------------------------------------------- //---------------------------------------------------------------------------- // Filename: txc_engine_classic.v // Version: 1.0 // Verilog Standard: Verilog-2001 // Description: The TXC Engine takes unformatted completions, formats // these packets into "TLP's" or Transaction Layer Packets. These // packets must meet max-request, max-payload, and payload termination // requirements (see Read Completion Boundary). The TXC Engine does not // check these requirements during operation, but may do so during // simulation. // This file also contains the txc_formatter module, which formats // completion headers. // Author: Dustin Richmond (@darichmond) //----------------------------------------------------------------------------- `timescale 1ns/1ns `include "trellis.vh" // Defines the user-facing signal widths. `include "tlp.vh" // Defines the endpoint-facing field widths in a TLP module txc_engine_classic #(parameter C_PCI_DATA_WIDTH = 128, parameter C_PIPELINE_INPUT = 1, parameter C_PIPELINE_OUTPUT = 0, parameter C_MAX_PAYLOAD_DWORDS = 64, parameter C_DEPTH_PACKETS = 10, parameter C_VENDOR = "ALTERA") (// Interface: Clocks input CLK, // Interface: Resets input RST_IN, // Addition for RIFFA_RST output DONE_TXC_RST, // Interface: Configuration input [`SIG_CPLID_W-1:0] CONFIG_COMPLETER_ID, // Interface: TXC Classic input TXC_TLP_READY, output [C_PCI_DATA_WIDTH-1:0] TXC_TLP, output TXC_TLP_VALID, output TXC_TLP_START_FLAG, output [clog2s(C_PCI_DATA_WIDTH/32)-1:0] TXC_TLP_START_OFFSET, output TXC_TLP_END_FLAG, output [clog2s(C_PCI_DATA_WIDTH/32)-1:0] TXC_TLP_END_OFFSET, // Interface: TXC Engine input TXC_DATA_VALID, input [C_PCI_DATA_WIDTH-1:0] TXC_DATA, input TXC_DATA_START_FLAG, input [clog2s(C_PCI_DATA_WIDTH/32)-1:0] TXC_DATA_START_OFFSET, input TXC_DATA_END_FLAG, input [clog2s(C_PCI_DATA_WIDTH/32)-1:0] TXC_DATA_END_OFFSET, output TXC_DATA_READY, input TXC_META_VALID, input [`SIG_FBE_W-1:0] TXC_META_FDWBE, input [`SIG_LBE_W-1:0] TXC_META_LDWBE, input [`SIG_LOWADDR_W-1:0] TXC_META_ADDR, input [`SIG_TYPE_W-1:0] TXC_META_TYPE, input [`SIG_LEN_W-1:0] TXC_META_LENGTH, input [`SIG_BYTECNT_W-1:0] TXC_META_BYTE_COUNT, input [`SIG_TAG_W-1:0] TXC_META_TAG, input [`SIG_REQID_W-1:0] TXC_META_REQUESTER_ID, input [`SIG_TC_W-1:0] TXC_META_TC, input [`SIG_ATTR_W-1:0] TXC_META_ATTR, input TXC_META_EP, output TXC_META_READY); localparam C_DATA_WIDTH = C_PCI_DATA_WIDTH; localparam C_MAX_HDR_WIDTH = `TLP_MAXHDR_W; localparam C_MAX_ALIGN_WIDTH = (C_VENDOR == "ALTERA") ? 32: (C_VENDOR == "XILINX") ? 0 : 0; localparam C_PIPELINE_FORMATTER_INPUT = C_PIPELINE_INPUT; localparam C_PIPELINE_FORMATTER_OUTPUT = C_PIPELINE_OUTPUT; localparam C_FORMATTER_DELAY = C_PIPELINE_FORMATTER_OUTPUT + C_PIPELINE_FORMATTER_INPUT; /*AUTOWIRE*/ /*AUTOINPUT*/ ///*AUTOOUTPUT*/ wire wTxHdrReady; wire wTxHdrValid; wire [C_MAX_HDR_WIDTH-1:0] wTxHdr; wire [`SIG_TYPE_W-1:0] wTxType; wire [`SIG_NONPAY_W-1:0] wTxHdrNonpayLen; wire [`SIG_PACKETLEN_W-1:0] wTxHdrPacketLen; wire [`SIG_LEN_W-1:0] wTxHdrPayloadLen; wire wTxHdrNopayload; assign DONE_TXC_RST = ~RST_IN; txc_formatter_classic #( .C_PIPELINE_OUTPUT (C_PIPELINE_FORMATTER_OUTPUT), .C_PIPELINE_INPUT (C_PIPELINE_FORMATTER_INPUT), /*AUTOINSTPARAM*/ // Parameters .C_PCI_DATA_WIDTH (C_PCI_DATA_WIDTH), .C_MAX_HDR_WIDTH (C_MAX_HDR_WIDTH), .C_MAX_ALIGN_WIDTH (C_MAX_ALIGN_WIDTH), .C_VENDOR (C_VENDOR)) txc_formatter_inst ( // Outputs .TX_HDR_VALID (wTxHdrValid), .TX_HDR (wTxHdr[C_MAX_HDR_WIDTH-1:0]), .TX_HDR_NOPAYLOAD (wTxHdrNopayload), .TX_HDR_PAYLOAD_LEN (wTxHdrPayloadLen[`SIG_LEN_W-1:0]), .TX_HDR_NONPAY_LEN (wTxHdrNonpayLen[`SIG_NONPAY_W-1:0]), .TX_HDR_PACKET_LEN (wTxHdrPacketLen[`SIG_PACKETLEN_W-1:0]), // Inputs .TX_HDR_READY (wTxHdrReady), /*AUTOINST*/ // Outputs .TXC_META_READY (TXC_META_READY), // Inputs .CLK (CLK), .RST_IN (RST_IN), .CONFIG_COMPLETER_ID (CONFIG_COMPLETER_ID[`SIG_CPLID_W-1:0]), .TXC_META_VALID (TXC_META_VALID), .TXC_META_FDWBE (TXC_META_FDWBE[`SIG_FBE_W-1:0]), .TXC_META_LDWBE (TXC_META_LDWBE[`SIG_LBE_W-1:0]), .TXC_META_ADDR (TXC_META_ADDR[`SIG_LOWADDR_W-1:0]), .TXC_META_LENGTH (TXC_META_LENGTH[`SIG_LEN_W-1:0]), .TXC_META_BYTE_COUNT (TXC_META_BYTE_COUNT[`SIG_BYTECNT_W-1:0]), .TXC_META_TAG (TXC_META_TAG[`SIG_TAG_W-1:0]), .TXC_META_TYPE (TXC_META_TYPE[`SIG_TYPE_W-1:0]), .TXC_META_REQUESTER_ID (TXC_META_REQUESTER_ID[`SIG_REQID_W-1:0]), .TXC_META_TC (TXC_META_TC[`SIG_TC_W-1:0]), .TXC_META_ATTR (TXC_META_ATTR[`SIG_ATTR_W-1:0]), .TXC_META_EP (TXC_META_EP)); tx_engine #( .C_DATA_WIDTH (C_PCI_DATA_WIDTH), /*AUTOINSTPARAM*/ // Parameters .C_DEPTH_PACKETS (C_DEPTH_PACKETS), .C_PIPELINE_INPUT (C_PIPELINE_INPUT), .C_PIPELINE_OUTPUT (C_PIPELINE_OUTPUT), .C_FORMATTER_DELAY (C_FORMATTER_DELAY), .C_MAX_HDR_WIDTH (C_MAX_HDR_WIDTH), .C_MAX_PAYLOAD_DWORDS (C_MAX_PAYLOAD_DWORDS), .C_VENDOR (C_VENDOR)) txc_engine_inst ( // Outputs .TX_HDR_READY (wTxHdrReady), .TX_DATA_READY (TXC_DATA_READY), .TX_PKT (TXC_TLP[C_DATA_WIDTH-1:0]), .TX_PKT_START_FLAG (TXC_TLP_START_FLAG), .TX_PKT_START_OFFSET (TXC_TLP_START_OFFSET[clog2s(C_DATA_WIDTH/32)-1:0]), .TX_PKT_END_FLAG (TXC_TLP_END_FLAG), .TX_PKT_END_OFFSET (TXC_TLP_END_OFFSET[clog2s(C_DATA_WIDTH/32)-1:0]), .TX_PKT_VALID (TXC_TLP_VALID), // Inputs .TX_HDR_VALID (wTxHdrValid), .TX_HDR (wTxHdr[C_MAX_HDR_WIDTH-1:0]), .TX_HDR_NOPAYLOAD (wTxHdrNopayload), .TX_HDR_PAYLOAD_LEN (wTxHdrPayloadLen[`SIG_LEN_W-1:0]), .TX_HDR_NONPAY_LEN (wTxHdrNonpayLen[`SIG_NONPAY_W-1:0]), .TX_HDR_PACKET_LEN (wTxHdrPacketLen[`SIG_PACKETLEN_W-1:0]), .TX_DATA_VALID (TXC_DATA_VALID), .TX_DATA (TXC_DATA[C_DATA_WIDTH-1:0]), .TX_DATA_START_FLAG (TXC_DATA_START_FLAG), .TX_DATA_START_OFFSET (TXC_DATA_START_OFFSET[clog2s(C_DATA_WIDTH/32)-1:0]), .TX_DATA_END_FLAG (TXC_DATA_END_FLAG), .TX_DATA_END_OFFSET (TXC_DATA_END_OFFSET[clog2s(C_DATA_WIDTH/32)-1:0]), .TX_PKT_READY (TXC_TLP_READY), /*AUTOINST*/ // Inputs .CLK (CLK), .RST_IN (RST_IN)); endmodule module txc_formatter_classic #( parameter C_PCI_DATA_WIDTH = 10'd128, parameter C_MAX_HDR_WIDTH = `TLP_MAXHDR_W, parameter C_MAX_ALIGN_WIDTH = 32, parameter C_PIPELINE_INPUT = 1, parameter C_PIPELINE_OUTPUT = 1, parameter C_VENDOR = "ALTERA" ) ( // Interface: Clocks input CLK, // Interface: Resets input RST_IN, // Interface: Configuration input [`SIG_CPLID_W-1:0] CONFIG_COMPLETER_ID, // Interface: TXC input TXC_META_VALID, input [`SIG_FBE_W-1:0] TXC_META_FDWBE, input [`SIG_LBE_W-1:0] TXC_META_LDWBE, input [`SIG_LOWADDR_W-1:0] TXC_META_ADDR, input [`SIG_LEN_W-1:0] TXC_META_LENGTH, input [`SIG_BYTECNT_W-1:0] TXC_META_BYTE_COUNT, input [`SIG_TAG_W-1:0] TXC_META_TAG, input [`SIG_TYPE_W-1:0] TXC_META_TYPE, input [`SIG_REQID_W-1:0] TXC_META_REQUESTER_ID, input [`SIG_TC_W-1:0] TXC_META_TC, input [`SIG_ATTR_W-1:0] TXC_META_ATTR, input TXC_META_EP, output TXC_META_READY, // Interface: TX HDR output TX_HDR_VALID, output [C_MAX_HDR_WIDTH-1:0] TX_HDR, output [`SIG_LEN_W-1:0] TX_HDR_PAYLOAD_LEN, output [`SIG_NONPAY_W-1:0] TX_HDR_NONPAY_LEN, output [`SIG_PACKETLEN_W-1:0] TX_HDR_PACKET_LEN, output TX_HDR_NOPAYLOAD, input TX_HDR_READY ); wire [C_MAX_HDR_WIDTH-1:0] wCplHdr; wire wTxHdrReady; wire wTxHdrValid; wire [C_MAX_HDR_WIDTH-1:0] wTxHdr; wire [`SIG_TYPE_W-1:0] wTxType; wire [`SIG_NONPAY_W-1:0] wTxHdrNonpayLen; wire [`SIG_PACKETLEN_W-1:0] wTxHdrPacketLen; wire [`SIG_LEN_W-1:0] wTxHdrPayloadLen; wire wTxHdrNopayload; wire [`TLP_CPLADDR_W-1:0] wTxLoAddr; // Reserved Fields assign wCplHdr[`TLP_RSVD0_R] = `TLP_RSVD0_V; assign wCplHdr[`TLP_ADDRTYPE_R] = `TLP_ADDRTYPE_W'b0; assign wCplHdr[`TLP_TH_R] = `TLP_TH_W'b0; assign wCplHdr[`TLP_RSVD1_R] = `TLP_RSVD1_V; assign wCplHdr[`TLP_RSVD2_R] = `TLP_RSVD2_V; assign wCplHdr[`TLP_CPLBCM_R] = `TLP_CPLBCM_W'b0; assign wCplHdr[`TLP_CPLRSVD0_R] = `TLP_CPLRSVD0_W'b0; assign wCplHdr[127:96] = 32'b0; // Generic Header Fields assign wCplHdr[`TLP_LEN_R] = TXC_META_LENGTH; assign wCplHdr[`TLP_EP_R] = TXC_META_EP; assign wCplHdr[`TLP_TD_R] = `TLP_NODIGEST_V; assign wCplHdr[`TLP_ATTR0_R] = TXC_META_ATTR[1:0]; assign wCplHdr[`TLP_ATTR1_R] = TXC_META_ATTR[2]; assign {wCplHdr[`TLP_FMT_R], wCplHdr[`TLP_TYPE_R]} = trellis_to_tlp_type(TXC_META_TYPE,0); assign wCplHdr[`TLP_TC_R] = TXC_META_TC; // Completion Specific Fields assign wCplHdr[`TLP_CPLBYTECNT_R] = TXC_META_BYTE_COUNT; assign wCplHdr[`TLP_CPLSTAT_R] = 0; assign wCplHdr[`TLP_CPLCPLID_R] = CONFIG_COMPLETER_ID; assign wCplHdr[`TLP_CPLADDR_R] = TXC_META_ADDR; assign wCplHdr[`TLP_CPLTAG_R] = TXC_META_TAG; assign wCplHdr[`TLP_CPLREQID_R] = TXC_META_REQUESTER_ID; // Metadata, to the aligner assign wTxLoAddr = wTxHdr[`TLP_CPLADDR_R]; assign wTxHdrNopayload = ~wTxHdr[`TLP_PAYBIT_I]; assign wTxHdrNonpayLen = 3 + ((C_VENDOR == "ALTERA")? {3'b0,(~wTxLoAddr[2] & ~wTxHdrNopayload)} : 4'b0); assign wTxHdrPayloadLen = wTxHdrNopayload ? 0 : wTxHdr[`TLP_LEN_R]; assign wTxHdrPacketLen = wTxHdrPayloadLen + wTxHdrNonpayLen; pipeline #(// Parameters .C_DEPTH (C_PIPELINE_INPUT?1:0), .C_WIDTH (C_MAX_HDR_WIDTH), .C_USE_MEMORY (0) /*AUTOINSTPARAM*/) input_inst (// Outputs .WR_DATA_READY (TXC_META_READY), .RD_DATA (wTxHdr), .RD_DATA_VALID (wTxHdrValid), // Inputs .WR_DATA (wCplHdr), .WR_DATA_VALID (TXC_META_VALID), .RD_DATA_READY (wTxHdrReady), /*AUTOINST*/ // Inputs .CLK (CLK), .RST_IN (RST_IN)); pipeline #( // Parameters .C_DEPTH (C_PIPELINE_OUTPUT?1:0), .C_WIDTH (C_MAX_HDR_WIDTH+ 1 + `SIG_PACKETLEN_W + `SIG_LEN_W + `SIG_NONPAY_W), .C_USE_MEMORY (0) /*AUTOINSTPARAM*/) output_inst ( // Outputs .WR_DATA_READY (wTxHdrReady), .RD_DATA ({TX_HDR,TX_HDR_NOPAYLOAD,TX_HDR_PACKET_LEN,TX_HDR_PAYLOAD_LEN,TX_HDR_NONPAY_LEN}), .RD_DATA_VALID (TX_HDR_VALID), // Inputs .WR_DATA ({wTxHdr,wTxHdrNopayload,wTxHdrPacketLen,wTxHdrPayloadLen,wTxHdrNonpayLen}), .WR_DATA_VALID (wTxHdrValid), .RD_DATA_READY (TX_HDR_READY), /*AUTOINST*/ // Inputs .CLK (CLK), .RST_IN (RST_IN)); endmodule // Local Variables: // verilog-library-directories:("." "../../../common/" "../../common/") // End:
// ---------------------------------------------------------------------- // Copyright (c) 2016, The Regents of the University of California All // rights reserved. // // Redistribution and use in source and binary forms, with or without // modification, are permitted provided that the following conditions are // met: // // * Redistributions of source code must retain the above copyright // notice, this list of conditions and the following disclaimer. // // * Redistributions in binary form must reproduce the above // copyright notice, this list of conditions and the following // disclaimer in the documentation and/or other materials provided // with the distribution. // // * Neither the name of The Regents of the University of California // nor the names of its contributors may be used to endorse or // promote products derived from this software without specific // prior written permission. // // THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS // "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT // LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR // A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL REGENTS OF THE // UNIVERSITY OF CALIFORNIA BE LIABLE FOR ANY DIRECT, INDIRECT, // INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, // BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS // OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND // ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR // TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE // USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH // DAMAGE. // ---------------------------------------------------------------------- //---------------------------------------------------------------------------- // Filename: rxr_engine_classic.v // Version: 1.0 // Verilog Standard: Verilog-2001 // Description: The RXR Engine (Classic) takes a single stream of TLP // packets and provides the request packets on the RXR Interface. // This Engine is capable of operating at "line rate". // Author: Dustin Richmond (@darichmond) //----------------------------------------------------------------------------- `timescale 1ns/1ns `include "trellis.vh" `include "tlp.vh" module rxr_engine_classic #(parameter C_VENDOR = "ALTERA", parameter C_PCI_DATA_WIDTH = 128, parameter C_RX_PIPELINE_DEPTH=10) (// Interface: Clocks input CLK, // Interface: Resets input RST_BUS, // Replacement for generic RST_IN input RST_LOGIC, // Addition for RIFFA_RST output DONE_RXR_RST, // Interface: RX Classic input [C_PCI_DATA_WIDTH-1:0] RX_TLP, input RX_TLP_VALID, input RX_TLP_START_FLAG, input [`SIG_OFFSET_W-1:0] RX_TLP_START_OFFSET, input RX_TLP_END_FLAG, input [`SIG_OFFSET_W-1:0] RX_TLP_END_OFFSET, input [`SIG_BARDECODE_W-1:0] RX_TLP_BAR_DECODE, // Interface: RXR output [C_PCI_DATA_WIDTH-1:0] RXR_DATA, output RXR_DATA_VALID, output [(C_PCI_DATA_WIDTH/32)-1:0] RXR_DATA_WORD_ENABLE, output RXR_DATA_START_FLAG, output [clog2s(C_PCI_DATA_WIDTH/32)-1:0] RXR_DATA_START_OFFSET, output RXR_DATA_END_FLAG, output [clog2s(C_PCI_DATA_WIDTH/32)-1:0] RXR_DATA_END_OFFSET, output [`SIG_FBE_W-1:0] RXR_META_FDWBE, output [`SIG_LBE_W-1:0] RXR_META_LDWBE, output [`SIG_TC_W-1:0] RXR_META_TC, output [`SIG_ATTR_W-1:0] RXR_META_ATTR, output [`SIG_TAG_W-1:0] RXR_META_TAG, output [`SIG_TYPE_W-1:0] RXR_META_TYPE, output [`SIG_ADDR_W-1:0] RXR_META_ADDR, output [`SIG_BARDECODE_W-1:0] RXR_META_BAR_DECODED, output [`SIG_REQID_W-1:0] RXR_META_REQUESTER_ID, output [`SIG_LEN_W-1:0] RXR_META_LENGTH, output RXR_META_EP, // Interface: RX Shift Register input [(C_RX_PIPELINE_DEPTH+1)*C_PCI_DATA_WIDTH-1:0] RX_SR_DATA, input [C_RX_PIPELINE_DEPTH:0] RX_SR_EOP, input [(C_RX_PIPELINE_DEPTH+1)*`SIG_OFFSET_W-1:0] RX_SR_END_OFFSET, input [C_RX_PIPELINE_DEPTH:0] RX_SR_SOP, input [C_RX_PIPELINE_DEPTH:0] RX_SR_VALID ); /*AUTOWIRE*/ ///*AUTOOUTPUT*/ // End of automatics localparam C_RX_BE_W = (`SIG_FBE_W+`SIG_LBE_W); localparam C_RX_INPUT_STAGES = 1; localparam C_RX_OUTPUT_STAGES = 1; // Must always be at least one localparam C_RX_COMPUTATION_STAGES = 1; localparam C_TOTAL_STAGES = C_RX_COMPUTATION_STAGES + C_RX_OUTPUT_STAGES + C_RX_INPUT_STAGES; // Cycle index in the SOP register when enable is raised // Computation can begin when the last DW of the header is recieved. localparam C_RX_COMPUTATION_CYCLE = C_RX_COMPUTATION_STAGES + (`TLP_REQADDRDW1_I/C_PCI_DATA_WIDTH) + C_RX_INPUT_STAGES; // The computation cycle must be at least one cycle before the address is enabled localparam C_RX_DATA_CYCLE = C_RX_COMPUTATION_CYCLE; localparam C_RX_ADDRDW0_CYCLE = (`TLP_REQADDRDW0_I/C_PCI_DATA_WIDTH) + C_RX_INPUT_STAGES; localparam C_RX_ADDRDW1_CYCLE = (`TLP_REQADDRDW1_I/C_PCI_DATA_WIDTH) + C_RX_INPUT_STAGES; localparam C_RX_METADW0_CYCLE = (`TLP_REQMETADW0_I/C_PCI_DATA_WIDTH) + C_RX_INPUT_STAGES; localparam C_RX_METADW1_CYCLE = (`TLP_REQMETADW1_I/C_PCI_DATA_WIDTH) + C_RX_INPUT_STAGES; localparam C_RX_ADDRDW0_INDEX = C_PCI_DATA_WIDTH*C_RX_INPUT_STAGES + (`TLP_REQADDRDW0_I%C_PCI_DATA_WIDTH); localparam C_RX_ADDRDW1_INDEX = C_PCI_DATA_WIDTH*C_RX_INPUT_STAGES + (`TLP_REQADDRDW1_I%C_PCI_DATA_WIDTH); localparam C_RX_ADDRDW1_RESET_INDEX = C_PCI_DATA_WIDTH*C_RX_INPUT_STAGES + C_PCI_DATA_WIDTH*(C_RX_ADDRDW1_CYCLE - C_RX_METADW0_CYCLE) + `TLP_4DWHBIT_I; localparam C_RX_METADW0_INDEX = C_PCI_DATA_WIDTH*C_RX_INPUT_STAGES + (`TLP_REQMETADW0_I%C_PCI_DATA_WIDTH); localparam C_RX_METADW1_INDEX = C_PCI_DATA_WIDTH*C_RX_INPUT_STAGES + (`TLP_REQMETADW1_I%C_PCI_DATA_WIDTH); localparam C_OFFSET_WIDTH = clog2s(C_PCI_DATA_WIDTH/32); localparam C_MAX_ABLANK_WIDTH = 32; localparam C_MAX_START_OFFSET = (`TLP_MAXHDR_W + C_MAX_ABLANK_WIDTH)/32; localparam C_STD_START_DELAY = (64/C_PCI_DATA_WIDTH); wire [63:0] wAddrFmt; wire [63:0] wMetadata; wire [`TLP_TYPE_W-1:0] wType; wire [`TLP_LEN_W-1:0] wLength; wire wAddrDW0Bit2; wire wAddrDW1Bit2; wire wAddrHiReset; wire [31:0] wAddrMux[(`TLP_REQADDR_W / 32)-1:0]; wire [63:0] wAddr; wire w4DWH; wire wHasPayload; wire [2:0] wHdrLength; wire [2:0] wHdrLengthM1; wire [(C_PCI_DATA_WIDTH/32)-1:0] wEndMask; wire _wEndFlag; wire wEndFlag; wire [C_OFFSET_WIDTH-1:0] wEndOffset; wire [(C_PCI_DATA_WIDTH/32)-1:0] wStartMask; wire [3:0] wStartFlags; wire wStartFlag; wire _wStartFlag; wire [clog2s(C_MAX_START_OFFSET)-1:0] wStartOffset; wire wInsertBlank; wire wRotateAddressField; wire [C_PCI_DATA_WIDTH-1:0] wRxrData; wire [`SIG_ADDR_W-1:0] wRxrMetaAddr; wire [63:0] wRxrMetadata; wire wRxrDataValid; wire wRxrDataReady; // Pinned High wire wRxrDataEndFlag; wire [C_OFFSET_WIDTH-1:0] wRxrDataEndOffset; wire [(C_PCI_DATA_WIDTH/32)-1:0] wRxrDataWordEnable; wire wRxrDataStartFlag; wire [C_OFFSET_WIDTH-1:0] wRxrDataStartOffset; wire [C_RX_PIPELINE_DEPTH:0] wRxSrSop; reg rValid,_rValid; reg rRST; assign DONE_RXR_RST = ~rRST; assign wAddrHiReset = ~RX_SR_DATA[C_RX_ADDRDW1_RESET_INDEX]; // Select Addr[31:0] from one of the two possible locations in the TLP based // on header length (1 bit) assign wRotateAddressField = w4DWH; assign wAddrFmt = {wAddrMux[~wRotateAddressField],wAddrMux[wRotateAddressField]}; assign wAddrMux[0] = wAddr[31:0]; assign wAddrMux[1] = wAddr[63:32]; // Calculate the header length (start offset), and header length minus 1 (end offset) assign wHdrLength = {w4DWH,~w4DWH,~w4DWH}; assign wHdrLengthM1 = {1'b0,1'b1,w4DWH}; // Determine if the TLP has an inserted blank before the payload assign wInsertBlank = ((w4DWH & wAddrDW1Bit2) | (~w4DWH & ~wAddrDW0Bit2)) & (C_VENDOR == "ALTERA"); assign wStartOffset = (wHdrLength + {2'd0,wInsertBlank}); // Start offset in dwords assign wEndOffset = wHdrLengthM1 + wInsertBlank + wLength;//RX_SR_END_OFFSET[(C_TOTAL_STAGES-1)*`SIG_OFFSET_W +: C_OFFSET_WIDTH]; // Inputs // Technically an input, but the trellis protocol specifies it must be held high at all times assign wRxrDataReady = 1; // Outputs assign RXR_DATA = RX_SR_DATA[(C_TOTAL_STAGES)*C_PCI_DATA_WIDTH +: C_PCI_DATA_WIDTH]; assign RXR_DATA_VALID = wRxrDataValid; assign RXR_DATA_END_FLAG = wRxrDataEndFlag; assign RXR_DATA_END_OFFSET = wRxrDataEndOffset; assign RXR_DATA_START_FLAG = wRxrDataStartFlag; assign RXR_DATA_START_OFFSET = wRxrDataStartOffset; assign RXR_META_BAR_DECODED = 0; assign RXR_META_LENGTH = wRxrMetadata[`TLP_LEN_R]; assign RXR_META_TC = wRxrMetadata[`TLP_TC_R]; assign RXR_META_ATTR = {wRxrMetadata[`TLP_ATTR1_R], wRxrMetadata[`TLP_ATTR0_R]}; assign RXR_META_TYPE = tlp_to_trellis_type({wRxrMetadata[`TLP_FMT_R],wRxrMetadata[`TLP_TYPE_R]}); assign RXR_META_ADDR = wRxrMetaAddr; assign RXR_META_REQUESTER_ID = wRxrMetadata[`TLP_REQREQID_R]; assign RXR_META_TAG = wRxrMetadata[`TLP_REQTAG_R]; assign RXR_META_FDWBE = wRxrMetadata[`TLP_REQFBE_R]; assign RXR_META_LDWBE = wRxrMetadata[`TLP_REQLBE_R]; assign RXR_META_EP = wRxrMetadata[`TLP_EP_R]; assign _wEndFlag = RX_SR_EOP[C_RX_INPUT_STAGES]; assign wEndFlag = RX_SR_EOP[C_RX_INPUT_STAGES+1]; assign _wStartFlag = wStartFlags != 0; generate if(C_PCI_DATA_WIDTH == 32) begin assign wStartFlags[3] = 0; assign wStartFlags[2] = wRxSrSop[C_RX_INPUT_STAGES + 3] & wMetadata[`TLP_PAYBIT_I] & ~rValid; // Any remaining cases assign wStartFlags[1] = wRxSrSop[C_RX_INPUT_STAGES + 2] & wMetadata[`TLP_PAYBIT_I] & ~wMetadata[`TLP_4DWHBIT_I]; // 3DWH, No Blank assign wStartFlags[0] = wRxSrSop[C_RX_INPUT_STAGES + 2] & ~wMetadata[`TLP_PAYBIT_I]; // No Payload end else if(C_PCI_DATA_WIDTH == 64) begin assign wStartFlags[3] = 0; assign wStartFlags[2] = wRxSrSop[C_RX_INPUT_STAGES + 2] & wMetadata[`TLP_PAYBIT_I] & ~rValid; // Any remaining cases if(C_VENDOR == "ALTERA") begin assign wStartFlags[1] = wRxSrSop[C_RX_INPUT_STAGES + 1] & wMetadata[`TLP_PAYBIT_I] & ~wMetadata[`TLP_4DWHBIT_I] & RX_SR_DATA[C_RX_ADDRDW0_INDEX + 2]; // 3DWH, No Blank end else begin assign wStartFlags[1] = wRxSrSop[C_RX_INPUT_STAGES + 1] & wMetadata[`TLP_PAYBIT_I] & ~wMetadata[`TLP_4DWHBIT_I]; // 3DWH, No Blank end assign wStartFlags[0] = wRxSrSop[C_RX_INPUT_STAGES + 1] & ~wMetadata[`TLP_PAYBIT_I]; // No Payload end else if (C_PCI_DATA_WIDTH == 128) begin assign wStartFlags[3] = 0; assign wStartFlags[2] = wRxSrSop[C_RX_INPUT_STAGES + 1] & wMetadata[`TLP_PAYBIT_I] & ~rValid; // Is this correct? if(C_VENDOR == "ALTERA") begin assign wStartFlags[1] = wRxSrSop[C_RX_INPUT_STAGES] & RX_SR_DATA[C_RX_METADW0_INDEX + `TLP_PAYBIT_I] & ~RX_SR_DATA[C_RX_METADW0_INDEX + `TLP_4DWHBIT_I] & RX_SR_DATA[C_RX_ADDRDW0_INDEX + 2]; // 3DWH, No Blank end else begin assign wStartFlags[1] = wRxSrSop[C_RX_INPUT_STAGES] & RX_SR_DATA[C_RX_METADW0_INDEX + `TLP_PAYBIT_I] & ~RX_SR_DATA[C_RX_METADW0_INDEX + `TLP_4DWHBIT_I]; end assign wStartFlags[0] = wRxSrSop[C_RX_INPUT_STAGES] & ~RX_SR_DATA[C_RX_METADW0_INDEX + `TLP_PAYBIT_I]; // No Payload end else begin // 256 assign wStartFlags[3] = 0; assign wStartFlags[2] = 0; assign wStartFlags[1] = 0; assign wStartFlags[0] = wRxSrSop[C_RX_INPUT_STAGES]; end // else: !if(C_PCI_DATA_WIDTH == 128) endgenerate always @(*) begin _rValid = rValid; if(_wStartFlag) begin _rValid = 1'b1; end else if (wEndFlag) begin _rValid = 1'b0; end end always @(posedge CLK) begin if(rRST) begin rValid <= 1'b0; end else begin rValid <= _rValid; end end always @(posedge CLK) begin rRST <= RST_BUS | RST_LOGIC; end assign wStartMask = {C_PCI_DATA_WIDTH/32{1'b1}} << ({C_OFFSET_WIDTH{wStartFlag}}& wStartOffset[C_OFFSET_WIDTH-1:0]); offset_to_mask #(// Parameters .C_MASK_SWAP (0), .C_MASK_WIDTH (C_PCI_DATA_WIDTH/32) /*AUTOINSTPARAM*/) o2m_ef ( // Outputs .MASK (wEndMask), // Inputs .OFFSET_ENABLE (wEndFlag), .OFFSET (wEndOffset[C_OFFSET_WIDTH-1:0]) /*AUTOINST*/); generate if(C_RX_OUTPUT_STAGES == 0) begin assign RXR_DATA_WORD_ENABLE = {wEndMask & wStartMask} & {C_PCI_DATA_WIDTH/32{~rValid | ~wMetadata[`TLP_PAYBIT_I]}}; end else begin register #( // Parameters .C_WIDTH (C_PCI_DATA_WIDTH/32), .C_VALUE (0) /*AUTOINSTPARAM*/) dw_enable (// Outputs .RD_DATA (wRxrDataWordEnable), // Inputs .RST_IN (~rValid | ~wMetadata[`TLP_PAYBIT_I]), .WR_DATA (wEndMask & wStartMask), .WR_EN (1), /*AUTOINST*/ // Inputs .CLK (CLK)); pipeline #( // Parameters .C_DEPTH (C_RX_OUTPUT_STAGES-1), .C_WIDTH (C_PCI_DATA_WIDTH/32), .C_USE_MEMORY (0) /*AUTOINSTPARAM*/) dw_pipeline (// Outputs .WR_DATA_READY (), // Pinned to 1 .RD_DATA (RXR_DATA_WORD_ENABLE), .RD_DATA_VALID (), // Inputs .WR_DATA (wRxrDataWordEnable), .WR_DATA_VALID (1), .RD_DATA_READY (1'b1), .RST_IN (rRST), /*AUTOINST*/ // Inputs .CLK (CLK)); end endgenerate register #( // Parameters .C_WIDTH (32), .C_VALUE (0) /*AUTOINSTPARAM*/) metadata_DW0_register ( // Outputs .RD_DATA (wMetadata[31:0]), // Inputs .WR_DATA (RX_SR_DATA[C_RX_METADW0_INDEX +: 32]), .WR_EN (wRxSrSop[C_RX_METADW0_CYCLE]), .RST_IN (rRST), /*AUTOINST*/ // Inputs .CLK (CLK)); register #(// Parameters .C_WIDTH (32), .C_VALUE (0) /*AUTOINSTPARAM*/) meta_DW1_register (// Outputs .RD_DATA (wMetadata[63:32]), // Inputs .WR_DATA (RX_SR_DATA[C_RX_METADW1_INDEX +: 32]), .WR_EN (wRxSrSop[C_RX_METADW1_CYCLE]), .RST_IN (rRST), /*AUTOINST*/ // Inputs .CLK (CLK)); register #(// Parameters .C_WIDTH (32), .C_VALUE (0) /*AUTOINSTPARAM*/) addr_DW0_register (// Outputs .RD_DATA (wAddr[31:0]), // Inputs .WR_DATA (RX_SR_DATA[C_RX_ADDRDW0_INDEX +: 32]), .WR_EN (wRxSrSop[C_RX_ADDRDW0_CYCLE]), .RST_IN (0), /*AUTOINST*/ // Inputs .CLK (CLK)); register #(// Parameters .C_WIDTH (32), .C_VALUE (0) /*AUTOINSTPARAM*/) addr_DW1_register (// Outputs .RD_DATA (wAddr[63:32]), // Inputs .WR_DATA (RX_SR_DATA[C_RX_ADDRDW1_INDEX +: 32]), .WR_EN (wRxSrSop[C_RX_ADDRDW1_CYCLE]), .RST_IN (wAddrHiReset & wRxSrSop[C_RX_ADDRDW1_CYCLE]), /*AUTOINST*/ // Inputs .CLK (CLK)); register #(// Parameters .C_WIDTH (2), .C_VALUE (0) /*AUTOINSTPARAM*/) metadata_4DWH_register (// Outputs .RD_DATA ({wHasPayload,w4DWH}), // Inputs .WR_DATA (RX_SR_DATA[`TLP_FMT_I + C_PCI_DATA_WIDTH*C_RX_INPUT_STAGES +: 2]), .WR_EN (wRxSrSop[`TLP_4DWHBIT_I/C_PCI_DATA_WIDTH + C_RX_INPUT_STAGES]), .RST_IN (0), /*AUTOINST*/ // Inputs .CLK (CLK)); register #(// Parameters .C_WIDTH (`TLP_TYPE_W), .C_VALUE (0) /*AUTOINSTPARAM*/) metadata_type_register (// Outputs .RD_DATA (wType), // Inputs .WR_DATA (RX_SR_DATA[(`TLP_TYPE_I/* + C_PCI_DATA_WIDTH*C_RX_INPUT_STAGES*/) +: `TLP_TYPE_W]), .WR_EN (wRxSrSop[`TLP_TYPE_I/C_PCI_DATA_WIDTH/* + C_RX_INPUT_STAGES*/]), .RST_IN (0), /*AUTOINST*/ // Inputs .CLK (CLK)); register #(// Parameters .C_WIDTH (`TLP_LEN_W), .C_VALUE (0) /*AUTOINSTPARAM*/) metadata_length_register (// Outputs .RD_DATA (wLength), // Inputs .WR_DATA (RX_SR_DATA[(`TLP_LEN_I + C_PCI_DATA_WIDTH*C_RX_INPUT_STAGES) +: `TLP_LEN_W]), .WR_EN (wRxSrSop[`TLP_LEN_I/C_PCI_DATA_WIDTH + C_RX_INPUT_STAGES]), .RST_IN (0), /*AUTOINST*/ // Inputs .CLK (CLK)); register #(// Parameters .C_WIDTH (1), .C_VALUE (0) /*AUTOINSTPARAM*/) addr_DW0_bit_2_register (// Outputs .RD_DATA (wAddrDW0Bit2), // Inputs .RST_IN (0), .WR_DATA (RX_SR_DATA[(`TLP_REQADDRDW0_I%C_PCI_DATA_WIDTH) + 2 + C_PCI_DATA_WIDTH*C_RX_INPUT_STAGES]), .WR_EN (wRxSrSop[(`TLP_REQADDRDW0_I/C_PCI_DATA_WIDTH) + C_RX_INPUT_STAGES]), /*AUTOINST*/ // Inputs .CLK (CLK)); register #(// Parameters .C_WIDTH (1), .C_VALUE (0) /*AUTOINSTPARAM*/) addr_DW1_bit_2_register (// Outputs .RD_DATA (wAddrDW1Bit2), // Inputs .WR_DATA (RX_SR_DATA[(`TLP_REQADDRDW1_I%C_PCI_DATA_WIDTH) + 2 + C_PCI_DATA_WIDTH*C_RX_INPUT_STAGES]), .WR_EN (wRxSrSop[(`TLP_REQADDRDW1_I/C_PCI_DATA_WIDTH) + C_RX_INPUT_STAGES]), .RST_IN (0), /*AUTOINST*/ // Inputs .CLK (CLK)); register #(// Parameters .C_WIDTH (1), .C_VALUE (0) /*AUTOINSTPARAM*/) start_flag_register (// Outputs .RD_DATA (wStartFlag), // Inputs .WR_DATA (_wStartFlag), .WR_EN (1), .RST_IN (0), /*AUTOINST*/ // Inputs .CLK (CLK)); pipeline #(// Parameters .C_DEPTH (C_RX_OUTPUT_STAGES), .C_WIDTH (`TLP_MAXHDR_W + 2*(1 + C_OFFSET_WIDTH)), .C_USE_MEMORY (0) /*AUTOINSTPARAM*/) output_pipeline (// Outputs .WR_DATA_READY (), // Pinned to 1 .RD_DATA ({wRxrMetadata,wRxrMetaAddr,wRxrDataStartFlag,wRxrDataStartOffset,wRxrDataEndFlag,wRxrDataEndOffset}), .RD_DATA_VALID (wRxrDataValid), // Inputs .WR_DATA ({wMetadata, wAddrFmt, wStartFlag,wStartOffset[C_OFFSET_WIDTH-1:0],wEndFlag,wEndOffset[C_OFFSET_WIDTH-1:0]}), .WR_DATA_VALID (rValid & RX_SR_VALID[C_TOTAL_STAGES-C_RX_OUTPUT_STAGES]), .RD_DATA_READY (1'b1), .RST_IN (rRST), /*AUTOINST*/ // Inputs .CLK (CLK)); // Start Flag Shift Register. Data enables are derived from the // taps on this shift register. shiftreg #(// Parameters .C_DEPTH (C_RX_PIPELINE_DEPTH), .C_WIDTH (1'b1), .C_VALUE (0) /*AUTOINSTPARAM*/) sop_shiftreg_inst (// Outputs .RD_DATA (wRxSrSop), // Inputs .WR_DATA (RX_TLP_START_FLAG & RX_TLP_VALID & (RX_SR_DATA[`TLP_TYPE_R] == `TLP_TYPE_REQ)), .RST_IN (0), /*AUTOINST*/ // Inputs .CLK (CLK)); endmodule // Local Variables: // verilog-library-directories:("." "../../../common") // End:
//***************************************************************************** // (c) Copyright 2009 - 2013 Xilinx, Inc. All rights reserved. // // This file contains confidential and proprietary information // of Xilinx, Inc. and is protected under U.S. and // international copyright and other intellectual property // laws. // // DISCLAIMER // This disclaimer is not a license and does not grant any // rights to the materials distributed herewith. Except as // otherwise provided in a valid license issued to you by // Xilinx, and to the maximum extent permitted by applicable // law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND // WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES // AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING // BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- // INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and // (2) Xilinx shall not be liable (whether in contract or tort, // including negligence, or under any other theory of // liability) for any loss or damage of any kind or nature // related to, arising under or in connection with these // materials, including for any direct, or any indirect, // special, incidental, or consequential loss or damage // (including loss of data, profits, goodwill, or any type of // loss or damage suffered as a result of any action brought // by a third party) even if such damage or loss was // reasonably foreseeable or Xilinx had been advised of the // possibility of the same. // // CRITICAL APPLICATIONS // Xilinx products are not designed or intended to be fail- // safe, or for use in any application requiring fail-safe // performance, such as life-support or safety devices or // systems, Class III medical devices, nuclear facilities, // applications related to the deployment of airbags, or any // other applications that could lead to death, personal // injury, or severe property or environmental damage // (individually and collectively, "Critical // Applications"). Customer assumes the sole risk and // liability of any use of Xilinx products in Critical // Applications, subject only to applicable laws and // regulations governing limitations on product liability. // // THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS // PART OF THIS FILE AT ALL TIMES. // //***************************************************************************** // ____ ____ // / /\/ / // /___/ \ / Vendor: Xilinx // \ \ \/ Version: %version // \ \ Application: MIG // / / Filename: ddr_phy_ck_addr_cmd_delay.v // /___/ /\ Date Last Modified: $Date: 2011/02/25 02:07:40 $ // \ \ / \ Date Created: Aug 03 2009 // \___\/\___\ // //Device: 7 Series //Design Name: DDR3 SDRAM //Purpose: Shift CK/Address/Commands/Controls //Reference: //Revision History: //***************************************************************************** `timescale 1ps/1ps module mig_7series_v1_9_ddr_phy_ck_addr_cmd_delay # ( parameter TCQ = 100, parameter tCK = 3636, parameter DQS_CNT_WIDTH = 3, parameter N_CTL_LANES = 3, parameter SIM_CAL_OPTION = "NONE" ) ( input clk, input rst, // Start only after PO_CIRC_BUF_DELAY decremented input cmd_delay_start, // Control lane being shifted using Phaser_Out fine delay taps output reg [N_CTL_LANES-1:0] ctl_lane_cnt, // Inc/dec Phaser_Out fine delay line output reg po_stg2_f_incdec, output reg po_en_stg2_f, output reg po_stg2_c_incdec, output reg po_en_stg2_c, // Completed delaying CK/Address/Commands/Controls output po_ck_addr_cmd_delay_done ); localparam TAP_CNT_LIMIT = 63; //Calculate the tap resolution of the PHASER based on the clock period localparam FREQ_REF_DIV = (tCK > 5000 ? 4 : tCK > 2500 ? 2 : 1); localparam integer PHASER_TAP_RES = ((tCK/2)/64); // Determine whether 300 ps or 350 ps delay required localparam CALC_TAP_CNT = (tCK >= 1250) ? 350 : 300; // Determine the number of Phaser_Out taps required to delay by 300 ps // 300 ps is the PCB trace uncertainty between CK and DQS byte groups // Increment control byte lanes localparam TAP_CNT = 0; //localparam TAP_CNT = (CALC_TAP_CNT + PHASER_TAP_RES - 1)/PHASER_TAP_RES; //Decrement control byte lanes localparam TAP_DEC = (SIM_CAL_OPTION == "FAST_CAL") ? 0 : 29; reg delay_dec_done; reg delay_done_r1; reg delay_done_r2; reg delay_done_r3; (* keep = "true", max_fanout = 10 *) reg delay_done_r4 /* synthesis syn_maxfan = 10 */; reg [5:0] delay_cnt_r; reg [5:0] delaydec_cnt_r; reg po_cnt_inc; reg po_cnt_dec; reg [3:0] wait_cnt_r; assign po_ck_addr_cmd_delay_done = ((TAP_CNT == 0) && (TAP_DEC == 0)) ? 1'b1 : delay_done_r4; always @(posedge clk) begin if (rst || po_cnt_dec || po_cnt_inc) wait_cnt_r <= #TCQ 'd8; else if (cmd_delay_start && (wait_cnt_r > 'd0)) wait_cnt_r <= #TCQ wait_cnt_r - 1; end always @(posedge clk) begin if (rst || (delaydec_cnt_r > 6'd0) || (delay_cnt_r == 'd0) || (TAP_DEC == 0)) po_cnt_inc <= #TCQ 1'b0; else if ((delay_cnt_r > 'd0) && (wait_cnt_r == 'd1)) po_cnt_inc <= #TCQ 1'b1; else po_cnt_inc <= #TCQ 1'b0; end //Tap decrement always @(posedge clk) begin if (rst || (delaydec_cnt_r == 'd0)) po_cnt_dec <= #TCQ 1'b0; else if (cmd_delay_start && (delaydec_cnt_r > 'd0) && (wait_cnt_r == 'd1)) po_cnt_dec <= #TCQ 1'b1; else po_cnt_dec <= #TCQ 1'b0; end //po_stg2_f_incdec and po_en_stg2_f stay asserted HIGH for TAP_COUNT cycles for every control byte lane //the alignment is started once the always @(posedge clk) begin if (rst) begin po_stg2_f_incdec <= #TCQ 1'b0; po_en_stg2_f <= #TCQ 1'b0; po_stg2_c_incdec <= #TCQ 1'b0; po_en_stg2_c <= #TCQ 1'b0; end else begin if (po_cnt_dec) begin po_stg2_f_incdec <= #TCQ 1'b0; po_en_stg2_f <= #TCQ 1'b1; end else begin po_stg2_f_incdec <= #TCQ 1'b0; po_en_stg2_f <= #TCQ 1'b0; end if (po_cnt_inc) begin po_stg2_c_incdec <= #TCQ 1'b1; po_en_stg2_c <= #TCQ 1'b1; end else begin po_stg2_c_incdec <= #TCQ 1'b0; po_en_stg2_c <= #TCQ 1'b0; end end end // delay counter to count 2 cycles // Increment coarse taps by 2 for all control byte lanes // to mitigate late writes always @(posedge clk) begin // load delay counter with init value if (rst || (tCK > 2500) || (SIM_CAL_OPTION == "FAST_CAL")) delay_cnt_r <= #TCQ 'd0; else if ((delaydec_cnt_r > 6'd0) ||((delay_cnt_r == 6'd0) && (ctl_lane_cnt != N_CTL_LANES-1))) delay_cnt_r <= #TCQ 'd1; else if (po_cnt_inc && (delay_cnt_r > 6'd0)) delay_cnt_r <= #TCQ delay_cnt_r - 1; end // delay counter to count TAP_DEC cycles always @(posedge clk) begin // load delay counter with init value of TAP_DEC if (rst || ~cmd_delay_start ||((delaydec_cnt_r == 6'd0) && (delay_cnt_r == 6'd0) && (ctl_lane_cnt != N_CTL_LANES-1))) delaydec_cnt_r <= #TCQ TAP_DEC; else if (po_cnt_dec && (delaydec_cnt_r > 6'd0)) delaydec_cnt_r <= #TCQ delaydec_cnt_r - 1; end //ctl_lane_cnt is used to count the number of CTL_LANES or byte lanes that have the address/command phase shifted by 1/4 mem. cycle //This ensures all ctrl byte lanes have had their output phase shifted. always @(posedge clk) begin if (rst || ~cmd_delay_start ) ctl_lane_cnt <= #TCQ 6'b0; else if (~delay_dec_done && (ctl_lane_cnt == N_CTL_LANES-1) && (delaydec_cnt_r == 6'd1)) ctl_lane_cnt <= #TCQ ctl_lane_cnt; else if ((ctl_lane_cnt != N_CTL_LANES-1) && (delaydec_cnt_r == 6'd0) && (delay_cnt_r == 'd0)) ctl_lane_cnt <= #TCQ ctl_lane_cnt + 1; end // All control lanes have decremented to 31 fine taps from 46 always @(posedge clk) begin if (rst || ~cmd_delay_start) begin delay_dec_done <= #TCQ 1'b0; end else if (((TAP_CNT == 0) && (TAP_DEC == 0)) || ((delaydec_cnt_r == 6'd0) && (delay_cnt_r == 'd0) && (ctl_lane_cnt == N_CTL_LANES-1))) begin delay_dec_done <= #TCQ 1'b1; end end always @(posedge clk) begin delay_done_r1 <= #TCQ delay_dec_done; delay_done_r2 <= #TCQ delay_done_r1; delay_done_r3 <= #TCQ delay_done_r2; delay_done_r4 <= #TCQ delay_done_r3; end endmodule
// ---------------------------------------------------------------------- // Copyright (c) 2016, The Regents of the University of California All // rights reserved. // // Redistribution and use in source and binary forms, with or without // modification, are permitted provided that the following conditions are // met: // // * Redistributions of source code must retain the above copyright // notice, this list of conditions and the following disclaimer. // // * Redistributions in binary form must reproduce the above // copyright notice, this list of conditions and the following // disclaimer in the documentation and/or other materials provided // with the distribution. // // * Neither the name of The Regents of the University of California // nor the names of its contributors may be used to endorse or // promote products derived from this software without specific // prior written permission. // // THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS // "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT // LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR // A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL REGENTS OF THE // UNIVERSITY OF CALIFORNIA BE LIABLE FOR ANY DIRECT, INDIRECT, // INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, // BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS // OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND // ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR // TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE // USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH // DAMAGE. // ---------------------------------------------------------------------- //---------------------------------------------------------------------------- // Filename: engine_layer.v // Version: 1.0 // Verilog Standard: Verilog-2001 // Description: The engine layer encapsulates the RX and TX engines. // Author: Dustin Richmond (@darichmond) //----------------------------------------------------------------------------- `timescale 1ns/1ns `include "trellis.vh" `include "ultrascale.vh" module engine_layer #(parameter C_PCI_DATA_WIDTH = 128, parameter C_LOG_NUM_TAGS=6, parameter C_PIPELINE_INPUT = 1, parameter C_PIPELINE_OUTPUT = 0, parameter C_MAX_PAYLOAD_DWORDS = 64, parameter C_VENDOR="ULTRASCALE") (// Interface: Clocks input CLK_BUS, // Replacement for generic CLK // Interface: Resets input RST_BUS, // Replacement for generic RST_IN input RST_LOGIC, // Addition for RIFFA_RST output DONE_TXC_RST, output DONE_TXR_RST, output DONE_RXR_RST, output DONE_RXC_RST, // Interface: Configuration input [`SIG_CPLID_W-1:0] CONFIG_COMPLETER_ID, // Interface: RX Classic input [C_PCI_DATA_WIDTH-1:0] RX_TLP, input RX_TLP_VALID, input RX_TLP_START_FLAG, input [`SIG_OFFSET_W-1:0] RX_TLP_START_OFFSET, input RX_TLP_END_FLAG, input [`SIG_OFFSET_W-1:0] RX_TLP_END_OFFSET, input [`SIG_BARDECODE_W-1:0] RX_TLP_BAR_DECODE, output RX_TLP_READY, // Interface: TX Classic input TX_TLP_READY, output [C_PCI_DATA_WIDTH-1:0] TX_TLP, output TX_TLP_VALID, output TX_TLP_START_FLAG, output [`SIG_OFFSET_W-1:0] TX_TLP_START_OFFSET, output TX_TLP_END_FLAG, output [`SIG_OFFSET_W-1:0] TX_TLP_END_OFFSET, //Interface: CQ Ultrascale (RXR) input M_AXIS_CQ_TVALID, input M_AXIS_CQ_TLAST, input [C_PCI_DATA_WIDTH-1:0] M_AXIS_CQ_TDATA, input [(C_PCI_DATA_WIDTH/32)-1:0] M_AXIS_CQ_TKEEP, input [`SIG_CQ_TUSER_W-1:0] M_AXIS_CQ_TUSER, output M_AXIS_CQ_TREADY, //Interface: RC Ultrascale (RXC) input M_AXIS_RC_TVALID, input M_AXIS_RC_TLAST, input [C_PCI_DATA_WIDTH-1:0] M_AXIS_RC_TDATA, input [(C_PCI_DATA_WIDTH/32)-1:0] M_AXIS_RC_TKEEP, input [`SIG_RC_TUSER_W-1:0] M_AXIS_RC_TUSER, output M_AXIS_RC_TREADY, //Interface: CC Ultrascale (TXC) input S_AXIS_CC_TREADY, output S_AXIS_CC_TVALID, output S_AXIS_CC_TLAST, output [C_PCI_DATA_WIDTH-1:0] S_AXIS_CC_TDATA, output [(C_PCI_DATA_WIDTH/32)-1:0] S_AXIS_CC_TKEEP, output [`SIG_CC_TUSER_W-1:0] S_AXIS_CC_TUSER, //Interface: RQ Ultrascale (TXR) input S_AXIS_RQ_TREADY, output S_AXIS_RQ_TVALID, output S_AXIS_RQ_TLAST, output [C_PCI_DATA_WIDTH-1:0] S_AXIS_RQ_TDATA, output [(C_PCI_DATA_WIDTH/32)-1:0] S_AXIS_RQ_TKEEP, output [`SIG_RQ_TUSER_W-1:0] S_AXIS_RQ_TUSER, // Interface: RXC Engine output [C_PCI_DATA_WIDTH-1:0] RXC_DATA, output RXC_DATA_VALID, output [(C_PCI_DATA_WIDTH/32)-1:0] RXC_DATA_WORD_ENABLE, output RXC_DATA_START_FLAG, output [clog2s(C_PCI_DATA_WIDTH/32)-1:0] RXC_DATA_START_OFFSET, output RXC_DATA_END_FLAG, output [clog2s(C_PCI_DATA_WIDTH/32)-1:0] RXC_DATA_END_OFFSET, output [`SIG_LBE_W-1:0] RXC_META_LDWBE, output [`SIG_FBE_W-1:0] RXC_META_FDWBE, output [`SIG_TAG_W-1:0] RXC_META_TAG, output [`SIG_LOWADDR_W-1:0] RXC_META_ADDR, output [`SIG_TYPE_W-1:0] RXC_META_TYPE, output [`SIG_LEN_W-1:0] RXC_META_LENGTH, output [`SIG_BYTECNT_W-1:0] RXC_META_BYTES_REMAINING, output [`SIG_CPLID_W-1:0] RXC_META_COMPLETER_ID, output RXC_META_EP, // Interface: RXR Engine output [C_PCI_DATA_WIDTH-1:0] RXR_DATA, output RXR_DATA_VALID, output [(C_PCI_DATA_WIDTH/32)-1:0] RXR_DATA_WORD_ENABLE, output RXR_DATA_START_FLAG, output [clog2s(C_PCI_DATA_WIDTH/32)-1:0] RXR_DATA_START_OFFSET, output RXR_DATA_END_FLAG, output [clog2s(C_PCI_DATA_WIDTH/32)-1:0] RXR_DATA_END_OFFSET, output [`SIG_FBE_W-1:0] RXR_META_FDWBE, output [`SIG_LBE_W-1:0] RXR_META_LDWBE, output [`SIG_TC_W-1:0] RXR_META_TC, output [`SIG_ATTR_W-1:0] RXR_META_ATTR, output [`SIG_TAG_W-1:0] RXR_META_TAG, output [`SIG_TYPE_W-1:0] RXR_META_TYPE, output [`SIG_ADDR_W-1:0] RXR_META_ADDR, output [`SIG_BARDECODE_W-1:0] RXR_META_BAR_DECODED, output [`SIG_REQID_W-1:0] RXR_META_REQUESTER_ID, output [`SIG_LEN_W-1:0] RXR_META_LENGTH, output RXR_META_EP, // Interface: TXC Engine input TXC_DATA_VALID, input [C_PCI_DATA_WIDTH-1:0] TXC_DATA, input TXC_DATA_START_FLAG, input [clog2s(C_PCI_DATA_WIDTH/32)-1:0] TXC_DATA_START_OFFSET, input TXC_DATA_END_FLAG, input [clog2s(C_PCI_DATA_WIDTH/32)-1:0] TXC_DATA_END_OFFSET, output TXC_DATA_READY, input TXC_META_VALID, input [`SIG_FBE_W-1:0] TXC_META_FDWBE, input [`SIG_LBE_W-1:0] TXC_META_LDWBE, input [`SIG_LOWADDR_W-1:0] TXC_META_ADDR, input [`SIG_TYPE_W-1:0] TXC_META_TYPE, input [`SIG_LEN_W-1:0] TXC_META_LENGTH, input [`SIG_BYTECNT_W-1:0] TXC_META_BYTE_COUNT, input [`SIG_TAG_W-1:0] TXC_META_TAG, input [`SIG_REQID_W-1:0] TXC_META_REQUESTER_ID, input [`SIG_TC_W-1:0] TXC_META_TC, input [`SIG_ATTR_W-1:0] TXC_META_ATTR, input TXC_META_EP, output TXC_META_READY, output TXC_SENT, // Interface: TXR Engine input TXR_DATA_VALID, input [C_PCI_DATA_WIDTH-1:0] TXR_DATA, input TXR_DATA_START_FLAG, input [clog2s(C_PCI_DATA_WIDTH/32)-1:0] TXR_DATA_START_OFFSET, input TXR_DATA_END_FLAG, input [clog2s(C_PCI_DATA_WIDTH/32)-1:0] TXR_DATA_END_OFFSET, output TXR_DATA_READY, input TXR_META_VALID, input [`SIG_FBE_W-1:0] TXR_META_FDWBE, input [`SIG_LBE_W-1:0] TXR_META_LDWBE, input [`SIG_ADDR_W-1:0] TXR_META_ADDR, input [`SIG_LEN_W-1:0] TXR_META_LENGTH, input [`SIG_TAG_W-1:0] TXR_META_TAG, input [`SIG_TC_W-1:0] TXR_META_TC, input [`SIG_ATTR_W-1:0] TXR_META_ATTR, input [`SIG_TYPE_W-1:0] TXR_META_TYPE, input TXR_META_EP, output TXR_META_READY, output TXR_SENT); wire CLK; assign CLK = CLK_BUS; generate /* verilator lint_off WIDTH */ if(C_VENDOR != "ULTRASCALE") begin assign M_AXIS_CQ_TREADY = 0; assign M_AXIS_RC_TREADY = 0; assign S_AXIS_CC_TVALID = 0; assign S_AXIS_CC_TLAST = 0; assign S_AXIS_CC_TDATA = 0; assign S_AXIS_CC_TKEEP = 0; assign S_AXIS_CC_TUSER = 0; assign S_AXIS_RQ_TVALID = 0; assign S_AXIS_RQ_TLAST = 0; assign S_AXIS_RQ_TDATA = 0; assign S_AXIS_RQ_TKEEP = 0; assign S_AXIS_RQ_TUSER = 0; /* verilator lint_on WIDTH */ rx_engine_classic #(/*AUTOINSTPARAM*/ // Parameters .C_VENDOR (C_VENDOR), .C_PCI_DATA_WIDTH (C_PCI_DATA_WIDTH), .C_LOG_NUM_TAGS (C_LOG_NUM_TAGS)) rx_engine_classic_inst (/*AUTOINST*/ // Outputs .DONE_RXR_RST (DONE_RXR_RST), .DONE_RXC_RST (DONE_RXC_RST), .RX_TLP_READY (RX_TLP_READY), .RXC_DATA (RXC_DATA[C_PCI_DATA_WIDTH-1:0]), .RXC_DATA_VALID (RXC_DATA_VALID), .RXC_DATA_WORD_ENABLE (RXC_DATA_WORD_ENABLE[(C_PCI_DATA_WIDTH/32)-1:0]), .RXC_DATA_START_FLAG (RXC_DATA_START_FLAG), .RXC_DATA_START_OFFSET (RXC_DATA_START_OFFSET[clog2s(C_PCI_DATA_WIDTH/32)-1:0]), .RXC_DATA_END_FLAG (RXC_DATA_END_FLAG), .RXC_DATA_END_OFFSET (RXC_DATA_END_OFFSET[clog2s(C_PCI_DATA_WIDTH/32)-1:0]), .RXC_META_LDWBE (RXC_META_LDWBE[`SIG_LBE_W-1:0]), .RXC_META_FDWBE (RXC_META_FDWBE[`SIG_FBE_W-1:0]), .RXC_META_TAG (RXC_META_TAG[`SIG_TAG_W-1:0]), .RXC_META_ADDR (RXC_META_ADDR[`SIG_LOWADDR_W-1:0]), .RXC_META_TYPE (RXC_META_TYPE[`SIG_TYPE_W-1:0]), .RXC_META_LENGTH (RXC_META_LENGTH[`SIG_LEN_W-1:0]), .RXC_META_BYTES_REMAINING(RXC_META_BYTES_REMAINING[`SIG_BYTECNT_W-1:0]), .RXC_META_COMPLETER_ID (RXC_META_COMPLETER_ID[`SIG_CPLID_W-1:0]), .RXC_META_EP (RXC_META_EP), .RXR_DATA (RXR_DATA[C_PCI_DATA_WIDTH-1:0]), .RXR_DATA_VALID (RXR_DATA_VALID), .RXR_DATA_WORD_ENABLE (RXR_DATA_WORD_ENABLE[(C_PCI_DATA_WIDTH/32)-1:0]), .RXR_DATA_START_FLAG (RXR_DATA_START_FLAG), .RXR_DATA_START_OFFSET (RXR_DATA_START_OFFSET[clog2s(C_PCI_DATA_WIDTH/32)-1:0]), .RXR_DATA_END_FLAG (RXR_DATA_END_FLAG), .RXR_DATA_END_OFFSET (RXR_DATA_END_OFFSET[clog2s(C_PCI_DATA_WIDTH/32)-1:0]), .RXR_META_FDWBE (RXR_META_FDWBE[`SIG_FBE_W-1:0]), .RXR_META_LDWBE (RXR_META_LDWBE[`SIG_LBE_W-1:0]), .RXR_META_TC (RXR_META_TC[`SIG_TC_W-1:0]), .RXR_META_ATTR (RXR_META_ATTR[`SIG_ATTR_W-1:0]), .RXR_META_TAG (RXR_META_TAG[`SIG_TAG_W-1:0]), .RXR_META_TYPE (RXR_META_TYPE[`SIG_TYPE_W-1:0]), .RXR_META_ADDR (RXR_META_ADDR[`SIG_ADDR_W-1:0]), .RXR_META_BAR_DECODED (RXR_META_BAR_DECODED[`SIG_BARDECODE_W-1:0]), .RXR_META_REQUESTER_ID (RXR_META_REQUESTER_ID[`SIG_REQID_W-1:0]), .RXR_META_LENGTH (RXR_META_LENGTH[`SIG_LEN_W-1:0]), .RXR_META_EP (RXR_META_EP), // Inputs .CLK (CLK), .RST_BUS (RST_BUS), .RST_LOGIC (RST_LOGIC), .RX_TLP (RX_TLP[C_PCI_DATA_WIDTH-1:0]), .RX_TLP_VALID (RX_TLP_VALID), .RX_TLP_START_FLAG (RX_TLP_START_FLAG), .RX_TLP_START_OFFSET (RX_TLP_START_OFFSET[`SIG_OFFSET_W-1:0]), .RX_TLP_END_FLAG (RX_TLP_END_FLAG), .RX_TLP_END_OFFSET (RX_TLP_END_OFFSET[`SIG_OFFSET_W-1:0]), .RX_TLP_BAR_DECODE (RX_TLP_BAR_DECODE[`SIG_BARDECODE_W-1:0])); tx_engine_classic #(/*AUTOINSTPARAM*/ // Parameters .C_PCI_DATA_WIDTH (C_PCI_DATA_WIDTH), .C_PIPELINE_INPUT (C_PIPELINE_INPUT), .C_PIPELINE_OUTPUT (C_PIPELINE_OUTPUT), .C_MAX_PAYLOAD_DWORDS (C_MAX_PAYLOAD_DWORDS), .C_VENDOR (C_VENDOR)) tx_engine_classic_inst (/*AUTOINST*/ // Outputs .DONE_TXC_RST (DONE_TXC_RST), .DONE_TXR_RST (DONE_TXR_RST), .TX_TLP (TX_TLP[C_PCI_DATA_WIDTH-1:0]), .TX_TLP_VALID (TX_TLP_VALID), .TX_TLP_START_FLAG (TX_TLP_START_FLAG), .TX_TLP_START_OFFSET (TX_TLP_START_OFFSET[clog2s(C_PCI_DATA_WIDTH/32)-1:0]), .TX_TLP_END_FLAG (TX_TLP_END_FLAG), .TX_TLP_END_OFFSET (TX_TLP_END_OFFSET[clog2s(C_PCI_DATA_WIDTH/32)-1:0]), .TXC_DATA_READY (TXC_DATA_READY), .TXC_META_READY (TXC_META_READY), .TXC_SENT (TXC_SENT), .TXR_DATA_READY (TXR_DATA_READY), .TXR_META_READY (TXR_META_READY), .TXR_SENT (TXR_SENT), // Inputs .CLK (CLK), .RST_BUS (RST_BUS), .RST_LOGIC (RST_LOGIC), .CONFIG_COMPLETER_ID (CONFIG_COMPLETER_ID[`SIG_CPLID_W-1:0]), .TX_TLP_READY (TX_TLP_READY), .TXC_DATA_VALID (TXC_DATA_VALID), .TXC_DATA (TXC_DATA[C_PCI_DATA_WIDTH-1:0]), .TXC_DATA_START_FLAG (TXC_DATA_START_FLAG), .TXC_DATA_START_OFFSET (TXC_DATA_START_OFFSET[clog2s(C_PCI_DATA_WIDTH/32)-1:0]), .TXC_DATA_END_FLAG (TXC_DATA_END_FLAG), .TXC_DATA_END_OFFSET (TXC_DATA_END_OFFSET[clog2s(C_PCI_DATA_WIDTH/32)-1:0]), .TXC_META_VALID (TXC_META_VALID), .TXC_META_FDWBE (TXC_META_FDWBE[`SIG_FBE_W-1:0]), .TXC_META_LDWBE (TXC_META_LDWBE[`SIG_LBE_W-1:0]), .TXC_META_ADDR (TXC_META_ADDR[`SIG_LOWADDR_W-1:0]), .TXC_META_TYPE (TXC_META_TYPE[`SIG_TYPE_W-1:0]), .TXC_META_LENGTH (TXC_META_LENGTH[`SIG_LEN_W-1:0]), .TXC_META_BYTE_COUNT (TXC_META_BYTE_COUNT[`SIG_BYTECNT_W-1:0]), .TXC_META_TAG (TXC_META_TAG[`SIG_TAG_W-1:0]), .TXC_META_REQUESTER_ID (TXC_META_REQUESTER_ID[`SIG_REQID_W-1:0]), .TXC_META_TC (TXC_META_TC[`SIG_TC_W-1:0]), .TXC_META_ATTR (TXC_META_ATTR[`SIG_ATTR_W-1:0]), .TXC_META_EP (TXC_META_EP), .TXR_DATA_VALID (TXR_DATA_VALID), .TXR_DATA (TXR_DATA[C_PCI_DATA_WIDTH-1:0]), .TXR_DATA_START_FLAG (TXR_DATA_START_FLAG), .TXR_DATA_START_OFFSET (TXR_DATA_START_OFFSET[clog2s(C_PCI_DATA_WIDTH/32)-1:0]), .TXR_DATA_END_FLAG (TXR_DATA_END_FLAG), .TXR_DATA_END_OFFSET (TXR_DATA_END_OFFSET[clog2s(C_PCI_DATA_WIDTH/32)-1:0]), .TXR_META_VALID (TXR_META_VALID), .TXR_META_FDWBE (TXR_META_FDWBE[`SIG_FBE_W-1:0]), .TXR_META_LDWBE (TXR_META_LDWBE[`SIG_LBE_W-1:0]), .TXR_META_ADDR (TXR_META_ADDR[`SIG_ADDR_W-1:0]), .TXR_META_LENGTH (TXR_META_LENGTH[`SIG_LEN_W-1:0]), .TXR_META_TAG (TXR_META_TAG[`SIG_TAG_W-1:0]), .TXR_META_TC (TXR_META_TC[`SIG_TC_W-1:0]), .TXR_META_ATTR (TXR_META_ATTR[`SIG_ATTR_W-1:0]), .TXR_META_TYPE (TXR_META_TYPE[`SIG_TYPE_W-1:0]), .TXR_META_EP (TXR_META_EP)); end else begin assign TX_TLP = 0; assign TX_TLP_VALID = 0; assign TX_TLP_START_FLAG = 0; assign TX_TLP_START_OFFSET = 0; assign TX_TLP_END_FLAG = 0; assign TX_TLP_END_OFFSET = 0; assign RX_TLP_READY = 0; rx_engine_ultrascale #(/*AUTOINSTPARAM*/ // Parameters .C_PCI_DATA_WIDTH (C_PCI_DATA_WIDTH)) rx_engine_ultrascale_inst (/*AUTOINST*/ // Outputs .DONE_RXR_RST (DONE_RXR_RST), .DONE_RXC_RST (DONE_RXC_RST), .M_AXIS_CQ_TREADY (M_AXIS_CQ_TREADY), .M_AXIS_RC_TREADY (M_AXIS_RC_TREADY), .RXC_DATA (RXC_DATA[C_PCI_DATA_WIDTH-1:0]), .RXC_DATA_VALID (RXC_DATA_VALID), .RXC_DATA_WORD_ENABLE (RXC_DATA_WORD_ENABLE[(C_PCI_DATA_WIDTH/32)-1:0]), .RXC_DATA_START_FLAG (RXC_DATA_START_FLAG), .RXC_DATA_START_OFFSET (RXC_DATA_START_OFFSET[clog2s(C_PCI_DATA_WIDTH/32)-1:0]), .RXC_DATA_END_FLAG (RXC_DATA_END_FLAG), .RXC_DATA_END_OFFSET (RXC_DATA_END_OFFSET[clog2s(C_PCI_DATA_WIDTH/32)-1:0]), .RXC_META_LDWBE (RXC_META_LDWBE[`SIG_LBE_W-1:0]), .RXC_META_FDWBE (RXC_META_FDWBE[`SIG_FBE_W-1:0]), .RXC_META_TAG (RXC_META_TAG[`SIG_TAG_W-1:0]), .RXC_META_ADDR (RXC_META_ADDR[`SIG_LOWADDR_W-1:0]), .RXC_META_TYPE (RXC_META_TYPE[`SIG_TYPE_W-1:0]), .RXC_META_LENGTH (RXC_META_LENGTH[`SIG_LEN_W-1:0]), .RXC_META_BYTES_REMAINING(RXC_META_BYTES_REMAINING[`SIG_BYTECNT_W-1:0]), .RXC_META_COMPLETER_ID (RXC_META_COMPLETER_ID[`SIG_CPLID_W-1:0]), .RXC_META_EP (RXC_META_EP), .RXR_DATA (RXR_DATA[C_PCI_DATA_WIDTH-1:0]), .RXR_DATA_VALID (RXR_DATA_VALID), .RXR_DATA_WORD_ENABLE (RXR_DATA_WORD_ENABLE[(C_PCI_DATA_WIDTH/32)-1:0]), .RXR_DATA_START_FLAG (RXR_DATA_START_FLAG), .RXR_DATA_START_OFFSET (RXR_DATA_START_OFFSET[clog2s(C_PCI_DATA_WIDTH/32)-1:0]), .RXR_DATA_END_FLAG (RXR_DATA_END_FLAG), .RXR_DATA_END_OFFSET (RXR_DATA_END_OFFSET[clog2s(C_PCI_DATA_WIDTH/32)-1:0]), .RXR_META_FDWBE (RXR_META_FDWBE[`SIG_FBE_W-1:0]), .RXR_META_LDWBE (RXR_META_LDWBE[`SIG_LBE_W-1:0]), .RXR_META_TC (RXR_META_TC[`SIG_TC_W-1:0]), .RXR_META_ATTR (RXR_META_ATTR[`SIG_ATTR_W-1:0]), .RXR_META_TAG (RXR_META_TAG[`SIG_TAG_W-1:0]), .RXR_META_TYPE (RXR_META_TYPE[`SIG_TYPE_W-1:0]), .RXR_META_ADDR (RXR_META_ADDR[`SIG_ADDR_W-1:0]), .RXR_META_BAR_DECODED (RXR_META_BAR_DECODED[`SIG_BARDECODE_W-1:0]), .RXR_META_REQUESTER_ID (RXR_META_REQUESTER_ID[`SIG_REQID_W-1:0]), .RXR_META_LENGTH (RXR_META_LENGTH[`SIG_LEN_W-1:0]), .RXR_META_EP (RXR_META_EP), // Inputs .CLK (CLK), .RST_BUS (RST_BUS), .RST_LOGIC (RST_LOGIC), .M_AXIS_CQ_TVALID (M_AXIS_CQ_TVALID), .M_AXIS_CQ_TLAST (M_AXIS_CQ_TLAST), .M_AXIS_CQ_TDATA (M_AXIS_CQ_TDATA[C_PCI_DATA_WIDTH-1:0]), .M_AXIS_CQ_TKEEP (M_AXIS_CQ_TKEEP[(C_PCI_DATA_WIDTH/32)-1:0]), .M_AXIS_CQ_TUSER (M_AXIS_CQ_TUSER[`SIG_CQ_TUSER_W-1:0]), .M_AXIS_RC_TVALID (M_AXIS_RC_TVALID), .M_AXIS_RC_TLAST (M_AXIS_RC_TLAST), .M_AXIS_RC_TDATA (M_AXIS_RC_TDATA[C_PCI_DATA_WIDTH-1:0]), .M_AXIS_RC_TKEEP (M_AXIS_RC_TKEEP[(C_PCI_DATA_WIDTH/32)-1:0]), .M_AXIS_RC_TUSER (M_AXIS_RC_TUSER[`SIG_RC_TUSER_W-1:0])); tx_engine_ultrascale #(/*AUTOINSTPARAM*/ // Parameters .C_PCI_DATA_WIDTH (C_PCI_DATA_WIDTH), .C_PIPELINE_INPUT (C_PIPELINE_INPUT), .C_PIPELINE_OUTPUT (C_PIPELINE_OUTPUT), .C_MAX_PAYLOAD_DWORDS (C_MAX_PAYLOAD_DWORDS)) tx_engine_ultrascale_inst (/*AUTOINST*/ // Outputs .DONE_TXC_RST (DONE_TXC_RST), .DONE_TXR_RST (DONE_TXR_RST), .S_AXIS_CC_TVALID (S_AXIS_CC_TVALID), .S_AXIS_CC_TLAST (S_AXIS_CC_TLAST), .S_AXIS_CC_TDATA (S_AXIS_CC_TDATA[C_PCI_DATA_WIDTH-1:0]), .S_AXIS_CC_TKEEP (S_AXIS_CC_TKEEP[(C_PCI_DATA_WIDTH/32)-1:0]), .S_AXIS_CC_TUSER (S_AXIS_CC_TUSER[`SIG_CC_TUSER_W-1:0]), .TXC_DATA_READY (TXC_DATA_READY), .TXC_META_READY (TXC_META_READY), .TXC_SENT (TXC_SENT), .S_AXIS_RQ_TVALID (S_AXIS_RQ_TVALID), .S_AXIS_RQ_TLAST (S_AXIS_RQ_TLAST), .S_AXIS_RQ_TDATA (S_AXIS_RQ_TDATA[C_PCI_DATA_WIDTH-1:0]), .S_AXIS_RQ_TKEEP (S_AXIS_RQ_TKEEP[(C_PCI_DATA_WIDTH/32)-1:0]), .S_AXIS_RQ_TUSER (S_AXIS_RQ_TUSER[`SIG_RQ_TUSER_W-1:0]), .TXR_DATA_READY (TXR_DATA_READY), .TXR_META_READY (TXR_META_READY), .TXR_SENT (TXR_SENT), // Inputs .CLK (CLK), .RST_BUS (RST_BUS), .RST_LOGIC (RST_LOGIC), .CONFIG_COMPLETER_ID (CONFIG_COMPLETER_ID[`SIG_CPLID_W-1:0]), .S_AXIS_CC_TREADY (S_AXIS_CC_TREADY), .TXC_DATA_VALID (TXC_DATA_VALID), .TXC_DATA (TXC_DATA[C_PCI_DATA_WIDTH-1:0]), .TXC_DATA_START_FLAG (TXC_DATA_START_FLAG), .TXC_DATA_START_OFFSET (TXC_DATA_START_OFFSET[clog2s(C_PCI_DATA_WIDTH/32)-1:0]), .TXC_DATA_END_FLAG (TXC_DATA_END_FLAG), .TXC_DATA_END_OFFSET (TXC_DATA_END_OFFSET[clog2s(C_PCI_DATA_WIDTH/32)-1:0]), .TXC_META_VALID (TXC_META_VALID), .TXC_META_FDWBE (TXC_META_FDWBE[`SIG_FBE_W-1:0]), .TXC_META_LDWBE (TXC_META_LDWBE[`SIG_LBE_W-1:0]), .TXC_META_ADDR (TXC_META_ADDR[`SIG_LOWADDR_W-1:0]), .TXC_META_TYPE (TXC_META_TYPE[`SIG_TYPE_W-1:0]), .TXC_META_LENGTH (TXC_META_LENGTH[`SIG_LEN_W-1:0]), .TXC_META_BYTE_COUNT (TXC_META_BYTE_COUNT[`SIG_BYTECNT_W-1:0]), .TXC_META_TAG (TXC_META_TAG[`SIG_TAG_W-1:0]), .TXC_META_REQUESTER_ID (TXC_META_REQUESTER_ID[`SIG_REQID_W-1:0]), .TXC_META_TC (TXC_META_TC[`SIG_TC_W-1:0]), .TXC_META_ATTR (TXC_META_ATTR[`SIG_ATTR_W-1:0]), .TXC_META_EP (TXC_META_EP), .S_AXIS_RQ_TREADY (S_AXIS_RQ_TREADY), .TXR_DATA_VALID (TXR_DATA_VALID), .TXR_DATA (TXR_DATA[C_PCI_DATA_WIDTH-1:0]), .TXR_DATA_START_FLAG (TXR_DATA_START_FLAG), .TXR_DATA_START_OFFSET (TXR_DATA_START_OFFSET[clog2s(C_PCI_DATA_WIDTH/32)-1:0]), .TXR_DATA_END_FLAG (TXR_DATA_END_FLAG), .TXR_DATA_END_OFFSET (TXR_DATA_END_OFFSET[clog2s(C_PCI_DATA_WIDTH/32)-1:0]), .TXR_META_VALID (TXR_META_VALID), .TXR_META_FDWBE (TXR_META_FDWBE[`SIG_FBE_W-1:0]), .TXR_META_LDWBE (TXR_META_LDWBE[`SIG_LBE_W-1:0]), .TXR_META_ADDR (TXR_META_ADDR[`SIG_ADDR_W-1:0]), .TXR_META_LENGTH (TXR_META_LENGTH[`SIG_LEN_W-1:0]), .TXR_META_TAG (TXR_META_TAG[`SIG_TAG_W-1:0]), .TXR_META_TC (TXR_META_TC[`SIG_TC_W-1:0]), .TXR_META_ATTR (TXR_META_ATTR[`SIG_ATTR_W-1:0]), .TXR_META_TYPE (TXR_META_TYPE[`SIG_TYPE_W-1:0]), .TXR_META_EP (TXR_META_EP)); end endgenerate endmodule // engine_layer // Local Variables: // verilog-library-directories:("." "ultrascale/rx/" "ultrascale/tx/" "classic/rx/" "classic/tx/") // End:
// ---------------------------------------------------------------------- // Copyright (c) 2016, The Regents of the University of California All // rights reserved. // // Redistribution and use in source and binary forms, with or without // modification, are permitted provided that the following conditions are // met: // // * Redistributions of source code must retain the above copyright // notice, this list of conditions and the following disclaimer. // // * Redistributions in binary form must reproduce the above // copyright notice, this list of conditions and the following // disclaimer in the documentation and/or other materials provided // with the distribution. // // * Neither the name of The Regents of the University of California // nor the names of its contributors may be used to endorse or // promote products derived from this software without specific // prior written permission. // // THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS // "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT // LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR // A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL REGENTS OF THE // UNIVERSITY OF CALIFORNIA BE LIABLE FOR ANY DIRECT, INDIRECT, // INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, // BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS // OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND // ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR // TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE // USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH // DAMAGE. // ---------------------------------------------------------------------- //---------------------------------------------------------------------------- // Filename: engine_layer.v // Version: 1.0 // Verilog Standard: Verilog-2001 // Description: The engine layer encapsulates the RX and TX engines. // Author: Dustin Richmond (@darichmond) //----------------------------------------------------------------------------- `timescale 1ns/1ns `include "trellis.vh" `include "ultrascale.vh" module engine_layer #(parameter C_PCI_DATA_WIDTH = 128, parameter C_LOG_NUM_TAGS=6, parameter C_PIPELINE_INPUT = 1, parameter C_PIPELINE_OUTPUT = 0, parameter C_MAX_PAYLOAD_DWORDS = 64, parameter C_VENDOR="ULTRASCALE") (// Interface: Clocks input CLK_BUS, // Replacement for generic CLK // Interface: Resets input RST_BUS, // Replacement for generic RST_IN input RST_LOGIC, // Addition for RIFFA_RST output DONE_TXC_RST, output DONE_TXR_RST, output DONE_RXR_RST, output DONE_RXC_RST, // Interface: Configuration input [`SIG_CPLID_W-1:0] CONFIG_COMPLETER_ID, // Interface: RX Classic input [C_PCI_DATA_WIDTH-1:0] RX_TLP, input RX_TLP_VALID, input RX_TLP_START_FLAG, input [`SIG_OFFSET_W-1:0] RX_TLP_START_OFFSET, input RX_TLP_END_FLAG, input [`SIG_OFFSET_W-1:0] RX_TLP_END_OFFSET, input [`SIG_BARDECODE_W-1:0] RX_TLP_BAR_DECODE, output RX_TLP_READY, // Interface: TX Classic input TX_TLP_READY, output [C_PCI_DATA_WIDTH-1:0] TX_TLP, output TX_TLP_VALID, output TX_TLP_START_FLAG, output [`SIG_OFFSET_W-1:0] TX_TLP_START_OFFSET, output TX_TLP_END_FLAG, output [`SIG_OFFSET_W-1:0] TX_TLP_END_OFFSET, //Interface: CQ Ultrascale (RXR) input M_AXIS_CQ_TVALID, input M_AXIS_CQ_TLAST, input [C_PCI_DATA_WIDTH-1:0] M_AXIS_CQ_TDATA, input [(C_PCI_DATA_WIDTH/32)-1:0] M_AXIS_CQ_TKEEP, input [`SIG_CQ_TUSER_W-1:0] M_AXIS_CQ_TUSER, output M_AXIS_CQ_TREADY, //Interface: RC Ultrascale (RXC) input M_AXIS_RC_TVALID, input M_AXIS_RC_TLAST, input [C_PCI_DATA_WIDTH-1:0] M_AXIS_RC_TDATA, input [(C_PCI_DATA_WIDTH/32)-1:0] M_AXIS_RC_TKEEP, input [`SIG_RC_TUSER_W-1:0] M_AXIS_RC_TUSER, output M_AXIS_RC_TREADY, //Interface: CC Ultrascale (TXC) input S_AXIS_CC_TREADY, output S_AXIS_CC_TVALID, output S_AXIS_CC_TLAST, output [C_PCI_DATA_WIDTH-1:0] S_AXIS_CC_TDATA, output [(C_PCI_DATA_WIDTH/32)-1:0] S_AXIS_CC_TKEEP, output [`SIG_CC_TUSER_W-1:0] S_AXIS_CC_TUSER, //Interface: RQ Ultrascale (TXR) input S_AXIS_RQ_TREADY, output S_AXIS_RQ_TVALID, output S_AXIS_RQ_TLAST, output [C_PCI_DATA_WIDTH-1:0] S_AXIS_RQ_TDATA, output [(C_PCI_DATA_WIDTH/32)-1:0] S_AXIS_RQ_TKEEP, output [`SIG_RQ_TUSER_W-1:0] S_AXIS_RQ_TUSER, // Interface: RXC Engine output [C_PCI_DATA_WIDTH-1:0] RXC_DATA, output RXC_DATA_VALID, output [(C_PCI_DATA_WIDTH/32)-1:0] RXC_DATA_WORD_ENABLE, output RXC_DATA_START_FLAG, output [clog2s(C_PCI_DATA_WIDTH/32)-1:0] RXC_DATA_START_OFFSET, output RXC_DATA_END_FLAG, output [clog2s(C_PCI_DATA_WIDTH/32)-1:0] RXC_DATA_END_OFFSET, output [`SIG_LBE_W-1:0] RXC_META_LDWBE, output [`SIG_FBE_W-1:0] RXC_META_FDWBE, output [`SIG_TAG_W-1:0] RXC_META_TAG, output [`SIG_LOWADDR_W-1:0] RXC_META_ADDR, output [`SIG_TYPE_W-1:0] RXC_META_TYPE, output [`SIG_LEN_W-1:0] RXC_META_LENGTH, output [`SIG_BYTECNT_W-1:0] RXC_META_BYTES_REMAINING, output [`SIG_CPLID_W-1:0] RXC_META_COMPLETER_ID, output RXC_META_EP, // Interface: RXR Engine output [C_PCI_DATA_WIDTH-1:0] RXR_DATA, output RXR_DATA_VALID, output [(C_PCI_DATA_WIDTH/32)-1:0] RXR_DATA_WORD_ENABLE, output RXR_DATA_START_FLAG, output [clog2s(C_PCI_DATA_WIDTH/32)-1:0] RXR_DATA_START_OFFSET, output RXR_DATA_END_FLAG, output [clog2s(C_PCI_DATA_WIDTH/32)-1:0] RXR_DATA_END_OFFSET, output [`SIG_FBE_W-1:0] RXR_META_FDWBE, output [`SIG_LBE_W-1:0] RXR_META_LDWBE, output [`SIG_TC_W-1:0] RXR_META_TC, output [`SIG_ATTR_W-1:0] RXR_META_ATTR, output [`SIG_TAG_W-1:0] RXR_META_TAG, output [`SIG_TYPE_W-1:0] RXR_META_TYPE, output [`SIG_ADDR_W-1:0] RXR_META_ADDR, output [`SIG_BARDECODE_W-1:0] RXR_META_BAR_DECODED, output [`SIG_REQID_W-1:0] RXR_META_REQUESTER_ID, output [`SIG_LEN_W-1:0] RXR_META_LENGTH, output RXR_META_EP, // Interface: TXC Engine input TXC_DATA_VALID, input [C_PCI_DATA_WIDTH-1:0] TXC_DATA, input TXC_DATA_START_FLAG, input [clog2s(C_PCI_DATA_WIDTH/32)-1:0] TXC_DATA_START_OFFSET, input TXC_DATA_END_FLAG, input [clog2s(C_PCI_DATA_WIDTH/32)-1:0] TXC_DATA_END_OFFSET, output TXC_DATA_READY, input TXC_META_VALID, input [`SIG_FBE_W-1:0] TXC_META_FDWBE, input [`SIG_LBE_W-1:0] TXC_META_LDWBE, input [`SIG_LOWADDR_W-1:0] TXC_META_ADDR, input [`SIG_TYPE_W-1:0] TXC_META_TYPE, input [`SIG_LEN_W-1:0] TXC_META_LENGTH, input [`SIG_BYTECNT_W-1:0] TXC_META_BYTE_COUNT, input [`SIG_TAG_W-1:0] TXC_META_TAG, input [`SIG_REQID_W-1:0] TXC_META_REQUESTER_ID, input [`SIG_TC_W-1:0] TXC_META_TC, input [`SIG_ATTR_W-1:0] TXC_META_ATTR, input TXC_META_EP, output TXC_META_READY, output TXC_SENT, // Interface: TXR Engine input TXR_DATA_VALID, input [C_PCI_DATA_WIDTH-1:0] TXR_DATA, input TXR_DATA_START_FLAG, input [clog2s(C_PCI_DATA_WIDTH/32)-1:0] TXR_DATA_START_OFFSET, input TXR_DATA_END_FLAG, input [clog2s(C_PCI_DATA_WIDTH/32)-1:0] TXR_DATA_END_OFFSET, output TXR_DATA_READY, input TXR_META_VALID, input [`SIG_FBE_W-1:0] TXR_META_FDWBE, input [`SIG_LBE_W-1:0] TXR_META_LDWBE, input [`SIG_ADDR_W-1:0] TXR_META_ADDR, input [`SIG_LEN_W-1:0] TXR_META_LENGTH, input [`SIG_TAG_W-1:0] TXR_META_TAG, input [`SIG_TC_W-1:0] TXR_META_TC, input [`SIG_ATTR_W-1:0] TXR_META_ATTR, input [`SIG_TYPE_W-1:0] TXR_META_TYPE, input TXR_META_EP, output TXR_META_READY, output TXR_SENT); wire CLK; assign CLK = CLK_BUS; generate /* verilator lint_off WIDTH */ if(C_VENDOR != "ULTRASCALE") begin assign M_AXIS_CQ_TREADY = 0; assign M_AXIS_RC_TREADY = 0; assign S_AXIS_CC_TVALID = 0; assign S_AXIS_CC_TLAST = 0; assign S_AXIS_CC_TDATA = 0; assign S_AXIS_CC_TKEEP = 0; assign S_AXIS_CC_TUSER = 0; assign S_AXIS_RQ_TVALID = 0; assign S_AXIS_RQ_TLAST = 0; assign S_AXIS_RQ_TDATA = 0; assign S_AXIS_RQ_TKEEP = 0; assign S_AXIS_RQ_TUSER = 0; /* verilator lint_on WIDTH */ rx_engine_classic #(/*AUTOINSTPARAM*/ // Parameters .C_VENDOR (C_VENDOR), .C_PCI_DATA_WIDTH (C_PCI_DATA_WIDTH), .C_LOG_NUM_TAGS (C_LOG_NUM_TAGS)) rx_engine_classic_inst (/*AUTOINST*/ // Outputs .DONE_RXR_RST (DONE_RXR_RST), .DONE_RXC_RST (DONE_RXC_RST), .RX_TLP_READY (RX_TLP_READY), .RXC_DATA (RXC_DATA[C_PCI_DATA_WIDTH-1:0]), .RXC_DATA_VALID (RXC_DATA_VALID), .RXC_DATA_WORD_ENABLE (RXC_DATA_WORD_ENABLE[(C_PCI_DATA_WIDTH/32)-1:0]), .RXC_DATA_START_FLAG (RXC_DATA_START_FLAG), .RXC_DATA_START_OFFSET (RXC_DATA_START_OFFSET[clog2s(C_PCI_DATA_WIDTH/32)-1:0]), .RXC_DATA_END_FLAG (RXC_DATA_END_FLAG), .RXC_DATA_END_OFFSET (RXC_DATA_END_OFFSET[clog2s(C_PCI_DATA_WIDTH/32)-1:0]), .RXC_META_LDWBE (RXC_META_LDWBE[`SIG_LBE_W-1:0]), .RXC_META_FDWBE (RXC_META_FDWBE[`SIG_FBE_W-1:0]), .RXC_META_TAG (RXC_META_TAG[`SIG_TAG_W-1:0]), .RXC_META_ADDR (RXC_META_ADDR[`SIG_LOWADDR_W-1:0]), .RXC_META_TYPE (RXC_META_TYPE[`SIG_TYPE_W-1:0]), .RXC_META_LENGTH (RXC_META_LENGTH[`SIG_LEN_W-1:0]), .RXC_META_BYTES_REMAINING(RXC_META_BYTES_REMAINING[`SIG_BYTECNT_W-1:0]), .RXC_META_COMPLETER_ID (RXC_META_COMPLETER_ID[`SIG_CPLID_W-1:0]), .RXC_META_EP (RXC_META_EP), .RXR_DATA (RXR_DATA[C_PCI_DATA_WIDTH-1:0]), .RXR_DATA_VALID (RXR_DATA_VALID), .RXR_DATA_WORD_ENABLE (RXR_DATA_WORD_ENABLE[(C_PCI_DATA_WIDTH/32)-1:0]), .RXR_DATA_START_FLAG (RXR_DATA_START_FLAG), .RXR_DATA_START_OFFSET (RXR_DATA_START_OFFSET[clog2s(C_PCI_DATA_WIDTH/32)-1:0]), .RXR_DATA_END_FLAG (RXR_DATA_END_FLAG), .RXR_DATA_END_OFFSET (RXR_DATA_END_OFFSET[clog2s(C_PCI_DATA_WIDTH/32)-1:0]), .RXR_META_FDWBE (RXR_META_FDWBE[`SIG_FBE_W-1:0]), .RXR_META_LDWBE (RXR_META_LDWBE[`SIG_LBE_W-1:0]), .RXR_META_TC (RXR_META_TC[`SIG_TC_W-1:0]), .RXR_META_ATTR (RXR_META_ATTR[`SIG_ATTR_W-1:0]), .RXR_META_TAG (RXR_META_TAG[`SIG_TAG_W-1:0]), .RXR_META_TYPE (RXR_META_TYPE[`SIG_TYPE_W-1:0]), .RXR_META_ADDR (RXR_META_ADDR[`SIG_ADDR_W-1:0]), .RXR_META_BAR_DECODED (RXR_META_BAR_DECODED[`SIG_BARDECODE_W-1:0]), .RXR_META_REQUESTER_ID (RXR_META_REQUESTER_ID[`SIG_REQID_W-1:0]), .RXR_META_LENGTH (RXR_META_LENGTH[`SIG_LEN_W-1:0]), .RXR_META_EP (RXR_META_EP), // Inputs .CLK (CLK), .RST_BUS (RST_BUS), .RST_LOGIC (RST_LOGIC), .RX_TLP (RX_TLP[C_PCI_DATA_WIDTH-1:0]), .RX_TLP_VALID (RX_TLP_VALID), .RX_TLP_START_FLAG (RX_TLP_START_FLAG), .RX_TLP_START_OFFSET (RX_TLP_START_OFFSET[`SIG_OFFSET_W-1:0]), .RX_TLP_END_FLAG (RX_TLP_END_FLAG), .RX_TLP_END_OFFSET (RX_TLP_END_OFFSET[`SIG_OFFSET_W-1:0]), .RX_TLP_BAR_DECODE (RX_TLP_BAR_DECODE[`SIG_BARDECODE_W-1:0])); tx_engine_classic #(/*AUTOINSTPARAM*/ // Parameters .C_PCI_DATA_WIDTH (C_PCI_DATA_WIDTH), .C_PIPELINE_INPUT (C_PIPELINE_INPUT), .C_PIPELINE_OUTPUT (C_PIPELINE_OUTPUT), .C_MAX_PAYLOAD_DWORDS (C_MAX_PAYLOAD_DWORDS), .C_VENDOR (C_VENDOR)) tx_engine_classic_inst (/*AUTOINST*/ // Outputs .DONE_TXC_RST (DONE_TXC_RST), .DONE_TXR_RST (DONE_TXR_RST), .TX_TLP (TX_TLP[C_PCI_DATA_WIDTH-1:0]), .TX_TLP_VALID (TX_TLP_VALID), .TX_TLP_START_FLAG (TX_TLP_START_FLAG), .TX_TLP_START_OFFSET (TX_TLP_START_OFFSET[clog2s(C_PCI_DATA_WIDTH/32)-1:0]), .TX_TLP_END_FLAG (TX_TLP_END_FLAG), .TX_TLP_END_OFFSET (TX_TLP_END_OFFSET[clog2s(C_PCI_DATA_WIDTH/32)-1:0]), .TXC_DATA_READY (TXC_DATA_READY), .TXC_META_READY (TXC_META_READY), .TXC_SENT (TXC_SENT), .TXR_DATA_READY (TXR_DATA_READY), .TXR_META_READY (TXR_META_READY), .TXR_SENT (TXR_SENT), // Inputs .CLK (CLK), .RST_BUS (RST_BUS), .RST_LOGIC (RST_LOGIC), .CONFIG_COMPLETER_ID (CONFIG_COMPLETER_ID[`SIG_CPLID_W-1:0]), .TX_TLP_READY (TX_TLP_READY), .TXC_DATA_VALID (TXC_DATA_VALID), .TXC_DATA (TXC_DATA[C_PCI_DATA_WIDTH-1:0]), .TXC_DATA_START_FLAG (TXC_DATA_START_FLAG), .TXC_DATA_START_OFFSET (TXC_DATA_START_OFFSET[clog2s(C_PCI_DATA_WIDTH/32)-1:0]), .TXC_DATA_END_FLAG (TXC_DATA_END_FLAG), .TXC_DATA_END_OFFSET (TXC_DATA_END_OFFSET[clog2s(C_PCI_DATA_WIDTH/32)-1:0]), .TXC_META_VALID (TXC_META_VALID), .TXC_META_FDWBE (TXC_META_FDWBE[`SIG_FBE_W-1:0]), .TXC_META_LDWBE (TXC_META_LDWBE[`SIG_LBE_W-1:0]), .TXC_META_ADDR (TXC_META_ADDR[`SIG_LOWADDR_W-1:0]), .TXC_META_TYPE (TXC_META_TYPE[`SIG_TYPE_W-1:0]), .TXC_META_LENGTH (TXC_META_LENGTH[`SIG_LEN_W-1:0]), .TXC_META_BYTE_COUNT (TXC_META_BYTE_COUNT[`SIG_BYTECNT_W-1:0]), .TXC_META_TAG (TXC_META_TAG[`SIG_TAG_W-1:0]), .TXC_META_REQUESTER_ID (TXC_META_REQUESTER_ID[`SIG_REQID_W-1:0]), .TXC_META_TC (TXC_META_TC[`SIG_TC_W-1:0]), .TXC_META_ATTR (TXC_META_ATTR[`SIG_ATTR_W-1:0]), .TXC_META_EP (TXC_META_EP), .TXR_DATA_VALID (TXR_DATA_VALID), .TXR_DATA (TXR_DATA[C_PCI_DATA_WIDTH-1:0]), .TXR_DATA_START_FLAG (TXR_DATA_START_FLAG), .TXR_DATA_START_OFFSET (TXR_DATA_START_OFFSET[clog2s(C_PCI_DATA_WIDTH/32)-1:0]), .TXR_DATA_END_FLAG (TXR_DATA_END_FLAG), .TXR_DATA_END_OFFSET (TXR_DATA_END_OFFSET[clog2s(C_PCI_DATA_WIDTH/32)-1:0]), .TXR_META_VALID (TXR_META_VALID), .TXR_META_FDWBE (TXR_META_FDWBE[`SIG_FBE_W-1:0]), .TXR_META_LDWBE (TXR_META_LDWBE[`SIG_LBE_W-1:0]), .TXR_META_ADDR (TXR_META_ADDR[`SIG_ADDR_W-1:0]), .TXR_META_LENGTH (TXR_META_LENGTH[`SIG_LEN_W-1:0]), .TXR_META_TAG (TXR_META_TAG[`SIG_TAG_W-1:0]), .TXR_META_TC (TXR_META_TC[`SIG_TC_W-1:0]), .TXR_META_ATTR (TXR_META_ATTR[`SIG_ATTR_W-1:0]), .TXR_META_TYPE (TXR_META_TYPE[`SIG_TYPE_W-1:0]), .TXR_META_EP (TXR_META_EP)); end else begin assign TX_TLP = 0; assign TX_TLP_VALID = 0; assign TX_TLP_START_FLAG = 0; assign TX_TLP_START_OFFSET = 0; assign TX_TLP_END_FLAG = 0; assign TX_TLP_END_OFFSET = 0; assign RX_TLP_READY = 0; rx_engine_ultrascale #(/*AUTOINSTPARAM*/ // Parameters .C_PCI_DATA_WIDTH (C_PCI_DATA_WIDTH)) rx_engine_ultrascale_inst (/*AUTOINST*/ // Outputs .DONE_RXR_RST (DONE_RXR_RST), .DONE_RXC_RST (DONE_RXC_RST), .M_AXIS_CQ_TREADY (M_AXIS_CQ_TREADY), .M_AXIS_RC_TREADY (M_AXIS_RC_TREADY), .RXC_DATA (RXC_DATA[C_PCI_DATA_WIDTH-1:0]), .RXC_DATA_VALID (RXC_DATA_VALID), .RXC_DATA_WORD_ENABLE (RXC_DATA_WORD_ENABLE[(C_PCI_DATA_WIDTH/32)-1:0]), .RXC_DATA_START_FLAG (RXC_DATA_START_FLAG), .RXC_DATA_START_OFFSET (RXC_DATA_START_OFFSET[clog2s(C_PCI_DATA_WIDTH/32)-1:0]), .RXC_DATA_END_FLAG (RXC_DATA_END_FLAG), .RXC_DATA_END_OFFSET (RXC_DATA_END_OFFSET[clog2s(C_PCI_DATA_WIDTH/32)-1:0]), .RXC_META_LDWBE (RXC_META_LDWBE[`SIG_LBE_W-1:0]), .RXC_META_FDWBE (RXC_META_FDWBE[`SIG_FBE_W-1:0]), .RXC_META_TAG (RXC_META_TAG[`SIG_TAG_W-1:0]), .RXC_META_ADDR (RXC_META_ADDR[`SIG_LOWADDR_W-1:0]), .RXC_META_TYPE (RXC_META_TYPE[`SIG_TYPE_W-1:0]), .RXC_META_LENGTH (RXC_META_LENGTH[`SIG_LEN_W-1:0]), .RXC_META_BYTES_REMAINING(RXC_META_BYTES_REMAINING[`SIG_BYTECNT_W-1:0]), .RXC_META_COMPLETER_ID (RXC_META_COMPLETER_ID[`SIG_CPLID_W-1:0]), .RXC_META_EP (RXC_META_EP), .RXR_DATA (RXR_DATA[C_PCI_DATA_WIDTH-1:0]), .RXR_DATA_VALID (RXR_DATA_VALID), .RXR_DATA_WORD_ENABLE (RXR_DATA_WORD_ENABLE[(C_PCI_DATA_WIDTH/32)-1:0]), .RXR_DATA_START_FLAG (RXR_DATA_START_FLAG), .RXR_DATA_START_OFFSET (RXR_DATA_START_OFFSET[clog2s(C_PCI_DATA_WIDTH/32)-1:0]), .RXR_DATA_END_FLAG (RXR_DATA_END_FLAG), .RXR_DATA_END_OFFSET (RXR_DATA_END_OFFSET[clog2s(C_PCI_DATA_WIDTH/32)-1:0]), .RXR_META_FDWBE (RXR_META_FDWBE[`SIG_FBE_W-1:0]), .RXR_META_LDWBE (RXR_META_LDWBE[`SIG_LBE_W-1:0]), .RXR_META_TC (RXR_META_TC[`SIG_TC_W-1:0]), .RXR_META_ATTR (RXR_META_ATTR[`SIG_ATTR_W-1:0]), .RXR_META_TAG (RXR_META_TAG[`SIG_TAG_W-1:0]), .RXR_META_TYPE (RXR_META_TYPE[`SIG_TYPE_W-1:0]), .RXR_META_ADDR (RXR_META_ADDR[`SIG_ADDR_W-1:0]), .RXR_META_BAR_DECODED (RXR_META_BAR_DECODED[`SIG_BARDECODE_W-1:0]), .RXR_META_REQUESTER_ID (RXR_META_REQUESTER_ID[`SIG_REQID_W-1:0]), .RXR_META_LENGTH (RXR_META_LENGTH[`SIG_LEN_W-1:0]), .RXR_META_EP (RXR_META_EP), // Inputs .CLK (CLK), .RST_BUS (RST_BUS), .RST_LOGIC (RST_LOGIC), .M_AXIS_CQ_TVALID (M_AXIS_CQ_TVALID), .M_AXIS_CQ_TLAST (M_AXIS_CQ_TLAST), .M_AXIS_CQ_TDATA (M_AXIS_CQ_TDATA[C_PCI_DATA_WIDTH-1:0]), .M_AXIS_CQ_TKEEP (M_AXIS_CQ_TKEEP[(C_PCI_DATA_WIDTH/32)-1:0]), .M_AXIS_CQ_TUSER (M_AXIS_CQ_TUSER[`SIG_CQ_TUSER_W-1:0]), .M_AXIS_RC_TVALID (M_AXIS_RC_TVALID), .M_AXIS_RC_TLAST (M_AXIS_RC_TLAST), .M_AXIS_RC_TDATA (M_AXIS_RC_TDATA[C_PCI_DATA_WIDTH-1:0]), .M_AXIS_RC_TKEEP (M_AXIS_RC_TKEEP[(C_PCI_DATA_WIDTH/32)-1:0]), .M_AXIS_RC_TUSER (M_AXIS_RC_TUSER[`SIG_RC_TUSER_W-1:0])); tx_engine_ultrascale #(/*AUTOINSTPARAM*/ // Parameters .C_PCI_DATA_WIDTH (C_PCI_DATA_WIDTH), .C_PIPELINE_INPUT (C_PIPELINE_INPUT), .C_PIPELINE_OUTPUT (C_PIPELINE_OUTPUT), .C_MAX_PAYLOAD_DWORDS (C_MAX_PAYLOAD_DWORDS)) tx_engine_ultrascale_inst (/*AUTOINST*/ // Outputs .DONE_TXC_RST (DONE_TXC_RST), .DONE_TXR_RST (DONE_TXR_RST), .S_AXIS_CC_TVALID (S_AXIS_CC_TVALID), .S_AXIS_CC_TLAST (S_AXIS_CC_TLAST), .S_AXIS_CC_TDATA (S_AXIS_CC_TDATA[C_PCI_DATA_WIDTH-1:0]), .S_AXIS_CC_TKEEP (S_AXIS_CC_TKEEP[(C_PCI_DATA_WIDTH/32)-1:0]), .S_AXIS_CC_TUSER (S_AXIS_CC_TUSER[`SIG_CC_TUSER_W-1:0]), .TXC_DATA_READY (TXC_DATA_READY), .TXC_META_READY (TXC_META_READY), .TXC_SENT (TXC_SENT), .S_AXIS_RQ_TVALID (S_AXIS_RQ_TVALID), .S_AXIS_RQ_TLAST (S_AXIS_RQ_TLAST), .S_AXIS_RQ_TDATA (S_AXIS_RQ_TDATA[C_PCI_DATA_WIDTH-1:0]), .S_AXIS_RQ_TKEEP (S_AXIS_RQ_TKEEP[(C_PCI_DATA_WIDTH/32)-1:0]), .S_AXIS_RQ_TUSER (S_AXIS_RQ_TUSER[`SIG_RQ_TUSER_W-1:0]), .TXR_DATA_READY (TXR_DATA_READY), .TXR_META_READY (TXR_META_READY), .TXR_SENT (TXR_SENT), // Inputs .CLK (CLK), .RST_BUS (RST_BUS), .RST_LOGIC (RST_LOGIC), .CONFIG_COMPLETER_ID (CONFIG_COMPLETER_ID[`SIG_CPLID_W-1:0]), .S_AXIS_CC_TREADY (S_AXIS_CC_TREADY), .TXC_DATA_VALID (TXC_DATA_VALID), .TXC_DATA (TXC_DATA[C_PCI_DATA_WIDTH-1:0]), .TXC_DATA_START_FLAG (TXC_DATA_START_FLAG), .TXC_DATA_START_OFFSET (TXC_DATA_START_OFFSET[clog2s(C_PCI_DATA_WIDTH/32)-1:0]), .TXC_DATA_END_FLAG (TXC_DATA_END_FLAG), .TXC_DATA_END_OFFSET (TXC_DATA_END_OFFSET[clog2s(C_PCI_DATA_WIDTH/32)-1:0]), .TXC_META_VALID (TXC_META_VALID), .TXC_META_FDWBE (TXC_META_FDWBE[`SIG_FBE_W-1:0]), .TXC_META_LDWBE (TXC_META_LDWBE[`SIG_LBE_W-1:0]), .TXC_META_ADDR (TXC_META_ADDR[`SIG_LOWADDR_W-1:0]), .TXC_META_TYPE (TXC_META_TYPE[`SIG_TYPE_W-1:0]), .TXC_META_LENGTH (TXC_META_LENGTH[`SIG_LEN_W-1:0]), .TXC_META_BYTE_COUNT (TXC_META_BYTE_COUNT[`SIG_BYTECNT_W-1:0]), .TXC_META_TAG (TXC_META_TAG[`SIG_TAG_W-1:0]), .TXC_META_REQUESTER_ID (TXC_META_REQUESTER_ID[`SIG_REQID_W-1:0]), .TXC_META_TC (TXC_META_TC[`SIG_TC_W-1:0]), .TXC_META_ATTR (TXC_META_ATTR[`SIG_ATTR_W-1:0]), .TXC_META_EP (TXC_META_EP), .S_AXIS_RQ_TREADY (S_AXIS_RQ_TREADY), .TXR_DATA_VALID (TXR_DATA_VALID), .TXR_DATA (TXR_DATA[C_PCI_DATA_WIDTH-1:0]), .TXR_DATA_START_FLAG (TXR_DATA_START_FLAG), .TXR_DATA_START_OFFSET (TXR_DATA_START_OFFSET[clog2s(C_PCI_DATA_WIDTH/32)-1:0]), .TXR_DATA_END_FLAG (TXR_DATA_END_FLAG), .TXR_DATA_END_OFFSET (TXR_DATA_END_OFFSET[clog2s(C_PCI_DATA_WIDTH/32)-1:0]), .TXR_META_VALID (TXR_META_VALID), .TXR_META_FDWBE (TXR_META_FDWBE[`SIG_FBE_W-1:0]), .TXR_META_LDWBE (TXR_META_LDWBE[`SIG_LBE_W-1:0]), .TXR_META_ADDR (TXR_META_ADDR[`SIG_ADDR_W-1:0]), .TXR_META_LENGTH (TXR_META_LENGTH[`SIG_LEN_W-1:0]), .TXR_META_TAG (TXR_META_TAG[`SIG_TAG_W-1:0]), .TXR_META_TC (TXR_META_TC[`SIG_TC_W-1:0]), .TXR_META_ATTR (TXR_META_ATTR[`SIG_ATTR_W-1:0]), .TXR_META_TYPE (TXR_META_TYPE[`SIG_TYPE_W-1:0]), .TXR_META_EP (TXR_META_EP)); end endgenerate endmodule // engine_layer // Local Variables: // verilog-library-directories:("." "ultrascale/rx/" "ultrascale/tx/" "classic/rx/" "classic/tx/") // End:
// -------------------------------------------------------------------------------- //| Avalon ST Bytes to Packet // -------------------------------------------------------------------------------- `timescale 1ns / 100ps module altera_avalon_st_bytes_to_packets //if ENCODING ==0, CHANNEL_WIDTH must be 8 //else CHANNEL_WIDTH can be from 0 to 127 #( parameter CHANNEL_WIDTH = 8, parameter ENCODING = 0 ) ( // Interface: clk input clk, input reset_n, // Interface: ST out with packets input out_ready, output reg out_valid, output reg [7: 0] out_data, output reg [CHANNEL_WIDTH-1: 0] out_channel, output reg out_startofpacket, output reg out_endofpacket, // Interface: ST in output reg in_ready, input in_valid, input [7: 0] in_data ); // --------------------------------------------------------------------- //| Signal Declarations // --------------------------------------------------------------------- reg received_esc, received_channel, received_varchannel; wire escape_char, sop_char, eop_char, channel_char, varchannelesc_char; // data out mux. // we need it twice (data & channel out), so use a wire here wire [7:0] data_out; // --------------------------------------------------------------------- //| Thingofamagick // --------------------------------------------------------------------- assign sop_char = (in_data == 8'h7a); assign eop_char = (in_data == 8'h7b); assign channel_char = (in_data == 8'h7c); assign escape_char = (in_data == 8'h7d); assign data_out = received_esc ? (in_data ^ 8'h20) : in_data; generate if (CHANNEL_WIDTH == 0) begin // Synchorous block -- reset and registers always @(posedge clk or negedge reset_n) begin if (!reset_n) begin received_esc <= 0; out_startofpacket <= 0; out_endofpacket <= 0; end else begin // we take data when in_valid and in_ready if (in_valid & in_ready) begin if (received_esc) begin //if we got esc char, after next byte is consumed, quit esc mode if (out_ready) received_esc <= 0; end else begin if (escape_char) received_esc <= 1; if (sop_char) out_startofpacket <= 1; if (eop_char) out_endofpacket <= 1; end if (out_ready & out_valid) begin out_startofpacket <= 0; out_endofpacket <= 0; end end end end // Combinational block for in_ready and out_valid always @* begin //we choose not to pipeline here. We can process special characters when //in_ready, but in a chain of microcores, backpressure path is usually //time critical, so we keep it simple here. in_ready = out_ready; //out_valid when in_valid, except when we are processing the special //characters. However, if we are in escape received mode, then we are //valid out_valid = 0; if ((out_ready | ~out_valid) && in_valid) begin out_valid = 1; if (sop_char | eop_char | escape_char | channel_char) out_valid = 0; end out_data = data_out; end end else begin assign varchannelesc_char = in_data[7]; // Synchorous block -- reset and registers always @(posedge clk or negedge reset_n) begin if (!reset_n) begin received_esc <= 0; received_channel <= 0; received_varchannel <= 0; out_startofpacket <= 0; out_endofpacket <= 0; end else begin // we take data when in_valid and in_ready if (in_valid & in_ready) begin if (received_esc) begin //if we got esc char, after next byte is consumed, quit esc mode if (out_ready | received_channel | received_varchannel) received_esc <= 0; end else begin if (escape_char) received_esc <= 1; if (sop_char) out_startofpacket <= 1; if (eop_char) out_endofpacket <= 1; if (channel_char & ENCODING ) received_varchannel <= 1; if (channel_char & ~ENCODING) received_channel <= 1; end if (received_channel & (received_esc | (~sop_char & ~eop_char & ~escape_char & ~channel_char ))) begin received_channel <= 0; end if (received_varchannel & ~varchannelesc_char & (received_esc | (~sop_char & ~eop_char & ~escape_char & ~channel_char))) begin received_varchannel <= 0; end if (out_ready & out_valid) begin out_startofpacket <= 0; out_endofpacket <= 0; end end end end // Combinational block for in_ready and out_valid always @* begin in_ready = out_ready; out_valid = 0; if ((out_ready | ~out_valid) && in_valid) begin out_valid = 1; if (received_esc) begin if (received_channel | received_varchannel) out_valid = 0; end else begin if (sop_char | eop_char | escape_char | channel_char | received_channel | received_varchannel) out_valid = 0; end end out_data = data_out; end end endgenerate // Channel block generate if (CHANNEL_WIDTH == 0) begin always @(posedge clk) begin out_channel <= 'h0; end end else if (CHANNEL_WIDTH < 8) begin always @(posedge clk or negedge reset_n) begin if (!reset_n) begin out_channel <= 'h0; end else begin if (in_ready & in_valid) begin if ((channel_char & ENCODING) & (~received_esc & ~sop_char & ~eop_char & ~escape_char )) begin out_channel <= 'h0; end else if (received_varchannel & (received_esc | (~sop_char & ~eop_char & ~escape_char & ~channel_char & ~received_channel))) begin // Shifting out only the required bits out_channel[CHANNEL_WIDTH-1:0] <= data_out[CHANNEL_WIDTH-1:0]; end end end end end else begin always @(posedge clk or negedge reset_n) begin if (!reset_n) begin out_channel <= 'h0; end else begin if (in_ready & in_valid) begin if (received_channel & (received_esc | (~sop_char & ~eop_char & ~escape_char & ~channel_char))) begin out_channel <= data_out; end else if ((channel_char & ENCODING) & (~received_esc & ~sop_char & ~eop_char & ~escape_char )) begin // Variable Channel Encoding always setting to 0 before begin to shift the channel in out_channel <= 'h0; end else if (received_varchannel & (received_esc | (~sop_char & ~eop_char & ~escape_char & ~channel_char & ~received_channel))) begin // Shifting out the lower 7 bits out_channel <= out_channel <<7; out_channel[6:0] <= data_out[6:0]; end end end end end endgenerate endmodule
// -------------------------------------------------------------------------------- //| Avalon ST Bytes to Packet // -------------------------------------------------------------------------------- `timescale 1ns / 100ps module altera_avalon_st_bytes_to_packets //if ENCODING ==0, CHANNEL_WIDTH must be 8 //else CHANNEL_WIDTH can be from 0 to 127 #( parameter CHANNEL_WIDTH = 8, parameter ENCODING = 0 ) ( // Interface: clk input clk, input reset_n, // Interface: ST out with packets input out_ready, output reg out_valid, output reg [7: 0] out_data, output reg [CHANNEL_WIDTH-1: 0] out_channel, output reg out_startofpacket, output reg out_endofpacket, // Interface: ST in output reg in_ready, input in_valid, input [7: 0] in_data ); // --------------------------------------------------------------------- //| Signal Declarations // --------------------------------------------------------------------- reg received_esc, received_channel, received_varchannel; wire escape_char, sop_char, eop_char, channel_char, varchannelesc_char; // data out mux. // we need it twice (data & channel out), so use a wire here wire [7:0] data_out; // --------------------------------------------------------------------- //| Thingofamagick // --------------------------------------------------------------------- assign sop_char = (in_data == 8'h7a); assign eop_char = (in_data == 8'h7b); assign channel_char = (in_data == 8'h7c); assign escape_char = (in_data == 8'h7d); assign data_out = received_esc ? (in_data ^ 8'h20) : in_data; generate if (CHANNEL_WIDTH == 0) begin // Synchorous block -- reset and registers always @(posedge clk or negedge reset_n) begin if (!reset_n) begin received_esc <= 0; out_startofpacket <= 0; out_endofpacket <= 0; end else begin // we take data when in_valid and in_ready if (in_valid & in_ready) begin if (received_esc) begin //if we got esc char, after next byte is consumed, quit esc mode if (out_ready) received_esc <= 0; end else begin if (escape_char) received_esc <= 1; if (sop_char) out_startofpacket <= 1; if (eop_char) out_endofpacket <= 1; end if (out_ready & out_valid) begin out_startofpacket <= 0; out_endofpacket <= 0; end end end end // Combinational block for in_ready and out_valid always @* begin //we choose not to pipeline here. We can process special characters when //in_ready, but in a chain of microcores, backpressure path is usually //time critical, so we keep it simple here. in_ready = out_ready; //out_valid when in_valid, except when we are processing the special //characters. However, if we are in escape received mode, then we are //valid out_valid = 0; if ((out_ready | ~out_valid) && in_valid) begin out_valid = 1; if (sop_char | eop_char | escape_char | channel_char) out_valid = 0; end out_data = data_out; end end else begin assign varchannelesc_char = in_data[7]; // Synchorous block -- reset and registers always @(posedge clk or negedge reset_n) begin if (!reset_n) begin received_esc <= 0; received_channel <= 0; received_varchannel <= 0; out_startofpacket <= 0; out_endofpacket <= 0; end else begin // we take data when in_valid and in_ready if (in_valid & in_ready) begin if (received_esc) begin //if we got esc char, after next byte is consumed, quit esc mode if (out_ready | received_channel | received_varchannel) received_esc <= 0; end else begin if (escape_char) received_esc <= 1; if (sop_char) out_startofpacket <= 1; if (eop_char) out_endofpacket <= 1; if (channel_char & ENCODING ) received_varchannel <= 1; if (channel_char & ~ENCODING) received_channel <= 1; end if (received_channel & (received_esc | (~sop_char & ~eop_char & ~escape_char & ~channel_char ))) begin received_channel <= 0; end if (received_varchannel & ~varchannelesc_char & (received_esc | (~sop_char & ~eop_char & ~escape_char & ~channel_char))) begin received_varchannel <= 0; end if (out_ready & out_valid) begin out_startofpacket <= 0; out_endofpacket <= 0; end end end end // Combinational block for in_ready and out_valid always @* begin in_ready = out_ready; out_valid = 0; if ((out_ready | ~out_valid) && in_valid) begin out_valid = 1; if (received_esc) begin if (received_channel | received_varchannel) out_valid = 0; end else begin if (sop_char | eop_char | escape_char | channel_char | received_channel | received_varchannel) out_valid = 0; end end out_data = data_out; end end endgenerate // Channel block generate if (CHANNEL_WIDTH == 0) begin always @(posedge clk) begin out_channel <= 'h0; end end else if (CHANNEL_WIDTH < 8) begin always @(posedge clk or negedge reset_n) begin if (!reset_n) begin out_channel <= 'h0; end else begin if (in_ready & in_valid) begin if ((channel_char & ENCODING) & (~received_esc & ~sop_char & ~eop_char & ~escape_char )) begin out_channel <= 'h0; end else if (received_varchannel & (received_esc | (~sop_char & ~eop_char & ~escape_char & ~channel_char & ~received_channel))) begin // Shifting out only the required bits out_channel[CHANNEL_WIDTH-1:0] <= data_out[CHANNEL_WIDTH-1:0]; end end end end end else begin always @(posedge clk or negedge reset_n) begin if (!reset_n) begin out_channel <= 'h0; end else begin if (in_ready & in_valid) begin if (received_channel & (received_esc | (~sop_char & ~eop_char & ~escape_char & ~channel_char))) begin out_channel <= data_out; end else if ((channel_char & ENCODING) & (~received_esc & ~sop_char & ~eop_char & ~escape_char )) begin // Variable Channel Encoding always setting to 0 before begin to shift the channel in out_channel <= 'h0; end else if (received_varchannel & (received_esc | (~sop_char & ~eop_char & ~escape_char & ~channel_char & ~received_channel))) begin // Shifting out the lower 7 bits out_channel <= out_channel <<7; out_channel[6:0] <= data_out[6:0]; end end end end end endgenerate endmodule
////////////////////////////////////////////////////////////////////////////////// // d_parallel_FFM_gate_GF12.v for Cosmos OpenSSD // Copyright (c) 2015 Hanyang University ENC Lab. // Contributed by Jinwoo Jeong <[email protected]> // Ilyong Jung <[email protected]> // Yong Ho Song <[email protected]> // // This file is part of Cosmos OpenSSD. // // Cosmos OpenSSD is free software; you can redistribute it and/or modify // it under the terms of the GNU General Public License as published by // the Free Software Foundation; either version 3, or (at your option) // any later version. // // Cosmos OpenSSD is distributed in the hope that it will be useful, // but WITHOUT ANY WARRANTY; without even the implied warranty of // MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. // See the GNU General Public License for more details. // // You should have received a copy of the GNU General Public License // along with Cosmos OpenSSD; see the file COPYING. // If not, see <http://www.gnu.org/licenses/>. ////////////////////////////////////////////////////////////////////////////////// ////////////////////////////////////////////////////////////////////////////////// // Company: ENC Lab. <http://enc.hanyang.ac.kr> // Engineer: Jinwoo Jeong <[email protected]> // Ilyong Jung <[email protected]> // // Project Name: Cosmos OpenSSD // Design Name: BCH Page Decoder // Module Name: d_parallel_FFM_gate_GF12 // File Name: d_parallel_FFM_gate_GF12.v // // Version: v2.0.2-GF12tB // // Description: // - parallel Finite Field Multiplier (FFM) module // - 2 polynomial form input, 1 polynomial form output ////////////////////////////////////////////////////////////////////////////////// ////////////////////////////////////////////////////////////////////////////////// // Revision History: // // * v2.0.2 // - minor modification for releasing // // * v2.0.1 // - re-factoring // // * v2.0.0 // - based on partial multiplication // - fixed GF // // * v1.0.0 // - based on LFSR // - variable GF by parameter setting ////////////////////////////////////////////////////////////////////////////////// `timescale 1ns / 1ps module d_parallel_FFM_gate_GF12 ( input wire [11: 0] i_poly_form_A, // input term A, polynomial form input wire [11: 0] i_poly_form_B, // input term B, polynomial form output wire [11: 0] o_poly_form_result // output term result, polynomial form ); /////////////////////////////////////////////////////////// // CAUTION! CAUTION! CAUTION! CAUTION! CAUTION! CAUTION! // // // // ONLY FOR 12 BIT POLYNOMIAL MULTIPLICATION // // // // CAUTION! CAUTION! CAUTION! CAUTION! CAUTION! CAUTION! // /////////////////////////////////////////////////////////// /////////////////////////////////////////////////////////// // CAUTION! CAUTION! CAUTION! CAUTION! CAUTION! CAUTION! // // // // PRIMITIVE POLYNOMIAL // // P(X) = X^12 + X^7 + X^4 + X^3 + 1 // // // // CAUTION! CAUTION! CAUTION! CAUTION! CAUTION! CAUTION! // /////////////////////////////////////////////////////////// wire [11: 6] w_p_A1; // partial term A1 wire [ 5: 0] w_p_A0; // partial term A0 wire [11: 6] w_p_B1; // partial term B1 wire [ 5: 0] w_p_B0; // partial term B0 wire [16: 6] w_p_r_A1_B0; // partial multiplication result A1*B0 wire [10: 0] w_p_r_A0_B0; // partial multiplication result A0*B0 wire [22:12] w_p_r_A1_B1; // partial multiplication result A1*B1 wire [16: 6] w_p_r_A0_B1; // partial multiplication result A0*B1 wire [22: 0] w_p_r_sum; // multiplication result assign w_p_A1[11: 6] = i_poly_form_A[11: 6]; assign w_p_A0[ 5: 0] = i_poly_form_A[ 5: 0]; assign w_p_B1[11: 6] = i_poly_form_B[11: 6]; assign w_p_B0[ 5: 0] = i_poly_form_B[ 5: 0]; // multipliers for partial multiplication d_partial_FFM_gate_6b p_mul_A1_B0 ( .i_a(w_p_A1[11: 6]), .i_b(w_p_B0[ 5: 0]), .o_r(w_p_r_A1_B0[16: 6])); d_partial_FFM_gate_6b p_mul_A0_B0 ( .i_a(w_p_A0[ 5: 0]), .i_b(w_p_B0[ 5: 0]), .o_r(w_p_r_A0_B0[10: 0])); d_partial_FFM_gate_6b p_mul_A1_B1 ( .i_a(w_p_A1[11: 6]), .i_b(w_p_B1[11: 6]), .o_r(w_p_r_A1_B1[22:12])); d_partial_FFM_gate_6b p_mul_A0_B1 ( .i_a(w_p_A0[ 5: 0]), .i_b(w_p_B1[11: 6]), .o_r(w_p_r_A0_B1[16: 6])); // sum partial results assign w_p_r_sum[22:17] = w_p_r_A1_B1[22:17]; assign w_p_r_sum[16:12] = w_p_r_A1_B1[16:12] ^ w_p_r_A0_B1[16:12] ^ w_p_r_A1_B0[16:12]; assign w_p_r_sum[11] = w_p_r_A0_B1[11] ^ w_p_r_A1_B0[11]; assign w_p_r_sum[10: 6] = w_p_r_A0_B1[10: 6] ^ w_p_r_A1_B0[10: 6] ^ w_p_r_A0_B0[10: 6]; assign w_p_r_sum[ 5: 0] = w_p_r_A0_B0[ 5: 0]; // reduce high order terms assign o_poly_form_result[11] = w_p_r_sum[11] ^ w_p_r_sum[16] ^ w_p_r_sum[19] ^ w_p_r_sum[20] ^ w_p_r_sum[21]; assign o_poly_form_result[10] = w_p_r_sum[10] ^ w_p_r_sum[15] ^ w_p_r_sum[18] ^ w_p_r_sum[19] ^ w_p_r_sum[20] ^ w_p_r_sum[22]; assign o_poly_form_result[ 9] = w_p_r_sum[ 9] ^ w_p_r_sum[14] ^ w_p_r_sum[17] ^ w_p_r_sum[18] ^ w_p_r_sum[19] ^ w_p_r_sum[21]; assign o_poly_form_result[ 8] = w_p_r_sum[ 8] ^ w_p_r_sum[13] ^ w_p_r_sum[16] ^ w_p_r_sum[17] ^ w_p_r_sum[18] ^ w_p_r_sum[20]; assign o_poly_form_result[ 7] = w_p_r_sum[ 7] ^ w_p_r_sum[12] ^ w_p_r_sum[15] ^ w_p_r_sum[16] ^ w_p_r_sum[17] ^ w_p_r_sum[19] ^ w_p_r_sum[22]; assign o_poly_form_result[ 6] = w_p_r_sum[ 6] ^ w_p_r_sum[14] ^ w_p_r_sum[15] ^ w_p_r_sum[18] ^ w_p_r_sum[19] ^ w_p_r_sum[20] ^ w_p_r_sum[22]; assign o_poly_form_result[ 5] = w_p_r_sum[ 5] ^ w_p_r_sum[13] ^ w_p_r_sum[14] ^ w_p_r_sum[17] ^ w_p_r_sum[18] ^ w_p_r_sum[19] ^ w_p_r_sum[21] ^ w_p_r_sum[22]; assign o_poly_form_result[ 4] = w_p_r_sum[ 4] ^ w_p_r_sum[12] ^ w_p_r_sum[13] ^ w_p_r_sum[16] ^ w_p_r_sum[17] ^ w_p_r_sum[18] ^ w_p_r_sum[20] ^ w_p_r_sum[21]; assign o_poly_form_result[ 3] = w_p_r_sum[ 3] ^ w_p_r_sum[12] ^ w_p_r_sum[15] ^ w_p_r_sum[17] ^ w_p_r_sum[21] ^ w_p_r_sum[22]; assign o_poly_form_result[ 2] = w_p_r_sum[ 2] ^ w_p_r_sum[14] ^ w_p_r_sum[19] ^ w_p_r_sum[22]; assign o_poly_form_result[ 1] = w_p_r_sum[ 1] ^ w_p_r_sum[13] ^ w_p_r_sum[18] ^ w_p_r_sum[21] ^ w_p_r_sum[22]; assign o_poly_form_result[ 0] = w_p_r_sum[ 0] ^ w_p_r_sum[12] ^ w_p_r_sum[17] ^ w_p_r_sum[20] ^ w_p_r_sum[21] ^ w_p_r_sum[22]; endmodule
// ---------------------------------------------------------------------- // Copyright (c) 2016, The Regents of the University of California All // rights reserved. // // Redistribution and use in source and binary forms, with or without // modification, are permitted provided that the following conditions are // met: // // * Redistributions of source code must retain the above copyright // notice, this list of conditions and the following disclaimer. // // * Redistributions in binary form must reproduce the above // copyright notice, this list of conditions and the following // disclaimer in the documentation and/or other materials provided // with the distribution. // // * Neither the name of The Regents of the University of California // nor the names of its contributors may be used to endorse or // promote products derived from this software without specific // prior written permission. // // THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS // "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT // LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR // A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL REGENTS OF THE // UNIVERSITY OF CALIFORNIA BE LIABLE FOR ANY DIRECT, INDIRECT, // INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, // BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS // OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND // ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR // TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE // USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH // DAMAGE. // ---------------------------------------------------------------------- //---------------------------------------------------------------------------- // Filename: one_hot_mux.v // Version: 1.00.a // Verilog Standard: Verilog-2001 // Description: A mux module, where the output select is a one-hot bus // Author: Dustin Richmond //----------------------------------------------------------------------------- `timescale 1ns/1ns `include "functions.vh" module one_hot_mux #(parameter C_DATA_WIDTH = 1, parameter C_SELECT_WIDTH = 2, parameter C_AGGREGATE_WIDTH = C_SELECT_WIDTH*C_DATA_WIDTH ) ( input [C_SELECT_WIDTH-1:0] ONE_HOT_SELECT, input [C_AGGREGATE_WIDTH-1:0] ONE_HOT_INPUTS, output [C_DATA_WIDTH-1:0] ONE_HOT_OUTPUT); genvar i; wire [C_DATA_WIDTH-1:0] wOneHotInputs[(1<<C_SELECT_WIDTH):1]; reg [C_DATA_WIDTH-1:0] _rOneHotOutput; assign ONE_HOT_OUTPUT = _rOneHotOutput; generate for( i = 0 ; i < C_SELECT_WIDTH; i = i + 1 ) begin : gen_input_array assign wOneHotInputs[(1<<i)] = ONE_HOT_INPUTS[C_DATA_WIDTH*i +: C_DATA_WIDTH]; end if(C_SELECT_WIDTH == 1) begin always @(*) begin _rOneHotOutput = wOneHotInputs[1]; end end else if(C_SELECT_WIDTH == 2) begin always @(*) begin case(ONE_HOT_SELECT) 2'b01: _rOneHotOutput = wOneHotInputs[1]; 2'b10: _rOneHotOutput = wOneHotInputs[2]; default:_rOneHotOutput = wOneHotInputs[1]; endcase // case (ONE_HOT_SELECT) end end else if( C_SELECT_WIDTH == 4) begin always @(*) begin case(ONE_HOT_SELECT) 4'b0001: _rOneHotOutput = wOneHotInputs[1]; 4'b0010: _rOneHotOutput = wOneHotInputs[2]; 4'b0100: _rOneHotOutput = wOneHotInputs[4]; 4'b1000: _rOneHotOutput = wOneHotInputs[8]; default:_rOneHotOutput = wOneHotInputs[1]; endcase // case (ONE_HOT_SELECT) end end else if( C_SELECT_WIDTH == 8) begin always @(*) begin case(ONE_HOT_SELECT) 8'b00000001: _rOneHotOutput = wOneHotInputs[1]; 8'b00000010: _rOneHotOutput = wOneHotInputs[2]; 8'b00000100: _rOneHotOutput = wOneHotInputs[4]; 8'b00001000: _rOneHotOutput = wOneHotInputs[8]; 8'b00010000: _rOneHotOutput = wOneHotInputs[16]; 8'b00100000: _rOneHotOutput = wOneHotInputs[32]; 8'b01000000: _rOneHotOutput = wOneHotInputs[64]; 8'b10000000: _rOneHotOutput = wOneHotInputs[128]; default:_rOneHotOutput = wOneHotInputs[1]; endcase // case (ONE_HOT_SELECT) end end endgenerate endmodule
// ---------------------------------------------------------------------- // Copyright (c) 2016, The Regents of the University of California All // rights reserved. // // Redistribution and use in source and binary forms, with or without // modification, are permitted provided that the following conditions are // met: // // * Redistributions of source code must retain the above copyright // notice, this list of conditions and the following disclaimer. // // * Redistributions in binary form must reproduce the above // copyright notice, this list of conditions and the following // disclaimer in the documentation and/or other materials provided // with the distribution. // // * Neither the name of The Regents of the University of California // nor the names of its contributors may be used to endorse or // promote products derived from this software without specific // prior written permission. // // THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS // "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT // LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR // A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL REGENTS OF THE // UNIVERSITY OF CALIFORNIA BE LIABLE FOR ANY DIRECT, INDIRECT, // INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, // BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS // OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND // ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR // TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE // USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH // DAMAGE. // ---------------------------------------------------------------------- //---------------------------------------------------------------------------- // Filename: txr_engine_ultrascale.v // Version: 1.0 // Verilog Standard: Verilog-2001 // Description: The TXR Engine takes unformatted completions, formats // these packets into AXI-style packets. These packets must meet max-request, // max-payload, and payload termination requirements (see Read Completion // Boundary). The TXR Engine does not check these requirements during operation, // but may do so during simulation. // // This Engine is capable of operating at "line rate". // // Author: Dustin Richmond (@darichmond) //----------------------------------------------------------------------------- `include "trellis.vh" `include "ultrascale.vh" module txr_engine_ultrascale #(parameter C_PCI_DATA_WIDTH = 128, parameter C_PIPELINE_INPUT = 1, parameter C_PIPELINE_OUTPUT = 1, parameter C_DEPTH_PACKETS = 10, parameter C_MAX_PAYLOAD_DWORDS = 256) (// Interface: Clocks input CLK, // Interface: Resets input RST_BUS, // Replacement for generic RST_IN input RST_LOGIC, // Addition for RIFFA_RST output DONE_TXR_RST, // Interface: Configuration input [`SIG_CPLID_W-1:0] CONFIG_COMPLETER_ID, // Interface: RQ input S_AXIS_RQ_TREADY, output S_AXIS_RQ_TVALID, output S_AXIS_RQ_TLAST, output [C_PCI_DATA_WIDTH-1:0] S_AXIS_RQ_TDATA, output [(C_PCI_DATA_WIDTH/32)-1:0] S_AXIS_RQ_TKEEP, output [`SIG_RQ_TUSER_W-1:0] S_AXIS_RQ_TUSER, // Interface: TXR Engine input TXR_DATA_VALID, input [C_PCI_DATA_WIDTH-1:0] TXR_DATA, input TXR_DATA_START_FLAG, input [clog2s(C_PCI_DATA_WIDTH/32)-1:0] TXR_DATA_START_OFFSET, input TXR_DATA_END_FLAG, input [clog2s(C_PCI_DATA_WIDTH/32)-1:0] TXR_DATA_END_OFFSET, output TXR_DATA_READY, input TXR_META_VALID, input [`SIG_FBE_W-1:0] TXR_META_FDWBE, input [`SIG_LBE_W-1:0] TXR_META_LDWBE, input [`SIG_ADDR_W-1:0] TXR_META_ADDR, input [`SIG_LEN_W-1:0] TXR_META_LENGTH, input [`SIG_TAG_W-1:0] TXR_META_TAG, input [`SIG_TC_W-1:0] TXR_META_TC, input [`SIG_ATTR_W-1:0] TXR_META_ATTR, input [`SIG_TYPE_W-1:0] TXR_META_TYPE, input TXR_META_EP, output TXR_META_READY ); localparam C_VENDOR = "XILINX"; localparam C_DATA_WIDTH = C_PCI_DATA_WIDTH; localparam C_MAX_HDR_WIDTH = `UPKT_TXR_MAXHDR_W; localparam C_MAX_HDR_DWORDS = C_MAX_HDR_WIDTH/32; localparam C_MAX_ALIGN_DWORDS = 0; localparam C_MAX_NONPAY_DWORDS = C_MAX_HDR_DWORDS + C_MAX_ALIGN_DWORDS + 1; localparam C_MAX_PACKET_DWORDS = C_MAX_NONPAY_DWORDS + C_MAX_PAYLOAD_DWORDS; localparam C_PIPELINE_FORMATTER_INPUT = C_PIPELINE_INPUT; localparam C_PIPELINE_FORMATTER_OUTPUT = C_PIPELINE_OUTPUT; localparam C_FORMATTER_DELAY = C_PIPELINE_FORMATTER_OUTPUT + C_PIPELINE_FORMATTER_INPUT; localparam C_RST_COUNT = 10; /*AUTOWIRE*/ /*AUTOINPUT*/ ///*AUTOOUTPUT*/ wire wTxHdrReady; wire wTxHdrValid; wire [C_MAX_HDR_WIDTH-1:0] wTxHdr; wire [`SIG_NONPAY_W-1:0] wTxHdrNonpayLen; wire [`SIG_PACKETLEN_W-1:0] wTxHdrPacketLen; wire [`SIG_LEN_W-1:0] wTxHdrPayloadLen; wire wTxHdrNopayload; wire wTxDataReady; wire [C_PCI_DATA_WIDTH-1:0] wTxData; wire [clog2s(C_PCI_DATA_WIDTH/32)-1:0] wTxDataEndOffset; wire wTxDataStartFlag; wire [(C_PCI_DATA_WIDTH/32)-1:0] wTxDataEndFlags; wire [(C_PCI_DATA_WIDTH/32)-1:0] wTxDataWordValid; wire [(C_PCI_DATA_WIDTH/32)-1:0] wTxDataWordReady; wire [C_PCI_DATA_WIDTH-1:0] wTxrPkt; wire wTxrPktEndFlag; wire [clog2s(C_PCI_DATA_WIDTH/32)-1:0] wTxrPktEndOffset; wire wTxrPktStartFlag; wire [clog2s(C_PCI_DATA_WIDTH/32)-1:0] wTxrPktStartOffset; wire wTxrPktValid; wire wTxrPktReady; wire wTransDoneRst; wire wTransRstOut; wire wDoneEngRst; wire wRst; wire [C_RST_COUNT:0] wShiftRegRst; assign DONE_TXR_RST = wTransDoneRst & wDoneEngRst; assign wRst = wShiftRegRst[C_RST_COUNT-3]; assign wDoneEngRst = ~wShiftRegRst[C_RST_COUNT]; shiftreg #(// Parameters .C_DEPTH (C_RST_COUNT), .C_WIDTH (1), .C_VALUE (1) /*AUTOINSTPARAM*/) rst_shiftreg (// Outputs .RD_DATA (wShiftRegRst), // Inputs .RST_IN (RST_BUS), .WR_DATA (wTransRstOut), /*AUTOINST*/ // Inputs .CLK (CLK)); txr_formatter_ultrascale #(.C_PIPELINE_OUTPUT (C_PIPELINE_FORMATTER_OUTPUT), .C_PIPELINE_INPUT (C_PIPELINE_FORMATTER_INPUT), /*AUTOINSTPARAM*/ // Parameters .C_PCI_DATA_WIDTH (C_PCI_DATA_WIDTH), .C_MAX_HDR_WIDTH (C_MAX_HDR_WIDTH), .C_MAX_NONPAY_DWORDS (C_MAX_NONPAY_DWORDS), .C_MAX_PACKET_DWORDS (C_MAX_PACKET_DWORDS)) txr_formatter_inst (// Outputs .TX_HDR_VALID (wTxHdrValid), .TX_HDR (wTxHdr[C_MAX_HDR_WIDTH-1:0]), .TX_HDR_NOPAYLOAD (wTxHdrNopayload), .TX_HDR_PAYLOAD_LEN (wTxHdrPayloadLen[`SIG_LEN_W-1:0]), .TX_HDR_NONPAY_LEN (wTxHdrNonpayLen[`SIG_NONPAY_W-1:0]), .TX_HDR_PACKET_LEN (wTxHdrPacketLen[`SIG_PACKETLEN_W-1:0]), // Inputs .TX_HDR_READY (wTxHdrReady), .RST_IN (wRst), /*AUTOINST*/ // Outputs .TXR_META_READY (TXR_META_READY), // Inputs .CLK (CLK), .CONFIG_COMPLETER_ID (CONFIG_COMPLETER_ID[`SIG_CPLID_W-1:0]), .TXR_META_VALID (TXR_META_VALID), .TXR_META_FDWBE (TXR_META_FDWBE[`SIG_FBE_W-1:0]), .TXR_META_LDWBE (TXR_META_LDWBE[`SIG_LBE_W-1:0]), .TXR_META_ADDR (TXR_META_ADDR[`SIG_ADDR_W-1:0]), .TXR_META_LENGTH (TXR_META_LENGTH[`SIG_LEN_W-1:0]), .TXR_META_TAG (TXR_META_TAG[`SIG_TAG_W-1:0]), .TXR_META_TC (TXR_META_TC[`SIG_TC_W-1:0]), .TXR_META_ATTR (TXR_META_ATTR[`SIG_ATTR_W-1:0]), .TXR_META_TYPE (TXR_META_TYPE[`SIG_TYPE_W-1:0]), .TXR_META_EP (TXR_META_EP)); tx_engine #(.C_DATA_WIDTH (C_PCI_DATA_WIDTH), /*AUTOINSTPARAM*/ // Parameters .C_DEPTH_PACKETS (C_DEPTH_PACKETS), .C_PIPELINE_INPUT (C_PIPELINE_INPUT), .C_PIPELINE_OUTPUT (C_PIPELINE_OUTPUT), .C_FORMATTER_DELAY (C_FORMATTER_DELAY), .C_MAX_HDR_WIDTH (C_MAX_HDR_WIDTH), .C_MAX_PAYLOAD_DWORDS (C_MAX_PAYLOAD_DWORDS), .C_VENDOR (C_VENDOR)) txr_engine_inst (// Outputs .TX_HDR_READY (wTxHdrReady), .TX_DATA_READY (TXR_DATA_READY), .TX_PKT (wTxrPkt[C_DATA_WIDTH-1:0]), .TX_PKT_START_FLAG (wTxrPktStartFlag), .TX_PKT_START_OFFSET (wTxrPktStartOffset[clog2s(C_DATA_WIDTH/32)-1:0]), .TX_PKT_END_FLAG (wTxrPktEndFlag), .TX_PKT_END_OFFSET (wTxrPktEndOffset[clog2s(C_DATA_WIDTH/32)-1:0]), .TX_PKT_VALID (wTxrPktValid), // Inputs .TX_HDR_VALID (wTxHdrValid), .TX_HDR (wTxHdr[C_MAX_HDR_WIDTH-1:0]), .TX_HDR_NOPAYLOAD (wTxHdrNopayload), .TX_HDR_PAYLOAD_LEN (wTxHdrPayloadLen[`SIG_LEN_W-1:0]), .TX_HDR_NONPAY_LEN (wTxHdrNonpayLen[`SIG_NONPAY_W-1:0]), .TX_HDR_PACKET_LEN (wTxHdrPacketLen[`SIG_PACKETLEN_W-1:0]), .TX_DATA_VALID (TXR_DATA_VALID), .TX_DATA (TXR_DATA[C_DATA_WIDTH-1:0]), .TX_DATA_START_FLAG (TXR_DATA_START_FLAG), .TX_DATA_START_OFFSET (TXR_DATA_START_OFFSET[clog2s(C_DATA_WIDTH/32)-1:0]), .TX_DATA_END_FLAG (TXR_DATA_END_FLAG), .TX_DATA_END_OFFSET (TXR_DATA_END_OFFSET[clog2s(C_DATA_WIDTH/32)-1:0]), .TX_PKT_READY (wTxrPktReady), .RST_IN (wRst),// TODO: /*AUTOINST*/ // Inputs .CLK (CLK)); txr_translation_layer #(/*AUTOINSTPARAM*/ // Parameters .C_PCI_DATA_WIDTH (C_PCI_DATA_WIDTH), .C_PIPELINE_INPUT (C_PIPELINE_INPUT), .C_RST_COUNT (C_RST_COUNT)) txr_trans_inst (// Outputs .TXR_PKT_READY (wTxrPktReady), .DONE_RST (wTransDoneRst), .RST_OUT (wTransRstOut), // Inputs .TXR_PKT (wTxrPkt), .TXR_PKT_VALID (wTxrPktValid), .TXR_PKT_START_FLAG (wTxrPktStartFlag), .TXR_PKT_START_OFFSET (wTxrPktStartOffset), .TXR_PKT_END_FLAG (wTxrPktEndFlag), .TXR_PKT_END_OFFSET (wTxrPktEndOffset), /*AUTOINST*/ // Outputs .S_AXIS_RQ_TVALID (S_AXIS_RQ_TVALID), .S_AXIS_RQ_TLAST (S_AXIS_RQ_TLAST), .S_AXIS_RQ_TDATA (S_AXIS_RQ_TDATA[C_PCI_DATA_WIDTH-1:0]), .S_AXIS_RQ_TKEEP (S_AXIS_RQ_TKEEP[(C_PCI_DATA_WIDTH/32)-1:0]), .S_AXIS_RQ_TUSER (S_AXIS_RQ_TUSER[`SIG_RQ_TUSER_W-1:0]), // Inputs .CLK (CLK), .RST_BUS (RST_BUS), .RST_LOGIC (RST_LOGIC), .S_AXIS_RQ_TREADY (S_AXIS_RQ_TREADY)); endmodule // txr_engine_ultrascale module txr_formatter_ultrascale #( parameter C_PCI_DATA_WIDTH = 128, parameter C_PIPELINE_INPUT = 1, parameter C_PIPELINE_OUTPUT = 1, parameter C_MAX_HDR_WIDTH = `UPKT_TXR_MAXHDR_W, parameter C_MAX_NONPAY_DWORDS = 5, parameter C_MAX_PACKET_DWORDS = 10 ) ( // Interface: Clocks input CLK, // Interface: Resets input RST_IN, // Interface: Configuration input [`SIG_CPLID_W-1:0] CONFIG_COMPLETER_ID, // Interface: TXR input TXR_META_VALID, input [`SIG_FBE_W-1:0] TXR_META_FDWBE, input [`SIG_LBE_W-1:0] TXR_META_LDWBE, input [`SIG_ADDR_W-1:0] TXR_META_ADDR, input [`SIG_LEN_W-1:0] TXR_META_LENGTH, input [`SIG_TAG_W-1:0] TXR_META_TAG, input [`SIG_TC_W-1:0] TXR_META_TC, input [`SIG_ATTR_W-1:0] TXR_META_ATTR, input [`SIG_TYPE_W-1:0] TXR_META_TYPE, input TXR_META_EP, output TXR_META_READY, // Interface: TX HDR output TX_HDR_VALID, output [C_MAX_HDR_WIDTH-1:0] TX_HDR, output [`SIG_LEN_W-1:0] TX_HDR_PAYLOAD_LEN, output [`SIG_NONPAY_W-1:0] TX_HDR_NONPAY_LEN, output [`SIG_PACKETLEN_W-1:0] TX_HDR_PACKET_LEN, output TX_HDR_NOPAYLOAD, input TX_HDR_READY ); wire wHdrNoPayload; wire [`UPKT_TXR_MAXHDR_W-1:0] wHdr; wire wTxHdrReady; wire wTxHdrValid; wire [`UPKT_TXR_MAXHDR_W-1:0] wTxHdr; wire [`SIG_NONPAY_W-1:0] wTxHdrNonpayLen; wire [`SIG_PACKETLEN_W-1:0] wTxHdrPacketLen; wire [`SIG_LEN_W-1:0] wTxHdrPayloadLen; wire wTxHdrNopayload; wire [`SIG_TYPE_W-1:0] wTxHdrType; // Generic Header Fields assign wHdr[`UPKT_TXR_ATYPE_R] = `UPKT_TXR_ATYPE_W'd0; assign wHdr[`UPKT_TXR_ADDR_R] = TXR_META_ADDR[63:2]; assign wHdr[`UPKT_TXR_LENGTH_R] = {1'b0,TXR_META_LENGTH}; assign wHdr[`UPKT_TXR_EP_R] = TXR_META_EP; `ifdef BE_HACK assign wHdr[`UPKT_TXR_FBE_R] = TXR_META_FDWBE; assign wHdr[`UPKT_TXR_LBE_R] = TXR_META_LDWBE; assign wHdr[`UPKT_TXR_RSVD0_R] = 0; `else assign wHdr[`UPKT_TXR_REQID_R] = CONFIG_COMPLETER_ID; `endif //assign wHdr[`UPKT_TXR_REQID_R] = `UPKT_TXR_REQID_W'd0; assign wHdr[`UPKT_TXR_TAG_R] = TXR_META_TAG; assign wHdr[`UPKT_TXR_CPLID_R] = `UPKT_TXR_CPLID_W'd0; assign wHdr[`UPKT_TXR_REQIDEN_R] = 0; assign wHdr[`UPKT_TXR_TC_R] = TXR_META_TC; assign wHdr[`UPKT_TXR_ATTR_R] = TXR_META_ATTR; assign wHdr[`UPKT_TXR_TD_R] = `UPKT_TXR_TD_W'd0; assign wTxHdr[`UPKT_TXR_TYPE_R] = trellis_to_upkt_type(wTxHdrType); assign wTxHdrNopayload = ~wTxHdrType[`TRLS_TYPE_PAY_I]; assign wTxHdrNonpayLen = 4; assign wTxHdrPayloadLen = wTxHdrNopayload ? 0 : wTxHdr[`UPKT_TXR_LENGTH_R]; assign wTxHdrPacketLen = wTxHdrNonpayLen + wTxHdrPayloadLen; pipeline #( // Parameters .C_DEPTH (C_PIPELINE_INPUT?1:0), .C_WIDTH (`UPKT_TXR_MAXHDR_W-1), .C_USE_MEMORY (0) /*AUTOINSTPARAM*/) input_inst ( // Outputs .WR_DATA_READY (TXR_META_READY), .RD_DATA ({wTxHdr[`UPKT_TXR_MAXHDR_W-1:(`UPKT_TXR_TYPE_I + `UPKT_TXR_TYPE_W)], wTxHdr[`UPKT_TXR_TYPE_I-1:0], wTxHdrType}), .RD_DATA_VALID (wTxHdrValid), // Inputs .WR_DATA ({wHdr[`UPKT_TXR_MAXHDR_W-1:(`UPKT_TXR_TYPE_I + `UPKT_TXR_TYPE_W)], wHdr[`UPKT_TXR_TYPE_I-1:0], TXR_META_TYPE}), .WR_DATA_VALID (TXR_META_VALID), .RD_DATA_READY (wTxHdrReady), /*AUTOINST*/ // Inputs .CLK (CLK), .RST_IN (RST_IN)); pipeline #( // Parameters .C_DEPTH (C_PIPELINE_OUTPUT?1:0), .C_WIDTH (`UPKT_TXR_MAXHDR_W + 1 + `SIG_PACKETLEN_W + `SIG_LEN_W + `SIG_NONPAY_W), .C_USE_MEMORY (0) /*AUTOINSTPARAM*/) output_inst ( // Outputs .WR_DATA_READY (wTxHdrReady), .RD_DATA ({TX_HDR,TX_HDR_NOPAYLOAD,TX_HDR_PACKET_LEN,TX_HDR_PAYLOAD_LEN,TX_HDR_NONPAY_LEN}), .RD_DATA_VALID (TX_HDR_VALID), // Inputs .WR_DATA ({wTxHdr,wTxHdrNopayload,wTxHdrPacketLen,wTxHdrPayloadLen,wTxHdrNonpayLen}), .WR_DATA_VALID (wTxHdrValid), .RD_DATA_READY (TX_HDR_READY), /*AUTOINST*/ // Inputs .CLK (CLK), .RST_IN (RST_IN)); endmodule module txr_translation_layer #(parameter C_PCI_DATA_WIDTH = 10'd128, parameter C_PIPELINE_INPUT = 1, parameter C_RST_COUNT = 1) (// Interface: Clocks input CLK, // Interface: Resets input RST_BUS, // Replacement for generic RST_IN input RST_LOGIC, // Addition for RIFFA_RST output RST_OUT, output DONE_RST, // Interface: TXR Classic output TXR_PKT_READY, input [C_PCI_DATA_WIDTH-1:0] TXR_PKT, input TXR_PKT_VALID, input TXR_PKT_START_FLAG, input [clog2s(C_PCI_DATA_WIDTH/32)-1:0] TXR_PKT_START_OFFSET, input TXR_PKT_END_FLAG, input [clog2s(C_PCI_DATA_WIDTH/32)-1:0] TXR_PKT_END_OFFSET, // Interface: RQ input S_AXIS_RQ_TREADY, output S_AXIS_RQ_TVALID, output S_AXIS_RQ_TLAST, output [C_PCI_DATA_WIDTH-1:0] S_AXIS_RQ_TDATA, output [(C_PCI_DATA_WIDTH/32)-1:0] S_AXIS_RQ_TKEEP, output [`SIG_RQ_TUSER_W-1:0] S_AXIS_RQ_TUSER); localparam C_INPUT_STAGES = C_PIPELINE_INPUT != 0? 1:0; localparam C_OUTPUT_STAGES = 1; wire wTxrPktReady; wire [C_PCI_DATA_WIDTH-1:0] wTxrPkt; wire wTxrPktValid; wire wTxrPktStartFlag; wire [clog2s(C_PCI_DATA_WIDTH/32)-1:0] wTxrPktStartOffset; wire wTxrPktEndFlag; wire [clog2s(C_PCI_DATA_WIDTH/32)-1:0] wTxrPktEndOffset; wire wSAxisRqTReady; wire wSAxisRqTValid; wire wSAxisRqTLast; wire [C_PCI_DATA_WIDTH-1:0] wSAxisRqTData; wire [(C_PCI_DATA_WIDTH/32)-1:0] wSAxisRqTKeep; wire [`SIG_RQ_TUSER_W-1:0] wSAxisRqTUser; wire _wSAxisRqTReady; wire _wSAxisRqTValid; wire _wSAxisRqTLast; wire [C_PCI_DATA_WIDTH-1:0] _wSAxisRqTData; wire [(C_PCI_DATA_WIDTH/32)-1:0] _wSAxisRqTKeep; wire wRst; wire wRstWaiting; /*ASSIGN TXR -> RQ*/ assign wTxrPktReady = _wSAxisRqTReady; assign _wSAxisRqTValid = wTxrPktValid; assign _wSAxisRqTLast = wTxrPktEndFlag; assign _wSAxisRqTData = wTxrPkt; // BE Hack assign wSAxisRqTUser[3:0] = wTxrPkt[(`UPKT_TXR_FBE_I % C_PCI_DATA_WIDTH) +: `UPKT_TXR_FBE_W]; assign wSAxisRqTUser[7:4] = wTxrPkt[(`UPKT_TXR_LBE_I % C_PCI_DATA_WIDTH) +: `UPKT_TXR_LBE_W]; assign wSAxisRqTUser[`SIG_RQ_TUSER_W-1:8] = 0; assign RST_OUT = wRst; // This reset controller assumes there is always an output stage reset_controller #(/*AUTOINSTPARAM*/ // Parameters .C_RST_COUNT (C_RST_COUNT)) rc (// Outputs .RST_OUT (wRst), .WAITING_RESET (wRstWaiting), // Inputs .RST_IN (RST_BUS), .SIGNAL_RST (RST_LOGIC), .WAIT_RST (S_AXIS_RQ_TVALID), .NEXT_CYC_RST (S_AXIS_RQ_TREADY & S_AXIS_RQ_TLAST), /*AUTOINST*/ // Outputs .DONE_RST (DONE_RST), // Inputs .CLK (CLK)); pipeline #(// Parameters .C_DEPTH (C_INPUT_STAGES), .C_WIDTH (C_PCI_DATA_WIDTH + 2*(1+clog2s(C_PCI_DATA_WIDTH/32))), .C_USE_MEMORY (0) /*AUTOINSTPARAM*/) input_inst ( // Outputs .WR_DATA_READY (TXR_PKT_READY), .RD_DATA ({wTxrPkt,wTxrPktStartFlag,wTxrPktStartOffset,wTxrPktEndFlag,wTxrPktEndOffset}), .RD_DATA_VALID (wTxrPktValid), // Inputs .WR_DATA ({TXR_PKT,TXR_PKT_START_FLAG,TXR_PKT_START_OFFSET, TXR_PKT_END_FLAG,TXR_PKT_END_OFFSET}), .WR_DATA_VALID (TXR_PKT_VALID), .RD_DATA_READY (wTxrPktReady), .RST_IN (wRst), /*AUTOINST*/ // Inputs .CLK (CLK)); offset_to_mask #( // Parameters .C_MASK_SWAP (0), .C_MASK_WIDTH (C_PCI_DATA_WIDTH/32) /*AUTOINSTPARAM*/) otom_inst ( // Outputs .MASK (_wSAxisRqTKeep), // Inputs .OFFSET_ENABLE (wTxrPktEndFlag), .OFFSET (wTxrPktEndOffset) /*AUTOINST*/); pipeline #( // Parameters .C_DEPTH (64/C_PCI_DATA_WIDTH), .C_WIDTH (C_PCI_DATA_WIDTH + 1 + (C_PCI_DATA_WIDTH/32)), .C_USE_MEMORY (0) /*AUTOINSTPARAM*/) fbe_hack_inst ( // Outputs .WR_DATA_READY (_wSAxisRqTReady), .RD_DATA ({wSAxisRqTData,wSAxisRqTLast,wSAxisRqTKeep}), .RD_DATA_VALID (wSAxisRqTValid), // Inputs .WR_DATA ({_wSAxisRqTData,_wSAxisRqTLast,_wSAxisRqTKeep}), .WR_DATA_VALID (_wSAxisRqTValid), .RD_DATA_READY (wSAxisRqTReady), .RST_IN (wRst), /*AUTOINST*/ // Inputs .CLK (CLK)); pipeline #( // Parameters .C_DEPTH (C_OUTPUT_STAGES), .C_WIDTH (C_PCI_DATA_WIDTH + 1 + (C_PCI_DATA_WIDTH/32) + `SIG_RQ_TUSER_W), .C_USE_MEMORY (0) /*AUTOINSTPARAM*/) output_inst ( // Outputs .WR_DATA_READY (wSAxisRqTReady), .RD_DATA ({S_AXIS_RQ_TDATA,S_AXIS_RQ_TLAST,S_AXIS_RQ_TKEEP,S_AXIS_RQ_TUSER}), .RD_DATA_VALID (S_AXIS_RQ_TVALID), // Inputs .WR_DATA ({wSAxisRqTData,wSAxisRqTLast,wSAxisRqTKeep,wSAxisRqTUser}), .WR_DATA_VALID (wSAxisRqTValid & ~wRstWaiting), .RD_DATA_READY (S_AXIS_RQ_TREADY), .RST_IN (wRst), /*AUTOINST*/ // Inputs .CLK (CLK)); endmodule // Local Variables: // verilog-library-directories:("." "../../../common/" "../../common/") // End:
// ---------------------------------------------------------------------- // Copyright (c) 2016, The Regents of the University of California All // rights reserved. // // Redistribution and use in source and binary forms, with or without // modification, are permitted provided that the following conditions are // met: // // * Redistributions of source code must retain the above copyright // notice, this list of conditions and the following disclaimer. // // * Redistributions in binary form must reproduce the above // copyright notice, this list of conditions and the following // disclaimer in the documentation and/or other materials provided // with the distribution. // // * Neither the name of The Regents of the University of California // nor the names of its contributors may be used to endorse or // promote products derived from this software without specific // prior written permission. // // THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS // "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT // LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR // A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL REGENTS OF THE // UNIVERSITY OF CALIFORNIA BE LIABLE FOR ANY DIRECT, INDIRECT, // INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, // BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS // OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND // ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR // TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE // USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH // DAMAGE. // ---------------------------------------------------------------------- //---------------------------------------------------------------------------- // Filename: tx_alignment_pipeline // Version: 1.0 // Verilog Standard: Verilog-2001 // // Description: The TX alignment pipeline takes a formatted header and data and // "aligns" them to create a formatted PKT. The aligner is used in both the TXC // and TXR engines. // // The data interface (TX_DATA) is an interface for N 32-bit FIFOs, where N = // (C_DATA_WIDTH/32). The START_FLAG signal indicates that the first dword of // a packet is in FIFO 0 (TX_DATA[31:0]). Each FIFO interface also contains an // END_FLAG signal in the END_FLAGS bus. When a bit in END_FLAGS bus is asserted, // its corresponding fifo contains the last dword of data for the current // packet. START_FLAG, END_FLAG and DATA are all qualified by the VALID signal, // and read by the READY signal. // // The header interface (TX_HDR) presents the entire header in a single cycle on a // fifo-like read-interface. The interface also contains the metadata signals // NOPAYLOAD, ABLANKS, and LEN. NOPAYLOAD indicates that the header is not // associated with a payload. ABLANKS indicates how many blanks are inserted // between header and payload for address alignment. LEN indicates the length of // the header (in DWORDS). The previous two signals determine the multiplexer // schedule. // // The aligner is built around N alignment muxes that chose between header and // data. The aligner uses a multiplexer ROM-based schedule to determine the // outputs of the alignment muxes. This schedule is listed in the schedules.vh // include file. It initializes the wSchedule ROM. The ROM is indexed by the // concatenation of ABLANKS, (Header) LEN, and a saturating counter. The // saturating counter stops when the selection bits for all multiplexers reach a // steady state. // // See schedules.vh for more information regarding the wSchedule and wTxMuxInputs // arrays. // // Plans: // - At some point in the future, wSchedule and wTxMuxInputs should be set by // initialization functions to improve extensibility and reusability, but it may // decrease readability. // // - (with above) Right now the alignment pipeline works for devices that have 3 // or 4 header dwords and insert a maximum of one alignment blank. To extend // this, wScheduleSelect, and C_MAX_SCHEDULE_LENGTH need to be // changed. wSchedule select needs to incorporate additional information bits // (including the minimum header length). and C_MAX_SCHEDULE_LENGTH must be // calculated using a function (see previous note) // // Author: Dustin Richmond (@darichmond) //---------------------------------------------------------------------------- `timescale 1ns/1ns `include "trellis.vh" // Defines the user-facing signal widths. module tx_alignment_pipeline #(parameter C_PIPELINE_OUTPUT = 1, parameter C_PIPELINE_DATA_INPUT = 1, parameter C_PIPELINE_HDR_INPUT = 1, parameter C_USE_COMPUTE_REG = 1, parameter C_USE_READY_REG = 1, parameter C_DATA_WIDTH = 128, parameter C_MAX_HDR_WIDTH = 128, parameter C_VENDOR = "ALTERA") (// Interface: Clocks input CLK, // Interface: Reset input RST_IN, // Interface: TX DATA FIFOS input [(C_DATA_WIDTH/32)-1:0] TX_DATA_WORD_VALID, input [C_DATA_WIDTH-1:0] TX_DATA, input TX_DATA_START_FLAG, input TX_DATA_PACKET_VALID, input [(C_DATA_WIDTH/32)-1:0] TX_DATA_END_FLAGS, output [(C_DATA_WIDTH/32)-1:0] TX_DATA_WORD_READY, // Interface: TX HDR input TX_HDR_VALID, input [C_MAX_HDR_WIDTH-1:0] TX_HDR, input [`SIG_LEN_W-1:0] TX_HDR_PAYLOAD_LEN, input [`SIG_NONPAY_W-1:0] TX_HDR_NONPAY_LEN, input [`SIG_PACKETLEN_W-1:0] TX_HDR_PACKET_LEN, input TX_HDR_NOPAYLOAD, output TX_HDR_READY, // TX Interface (Unified) input TX_PKT_READY, output [C_DATA_WIDTH-1:0] TX_PKT, output TX_PKT_START_FLAG, output [clog2s(C_DATA_WIDTH/32)-1:0] TX_PKT_START_OFFSET, output TX_PKT_END_FLAG, output [clog2s(C_DATA_WIDTH/32)-1:0] TX_PKT_END_OFFSET, output TX_PKT_VALID); localparam C_OFFSET_WIDTH = clog2s(C_DATA_WIDTH/32); localparam C_AGGREGATE_WIDTH = (C_DATA_WIDTH+C_MAX_HDR_WIDTH); localparam C_MASK_WIDTH = (C_DATA_WIDTH/32); localparam C_NUM_MUXES = (C_DATA_WIDTH/32); localparam C_MUX_INPUTS = (C_DATA_WIDTH == 32)?5:4; localparam C_CLOG_MUX_INPUTS = clog2s(C_MUX_INPUTS); localparam C_MAX_SCHEDULE = (C_DATA_WIDTH == 256)? 2 : (C_DATA_WIDTH == 128)? 3: (C_DATA_WIDTH == 64)? 4: (C_DATA_WIDTH == 32)? 6 : 0; localparam C_CLOG_MAX_SCHEDULE = clog2s(C_MAX_SCHEDULE); genvar i; // Wires from the data interface input registers wire [(C_DATA_WIDTH/32)-1:0] wTxDataWordValid; wire wTxDataPacketValid; wire [(C_DATA_WIDTH/32)-1:0] wTxDataWordReady; wire [C_DATA_WIDTH-1:0] wTxData; wire wTxDataStartFlag; wire [(C_DATA_WIDTH/32)-1:0] wTxDataEndFlags; wire [(C_DATA_WIDTH/32)-1:0] wTxDataPacketWordValid; wire [clog2s(C_DATA_WIDTH/32)-1:0] wTxDataEndOffset; // Wires from the header interface input register wire wTxHdrReady,_wTxHdrReady,__wTxHdrReady; wire [C_MAX_HDR_WIDTH -1:0] wTxHdr,_wTxHdr,__wTxHdr; wire wTxHdrValid,_wTxHdrValid,__wTxHdrValid; wire wTxHdrNoPayload,_wTxHdrNoPayload,__wTxHdrNoPayload; wire [`SIG_LEN_W-1:0] wTxHdrPayloadLen,_wTxHdrPayloadLen,__wTxHdrPayloadLen; wire [`SIG_NONPAY_W-1:0] wTxHdrNonpayLen,_wTxHdrNonpayLen,__wTxHdrNonpayLen; wire [`SIG_PACKETLEN_W-1:0] wTxHdrPacketLen,_wTxHdrPacketLen,__wTxHdrPacketLen; wire [`SIG_PACKETLEN_W:0] __wTxHdrPacketLenMinus1; wire [C_MUX_INPUTS-1:0] __wTxHdrPacketMask; wire [C_MUX_INPUTS-1:0] __wTxHdrLenMask; // wSchedule is the array containing all of the schedules for each mux and ready signal // wSchedule is indexed by the concatenation {Insert Blanks, Header Length, Saturating Counter} wire [C_CLOG_MUX_INPUTS-1:0] wSchedule[C_NUM_MUXES-1:0][(1<<(3+C_CLOG_MAX_SCHEDULE))-1:0]; // Create an array of mux selects, and a bus of ready signals. The ready // signals are indicate when a dword is being read from the input fifo and // are statically determined in the schedules.vh file wire [(3+C_CLOG_MAX_SCHEDULE)-1:0] wScheduleSelect; wire [C_NUM_MUXES-1:0] __wTxHdrStartEndReady,_wTxHdrStartEndReady; wire [C_NUM_MUXES-1:0] __wTxHdrStartReady,_wTxHdrStartReady; wire [C_NUM_MUXES-1:0] __wTxHdrEndReady,_wTxHdrEndReady; wire [C_NUM_MUXES-1:0] __wTxHdrSteadyStateReady,_wTxHdrSteadyStateReady; wire [1:0] wReadyMuxSelect; wire [C_NUM_MUXES-1:0] wReadyMux[3:0]; // Aggreate the header and the current data inputs into an array. wire [31:0] wAggregate[C_AGGREGATE_WIDTH/32-1:0]; wire [32*C_MUX_INPUTS-1:0] wTxMuxInputs[C_NUM_MUXES-1:0]; wire [(C_CLOG_MUX_INPUTS*C_NUM_MUXES)-1:0] wTxMuxSelect,_wTxMuxSelect; wire [C_NUM_MUXES-1:0] wTxMuxSelectDataReady,_wTxMuxSelectDataReady; wire [C_NUM_MUXES-1:0] wTxMuxSelectDataReadyAndPayload,_wTxMuxSelectDataReadyAndPayload; wire wTxMuxSelectDataEndFlag,_wTxMuxSelectDataEndFlag; wire wTxMuxSelectDataStartFlag,_wTxMuxSelectDataStartFlag; wire wTxMuxSelectPktStartFlag,_wTxMuxSelectPktStartFlag; wire wTxMuxSelectReady,_wTxMuxSelectReady; wire wTxMuxSelectValid,_wTxMuxSelectValid; // Wires from the output of the muxes to the input of the output register stage // wTxPktReady is asserted when a packet is complete wire wTxPktReady; wire wTxPktValid; wire [C_DATA_WIDTH-1:0] wTxPkt; wire wTxPktStartFlag; wire wTxPktEndFlag; wire [C_OFFSET_WIDTH:0] wTxPktEndOffset; // An additional bit for addition overflow // Saturating Counter Wires wire wSatCtrEnable; wire wSatCtrReset; wire [C_CLOG_MAX_SCHEDULE-1:0] wSatCtr; // Packet Cycle Counter Wires wire wPktCtrEnable; wire wPktCtrReset; wire [`SIG_PACKETLEN_W-1:0] wPktCtr; wire wCounterReset; `include "schedules.vh" // Assignments for the Input Register Stage assign __wTxHdrPacketLenMinus1 = __wTxHdrPacketLen - 1; assign __wTxHdrSteadyStateReady = {C_NUM_MUXES{1'b1}}; assign __wTxHdrStartReady = {C_NUM_MUXES{1'b1}} >> __wTxHdrNonpayLen[C_OFFSET_WIDTH-1:0]; //assign __wTxHdrEndReady = __wTxHdrPacketMask ROTATE-RIGHT __wTxHdrNonpayLen[C_OFFSET_WIDTH-1:0]; assign __wTxHdrStartEndReady = __wTxHdrLenMask; // Assignments for the computation stage // Counter logic assign wCounterReset = _wTxMuxSelectDataEndFlag & _wTxMuxSelectReady; assign wSatCtrReset = RST_IN | wCounterReset; assign wSatCtrEnable = _wTxMuxSelectReady & _wTxMuxSelectValid; assign wPktCtrReset = RST_IN | wCounterReset; assign wPktCtrEnable = _wTxMuxSelectReady & _wTxMuxSelectValid; assign wScheduleSelect = {_wTxHdrNonpayLen[2:0],wSatCtr[C_CLOG_MAX_SCHEDULE-1:0]}; // Ready Mux Logic assign wReadyMuxSelect[0] = _wTxMuxSelectDataStartFlag; assign wReadyMuxSelect[1] = _wTxMuxSelectDataEndFlag; assign wReadyMux[0] = _wTxHdrSteadyStateReady; assign wReadyMux[1] = _wTxHdrStartReady; assign wReadyMux[2] = _wTxHdrEndReady; assign wReadyMux[3] = _wTxHdrStartEndReady; assign _wTxMuxSelectValid = _wTxHdrValid; assign _wTxMuxSelectDataReady = wReadyMux[wReadyMuxSelect] & {C_NUM_MUXES{(wPktCtr >= _wTxHdrNonpayLen[`SIG_NONPAY_W-1:clog2s(C_NUM_MUXES)])}}; assign _wTxMuxSelectDataReadyAndPayload = wReadyMux[wReadyMuxSelect] & {C_NUM_MUXES{(wPktCtr >= _wTxHdrNonpayLen[`SIG_NONPAY_W-1:clog2s(C_NUM_MUXES)])}} & {C_NUM_MUXES{~_wTxHdrNoPayload}} & {C_NUM_MUXES{_wTxHdrValid}}; assign _wTxMuxSelectPktStartFlag = wPktCtr == 0; assign _wTxMuxSelectDataStartFlag = wPktCtr == _wTxHdrNonpayLen[`SIG_NONPAY_W-1:clog2s(C_NUM_MUXES)]; assign _wTxMuxSelectDataEndFlag = ({wPktCtr,{clog2s(C_NUM_MUXES){1'b0}}} + C_NUM_MUXES) >= _wTxHdrPacketLen;// TODO: Simplify // Assignments for the ready stage assign wTxHdrReady = (wTxMuxSelectDataEndFlag & wTxMuxSelectValid & wTxMuxSelectReady) | ~wTxMuxSelectValid; assign wTxMuxSelectReady = (wTxPktReady & wTxHdrNoPayload) | (wTxPktReady & wTxDataPacketValid) | (~wTxMuxSelectValid); assign wTxPktStartFlag = wTxMuxSelectPktStartFlag; assign wTxPktEndFlag = wTxMuxSelectDataEndFlag; assign wTxPktEndOffset = wTxHdrPacketLen[C_OFFSET_WIDTH-1:0]-1; // TODO: Retime -1? assign wTxPktValid = wTxMuxSelectValid & (wTxHdrNoPayload | (~wTxHdrNoPayload & wTxDataPacketValid)); // assign wTxDataWordReady = wTxMuxSelectDataReady & {C_NUM_MUXES{wTxPktReady & wTxMuxSelectValid & wTxDataPacketValid}}; assign wTxDataWordReady = wTxMuxSelectDataReadyAndPayload & {C_NUM_MUXES{wTxPktReady & wTxDataPacketValid}}; // TODO: Change this to bit-wise AND of wTxDataPacketValid // Assignments for the output stage assign TX_PKT_START_OFFSET = {C_OFFSET_WIDTH{1'b0}}; assign wTxDataPacketValid = wTxDataPacketWordValid != 0; /*See comment block at start of module*/ generate for(i = 0 ; i < C_NUM_MUXES ; i = i + 1) begin : muxes assign _wTxMuxSelect[i*C_CLOG_MUX_INPUTS +: C_CLOG_MUX_INPUTS] = wSchedule[i][wScheduleSelect]; end endgenerate offset_to_mask #(// Parameters .C_MASK_SWAP (0), .C_MASK_WIDTH (C_NUM_MUXES) /*AUTOINSTPARAM*/) packet_mask ( // Outputs .MASK (__wTxHdrPacketMask), // Inputs .OFFSET_ENABLE (1), .OFFSET (__wTxHdrPacketLenMinus1[clog2s(C_NUM_MUXES)-1:0]) /*AUTOINST*/); offset_to_mask #(// Parameters .C_MASK_SWAP (0), .C_MASK_WIDTH (C_NUM_MUXES) /*AUTOINSTPARAM*/) len_mask (// Outputs .MASK (__wTxHdrLenMask), // Inputs .OFFSET_ENABLE (1), .OFFSET (__wTxHdrPayloadLen[clog2s(C_NUM_MUXES)-1:0]-1) /*AUTOINST*/); rotate #(// Parameters .C_DIRECTION ("RIGHT"), .C_WIDTH (C_NUM_MUXES) /*AUTOINSTPARAM*/) rot_inst (// Outputs .RD_DATA (__wTxHdrEndReady), // Inputs .WR_DATA (__wTxHdrPacketMask), .WR_SHIFTAMT (__wTxHdrNonpayLen[C_OFFSET_WIDTH-1:0]) /*AUTOINST*/); pipeline #(// Parameters .C_DEPTH (C_PIPELINE_HDR_INPUT?1:0), .C_WIDTH (C_MAX_HDR_WIDTH + `SIG_NONPAY_W + `SIG_PACKETLEN_W + `SIG_LEN_W + 1), .C_USE_MEMORY (0) /*AUTOINSTPARAM*/) hdr_input_reg (// Outputs .WR_DATA_READY (TX_HDR_READY), .RD_DATA ({__wTxHdr,__wTxHdrNonpayLen,__wTxHdrPacketLen,__wTxHdrPayloadLen,__wTxHdrNoPayload}), .RD_DATA_VALID (__wTxHdrValid), // Inputs .WR_DATA ({TX_HDR,TX_HDR_NONPAY_LEN,TX_HDR_PACKET_LEN,TX_HDR_PAYLOAD_LEN,TX_HDR_NOPAYLOAD}), .WR_DATA_VALID (TX_HDR_VALID), .RD_DATA_READY (__wTxHdrReady), /*AUTOINST*/ // Inputs .CLK (CLK), .RST_IN (RST_IN)); pipeline #(// Parameters .C_DEPTH (C_USE_COMPUTE_REG?1:0), .C_WIDTH (C_MAX_HDR_WIDTH + `SIG_NONPAY_W + `SIG_PACKETLEN_W + `SIG_LEN_W + 1 + 4*C_MASK_WIDTH), .C_USE_MEMORY (0) /*AUTOINSTPARAM*/) compute_reg (// Outputs .WR_DATA_READY (__wTxHdrReady), .RD_DATA ({_wTxHdr,_wTxHdrNonpayLen,_wTxHdrPacketLen,_wTxHdrPayloadLen,_wTxHdrNoPayload, _wTxHdrSteadyStateReady,_wTxHdrStartReady,_wTxHdrEndReady,_wTxHdrStartEndReady}), .RD_DATA_VALID (_wTxHdrValid), // Inputs .WR_DATA ({__wTxHdr,__wTxHdrNonpayLen,__wTxHdrPacketLen,__wTxHdrPayloadLen,__wTxHdrNoPayload, __wTxHdrSteadyStateReady,__wTxHdrStartReady,__wTxHdrEndReady,__wTxHdrStartEndReady}), .WR_DATA_VALID (__wTxHdrValid), .RD_DATA_READY (_wTxMuxSelectDataEndFlag & _wTxMuxSelectReady), /*AUTOINST*/ // Inputs .CLK (CLK), .RST_IN (RST_IN)); pipeline #(// Parameters .C_DEPTH (C_USE_READY_REG?1:0), .C_WIDTH (C_MAX_HDR_WIDTH + `SIG_NONPAY_W + `SIG_PACKETLEN_W + `SIG_LEN_W + 1), .C_USE_MEMORY (0) /*AUTOINSTPARAM*/) ready_reg (// Outputs .WR_DATA_READY (_wTxHdrReady), .RD_DATA ({wTxHdr,wTxHdrNonpayLen,wTxHdrPacketLen,wTxHdrPayloadLen,wTxHdrNoPayload}), .RD_DATA_VALID (wTxHdrValid), // Inputs .WR_DATA ({_wTxHdr,_wTxHdrNonpayLen,_wTxHdrPacketLen,_wTxHdrPayloadLen,_wTxHdrNoPayload}), .WR_DATA_VALID (_wTxHdrValid), .RD_DATA_READY (wTxHdrReady), /*AUTOINST*/ // Inputs .CLK (CLK), .RST_IN (RST_IN)); pipeline #(// Parameters .C_DEPTH (C_USE_READY_REG?1:0), .C_WIDTH (2*C_NUM_MUXES + C_CLOG_MUX_INPUTS * C_NUM_MUXES + 3), .C_USE_MEMORY (0) /*AUTOINSTPARAM*/) select_reg (// Outputs .WR_DATA_READY (_wTxMuxSelectReady), .RD_DATA ({wTxMuxSelectDataReady,wTxMuxSelect, wTxMuxSelectDataEndFlag,wTxMuxSelectDataStartFlag, wTxMuxSelectPktStartFlag, wTxMuxSelectDataReadyAndPayload}), .RD_DATA_VALID (wTxMuxSelectValid), // Inputs .WR_DATA ({_wTxMuxSelectDataReady,_wTxMuxSelect, _wTxMuxSelectDataEndFlag,_wTxMuxSelectDataStartFlag, _wTxMuxSelectPktStartFlag, _wTxMuxSelectDataReadyAndPayload}), .WR_DATA_VALID (_wTxMuxSelectValid), .RD_DATA_READY (wTxMuxSelectReady), /*AUTOINST*/ // Inputs .CLK (CLK), .RST_IN (RST_IN)); counter #(// Parameters .C_MAX_VALUE (C_MAX_SCHEDULE-1), .C_SAT_VALUE (C_MAX_SCHEDULE-1), .C_RST_VALUE (0) /*AUTOINSTPARAM*/) satctr_inst (// Outputs .VALUE (wSatCtr), // Inputs .CLK (CLK), .RST_IN (wSatCtrReset), .ENABLE (wSatCtrEnable) /*AUTOINST*/); counter #(// Parameters .C_MAX_VALUE (1<<`SIG_PACKETLEN_W), .C_SAT_VALUE (1<<`SIG_PACKETLEN_W + 1), // Never saturate .C_RST_VALUE (0) /*AUTOINSTPARAM*/) pktctr_inst (// Outputs .VALUE (wPktCtr), // Inputs .CLK (CLK), .RST_IN (wPktCtrReset), .ENABLE (wPktCtrEnable) /*AUTOINST*/); generate for( i = 0 ; i < C_MAX_HDR_WIDTH/32 ; i = i + 1) begin : gen_aggregate assign wAggregate[i] = wTxHdr[i*32 +: 32]; end // pipeline // #(// Parameters // .C_DEPTH (C_PIPELINE_DATA_INPUT?1:0), // .C_WIDTH (1), // .C_USE_MEMORY (0) // /*AUTOINSTPARAM*/) // packet_valid_register // (// Outputs // .WR_DATA_READY (), // .RD_DATA (), // .RD_DATA_VALID (wTxDataPacketValid), // // Inputs // .WR_DATA (), // .WR_DATA_VALID (TX_DATA_PACKET_VALID | ((wTxDataWordValid & wTxDataEndFlags[i])), // .RD_DATA_READY (~wTxDataPacketValid | // ((wTxDataEndFlags & wTxDataWordReady) != 0)), // // TODO: End flag read? This is odd, you want to read when there is not a valid packet // /*AUTOINST*/ // // Inputs // .CLK (CLK), // .RST_IN (RST_IN)); for( i = 0; i < C_NUM_MUXES ; i = i + 1) begin : gen_data_input_regs assign wAggregate[i + C_MAX_HDR_WIDTH/32] = wTxData[32*i +: 32]; pipeline #(// Parameters .C_DEPTH (C_PIPELINE_DATA_INPUT?1:0), .C_WIDTH (32), .C_USE_MEMORY (0) /*AUTOINSTPARAM*/) data_register_ (// Outputs .WR_DATA_READY (TX_DATA_WORD_READY[i]), .RD_DATA (wTxData[32*i +: 32]), .RD_DATA_VALID (wTxDataWordValid[i]), // Inputs .WR_DATA (TX_DATA[32*i +: 32]), .WR_DATA_VALID (TX_DATA_WORD_VALID[i]), .RD_DATA_READY (wTxDataWordReady[i]), /*AUTOINST*/ // Inputs .CLK (CLK), .RST_IN (RST_IN)); pipeline #(// Parameters .C_DEPTH (C_PIPELINE_DATA_INPUT?1:0), .C_WIDTH (1), .C_USE_MEMORY (0) /*AUTOINSTPARAM*/) packet_valid_register (// Outputs .WR_DATA_READY (), .RD_DATA (), .RD_DATA_VALID (wTxDataPacketWordValid[i]), // Inputs .WR_DATA (), .WR_DATA_VALID ((TX_DATA_END_FLAGS[i] & TX_DATA_WORD_VALID[i]) | (TX_DATA_PACKET_VALID & TX_DATA_WORD_VALID[i] & (TX_DATA_END_FLAGS == 0))), .RD_DATA_READY (wTxDataWordReady[i] | ~wTxDataPacketWordValid[i]), // TODO: End flag read? This is odd, you want to read when there is not a valid packet /*AUTOINST*/ // Inputs .CLK (CLK), .RST_IN (RST_IN)); end for( i = 0 ; i < C_NUM_MUXES ; i = i + 1) begin : gen_packet_format_multiplexers mux #( // Parameters .C_NUM_INPUTS (C_MUX_INPUTS), .C_CLOG_NUM_INPUTS (C_CLOG_MUX_INPUTS), .C_WIDTH (32), .C_MUX_TYPE ("SELECT") /*AUTOINSTPARAM*/) dw_mux_ ( // Outputs .MUX_OUTPUT (wTxPkt[32*i +: 32]), // Inputs .MUX_INPUTS (wTxMuxInputs[i]), .MUX_SELECT (wTxMuxSelect[i*C_CLOG_MUX_INPUTS +: C_CLOG_MUX_INPUTS]) /*AUTOINST*/); end endgenerate pipeline #( // Parameters .C_DEPTH (C_PIPELINE_OUTPUT?1:0), .C_WIDTH (C_DATA_WIDTH + 2 + C_OFFSET_WIDTH), .C_USE_MEMORY (0) /*AUTOINSTPARAM*/) output_register_inst ( // Outputs .WR_DATA_READY (wTxPktReady), .RD_DATA ({TX_PKT,TX_PKT_START_FLAG,TX_PKT_END_FLAG,TX_PKT_END_OFFSET}), .RD_DATA_VALID (TX_PKT_VALID), // Inputs .WR_DATA ({wTxPkt,wTxPktStartFlag,wTxPktEndFlag,wTxPktEndOffset[C_OFFSET_WIDTH-1:0]}), .WR_DATA_VALID (wTxPktValid), .RD_DATA_READY (TX_PKT_READY), /*AUTOINST*/ // Inputs .CLK (CLK), .RST_IN (RST_IN)); endmodule // Local Variables: // verilog-library-directories:("." "../../common/") // End:
// ---------------------------------------------------------------------- // Copyright (c) 2016, The Regents of the University of California All // rights reserved. // // Redistribution and use in source and binary forms, with or without // modification, are permitted provided that the following conditions are // met: // // * Redistributions of source code must retain the above copyright // notice, this list of conditions and the following disclaimer. // // * Redistributions in binary form must reproduce the above // copyright notice, this list of conditions and the following // disclaimer in the documentation and/or other materials provided // with the distribution. // // * Neither the name of The Regents of the University of California // nor the names of its contributors may be used to endorse or // promote products derived from this software without specific // prior written permission. // // THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS // "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT // LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR // A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL REGENTS OF THE // UNIVERSITY OF CALIFORNIA BE LIABLE FOR ANY DIRECT, INDIRECT, // INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, // BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS // OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND // ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR // TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE // USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH // DAMAGE. // ---------------------------------------------------------------------- //---------------------------------------------------------------------------- // Filename: tx_alignment_pipeline // Version: 1.0 // Verilog Standard: Verilog-2001 // // Description: The TX alignment pipeline takes a formatted header and data and // "aligns" them to create a formatted PKT. The aligner is used in both the TXC // and TXR engines. // // The data interface (TX_DATA) is an interface for N 32-bit FIFOs, where N = // (C_DATA_WIDTH/32). The START_FLAG signal indicates that the first dword of // a packet is in FIFO 0 (TX_DATA[31:0]). Each FIFO interface also contains an // END_FLAG signal in the END_FLAGS bus. When a bit in END_FLAGS bus is asserted, // its corresponding fifo contains the last dword of data for the current // packet. START_FLAG, END_FLAG and DATA are all qualified by the VALID signal, // and read by the READY signal. // // The header interface (TX_HDR) presents the entire header in a single cycle on a // fifo-like read-interface. The interface also contains the metadata signals // NOPAYLOAD, ABLANKS, and LEN. NOPAYLOAD indicates that the header is not // associated with a payload. ABLANKS indicates how many blanks are inserted // between header and payload for address alignment. LEN indicates the length of // the header (in DWORDS). The previous two signals determine the multiplexer // schedule. // // The aligner is built around N alignment muxes that chose between header and // data. The aligner uses a multiplexer ROM-based schedule to determine the // outputs of the alignment muxes. This schedule is listed in the schedules.vh // include file. It initializes the wSchedule ROM. The ROM is indexed by the // concatenation of ABLANKS, (Header) LEN, and a saturating counter. The // saturating counter stops when the selection bits for all multiplexers reach a // steady state. // // See schedules.vh for more information regarding the wSchedule and wTxMuxInputs // arrays. // // Plans: // - At some point in the future, wSchedule and wTxMuxInputs should be set by // initialization functions to improve extensibility and reusability, but it may // decrease readability. // // - (with above) Right now the alignment pipeline works for devices that have 3 // or 4 header dwords and insert a maximum of one alignment blank. To extend // this, wScheduleSelect, and C_MAX_SCHEDULE_LENGTH need to be // changed. wSchedule select needs to incorporate additional information bits // (including the minimum header length). and C_MAX_SCHEDULE_LENGTH must be // calculated using a function (see previous note) // // Author: Dustin Richmond (@darichmond) //---------------------------------------------------------------------------- `timescale 1ns/1ns `include "trellis.vh" // Defines the user-facing signal widths. module tx_alignment_pipeline #(parameter C_PIPELINE_OUTPUT = 1, parameter C_PIPELINE_DATA_INPUT = 1, parameter C_PIPELINE_HDR_INPUT = 1, parameter C_USE_COMPUTE_REG = 1, parameter C_USE_READY_REG = 1, parameter C_DATA_WIDTH = 128, parameter C_MAX_HDR_WIDTH = 128, parameter C_VENDOR = "ALTERA") (// Interface: Clocks input CLK, // Interface: Reset input RST_IN, // Interface: TX DATA FIFOS input [(C_DATA_WIDTH/32)-1:0] TX_DATA_WORD_VALID, input [C_DATA_WIDTH-1:0] TX_DATA, input TX_DATA_START_FLAG, input TX_DATA_PACKET_VALID, input [(C_DATA_WIDTH/32)-1:0] TX_DATA_END_FLAGS, output [(C_DATA_WIDTH/32)-1:0] TX_DATA_WORD_READY, // Interface: TX HDR input TX_HDR_VALID, input [C_MAX_HDR_WIDTH-1:0] TX_HDR, input [`SIG_LEN_W-1:0] TX_HDR_PAYLOAD_LEN, input [`SIG_NONPAY_W-1:0] TX_HDR_NONPAY_LEN, input [`SIG_PACKETLEN_W-1:0] TX_HDR_PACKET_LEN, input TX_HDR_NOPAYLOAD, output TX_HDR_READY, // TX Interface (Unified) input TX_PKT_READY, output [C_DATA_WIDTH-1:0] TX_PKT, output TX_PKT_START_FLAG, output [clog2s(C_DATA_WIDTH/32)-1:0] TX_PKT_START_OFFSET, output TX_PKT_END_FLAG, output [clog2s(C_DATA_WIDTH/32)-1:0] TX_PKT_END_OFFSET, output TX_PKT_VALID); localparam C_OFFSET_WIDTH = clog2s(C_DATA_WIDTH/32); localparam C_AGGREGATE_WIDTH = (C_DATA_WIDTH+C_MAX_HDR_WIDTH); localparam C_MASK_WIDTH = (C_DATA_WIDTH/32); localparam C_NUM_MUXES = (C_DATA_WIDTH/32); localparam C_MUX_INPUTS = (C_DATA_WIDTH == 32)?5:4; localparam C_CLOG_MUX_INPUTS = clog2s(C_MUX_INPUTS); localparam C_MAX_SCHEDULE = (C_DATA_WIDTH == 256)? 2 : (C_DATA_WIDTH == 128)? 3: (C_DATA_WIDTH == 64)? 4: (C_DATA_WIDTH == 32)? 6 : 0; localparam C_CLOG_MAX_SCHEDULE = clog2s(C_MAX_SCHEDULE); genvar i; // Wires from the data interface input registers wire [(C_DATA_WIDTH/32)-1:0] wTxDataWordValid; wire wTxDataPacketValid; wire [(C_DATA_WIDTH/32)-1:0] wTxDataWordReady; wire [C_DATA_WIDTH-1:0] wTxData; wire wTxDataStartFlag; wire [(C_DATA_WIDTH/32)-1:0] wTxDataEndFlags; wire [(C_DATA_WIDTH/32)-1:0] wTxDataPacketWordValid; wire [clog2s(C_DATA_WIDTH/32)-1:0] wTxDataEndOffset; // Wires from the header interface input register wire wTxHdrReady,_wTxHdrReady,__wTxHdrReady; wire [C_MAX_HDR_WIDTH -1:0] wTxHdr,_wTxHdr,__wTxHdr; wire wTxHdrValid,_wTxHdrValid,__wTxHdrValid; wire wTxHdrNoPayload,_wTxHdrNoPayload,__wTxHdrNoPayload; wire [`SIG_LEN_W-1:0] wTxHdrPayloadLen,_wTxHdrPayloadLen,__wTxHdrPayloadLen; wire [`SIG_NONPAY_W-1:0] wTxHdrNonpayLen,_wTxHdrNonpayLen,__wTxHdrNonpayLen; wire [`SIG_PACKETLEN_W-1:0] wTxHdrPacketLen,_wTxHdrPacketLen,__wTxHdrPacketLen; wire [`SIG_PACKETLEN_W:0] __wTxHdrPacketLenMinus1; wire [C_MUX_INPUTS-1:0] __wTxHdrPacketMask; wire [C_MUX_INPUTS-1:0] __wTxHdrLenMask; // wSchedule is the array containing all of the schedules for each mux and ready signal // wSchedule is indexed by the concatenation {Insert Blanks, Header Length, Saturating Counter} wire [C_CLOG_MUX_INPUTS-1:0] wSchedule[C_NUM_MUXES-1:0][(1<<(3+C_CLOG_MAX_SCHEDULE))-1:0]; // Create an array of mux selects, and a bus of ready signals. The ready // signals are indicate when a dword is being read from the input fifo and // are statically determined in the schedules.vh file wire [(3+C_CLOG_MAX_SCHEDULE)-1:0] wScheduleSelect; wire [C_NUM_MUXES-1:0] __wTxHdrStartEndReady,_wTxHdrStartEndReady; wire [C_NUM_MUXES-1:0] __wTxHdrStartReady,_wTxHdrStartReady; wire [C_NUM_MUXES-1:0] __wTxHdrEndReady,_wTxHdrEndReady; wire [C_NUM_MUXES-1:0] __wTxHdrSteadyStateReady,_wTxHdrSteadyStateReady; wire [1:0] wReadyMuxSelect; wire [C_NUM_MUXES-1:0] wReadyMux[3:0]; // Aggreate the header and the current data inputs into an array. wire [31:0] wAggregate[C_AGGREGATE_WIDTH/32-1:0]; wire [32*C_MUX_INPUTS-1:0] wTxMuxInputs[C_NUM_MUXES-1:0]; wire [(C_CLOG_MUX_INPUTS*C_NUM_MUXES)-1:0] wTxMuxSelect,_wTxMuxSelect; wire [C_NUM_MUXES-1:0] wTxMuxSelectDataReady,_wTxMuxSelectDataReady; wire [C_NUM_MUXES-1:0] wTxMuxSelectDataReadyAndPayload,_wTxMuxSelectDataReadyAndPayload; wire wTxMuxSelectDataEndFlag,_wTxMuxSelectDataEndFlag; wire wTxMuxSelectDataStartFlag,_wTxMuxSelectDataStartFlag; wire wTxMuxSelectPktStartFlag,_wTxMuxSelectPktStartFlag; wire wTxMuxSelectReady,_wTxMuxSelectReady; wire wTxMuxSelectValid,_wTxMuxSelectValid; // Wires from the output of the muxes to the input of the output register stage // wTxPktReady is asserted when a packet is complete wire wTxPktReady; wire wTxPktValid; wire [C_DATA_WIDTH-1:0] wTxPkt; wire wTxPktStartFlag; wire wTxPktEndFlag; wire [C_OFFSET_WIDTH:0] wTxPktEndOffset; // An additional bit for addition overflow // Saturating Counter Wires wire wSatCtrEnable; wire wSatCtrReset; wire [C_CLOG_MAX_SCHEDULE-1:0] wSatCtr; // Packet Cycle Counter Wires wire wPktCtrEnable; wire wPktCtrReset; wire [`SIG_PACKETLEN_W-1:0] wPktCtr; wire wCounterReset; `include "schedules.vh" // Assignments for the Input Register Stage assign __wTxHdrPacketLenMinus1 = __wTxHdrPacketLen - 1; assign __wTxHdrSteadyStateReady = {C_NUM_MUXES{1'b1}}; assign __wTxHdrStartReady = {C_NUM_MUXES{1'b1}} >> __wTxHdrNonpayLen[C_OFFSET_WIDTH-1:0]; //assign __wTxHdrEndReady = __wTxHdrPacketMask ROTATE-RIGHT __wTxHdrNonpayLen[C_OFFSET_WIDTH-1:0]; assign __wTxHdrStartEndReady = __wTxHdrLenMask; // Assignments for the computation stage // Counter logic assign wCounterReset = _wTxMuxSelectDataEndFlag & _wTxMuxSelectReady; assign wSatCtrReset = RST_IN | wCounterReset; assign wSatCtrEnable = _wTxMuxSelectReady & _wTxMuxSelectValid; assign wPktCtrReset = RST_IN | wCounterReset; assign wPktCtrEnable = _wTxMuxSelectReady & _wTxMuxSelectValid; assign wScheduleSelect = {_wTxHdrNonpayLen[2:0],wSatCtr[C_CLOG_MAX_SCHEDULE-1:0]}; // Ready Mux Logic assign wReadyMuxSelect[0] = _wTxMuxSelectDataStartFlag; assign wReadyMuxSelect[1] = _wTxMuxSelectDataEndFlag; assign wReadyMux[0] = _wTxHdrSteadyStateReady; assign wReadyMux[1] = _wTxHdrStartReady; assign wReadyMux[2] = _wTxHdrEndReady; assign wReadyMux[3] = _wTxHdrStartEndReady; assign _wTxMuxSelectValid = _wTxHdrValid; assign _wTxMuxSelectDataReady = wReadyMux[wReadyMuxSelect] & {C_NUM_MUXES{(wPktCtr >= _wTxHdrNonpayLen[`SIG_NONPAY_W-1:clog2s(C_NUM_MUXES)])}}; assign _wTxMuxSelectDataReadyAndPayload = wReadyMux[wReadyMuxSelect] & {C_NUM_MUXES{(wPktCtr >= _wTxHdrNonpayLen[`SIG_NONPAY_W-1:clog2s(C_NUM_MUXES)])}} & {C_NUM_MUXES{~_wTxHdrNoPayload}} & {C_NUM_MUXES{_wTxHdrValid}}; assign _wTxMuxSelectPktStartFlag = wPktCtr == 0; assign _wTxMuxSelectDataStartFlag = wPktCtr == _wTxHdrNonpayLen[`SIG_NONPAY_W-1:clog2s(C_NUM_MUXES)]; assign _wTxMuxSelectDataEndFlag = ({wPktCtr,{clog2s(C_NUM_MUXES){1'b0}}} + C_NUM_MUXES) >= _wTxHdrPacketLen;// TODO: Simplify // Assignments for the ready stage assign wTxHdrReady = (wTxMuxSelectDataEndFlag & wTxMuxSelectValid & wTxMuxSelectReady) | ~wTxMuxSelectValid; assign wTxMuxSelectReady = (wTxPktReady & wTxHdrNoPayload) | (wTxPktReady & wTxDataPacketValid) | (~wTxMuxSelectValid); assign wTxPktStartFlag = wTxMuxSelectPktStartFlag; assign wTxPktEndFlag = wTxMuxSelectDataEndFlag; assign wTxPktEndOffset = wTxHdrPacketLen[C_OFFSET_WIDTH-1:0]-1; // TODO: Retime -1? assign wTxPktValid = wTxMuxSelectValid & (wTxHdrNoPayload | (~wTxHdrNoPayload & wTxDataPacketValid)); // assign wTxDataWordReady = wTxMuxSelectDataReady & {C_NUM_MUXES{wTxPktReady & wTxMuxSelectValid & wTxDataPacketValid}}; assign wTxDataWordReady = wTxMuxSelectDataReadyAndPayload & {C_NUM_MUXES{wTxPktReady & wTxDataPacketValid}}; // TODO: Change this to bit-wise AND of wTxDataPacketValid // Assignments for the output stage assign TX_PKT_START_OFFSET = {C_OFFSET_WIDTH{1'b0}}; assign wTxDataPacketValid = wTxDataPacketWordValid != 0; /*See comment block at start of module*/ generate for(i = 0 ; i < C_NUM_MUXES ; i = i + 1) begin : muxes assign _wTxMuxSelect[i*C_CLOG_MUX_INPUTS +: C_CLOG_MUX_INPUTS] = wSchedule[i][wScheduleSelect]; end endgenerate offset_to_mask #(// Parameters .C_MASK_SWAP (0), .C_MASK_WIDTH (C_NUM_MUXES) /*AUTOINSTPARAM*/) packet_mask ( // Outputs .MASK (__wTxHdrPacketMask), // Inputs .OFFSET_ENABLE (1), .OFFSET (__wTxHdrPacketLenMinus1[clog2s(C_NUM_MUXES)-1:0]) /*AUTOINST*/); offset_to_mask #(// Parameters .C_MASK_SWAP (0), .C_MASK_WIDTH (C_NUM_MUXES) /*AUTOINSTPARAM*/) len_mask (// Outputs .MASK (__wTxHdrLenMask), // Inputs .OFFSET_ENABLE (1), .OFFSET (__wTxHdrPayloadLen[clog2s(C_NUM_MUXES)-1:0]-1) /*AUTOINST*/); rotate #(// Parameters .C_DIRECTION ("RIGHT"), .C_WIDTH (C_NUM_MUXES) /*AUTOINSTPARAM*/) rot_inst (// Outputs .RD_DATA (__wTxHdrEndReady), // Inputs .WR_DATA (__wTxHdrPacketMask), .WR_SHIFTAMT (__wTxHdrNonpayLen[C_OFFSET_WIDTH-1:0]) /*AUTOINST*/); pipeline #(// Parameters .C_DEPTH (C_PIPELINE_HDR_INPUT?1:0), .C_WIDTH (C_MAX_HDR_WIDTH + `SIG_NONPAY_W + `SIG_PACKETLEN_W + `SIG_LEN_W + 1), .C_USE_MEMORY (0) /*AUTOINSTPARAM*/) hdr_input_reg (// Outputs .WR_DATA_READY (TX_HDR_READY), .RD_DATA ({__wTxHdr,__wTxHdrNonpayLen,__wTxHdrPacketLen,__wTxHdrPayloadLen,__wTxHdrNoPayload}), .RD_DATA_VALID (__wTxHdrValid), // Inputs .WR_DATA ({TX_HDR,TX_HDR_NONPAY_LEN,TX_HDR_PACKET_LEN,TX_HDR_PAYLOAD_LEN,TX_HDR_NOPAYLOAD}), .WR_DATA_VALID (TX_HDR_VALID), .RD_DATA_READY (__wTxHdrReady), /*AUTOINST*/ // Inputs .CLK (CLK), .RST_IN (RST_IN)); pipeline #(// Parameters .C_DEPTH (C_USE_COMPUTE_REG?1:0), .C_WIDTH (C_MAX_HDR_WIDTH + `SIG_NONPAY_W + `SIG_PACKETLEN_W + `SIG_LEN_W + 1 + 4*C_MASK_WIDTH), .C_USE_MEMORY (0) /*AUTOINSTPARAM*/) compute_reg (// Outputs .WR_DATA_READY (__wTxHdrReady), .RD_DATA ({_wTxHdr,_wTxHdrNonpayLen,_wTxHdrPacketLen,_wTxHdrPayloadLen,_wTxHdrNoPayload, _wTxHdrSteadyStateReady,_wTxHdrStartReady,_wTxHdrEndReady,_wTxHdrStartEndReady}), .RD_DATA_VALID (_wTxHdrValid), // Inputs .WR_DATA ({__wTxHdr,__wTxHdrNonpayLen,__wTxHdrPacketLen,__wTxHdrPayloadLen,__wTxHdrNoPayload, __wTxHdrSteadyStateReady,__wTxHdrStartReady,__wTxHdrEndReady,__wTxHdrStartEndReady}), .WR_DATA_VALID (__wTxHdrValid), .RD_DATA_READY (_wTxMuxSelectDataEndFlag & _wTxMuxSelectReady), /*AUTOINST*/ // Inputs .CLK (CLK), .RST_IN (RST_IN)); pipeline #(// Parameters .C_DEPTH (C_USE_READY_REG?1:0), .C_WIDTH (C_MAX_HDR_WIDTH + `SIG_NONPAY_W + `SIG_PACKETLEN_W + `SIG_LEN_W + 1), .C_USE_MEMORY (0) /*AUTOINSTPARAM*/) ready_reg (// Outputs .WR_DATA_READY (_wTxHdrReady), .RD_DATA ({wTxHdr,wTxHdrNonpayLen,wTxHdrPacketLen,wTxHdrPayloadLen,wTxHdrNoPayload}), .RD_DATA_VALID (wTxHdrValid), // Inputs .WR_DATA ({_wTxHdr,_wTxHdrNonpayLen,_wTxHdrPacketLen,_wTxHdrPayloadLen,_wTxHdrNoPayload}), .WR_DATA_VALID (_wTxHdrValid), .RD_DATA_READY (wTxHdrReady), /*AUTOINST*/ // Inputs .CLK (CLK), .RST_IN (RST_IN)); pipeline #(// Parameters .C_DEPTH (C_USE_READY_REG?1:0), .C_WIDTH (2*C_NUM_MUXES + C_CLOG_MUX_INPUTS * C_NUM_MUXES + 3), .C_USE_MEMORY (0) /*AUTOINSTPARAM*/) select_reg (// Outputs .WR_DATA_READY (_wTxMuxSelectReady), .RD_DATA ({wTxMuxSelectDataReady,wTxMuxSelect, wTxMuxSelectDataEndFlag,wTxMuxSelectDataStartFlag, wTxMuxSelectPktStartFlag, wTxMuxSelectDataReadyAndPayload}), .RD_DATA_VALID (wTxMuxSelectValid), // Inputs .WR_DATA ({_wTxMuxSelectDataReady,_wTxMuxSelect, _wTxMuxSelectDataEndFlag,_wTxMuxSelectDataStartFlag, _wTxMuxSelectPktStartFlag, _wTxMuxSelectDataReadyAndPayload}), .WR_DATA_VALID (_wTxMuxSelectValid), .RD_DATA_READY (wTxMuxSelectReady), /*AUTOINST*/ // Inputs .CLK (CLK), .RST_IN (RST_IN)); counter #(// Parameters .C_MAX_VALUE (C_MAX_SCHEDULE-1), .C_SAT_VALUE (C_MAX_SCHEDULE-1), .C_RST_VALUE (0) /*AUTOINSTPARAM*/) satctr_inst (// Outputs .VALUE (wSatCtr), // Inputs .CLK (CLK), .RST_IN (wSatCtrReset), .ENABLE (wSatCtrEnable) /*AUTOINST*/); counter #(// Parameters .C_MAX_VALUE (1<<`SIG_PACKETLEN_W), .C_SAT_VALUE (1<<`SIG_PACKETLEN_W + 1), // Never saturate .C_RST_VALUE (0) /*AUTOINSTPARAM*/) pktctr_inst (// Outputs .VALUE (wPktCtr), // Inputs .CLK (CLK), .RST_IN (wPktCtrReset), .ENABLE (wPktCtrEnable) /*AUTOINST*/); generate for( i = 0 ; i < C_MAX_HDR_WIDTH/32 ; i = i + 1) begin : gen_aggregate assign wAggregate[i] = wTxHdr[i*32 +: 32]; end // pipeline // #(// Parameters // .C_DEPTH (C_PIPELINE_DATA_INPUT?1:0), // .C_WIDTH (1), // .C_USE_MEMORY (0) // /*AUTOINSTPARAM*/) // packet_valid_register // (// Outputs // .WR_DATA_READY (), // .RD_DATA (), // .RD_DATA_VALID (wTxDataPacketValid), // // Inputs // .WR_DATA (), // .WR_DATA_VALID (TX_DATA_PACKET_VALID | ((wTxDataWordValid & wTxDataEndFlags[i])), // .RD_DATA_READY (~wTxDataPacketValid | // ((wTxDataEndFlags & wTxDataWordReady) != 0)), // // TODO: End flag read? This is odd, you want to read when there is not a valid packet // /*AUTOINST*/ // // Inputs // .CLK (CLK), // .RST_IN (RST_IN)); for( i = 0; i < C_NUM_MUXES ; i = i + 1) begin : gen_data_input_regs assign wAggregate[i + C_MAX_HDR_WIDTH/32] = wTxData[32*i +: 32]; pipeline #(// Parameters .C_DEPTH (C_PIPELINE_DATA_INPUT?1:0), .C_WIDTH (32), .C_USE_MEMORY (0) /*AUTOINSTPARAM*/) data_register_ (// Outputs .WR_DATA_READY (TX_DATA_WORD_READY[i]), .RD_DATA (wTxData[32*i +: 32]), .RD_DATA_VALID (wTxDataWordValid[i]), // Inputs .WR_DATA (TX_DATA[32*i +: 32]), .WR_DATA_VALID (TX_DATA_WORD_VALID[i]), .RD_DATA_READY (wTxDataWordReady[i]), /*AUTOINST*/ // Inputs .CLK (CLK), .RST_IN (RST_IN)); pipeline #(// Parameters .C_DEPTH (C_PIPELINE_DATA_INPUT?1:0), .C_WIDTH (1), .C_USE_MEMORY (0) /*AUTOINSTPARAM*/) packet_valid_register (// Outputs .WR_DATA_READY (), .RD_DATA (), .RD_DATA_VALID (wTxDataPacketWordValid[i]), // Inputs .WR_DATA (), .WR_DATA_VALID ((TX_DATA_END_FLAGS[i] & TX_DATA_WORD_VALID[i]) | (TX_DATA_PACKET_VALID & TX_DATA_WORD_VALID[i] & (TX_DATA_END_FLAGS == 0))), .RD_DATA_READY (wTxDataWordReady[i] | ~wTxDataPacketWordValid[i]), // TODO: End flag read? This is odd, you want to read when there is not a valid packet /*AUTOINST*/ // Inputs .CLK (CLK), .RST_IN (RST_IN)); end for( i = 0 ; i < C_NUM_MUXES ; i = i + 1) begin : gen_packet_format_multiplexers mux #( // Parameters .C_NUM_INPUTS (C_MUX_INPUTS), .C_CLOG_NUM_INPUTS (C_CLOG_MUX_INPUTS), .C_WIDTH (32), .C_MUX_TYPE ("SELECT") /*AUTOINSTPARAM*/) dw_mux_ ( // Outputs .MUX_OUTPUT (wTxPkt[32*i +: 32]), // Inputs .MUX_INPUTS (wTxMuxInputs[i]), .MUX_SELECT (wTxMuxSelect[i*C_CLOG_MUX_INPUTS +: C_CLOG_MUX_INPUTS]) /*AUTOINST*/); end endgenerate pipeline #( // Parameters .C_DEPTH (C_PIPELINE_OUTPUT?1:0), .C_WIDTH (C_DATA_WIDTH + 2 + C_OFFSET_WIDTH), .C_USE_MEMORY (0) /*AUTOINSTPARAM*/) output_register_inst ( // Outputs .WR_DATA_READY (wTxPktReady), .RD_DATA ({TX_PKT,TX_PKT_START_FLAG,TX_PKT_END_FLAG,TX_PKT_END_OFFSET}), .RD_DATA_VALID (TX_PKT_VALID), // Inputs .WR_DATA ({wTxPkt,wTxPktStartFlag,wTxPktEndFlag,wTxPktEndOffset[C_OFFSET_WIDTH-1:0]}), .WR_DATA_VALID (wTxPktValid), .RD_DATA_READY (TX_PKT_READY), /*AUTOINST*/ // Inputs .CLK (CLK), .RST_IN (RST_IN)); endmodule // Local Variables: // verilog-library-directories:("." "../../common/") // End:
// ---------------------------------------------------------------------- // Copyright (c) 2016, The Regents of the University of California All // rights reserved. // // Redistribution and use in source and binary forms, with or without // modification, are permitted provided that the following conditions are // met: // // * Redistributions of source code must retain the above copyright // notice, this list of conditions and the following disclaimer. // // * Redistributions in binary form must reproduce the above // copyright notice, this list of conditions and the following // disclaimer in the documentation and/or other materials provided // with the distribution. // // * Neither the name of The Regents of the University of California // nor the names of its contributors may be used to endorse or // promote products derived from this software without specific // prior written permission. // // THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS // "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT // LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR // A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL REGENTS OF THE // UNIVERSITY OF CALIFORNIA BE LIABLE FOR ANY DIRECT, INDIRECT, // INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, // BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS // OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND // ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR // TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE // USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH // DAMAGE. // ---------------------------------------------------------------------- /* Filename: translation_layer.v Version: 1.0 Verilog Standard: Verilog-2001 Description: The translation layer provides a uniform interface for all classic PCIe interfaces, such as all Altera devices, and all Xilinx devices (pre VC709). Notes: Any modifications to this file should meet the conditions set forth in the "Trellis Style Guide" Author: Dustin Richmond (@darichmond) Co-Authors: */ `include "trellis.vh" // Defines the user-facing signal widths. `include "xilinx.vh" module translation_xilinx #( parameter C_PCI_DATA_WIDTH = 256 ) ( input CLK, input RST_IN, // Interface: Xilinx RX input [C_PCI_DATA_WIDTH-1:0] M_AXIS_RX_TDATA, input [(C_PCI_DATA_WIDTH/8)-1:0] M_AXIS_RX_TKEEP, input M_AXIS_RX_TLAST, input M_AXIS_RX_TVALID, output M_AXIS_RX_TREADY, input [`SIG_XIL_RX_TUSER_W-1:0] M_AXIS_RX_TUSER, output RX_NP_OK, output RX_NP_REQ, // Interface: Xilinx TX output [C_PCI_DATA_WIDTH-1:0] S_AXIS_TX_TDATA, output [(C_PCI_DATA_WIDTH/8)-1:0] S_AXIS_TX_TKEEP, output S_AXIS_TX_TLAST, output S_AXIS_TX_TVALID, input S_AXIS_TX_TREADY, output [`SIG_XIL_TX_TUSER_W-1:0] S_AXIS_TX_TUSER, output TX_CFG_GNT, // Interface: Xilinx Configuration input [`SIG_BUSID_W-1:0] CFG_BUS_NUMBER, input [`SIG_DEVID_W-1:0] CFG_DEVICE_NUMBER, input [`SIG_FNID_W-1:0] CFG_FUNCTION_NUMBER, input [`SIG_CFGREG_W-1:0] CFG_COMMAND, input [`SIG_CFGREG_W-1:0] CFG_DCOMMAND, input [`SIG_CFGREG_W-1:0] CFG_LSTATUS, input [`SIG_CFGREG_W-1:0] CFG_LCOMMAND, // Interface: Xilinx Flow Control input [`SIG_FC_CPLD_W-1:0] FC_CPLD, input [`SIG_FC_CPLH_W-1:0] FC_CPLH, output [`SIG_FC_SEL_W-1:0] FC_SEL, // Interface: Xilinx Interrupt input CFG_INTERRUPT_MSIEN, input CFG_INTERRUPT_RDY, output CFG_INTERRUPT, // Interface: RX Classic output [C_PCI_DATA_WIDTH-1:0] RX_TLP, output RX_TLP_VALID, output RX_TLP_START_FLAG, output [clog2s(C_PCI_DATA_WIDTH/32)-1:0] RX_TLP_START_OFFSET, output RX_TLP_END_FLAG, output [clog2s(C_PCI_DATA_WIDTH/32)-1:0] RX_TLP_END_OFFSET, output [`SIG_BARDECODE_W-1:0] RX_TLP_BAR_DECODE, input RX_TLP_READY, // Interface: TX Classic output TX_TLP_READY, input [C_PCI_DATA_WIDTH-1:0] TX_TLP, input TX_TLP_VALID, input TX_TLP_START_FLAG, input [clog2s(C_PCI_DATA_WIDTH/32)-1:0] TX_TLP_START_OFFSET, input TX_TLP_END_FLAG, input [clog2s(C_PCI_DATA_WIDTH/32)-1:0] TX_TLP_END_OFFSET, // Interface: Configuration output [`SIG_CPLID_W-1:0] CONFIG_COMPLETER_ID, output CONFIG_BUS_MASTER_ENABLE, output [`SIG_LINKWIDTH_W-1:0] CONFIG_LINK_WIDTH, output [`SIG_LINKRATE_W-1:0] CONFIG_LINK_RATE, output [`SIG_MAXREAD_W-1:0] CONFIG_MAX_READ_REQUEST_SIZE, output [`SIG_MAXPAYLOAD_W-1:0] CONFIG_MAX_PAYLOAD_SIZE, output CONFIG_INTERRUPT_MSIENABLE, output CONFIG_CPL_BOUNDARY_SEL, // Interface: Flow Control output [`SIG_FC_CPLD_W-1:0] CONFIG_MAX_CPL_DATA, output [`SIG_FC_CPLH_W-1:0] CONFIG_MAX_CPL_HDR, // Interface: Interrupt output INTR_MSI_RDY, // High when interrupt is able to be sent input INTR_MSI_REQUEST // High to request interrupt ); /* Notes on the Configuration Interface: Link Width (cfg_lstatus[9:4]): 000001=x1, 000010=x2, 000100=x4, 001000=x8, 001100=x12, 010000=x16 Link Rate (cfg_lstatus[3:0]): 0001=2.5GT/s, 0010=5.0GT/s, 0011=8.0GT/s Max Read Request Size (cfg_dcommand[14:12]): 000=128B, 001=256B, 010=512B, 011=1024B, 100=2048B, 101=4096B Max Payload Size (cfg_dcommand[7:5]): 000=128B, 001=256B, 010=512B, 011=1024B Bus Master Enable (cfg_command[2]): 1=Enabled, 0=Disabled Read Completion Boundary (cfg_lcommand[3]): 0=64 bytes, 1=128 bytes MSI Enable (cfg_msicsr[0]): 1=Enabled, 0=Disabled Notes on the Flow Control Interface: FC_CPLD (Xilinx) Receive credit limit for data FC_CPLH (Xilinx) Receive credit limit for headers FC_SEL (Xilinx Only) Selects the correct output on the FC_* signals Notes on the TX Interface: TX_CFG_GNT (Xilinx): 1=Always allow core to transmit internally generated TLPs Notes on the RX Interface: RX_NP_OK (Xilinx): 1=Always allow non posted transactions */ /*AUTOWIRE*/ reg rRxTlpValid; reg rRxTlpEndFlag; // Rx Interface (From PCIe Core) assign RX_TLP = M_AXIS_RX_TDATA; assign RX_TLP_VALID = M_AXIS_RX_TVALID; // Rx Interface (To PCIe Core) assign M_AXIS_RX_TREADY = RX_TLP_READY; // TX Interface (From PCIe Core) assign TX_TLP_READY = S_AXIS_TX_TREADY; // TX Interface (TO PCIe Core) assign S_AXIS_TX_TDATA = TX_TLP; assign S_AXIS_TX_TVALID = TX_TLP_VALID; assign S_AXIS_TX_TLAST = TX_TLP_END_FLAG; // Configuration Interface assign CONFIG_COMPLETER_ID = {CFG_BUS_NUMBER,CFG_DEVICE_NUMBER,CFG_FUNCTION_NUMBER}; assign CONFIG_BUS_MASTER_ENABLE = CFG_COMMAND[`CFG_COMMAND_BUSMSTR_R]; assign CONFIG_LINK_WIDTH = CFG_LSTATUS[`CFG_LSTATUS_LWIDTH_R]; assign CONFIG_LINK_RATE = CFG_LSTATUS[`CFG_LSTATUS_LRATE_R]; assign CONFIG_MAX_READ_REQUEST_SIZE = CFG_DCOMMAND[`CFG_DCOMMAND_MAXREQ_R]; assign CONFIG_MAX_PAYLOAD_SIZE = CFG_DCOMMAND[`CFG_DCOMMAND_MAXPAY_R]; assign CONFIG_INTERRUPT_MSIENABLE = CFG_INTERRUPT_MSIEN; assign CONFIG_CPL_BOUNDARY_SEL = CFG_LCOMMAND[`CFG_LCOMMAND_RCB_R]; assign CONFIG_MAX_CPL_DATA = FC_CPLD; assign CONFIG_MAX_CPL_HDR = FC_CPLH; assign FC_SEL = `SIG_FC_SEL_RX_MAXALLOC_V; assign RX_NP_OK = 1'b1; assign RX_NP_REQ = 1'b1; assign TX_CFG_GNT = 1'b1; // Interrupt interface assign CFG_INTERRUPT = INTR_MSI_REQUEST; assign INTR_MSI_RDY = CFG_INTERRUPT_RDY; generate if (C_PCI_DATA_WIDTH == 9'd32) begin : gen_xilinx_32 assign RX_TLP_START_FLAG = ~rRxTlpValid | rRxTlpEndFlag; assign RX_TLP_START_OFFSET = {clog2s(C_PCI_DATA_WIDTH/32){1'b0}}; assign RX_TLP_END_OFFSET = 0; assign RX_TLP_END_FLAG = M_AXIS_RX_TLAST; assign S_AXIS_TX_TKEEP = 4'hF; end else if (C_PCI_DATA_WIDTH == 9'd64) begin : gen_xilinx_64 assign RX_TLP_START_FLAG = ~rRxTlpValid | rRxTlpEndFlag; assign RX_TLP_START_OFFSET = {clog2s(C_PCI_DATA_WIDTH/32){1'b0}}; assign RX_TLP_END_OFFSET = M_AXIS_RX_TKEEP[4]; assign RX_TLP_END_FLAG = M_AXIS_RX_TLAST; assign S_AXIS_TX_TKEEP = {{4{TX_TLP_END_OFFSET | ~TX_TLP_END_FLAG}},4'hF}; end else if (C_PCI_DATA_WIDTH == 9'd128) begin : gen_xilinx_128 assign RX_TLP_END_OFFSET = M_AXIS_RX_TUSER[20:19]; assign RX_TLP_END_FLAG = M_AXIS_RX_TUSER[21]; assign RX_TLP_START_FLAG = M_AXIS_RX_TUSER[14]; assign RX_TLP_START_OFFSET = M_AXIS_RX_TUSER[13:12]; assign S_AXIS_TX_TKEEP = {{4{~TX_TLP_END_FLAG | (TX_TLP_END_OFFSET == 2'b11)}}, {4{~TX_TLP_END_FLAG | (TX_TLP_END_OFFSET >= 2'b10)}}, {4{~TX_TLP_END_FLAG | (TX_TLP_END_OFFSET >= 2'b01)}}, {4{1'b1}}};// TODO: More efficient if we use masks... end else if (C_PCI_DATA_WIDTH == 9'd256) begin : x256 // Not possible... end endgenerate always @(posedge CLK) begin rRxTlpValid <= RX_TLP_VALID; rRxTlpEndFlag <= RX_TLP_END_FLAG; end endmodule // translation_layer
// ---------------------------------------------------------------------- // Copyright (c) 2016, The Regents of the University of California All // rights reserved. // // Redistribution and use in source and binary forms, with or without // modification, are permitted provided that the following conditions are // met: // // * Redistributions of source code must retain the above copyright // notice, this list of conditions and the following disclaimer. // // * Redistributions in binary form must reproduce the above // copyright notice, this list of conditions and the following // disclaimer in the documentation and/or other materials provided // with the distribution. // // * Neither the name of The Regents of the University of California // nor the names of its contributors may be used to endorse or // promote products derived from this software without specific // prior written permission. // // THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS // "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT // LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR // A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL REGENTS OF THE // UNIVERSITY OF CALIFORNIA BE LIABLE FOR ANY DIRECT, INDIRECT, // INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, // BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS // OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND // ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR // TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE // USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH // DAMAGE. // ---------------------------------------------------------------------- /* Filename: translation_layer.v Version: 1.0 Verilog Standard: Verilog-2001 Description: The translation layer provides a uniform interface for all classic PCIe interfaces, such as all Altera devices, and all Xilinx devices (pre VC709). Notes: Any modifications to this file should meet the conditions set forth in the "Trellis Style Guide" Author: Dustin Richmond (@darichmond) Co-Authors: */ `include "trellis.vh" // Defines the user-facing signal widths. `include "xilinx.vh" module translation_xilinx #( parameter C_PCI_DATA_WIDTH = 256 ) ( input CLK, input RST_IN, // Interface: Xilinx RX input [C_PCI_DATA_WIDTH-1:0] M_AXIS_RX_TDATA, input [(C_PCI_DATA_WIDTH/8)-1:0] M_AXIS_RX_TKEEP, input M_AXIS_RX_TLAST, input M_AXIS_RX_TVALID, output M_AXIS_RX_TREADY, input [`SIG_XIL_RX_TUSER_W-1:0] M_AXIS_RX_TUSER, output RX_NP_OK, output RX_NP_REQ, // Interface: Xilinx TX output [C_PCI_DATA_WIDTH-1:0] S_AXIS_TX_TDATA, output [(C_PCI_DATA_WIDTH/8)-1:0] S_AXIS_TX_TKEEP, output S_AXIS_TX_TLAST, output S_AXIS_TX_TVALID, input S_AXIS_TX_TREADY, output [`SIG_XIL_TX_TUSER_W-1:0] S_AXIS_TX_TUSER, output TX_CFG_GNT, // Interface: Xilinx Configuration input [`SIG_BUSID_W-1:0] CFG_BUS_NUMBER, input [`SIG_DEVID_W-1:0] CFG_DEVICE_NUMBER, input [`SIG_FNID_W-1:0] CFG_FUNCTION_NUMBER, input [`SIG_CFGREG_W-1:0] CFG_COMMAND, input [`SIG_CFGREG_W-1:0] CFG_DCOMMAND, input [`SIG_CFGREG_W-1:0] CFG_LSTATUS, input [`SIG_CFGREG_W-1:0] CFG_LCOMMAND, // Interface: Xilinx Flow Control input [`SIG_FC_CPLD_W-1:0] FC_CPLD, input [`SIG_FC_CPLH_W-1:0] FC_CPLH, output [`SIG_FC_SEL_W-1:0] FC_SEL, // Interface: Xilinx Interrupt input CFG_INTERRUPT_MSIEN, input CFG_INTERRUPT_RDY, output CFG_INTERRUPT, // Interface: RX Classic output [C_PCI_DATA_WIDTH-1:0] RX_TLP, output RX_TLP_VALID, output RX_TLP_START_FLAG, output [clog2s(C_PCI_DATA_WIDTH/32)-1:0] RX_TLP_START_OFFSET, output RX_TLP_END_FLAG, output [clog2s(C_PCI_DATA_WIDTH/32)-1:0] RX_TLP_END_OFFSET, output [`SIG_BARDECODE_W-1:0] RX_TLP_BAR_DECODE, input RX_TLP_READY, // Interface: TX Classic output TX_TLP_READY, input [C_PCI_DATA_WIDTH-1:0] TX_TLP, input TX_TLP_VALID, input TX_TLP_START_FLAG, input [clog2s(C_PCI_DATA_WIDTH/32)-1:0] TX_TLP_START_OFFSET, input TX_TLP_END_FLAG, input [clog2s(C_PCI_DATA_WIDTH/32)-1:0] TX_TLP_END_OFFSET, // Interface: Configuration output [`SIG_CPLID_W-1:0] CONFIG_COMPLETER_ID, output CONFIG_BUS_MASTER_ENABLE, output [`SIG_LINKWIDTH_W-1:0] CONFIG_LINK_WIDTH, output [`SIG_LINKRATE_W-1:0] CONFIG_LINK_RATE, output [`SIG_MAXREAD_W-1:0] CONFIG_MAX_READ_REQUEST_SIZE, output [`SIG_MAXPAYLOAD_W-1:0] CONFIG_MAX_PAYLOAD_SIZE, output CONFIG_INTERRUPT_MSIENABLE, output CONFIG_CPL_BOUNDARY_SEL, // Interface: Flow Control output [`SIG_FC_CPLD_W-1:0] CONFIG_MAX_CPL_DATA, output [`SIG_FC_CPLH_W-1:0] CONFIG_MAX_CPL_HDR, // Interface: Interrupt output INTR_MSI_RDY, // High when interrupt is able to be sent input INTR_MSI_REQUEST // High to request interrupt ); /* Notes on the Configuration Interface: Link Width (cfg_lstatus[9:4]): 000001=x1, 000010=x2, 000100=x4, 001000=x8, 001100=x12, 010000=x16 Link Rate (cfg_lstatus[3:0]): 0001=2.5GT/s, 0010=5.0GT/s, 0011=8.0GT/s Max Read Request Size (cfg_dcommand[14:12]): 000=128B, 001=256B, 010=512B, 011=1024B, 100=2048B, 101=4096B Max Payload Size (cfg_dcommand[7:5]): 000=128B, 001=256B, 010=512B, 011=1024B Bus Master Enable (cfg_command[2]): 1=Enabled, 0=Disabled Read Completion Boundary (cfg_lcommand[3]): 0=64 bytes, 1=128 bytes MSI Enable (cfg_msicsr[0]): 1=Enabled, 0=Disabled Notes on the Flow Control Interface: FC_CPLD (Xilinx) Receive credit limit for data FC_CPLH (Xilinx) Receive credit limit for headers FC_SEL (Xilinx Only) Selects the correct output on the FC_* signals Notes on the TX Interface: TX_CFG_GNT (Xilinx): 1=Always allow core to transmit internally generated TLPs Notes on the RX Interface: RX_NP_OK (Xilinx): 1=Always allow non posted transactions */ /*AUTOWIRE*/ reg rRxTlpValid; reg rRxTlpEndFlag; // Rx Interface (From PCIe Core) assign RX_TLP = M_AXIS_RX_TDATA; assign RX_TLP_VALID = M_AXIS_RX_TVALID; // Rx Interface (To PCIe Core) assign M_AXIS_RX_TREADY = RX_TLP_READY; // TX Interface (From PCIe Core) assign TX_TLP_READY = S_AXIS_TX_TREADY; // TX Interface (TO PCIe Core) assign S_AXIS_TX_TDATA = TX_TLP; assign S_AXIS_TX_TVALID = TX_TLP_VALID; assign S_AXIS_TX_TLAST = TX_TLP_END_FLAG; // Configuration Interface assign CONFIG_COMPLETER_ID = {CFG_BUS_NUMBER,CFG_DEVICE_NUMBER,CFG_FUNCTION_NUMBER}; assign CONFIG_BUS_MASTER_ENABLE = CFG_COMMAND[`CFG_COMMAND_BUSMSTR_R]; assign CONFIG_LINK_WIDTH = CFG_LSTATUS[`CFG_LSTATUS_LWIDTH_R]; assign CONFIG_LINK_RATE = CFG_LSTATUS[`CFG_LSTATUS_LRATE_R]; assign CONFIG_MAX_READ_REQUEST_SIZE = CFG_DCOMMAND[`CFG_DCOMMAND_MAXREQ_R]; assign CONFIG_MAX_PAYLOAD_SIZE = CFG_DCOMMAND[`CFG_DCOMMAND_MAXPAY_R]; assign CONFIG_INTERRUPT_MSIENABLE = CFG_INTERRUPT_MSIEN; assign CONFIG_CPL_BOUNDARY_SEL = CFG_LCOMMAND[`CFG_LCOMMAND_RCB_R]; assign CONFIG_MAX_CPL_DATA = FC_CPLD; assign CONFIG_MAX_CPL_HDR = FC_CPLH; assign FC_SEL = `SIG_FC_SEL_RX_MAXALLOC_V; assign RX_NP_OK = 1'b1; assign RX_NP_REQ = 1'b1; assign TX_CFG_GNT = 1'b1; // Interrupt interface assign CFG_INTERRUPT = INTR_MSI_REQUEST; assign INTR_MSI_RDY = CFG_INTERRUPT_RDY; generate if (C_PCI_DATA_WIDTH == 9'd32) begin : gen_xilinx_32 assign RX_TLP_START_FLAG = ~rRxTlpValid | rRxTlpEndFlag; assign RX_TLP_START_OFFSET = {clog2s(C_PCI_DATA_WIDTH/32){1'b0}}; assign RX_TLP_END_OFFSET = 0; assign RX_TLP_END_FLAG = M_AXIS_RX_TLAST; assign S_AXIS_TX_TKEEP = 4'hF; end else if (C_PCI_DATA_WIDTH == 9'd64) begin : gen_xilinx_64 assign RX_TLP_START_FLAG = ~rRxTlpValid | rRxTlpEndFlag; assign RX_TLP_START_OFFSET = {clog2s(C_PCI_DATA_WIDTH/32){1'b0}}; assign RX_TLP_END_OFFSET = M_AXIS_RX_TKEEP[4]; assign RX_TLP_END_FLAG = M_AXIS_RX_TLAST; assign S_AXIS_TX_TKEEP = {{4{TX_TLP_END_OFFSET | ~TX_TLP_END_FLAG}},4'hF}; end else if (C_PCI_DATA_WIDTH == 9'd128) begin : gen_xilinx_128 assign RX_TLP_END_OFFSET = M_AXIS_RX_TUSER[20:19]; assign RX_TLP_END_FLAG = M_AXIS_RX_TUSER[21]; assign RX_TLP_START_FLAG = M_AXIS_RX_TUSER[14]; assign RX_TLP_START_OFFSET = M_AXIS_RX_TUSER[13:12]; assign S_AXIS_TX_TKEEP = {{4{~TX_TLP_END_FLAG | (TX_TLP_END_OFFSET == 2'b11)}}, {4{~TX_TLP_END_FLAG | (TX_TLP_END_OFFSET >= 2'b10)}}, {4{~TX_TLP_END_FLAG | (TX_TLP_END_OFFSET >= 2'b01)}}, {4{1'b1}}};// TODO: More efficient if we use masks... end else if (C_PCI_DATA_WIDTH == 9'd256) begin : x256 // Not possible... end endgenerate always @(posedge CLK) begin rRxTlpValid <= RX_TLP_VALID; rRxTlpEndFlag <= RX_TLP_END_FLAG; end endmodule // translation_layer
// ---------------------------------------------------------------------- // Copyright (c) 2016, The Regents of the University of California All // rights reserved. // // Redistribution and use in source and binary forms, with or without // modification, are permitted provided that the following conditions are // met: // // * Redistributions of source code must retain the above copyright // notice, this list of conditions and the following disclaimer. // // * Redistributions in binary form must reproduce the above // copyright notice, this list of conditions and the following // disclaimer in the documentation and/or other materials provided // with the distribution. // // * Neither the name of The Regents of the University of California // nor the names of its contributors may be used to endorse or // promote products derived from this software without specific // prior written permission. // // THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS // "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT // LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR // A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL REGENTS OF THE // UNIVERSITY OF CALIFORNIA BE LIABLE FOR ANY DIRECT, INDIRECT, // INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, // BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS // OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND // ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR // TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE // USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH // DAMAGE. // ---------------------------------------------------------------------- `include "trellis.vh" `include "riffa.vh" `timescale 1ns/1ns module riffa #(parameter C_PCI_DATA_WIDTH = 128, parameter C_NUM_CHNL = 12, parameter C_MAX_READ_REQ_BYTES = 512, // Max size of read requests (in bytes) parameter C_TAG_WIDTH = 5, // Number of outstanding requests parameter C_VENDOR = "ALTERA", parameter C_FPGA_NAME = "FPGA", // TODO: Give each channel a unique name parameter C_FPGA_ID = 0,// A value from 0 to 255 uniquely identifying this RIFFA design parameter C_DEPTH_PACKETS = 10) (input CLK, input RST_BUS, output RST_OUT, input DONE_TXC_RST, input DONE_TXR_RST, // Interface: RXC Engine input [C_PCI_DATA_WIDTH-1:0] RXC_DATA, input RXC_DATA_VALID, input [(C_PCI_DATA_WIDTH/32)-1:0] RXC_DATA_WORD_ENABLE, input RXC_DATA_START_FLAG, input [clog2s(C_PCI_DATA_WIDTH/32)-1:0] RXC_DATA_START_OFFSET, input RXC_DATA_END_FLAG, input [clog2s(C_PCI_DATA_WIDTH/32)-1:0] RXC_DATA_END_OFFSET, input [`SIG_LBE_W-1:0] RXC_META_LDWBE, input [`SIG_FBE_W-1:0] RXC_META_FDWBE, input [`SIG_TAG_W-1:0] RXC_META_TAG, input [`SIG_LOWADDR_W-1:0] RXC_META_ADDR, input [`SIG_TYPE_W-1:0] RXC_META_TYPE, input [`SIG_LEN_W-1:0] RXC_META_LENGTH, input [`SIG_BYTECNT_W-1:0] RXC_META_BYTES_REMAINING, input [`SIG_CPLID_W-1:0] RXC_META_COMPLETER_ID, input RXC_META_EP, // Interface: RXR Engine input [C_PCI_DATA_WIDTH-1:0] RXR_DATA, input RXR_DATA_VALID, input [(C_PCI_DATA_WIDTH/32)-1:0] RXR_DATA_WORD_ENABLE, input RXR_DATA_START_FLAG, input [clog2s(C_PCI_DATA_WIDTH/32)-1:0] RXR_DATA_START_OFFSET, input RXR_DATA_END_FLAG, input [clog2s(C_PCI_DATA_WIDTH/32)-1:0] RXR_DATA_END_OFFSET, input [`SIG_FBE_W-1:0] RXR_META_FDWBE, input [`SIG_LBE_W-1:0] RXR_META_LDWBE, input [`SIG_TC_W-1:0] RXR_META_TC, input [`SIG_ATTR_W-1:0] RXR_META_ATTR, input [`SIG_TAG_W-1:0] RXR_META_TAG, input [`SIG_TYPE_W-1:0] RXR_META_TYPE, input [`SIG_ADDR_W-1:0] RXR_META_ADDR, input [`SIG_BARDECODE_W-1:0] RXR_META_BAR_DECODED, input [`SIG_REQID_W-1:0] RXR_META_REQUESTER_ID, input [`SIG_LEN_W-1:0] RXR_META_LENGTH, input RXR_META_EP, // Interface: TXC Engine output [C_PCI_DATA_WIDTH-1:0] TXC_DATA, output TXC_DATA_VALID, output TXC_DATA_START_FLAG, output [clog2s(C_PCI_DATA_WIDTH/32)-1:0] TXC_DATA_START_OFFSET, output TXC_DATA_END_FLAG, output [clog2s(C_PCI_DATA_WIDTH/32)-1:0] TXC_DATA_END_OFFSET, input TXC_DATA_READY, output TXC_META_VALID, output [`SIG_FBE_W-1:0] TXC_META_FDWBE, output [`SIG_LBE_W-1:0] TXC_META_LDWBE, output [`SIG_LOWADDR_W-1:0] TXC_META_ADDR, output [`SIG_TYPE_W-1:0] TXC_META_TYPE, output [`SIG_LEN_W-1:0] TXC_META_LENGTH, output [`SIG_BYTECNT_W-1:0] TXC_META_BYTE_COUNT, output [`SIG_TAG_W-1:0] TXC_META_TAG, output [`SIG_REQID_W-1:0] TXC_META_REQUESTER_ID, output [`SIG_TC_W-1:0] TXC_META_TC, output [`SIG_ATTR_W-1:0] TXC_META_ATTR, output TXC_META_EP, input TXC_META_READY, input TXC_SENT, // Interface: TXR Engine output TXR_DATA_VALID, output [C_PCI_DATA_WIDTH-1:0] TXR_DATA, output TXR_DATA_START_FLAG, output [clog2s(C_PCI_DATA_WIDTH/32)-1:0] TXR_DATA_START_OFFSET, output TXR_DATA_END_FLAG, output [clog2s(C_PCI_DATA_WIDTH/32)-1:0] TXR_DATA_END_OFFSET, input TXR_DATA_READY, output TXR_META_VALID, output [`SIG_FBE_W-1:0] TXR_META_FDWBE, output [`SIG_LBE_W-1:0] TXR_META_LDWBE, output [`SIG_ADDR_W-1:0] TXR_META_ADDR, output [`SIG_LEN_W-1:0] TXR_META_LENGTH, output [`SIG_TAG_W-1:0] TXR_META_TAG, output [`SIG_TC_W-1:0] TXR_META_TC, output [`SIG_ATTR_W-1:0] TXR_META_ATTR, output [`SIG_TYPE_W-1:0] TXR_META_TYPE, output TXR_META_EP, input TXR_META_READY, input TXR_SENT, // Interface: Configuration input [`SIG_CPLID_W-1:0] CONFIG_COMPLETER_ID, input CONFIG_BUS_MASTER_ENABLE, input [`SIG_LINKWIDTH_W-1:0] CONFIG_LINK_WIDTH, input [`SIG_LINKRATE_W-1:0] CONFIG_LINK_RATE, input [`SIG_MAXREAD_W-1:0] CONFIG_MAX_READ_REQUEST_SIZE, input [`SIG_MAXPAYLOAD_W-1:0] CONFIG_MAX_PAYLOAD_SIZE, input [`SIG_FC_CPLD_W-1:0] CONFIG_MAX_CPL_DATA, // Receive credit limit for data input [`SIG_FC_CPLH_W-1:0] CONFIG_MAX_CPL_HDR, // Receive credit limit for headers input CONFIG_INTERRUPT_MSIENABLE, input CONFIG_CPL_BOUNDARY_SEL, // Interrupt Request input INTR_MSI_RDY, // High when interrupt is able to be sent output INTR_MSI_REQUEST, // High to request interrupt, when both INTR_MSI_RDY and INTR_MSI_RE input [C_NUM_CHNL-1:0] CHNL_RX_CLK, output [C_NUM_CHNL-1:0] CHNL_RX, input [C_NUM_CHNL-1:0] CHNL_RX_ACK, output [C_NUM_CHNL-1:0] CHNL_RX_LAST, output [(C_NUM_CHNL*32)-1:0] CHNL_RX_LEN, output [(C_NUM_CHNL*31)-1:0] CHNL_RX_OFF, output [(C_NUM_CHNL*C_PCI_DATA_WIDTH)-1:0] CHNL_RX_DATA, output [C_NUM_CHNL-1:0] CHNL_RX_DATA_VALID, input [C_NUM_CHNL-1:0] CHNL_RX_DATA_REN, input [C_NUM_CHNL-1:0] CHNL_TX_CLK, input [C_NUM_CHNL-1:0] CHNL_TX, output [C_NUM_CHNL-1:0] CHNL_TX_ACK, input [C_NUM_CHNL-1:0] CHNL_TX_LAST, input [(C_NUM_CHNL*32)-1:0] CHNL_TX_LEN, input [(C_NUM_CHNL*31)-1:0] CHNL_TX_OFF, input [(C_NUM_CHNL*C_PCI_DATA_WIDTH)-1:0] CHNL_TX_DATA, input [C_NUM_CHNL-1:0] CHNL_TX_DATA_VALID, output [C_NUM_CHNL-1:0] CHNL_TX_DATA_REN ); localparam C_MAX_READ_REQ = clog2s(C_MAX_READ_REQ_BYTES)-7; // Max read: 000=128B; 001=256B; 010=512B; 011=1024B; 100=2048B; 101=4096B localparam C_NUM_CHNL_WIDTH = clog2s(C_NUM_CHNL); localparam C_PCI_DATA_WORD_WIDTH = clog2s((C_PCI_DATA_WIDTH/32)+1); localparam C_NUM_VECTORS = 2; localparam C_VECTOR_WIDTH = 32; // Interface: Reorder Buffer Output wire [(C_NUM_CHNL*C_PCI_DATA_WORD_WIDTH)-1:0] wRxEngMainDataEn; // Start offset and end offset wire [C_PCI_DATA_WIDTH-1:0] wRxEngData; wire [C_NUM_CHNL-1:0] wRxEngMainDone; wire [C_NUM_CHNL-1:0] wRxEngMainErr; // Interface: Reorder Buffer to SG RX engines wire [(C_NUM_CHNL*C_PCI_DATA_WORD_WIDTH)-1:0] wRxEngSgRxDataEn; wire [C_NUM_CHNL-1:0] wRxEngSgRxDone; wire [C_NUM_CHNL-1:0] wRxEngSgRxErr; // Interface: Reorder Buffer to SG TX engines wire [(C_NUM_CHNL*C_PCI_DATA_WORD_WIDTH)-1:0] wRxEngSgTxDataEn; wire [C_NUM_CHNL-1:0] wRxEngSgTxDone; wire [C_NUM_CHNL-1:0] wRxEngSgTxErr; // Interface: Channel TX Write wire [C_NUM_CHNL-1:0] wTxEngWrReq; wire [(C_NUM_CHNL*`SIG_ADDR_W)-1:0] wTxEngWrAddr; wire [(C_NUM_CHNL*`SIG_LEN_W)-1:0] wTxEngWrLen; wire [(C_NUM_CHNL*C_PCI_DATA_WIDTH)-1:0] wTxEngWrData; wire [C_NUM_CHNL-1:0] wTxEngWrDataRen; wire [C_NUM_CHNL-1:0] wTxEngWrAck; wire [C_NUM_CHNL-1:0] wTxEngWrSent; // Interface: Channel TX Read wire [C_NUM_CHNL-1:0] wTxEngRdReq; wire [(C_NUM_CHNL*2)-1:0] wTxEngRdSgChnl; wire [(C_NUM_CHNL*`SIG_ADDR_W)-1:0] wTxEngRdAddr; wire [(C_NUM_CHNL*`SIG_LEN_W)-1:0] wTxEngRdLen; wire [C_NUM_CHNL-1:0] wTxEngRdAck; // Interface: Channel Interrupts wire [C_NUM_CHNL-1:0] wChnlSgRxBufRecvd; wire [C_NUM_CHNL-1:0] wChnlRxDone; wire [C_NUM_CHNL-1:0] wChnlTxRequest; wire [C_NUM_CHNL-1:0] wChnlTxDone; wire [C_NUM_CHNL-1:0] wChnlSgTxBufRecvd; wire wInternalTagValid; wire [5:0] wInternalTag; wire wExternalTagValid; wire [C_TAG_WIDTH-1:0] wExternalTag; // Interface: Channel - PIO Read wire [C_NUM_CHNL-1:0] wChnlTxLenReady; wire [(`SIG_TXRLEN_W*C_NUM_CHNL)-1:0] wChnlTxReqLen; wire [C_NUM_CHNL-1:0] wChnlTxOfflastReady; wire [(`SIG_OFFLAST_W*C_NUM_CHNL)-1:0] wChnlTxOfflast; wire wCoreSettingsReady; wire [`SIG_CORESETTINGS_W-1:0] wCoreSettings; wire [C_NUM_VECTORS-1:0] wIntrVectorReady; wire [C_NUM_VECTORS*C_VECTOR_WIDTH-1:0] wIntrVector; wire [C_NUM_CHNL-1:0] wChnlTxDoneReady; wire [(`SIG_TXDONELEN_W*C_NUM_CHNL)-1:0] wChnlTxDoneLen; wire [C_NUM_CHNL-1:0] wChnlRxDoneReady; wire [(`SIG_RXDONELEN_W*C_NUM_CHNL)-1:0] wChnlRxDoneLen; wire wChnlNameReady; // Interface: Channel - PIO Write wire [31:0] wChnlReqData; wire [C_NUM_CHNL-1:0] wChnlSgRxLenValid; wire [C_NUM_CHNL-1:0] wChnlSgRxAddrLoValid; wire [C_NUM_CHNL-1:0] wChnlSgRxAddrHiValid; wire [C_NUM_CHNL-1:0] wChnlSgTxLenValid; wire [C_NUM_CHNL-1:0] wChnlSgTxAddrLoValid; wire [C_NUM_CHNL-1:0] wChnlSgTxAddrHiValid; wire [C_NUM_CHNL-1:0] wChnlRxLenValid; wire [C_NUM_CHNL-1:0] wChnlRxOfflastValid; // Interface: TXC Engine wire [C_PCI_DATA_WIDTH-1:0] _wTxcData, wTxcData; wire _wTxcDataValid, wTxcDataValid; wire _wTxcDataStartFlag, wTxcDataStartFlag; wire [clog2s(C_PCI_DATA_WIDTH/32)-1:0] _wTxcDataStartOffset, wTxcDataStartOffset; wire _wTxcDataEndFlag, wTxcDataEndFlag; wire [clog2s(C_PCI_DATA_WIDTH/32)-1:0] _wTxcDataEndOffset, wTxcDataEndOffset; wire _wTxcDataReady, wTxcDataReady; wire _wTxcMetaValid, wTxcMetaValid; wire [`SIG_FBE_W-1:0] _wTxcMetaFdwbe, wTxcMetaFdwbe; wire [`SIG_LBE_W-1:0] _wTxcMetaLdwbe, wTxcMetaLdwbe; wire [`SIG_LOWADDR_W-1:0] _wTxcMetaAddr, wTxcMetaAddr; wire [`SIG_TYPE_W-1:0] _wTxcMetaType, wTxcMetaType; wire [`SIG_LEN_W-1:0] _wTxcMetaLength, wTxcMetaLength; wire [`SIG_BYTECNT_W-1:0] _wTxcMetaByteCount, wTxcMetaByteCount; wire [`SIG_TAG_W-1:0] _wTxcMetaTag, wTxcMetaTag; wire [`SIG_REQID_W-1:0] _wTxcMetaRequesterId, wTxcMetaRequesterId; wire [`SIG_TC_W-1:0] _wTxcMetaTc, wTxcMetaTc; wire [`SIG_ATTR_W-1:0] _wTxcMetaAttr, wTxcMetaAttr; wire _wTxcMetaEp, wTxcMetaEp; wire _wTxcMetaReady, wTxcMetaReady; wire wRxBufSpaceAvail; wire wTxEngRdReqSent; wire wRxEngRdComplete; wire [31:0] wCPciDataWidth; reg [31:0] wCFpgaId; reg [4:0] rWideRst; reg rRst; genvar i; assign wRxEngRdComplete = RXC_DATA_END_FLAG & RXC_DATA_VALID & (RXC_META_LENGTH >= RXC_META_BYTES_REMAINING[`SIG_BYTECNT_W-1:2]);// TODO: Retime (if possible) assign wCoreSettings = {1'd0, wCFpgaId, wCPciDataWidth[8:5], CONFIG_MAX_PAYLOAD_SIZE, CONFIG_MAX_READ_REQUEST_SIZE, CONFIG_LINK_RATE[1:0], CONFIG_LINK_WIDTH, CONFIG_BUS_MASTER_ENABLE, C_NUM_CHNL[3:0]}; // Interface: TXC Engine assign TXC_DATA = wTxcData; assign TXC_DATA_START_FLAG = wTxcDataStartFlag; assign TXC_DATA_START_OFFSET = wTxcDataStartOffset; assign TXC_DATA_END_FLAG = wTxcDataEndFlag; assign TXC_DATA_END_OFFSET = wTxcDataEndOffset; assign TXC_DATA_VALID = wTxcDataValid & ~wPendingRst & DONE_TXC_RST; assign wTxcDataReady = TXC_DATA_READY & ~wPendingRst & DONE_TXC_RST; assign TXC_META_FDWBE = wTxcMetaFdwbe; assign TXC_META_LDWBE = wTxcMetaLdwbe; assign TXC_META_ADDR = wTxcMetaAddr; assign TXC_META_TYPE = wTxcMetaType; assign TXC_META_LENGTH = wTxcMetaLength; assign TXC_META_BYTE_COUNT = wTxcMetaByteCount; assign TXC_META_TAG = wTxcMetaTag; assign TXC_META_REQUESTER_ID = wTxcMetaRequesterId; assign TXC_META_TC = wTxcMetaTc; assign TXC_META_ATTR = wTxcMetaAttr; assign TXC_META_EP = wTxcMetaEp; assign TXC_META_VALID = wTxcMetaValid & ~wPendingRst & DONE_TXC_RST; assign wTxcMetaReady = TXC_META_READY & ~wPendingRst & DONE_TXC_RST; /* Workaround for a bug reported by the NetFPGA group, where the parameter C_PCI_DATA_WIDTH cannot be directly assigned to a wire. */ generate if(C_PCI_DATA_WIDTH == 32) begin assign wCPciDataWidth = 32; end else if (C_PCI_DATA_WIDTH == 64) begin assign wCPciDataWidth = 64; end else if (C_PCI_DATA_WIDTH == 128) begin assign wCPciDataWidth = 128; end else if (C_PCI_DATA_WIDTH == 256) begin assign wCPciDataWidth = 256; end always @(*) begin wCFpgaId = 0; if((C_FPGA_ID & 128) != 0) begin wCFpgaId[7] = 1; end else if ((C_FPGA_ID & 64) != 1) begin wCFpgaId[6] = 1; end else if ((C_FPGA_ID & 32) != 1) begin wCFpgaId[5] = 1; end else if ((C_FPGA_ID & 16) != 1) begin wCFpgaId[4] = 1; end else if ((C_FPGA_ID & 8) != 1) begin wCFpgaId[3] = 1; end else if ((C_FPGA_ID & 4) != 1) begin wCFpgaId[2] = 1; end else if ((C_FPGA_ID & 2) != 1) begin wCFpgaId[1] = 1; end else if ((C_FPGA_ID & 1) != 1) begin wCFpgaId[0] = 1; end end endgenerate /* The purpose of these two hold modules is to safely reset the TX path and still respond to the core status request (which causes a RIFFA reset). We could wait until after the completion has been transmitted, but we have no guarantee that the TX path is operating correctly until after we reset */ pipeline #(// Parameters .C_DEPTH (1), .C_WIDTH (2 * `SIG_FBE_W + `SIG_LOWADDR_W + `SIG_TYPE_W + `SIG_LEN_W + `SIG_BYTECNT_W + `SIG_TAG_W + `SIG_REQID_W + `SIG_TC_W + `SIG_ATTR_W + 1), .C_USE_MEMORY (0) /*AUTOINSTPARAM*/) txc_meta_hold (// Outputs .WR_DATA_READY (_wTxcMetaReady), // NC .RD_DATA ({wTxcMetaFdwbe, wTxcMetaLdwbe, wTxcMetaAddr, wTxcMetaType, wTxcMetaLength, wTxcMetaByteCount, wTxcMetaTag, wTxcMetaRequesterId, wTxcMetaTc, wTxcMetaAttr, wTxcMetaEp}), .RD_DATA_VALID (wTxcMetaValid), // Inputs .WR_DATA ({_wTxcMetaFdwbe, _wTxcMetaLdwbe, _wTxcMetaAddr, _wTxcMetaType, _wTxcMetaLength, _wTxcMetaByteCount, _wTxcMetaTag, _wTxcMetaRequesterId, _wTxcMetaTc, _wTxcMetaAttr, _wTxcMetaEp}), .WR_DATA_VALID (_wTxcMetaValid), .RD_DATA_READY (wTxcMetaReady), .RST_IN (RST_BUS), /*AUTOINST*/ // Inputs .CLK (CLK)); pipeline #(// Parameters .C_DEPTH (1), .C_WIDTH (C_PCI_DATA_WIDTH + 2 * (clog2s(C_PCI_DATA_WIDTH/32) + 1)), .C_USE_MEMORY (0) /*AUTOINSTPARAM*/) txc_data_hold (// Outputs .WR_DATA_READY (_wTxcDataReady), // NC .RD_DATA ({wTxcData, wTxcDataStartFlag, wTxcDataStartOffset, wTxcDataEndFlag, wTxcDataEndOffset}), .RD_DATA_VALID (wTxcDataValid), // Inputs .WR_DATA ({_wTxcData, _wTxcDataStartFlag, _wTxcDataStartOffset, _wTxcDataEndFlag, _wTxcDataEndOffset}), .WR_DATA_VALID (_wTxcDataValid), .RD_DATA_READY (wTxcDataReady), .RST_IN (RST_BUS), /*AUTOINST*/ // Inputs .CLK (CLK)); reset_extender #(.C_RST_COUNT (8) /*AUTOINSTPARAM*/) reset_extender_inst (// Outputs .PENDING_RST (wPendingRst), // Inputs .RST_LOGIC (wCoreSettingsReady), /*AUTOINST*/ // Outputs .RST_OUT (RST_OUT), // Inputs .CLK (CLK), .RST_BUS (RST_BUS)); reorder_queue #(.C_PCI_DATA_WIDTH(C_PCI_DATA_WIDTH), .C_NUM_CHNL(C_NUM_CHNL), .C_MAX_READ_REQ_BYTES(C_MAX_READ_REQ_BYTES), .C_TAG_WIDTH(C_TAG_WIDTH)) reorderQueue (.RST (RST_OUT), .VALID (RXC_DATA_VALID), .DATA_START_FLAG (RXC_DATA_START_FLAG), .DATA_START_OFFSET (RXC_DATA_START_OFFSET[clog2s(C_PCI_DATA_WIDTH/32)-1:0]), .DATA_END_FLAG (RXC_DATA_END_FLAG), .DATA_END_OFFSET (RXC_DATA_END_OFFSET[clog2s(C_PCI_DATA_WIDTH/32)-1:0]), .DATA (RXC_DATA), .DATA_EN (RXC_DATA_WORD_ENABLE), .DONE (wRxEngRdComplete), .ERR (RXC_META_EP), .TAG (RXC_META_TAG[C_TAG_WIDTH-1:0]), .INT_TAG (wInternalTag), .INT_TAG_VALID (wInternalTagValid), .EXT_TAG (wExternalTag), .EXT_TAG_VALID (wExternalTagValid), .ENG_DATA (wRxEngData), .MAIN_DATA_EN (wRxEngMainDataEn), .MAIN_DONE (wRxEngMainDone), .MAIN_ERR (wRxEngMainErr), .SG_RX_DATA_EN (wRxEngSgRxDataEn), .SG_RX_DONE (wRxEngSgRxDone), .SG_RX_ERR (wRxEngSgRxErr), .SG_TX_DATA_EN (wRxEngSgTxDataEn), .SG_TX_DONE (wRxEngSgTxDone), .SG_TX_ERR (wRxEngSgTxErr), /*AUTOINST*/ // Inputs .CLK (CLK)); registers #(// Parameters .C_PIPELINE_OUTPUT (1), .C_PIPELINE_INPUT (1), /*AUTOINSTPARAM*/ // Parameters .C_PCI_DATA_WIDTH (C_PCI_DATA_WIDTH), .C_NUM_CHNL (C_NUM_CHNL), .C_MAX_READ_REQ_BYTES (C_MAX_READ_REQ_BYTES), .C_VENDOR (C_VENDOR), .C_NUM_VECTORS (C_NUM_VECTORS), .C_VECTOR_WIDTH (C_VECTOR_WIDTH), .C_FPGA_NAME (C_FPGA_NAME)) reg_inst (// Outputs // Write Interfaces .CHNL_REQ_DATA (wChnlReqData[31:0]), .CHNL_SGRX_LEN_VALID (wChnlSgRxLenValid), .CHNL_SGRX_ADDRLO_VALID (wChnlSgRxAddrLoValid), .CHNL_SGRX_ADDRHI_VALID (wChnlSgRxAddrHiValid), .CHNL_SGTX_LEN_VALID (wChnlSgTxLenValid), .CHNL_SGTX_ADDRLO_VALID (wChnlSgTxAddrLoValid), .CHNL_SGTX_ADDRHI_VALID (wChnlSgTxAddrHiValid), .CHNL_RX_LEN_VALID (wChnlRxLenValid), .CHNL_RX_OFFLAST_VALID (wChnlRxOfflastValid), // Read Interfaces .CHNL_TX_LEN_READY (wChnlTxLenReady), .CHNL_TX_OFFLAST_READY (wChnlTxOfflastReady), .CORE_SETTINGS_READY (wCoreSettingsReady), .INTR_VECTOR_READY (wIntrVectorReady), .CHNL_TX_DONE_READY (wChnlTxDoneReady), .CHNL_RX_DONE_READY (wChnlRxDoneReady), .CHNL_NAME_READY (wChnlNameReady), // TODO: Could do this on a per-channel basis // TXC Engine Interface .TXC_DATA_VALID (_wTxcDataValid), .TXC_DATA (_wTxcData[C_PCI_DATA_WIDTH-1:0]), .TXC_DATA_START_FLAG (_wTxcDataStartFlag), .TXC_DATA_START_OFFSET (_wTxcDataStartOffset[clog2s(C_PCI_DATA_WIDTH/32)-1:0]), .TXC_DATA_END_FLAG (_wTxcDataEndFlag), .TXC_DATA_END_OFFSET (_wTxcDataEndOffset[clog2s(C_PCI_DATA_WIDTH/32)-1:0]), .TXC_META_VALID (_wTxcMetaValid), .TXC_META_FDWBE (_wTxcMetaFdwbe[`SIG_FBE_W-1:0]), .TXC_META_LDWBE (_wTxcMetaLdwbe[`SIG_LBE_W-1:0]), .TXC_META_ADDR (_wTxcMetaAddr[`SIG_LOWADDR_W-1:0]), .TXC_META_TYPE (_wTxcMetaType[`SIG_TYPE_W-1:0]), .TXC_META_LENGTH (_wTxcMetaLength[`SIG_LEN_W-1:0]), .TXC_META_BYTE_COUNT (_wTxcMetaByteCount[`SIG_BYTECNT_W-1:0]), .TXC_META_TAG (_wTxcMetaTag[`SIG_TAG_W-1:0]), .TXC_META_REQUESTER_ID (_wTxcMetaRequesterId[`SIG_REQID_W-1:0]), .TXC_META_TC (_wTxcMetaTc[`SIG_TC_W-1:0]), .TXC_META_ATTR (_wTxcMetaAttr[`SIG_ATTR_W-1:0]), .TXC_META_EP (_wTxcMetaEp), // Inputs // Read Data .CORE_SETTINGS (wCoreSettings), .CHNL_TX_REQLEN (wChnlTxReqLen), .CHNL_TX_OFFLAST (wChnlTxOfflast), .CHNL_TX_DONELEN (wChnlTxDoneLen), .CHNL_RX_DONELEN (wChnlRxDoneLen), .INTR_VECTOR (wIntrVector), .RST_IN (RST_OUT), .TXC_DATA_READY (_wTxcDataReady), .TXC_META_READY (_wTxcMetaReady), /*AUTOINST*/ // Inputs .CLK (CLK), .RXR_DATA (RXR_DATA[C_PCI_DATA_WIDTH-1:0]), .RXR_DATA_VALID (RXR_DATA_VALID), .RXR_DATA_START_FLAG (RXR_DATA_START_FLAG), .RXR_DATA_START_OFFSET (RXR_DATA_START_OFFSET[clog2s(C_PCI_DATA_WIDTH/32)-1:0]), .RXR_META_FDWBE (RXR_META_FDWBE[`SIG_FBE_W-1:0]), .RXR_DATA_END_FLAG (RXR_DATA_END_FLAG), .RXR_DATA_END_OFFSET (RXR_DATA_END_OFFSET[clog2s(C_PCI_DATA_WIDTH/32)-1:0]), .RXR_META_LDWBE (RXR_META_LDWBE[`SIG_LBE_W-1:0]), .RXR_META_TC (RXR_META_TC[`SIG_TC_W-1:0]), .RXR_META_ATTR (RXR_META_ATTR[`SIG_ATTR_W-1:0]), .RXR_META_TAG (RXR_META_TAG[`SIG_TAG_W-1:0]), .RXR_META_TYPE (RXR_META_TYPE[`SIG_TYPE_W-1:0]), .RXR_META_ADDR (RXR_META_ADDR[`SIG_ADDR_W-1:0]), .RXR_META_BAR_DECODED (RXR_META_BAR_DECODED[`SIG_BARDECODE_W-1:0]), .RXR_META_REQUESTER_ID (RXR_META_REQUESTER_ID[`SIG_REQID_W-1:0]), .RXR_META_LENGTH (RXR_META_LENGTH[`SIG_LEN_W-1:0])); // Track receive buffer flow control credits (header & Data) recv_credit_flow_ctrl rc_fc (// Outputs .RXBUF_SPACE_AVAIL (wRxBufSpaceAvail), // Inputs .RX_ENG_RD_DONE (wRxEngRdComplete), .TX_ENG_RD_REQ_SENT (wTxEngRdReqSent), .RST (RST_OUT), /*AUTOINST*/ // Inputs .CLK (CLK), .CONFIG_MAX_READ_REQUEST_SIZE (CONFIG_MAX_READ_REQUEST_SIZE[2:0]), .CONFIG_MAX_CPL_DATA (CONFIG_MAX_CPL_DATA[11:0]), .CONFIG_MAX_CPL_HDR (CONFIG_MAX_CPL_HDR[7:0]), .CONFIG_CPL_BOUNDARY_SEL (CONFIG_CPL_BOUNDARY_SEL)); // Connect the interrupt vector and controller. interrupt #(.C_NUM_CHNL (C_NUM_CHNL)) intr (// Inputs .RST (RST_OUT), .RX_SG_BUF_RECVD (wChnlSgRxBufRecvd), .RX_TXN_DONE (wChnlRxDone), .TX_TXN (wChnlTxRequest), .TX_SG_BUF_RECVD (wChnlSgTxBufRecvd), .TX_TXN_DONE (wChnlTxDone), .VECT_0_RST (wIntrVectorReady[0]), .VECT_1_RST (wIntrVectorReady[1]), .VECT_RST (_wTxcData[31:0]), .VECT_0 (wIntrVector[31:0]), .VECT_1 (wIntrVector[63:32]), .INTR_LEGACY_CLR (1'd0), /*AUTOINST*/ // Outputs .INTR_MSI_REQUEST (INTR_MSI_REQUEST), // Inputs .CLK (CLK), .CONFIG_INTERRUPT_MSIENABLE (CONFIG_INTERRUPT_MSIENABLE), .INTR_MSI_RDY (INTR_MSI_RDY)); tx_multiplexer #(/*AUTOINSTPARAM*/ // Parameters .C_PCI_DATA_WIDTH (C_PCI_DATA_WIDTH), .C_NUM_CHNL (C_NUM_CHNL), .C_TAG_WIDTH (C_TAG_WIDTH), .C_VENDOR (C_VENDOR), .C_DEPTH_PACKETS (C_DEPTH_PACKETS)) tx_mux_inst ( // Outputs .WR_DATA_REN (wTxEngWrDataRen[C_NUM_CHNL-1:0]), .WR_ACK (wTxEngWrAck[C_NUM_CHNL-1:0]), .RD_ACK (wTxEngRdAck[C_NUM_CHNL-1:0]), .INT_TAG (wInternalTag[5:0]), .INT_TAG_VALID (wInternalTagValid), .TX_ENG_RD_REQ_SENT (wTxEngRdReqSent), // Inputs .RST_IN (RST_OUT), .WR_REQ (wTxEngWrReq[C_NUM_CHNL-1:0]), .WR_ADDR (wTxEngWrAddr[(C_NUM_CHNL*`SIG_ADDR_W)-1:0]), .WR_LEN (wTxEngWrLen[(C_NUM_CHNL*`SIG_LEN_W)-1:0]), .WR_DATA (wTxEngWrData[(C_NUM_CHNL*C_PCI_DATA_WIDTH)-1:0]), .WR_SENT (wTxEngWrSent[C_NUM_CHNL-1:0]), .RD_REQ (wTxEngRdReq[C_NUM_CHNL-1:0]), .RD_SG_CHNL (wTxEngRdSgChnl[(C_NUM_CHNL*2)-1:0]), .RD_ADDR (wTxEngRdAddr[(C_NUM_CHNL*`SIG_ADDR_W)-1:0]), .RD_LEN (wTxEngRdLen[(C_NUM_CHNL*`SIG_LEN_W)-1:0]), .EXT_TAG (wExternalTag[C_TAG_WIDTH-1:0]), .EXT_TAG_VALID (wExternalTagValid), .RXBUF_SPACE_AVAIL (wRxBufSpaceAvail), /*AUTOINST*/ // Outputs .TXR_DATA_VALID (TXR_DATA_VALID), .TXR_DATA (TXR_DATA[C_PCI_DATA_WIDTH-1:0]), .TXR_DATA_START_FLAG (TXR_DATA_START_FLAG), .TXR_DATA_START_OFFSET (TXR_DATA_START_OFFSET[clog2s(C_PCI_DATA_WIDTH/32)-1:0]), .TXR_DATA_END_FLAG (TXR_DATA_END_FLAG), .TXR_DATA_END_OFFSET (TXR_DATA_END_OFFSET[clog2s(C_PCI_DATA_WIDTH/32)-1:0]), .TXR_META_VALID (TXR_META_VALID), .TXR_META_FDWBE (TXR_META_FDWBE[`SIG_FBE_W-1:0]), .TXR_META_LDWBE (TXR_META_LDWBE[`SIG_LBE_W-1:0]), .TXR_META_ADDR (TXR_META_ADDR[`SIG_ADDR_W-1:0]), .TXR_META_LENGTH (TXR_META_LENGTH[`SIG_LEN_W-1:0]), .TXR_META_TAG (TXR_META_TAG[`SIG_TAG_W-1:0]), .TXR_META_TC (TXR_META_TC[`SIG_TC_W-1:0]), .TXR_META_ATTR (TXR_META_ATTR[`SIG_ATTR_W-1:0]), .TXR_META_TYPE (TXR_META_TYPE[`SIG_TYPE_W-1:0]), .TXR_META_EP (TXR_META_EP), // Inputs .CLK (CLK), .TXR_DATA_READY (TXR_DATA_READY), .TXR_META_READY (TXR_META_READY), .TXR_SENT (TXR_SENT)); // Generate and link up the channels. generate for (i = 0; i < C_NUM_CHNL; i = i + 1) begin : channels channel #( .C_DATA_WIDTH(C_PCI_DATA_WIDTH), .C_MAX_READ_REQ(C_MAX_READ_REQ) ) channel ( .RST(RST_OUT), .CLK(CLK), .CONFIG_MAX_READ_REQUEST_SIZE(CONFIG_MAX_READ_REQUEST_SIZE), .CONFIG_MAX_PAYLOAD_SIZE(CONFIG_MAX_PAYLOAD_SIZE), .PIO_DATA(wChnlReqData), .ENG_DATA(wRxEngData), .SG_RX_BUF_RECVD(wChnlSgRxBufRecvd[i]), .SG_TX_BUF_RECVD(wChnlSgTxBufRecvd[i]), .TXN_TX(wChnlTxRequest[i]), .TXN_TX_DONE(wChnlTxDone[i]), .TXN_RX_DONE(wChnlRxDone[i]), .SG_RX_BUF_LEN_VALID(wChnlSgRxLenValid[i]), .SG_RX_BUF_ADDR_HI_VALID(wChnlSgRxAddrHiValid[i]), .SG_RX_BUF_ADDR_LO_VALID(wChnlSgRxAddrLoValid[i]), .SG_TX_BUF_LEN_VALID(wChnlSgTxLenValid[i]), .SG_TX_BUF_ADDR_HI_VALID(wChnlSgTxAddrHiValid[i]), .SG_TX_BUF_ADDR_LO_VALID(wChnlSgTxAddrLoValid[i]), .TXN_RX_LEN_VALID(wChnlRxLenValid[i]), .TXN_RX_OFF_LAST_VALID(wChnlRxOfflastValid[i]), .TXN_RX_DONE_LEN(wChnlRxDoneLen[(`SIG_RXDONELEN_W*i) +: `SIG_RXDONELEN_W]), .TXN_RX_DONE_ACK(wChnlRxDoneReady[i]), .TXN_TX_ACK(wChnlTxLenReady[i]), // ACK'd on length read .TXN_TX_LEN(wChnlTxReqLen[(`SIG_TXRLEN_W*i) +: `SIG_TXRLEN_W]), .TXN_TX_OFF_LAST(wChnlTxOfflast[(`SIG_OFFLAST_W*i) +: `SIG_OFFLAST_W]), .TXN_TX_DONE_LEN(wChnlTxDoneLen[(`SIG_TXDONELEN_W*i) +:`SIG_TXDONELEN_W]), .TXN_TX_DONE_ACK(wChnlTxDoneReady[i]), .RX_REQ(wTxEngRdReq[i]), .RX_REQ_ACK(wTxEngRdAck[i]), .RX_REQ_TAG(wTxEngRdSgChnl[(2*i) +:2]),// TODO: `SIG_INTERNALTAG_W .RX_REQ_ADDR(wTxEngRdAddr[(`SIG_ADDR_W*i) +:`SIG_ADDR_W]), .RX_REQ_LEN(wTxEngRdLen[(`SIG_LEN_W*i) +:`SIG_LEN_W]), .TX_REQ(wTxEngWrReq[i]), .TX_REQ_ACK(wTxEngWrAck[i]), .TX_ADDR(wTxEngWrAddr[(`SIG_ADDR_W*i) +: `SIG_ADDR_W]), .TX_LEN(wTxEngWrLen[(`SIG_LEN_W*i) +: `SIG_LEN_W]), .TX_DATA(wTxEngWrData[(C_PCI_DATA_WIDTH*i) +:C_PCI_DATA_WIDTH]), .TX_DATA_REN(wTxEngWrDataRen[i]), .TX_SENT(wTxEngWrSent[i]), .MAIN_DATA_EN(wRxEngMainDataEn[(C_PCI_DATA_WORD_WIDTH*i) +:C_PCI_DATA_WORD_WIDTH]), .MAIN_DONE(wRxEngMainDone[i]), .MAIN_ERR(wRxEngMainErr[i]), .SG_RX_DATA_EN(wRxEngSgRxDataEn[(C_PCI_DATA_WORD_WIDTH*i) +:C_PCI_DATA_WORD_WIDTH]), .SG_RX_DONE(wRxEngSgRxDone[i]), .SG_RX_ERR(wRxEngSgRxErr[i]), .SG_TX_DATA_EN(wRxEngSgTxDataEn[(C_PCI_DATA_WORD_WIDTH*i) +:C_PCI_DATA_WORD_WIDTH]), .SG_TX_DONE(wRxEngSgTxDone[i]), .SG_TX_ERR(wRxEngSgTxErr[i]), .CHNL_RX_CLK(CHNL_RX_CLK[i]), .CHNL_RX(CHNL_RX[i]), .CHNL_RX_ACK(CHNL_RX_ACK[i]), .CHNL_RX_LAST(CHNL_RX_LAST[i]), .CHNL_RX_LEN(CHNL_RX_LEN[(32*i) +:32]), .CHNL_RX_OFF(CHNL_RX_OFF[(31*i) +:31]), .CHNL_RX_DATA(CHNL_RX_DATA[(C_PCI_DATA_WIDTH*i) +:C_PCI_DATA_WIDTH]), .CHNL_RX_DATA_VALID(CHNL_RX_DATA_VALID[i]), .CHNL_RX_DATA_REN(CHNL_RX_DATA_REN[i]), .CHNL_TX_CLK(CHNL_TX_CLK[i]), .CHNL_TX(CHNL_TX[i]), .CHNL_TX_ACK(CHNL_TX_ACK[i]), .CHNL_TX_LAST(CHNL_TX_LAST[i]), .CHNL_TX_LEN(CHNL_TX_LEN[(32*i) +:32]), .CHNL_TX_OFF(CHNL_TX_OFF[(31*i) +:31]), .CHNL_TX_DATA(CHNL_TX_DATA[(C_PCI_DATA_WIDTH*i) +:C_PCI_DATA_WIDTH]), .CHNL_TX_DATA_VALID(CHNL_TX_DATA_VALID[i]), .CHNL_TX_DATA_REN(CHNL_TX_DATA_REN[i]) ); end endgenerate endmodule // Local Variables: // verilog-library-directories:("." "registers/" "import") // End:
// ---------------------------------------------------------------------- // Copyright (c) 2016, The Regents of the University of California All // rights reserved. // // Redistribution and use in source and binary forms, with or without // modification, are permitted provided that the following conditions are // met: // // * Redistributions of source code must retain the above copyright // notice, this list of conditions and the following disclaimer. // // * Redistributions in binary form must reproduce the above // copyright notice, this list of conditions and the following // disclaimer in the documentation and/or other materials provided // with the distribution. // // * Neither the name of The Regents of the University of California // nor the names of its contributors may be used to endorse or // promote products derived from this software without specific // prior written permission. // // THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS // "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT // LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR // A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL REGENTS OF THE // UNIVERSITY OF CALIFORNIA BE LIABLE FOR ANY DIRECT, INDIRECT, // INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, // BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS // OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND // ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR // TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE // USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH // DAMAGE. // ---------------------------------------------------------------------- `include "trellis.vh" `include "riffa.vh" `timescale 1ns/1ns module riffa #(parameter C_PCI_DATA_WIDTH = 128, parameter C_NUM_CHNL = 12, parameter C_MAX_READ_REQ_BYTES = 512, // Max size of read requests (in bytes) parameter C_TAG_WIDTH = 5, // Number of outstanding requests parameter C_VENDOR = "ALTERA", parameter C_FPGA_NAME = "FPGA", // TODO: Give each channel a unique name parameter C_FPGA_ID = 0,// A value from 0 to 255 uniquely identifying this RIFFA design parameter C_DEPTH_PACKETS = 10) (input CLK, input RST_BUS, output RST_OUT, input DONE_TXC_RST, input DONE_TXR_RST, // Interface: RXC Engine input [C_PCI_DATA_WIDTH-1:0] RXC_DATA, input RXC_DATA_VALID, input [(C_PCI_DATA_WIDTH/32)-1:0] RXC_DATA_WORD_ENABLE, input RXC_DATA_START_FLAG, input [clog2s(C_PCI_DATA_WIDTH/32)-1:0] RXC_DATA_START_OFFSET, input RXC_DATA_END_FLAG, input [clog2s(C_PCI_DATA_WIDTH/32)-1:0] RXC_DATA_END_OFFSET, input [`SIG_LBE_W-1:0] RXC_META_LDWBE, input [`SIG_FBE_W-1:0] RXC_META_FDWBE, input [`SIG_TAG_W-1:0] RXC_META_TAG, input [`SIG_LOWADDR_W-1:0] RXC_META_ADDR, input [`SIG_TYPE_W-1:0] RXC_META_TYPE, input [`SIG_LEN_W-1:0] RXC_META_LENGTH, input [`SIG_BYTECNT_W-1:0] RXC_META_BYTES_REMAINING, input [`SIG_CPLID_W-1:0] RXC_META_COMPLETER_ID, input RXC_META_EP, // Interface: RXR Engine input [C_PCI_DATA_WIDTH-1:0] RXR_DATA, input RXR_DATA_VALID, input [(C_PCI_DATA_WIDTH/32)-1:0] RXR_DATA_WORD_ENABLE, input RXR_DATA_START_FLAG, input [clog2s(C_PCI_DATA_WIDTH/32)-1:0] RXR_DATA_START_OFFSET, input RXR_DATA_END_FLAG, input [clog2s(C_PCI_DATA_WIDTH/32)-1:0] RXR_DATA_END_OFFSET, input [`SIG_FBE_W-1:0] RXR_META_FDWBE, input [`SIG_LBE_W-1:0] RXR_META_LDWBE, input [`SIG_TC_W-1:0] RXR_META_TC, input [`SIG_ATTR_W-1:0] RXR_META_ATTR, input [`SIG_TAG_W-1:0] RXR_META_TAG, input [`SIG_TYPE_W-1:0] RXR_META_TYPE, input [`SIG_ADDR_W-1:0] RXR_META_ADDR, input [`SIG_BARDECODE_W-1:0] RXR_META_BAR_DECODED, input [`SIG_REQID_W-1:0] RXR_META_REQUESTER_ID, input [`SIG_LEN_W-1:0] RXR_META_LENGTH, input RXR_META_EP, // Interface: TXC Engine output [C_PCI_DATA_WIDTH-1:0] TXC_DATA, output TXC_DATA_VALID, output TXC_DATA_START_FLAG, output [clog2s(C_PCI_DATA_WIDTH/32)-1:0] TXC_DATA_START_OFFSET, output TXC_DATA_END_FLAG, output [clog2s(C_PCI_DATA_WIDTH/32)-1:0] TXC_DATA_END_OFFSET, input TXC_DATA_READY, output TXC_META_VALID, output [`SIG_FBE_W-1:0] TXC_META_FDWBE, output [`SIG_LBE_W-1:0] TXC_META_LDWBE, output [`SIG_LOWADDR_W-1:0] TXC_META_ADDR, output [`SIG_TYPE_W-1:0] TXC_META_TYPE, output [`SIG_LEN_W-1:0] TXC_META_LENGTH, output [`SIG_BYTECNT_W-1:0] TXC_META_BYTE_COUNT, output [`SIG_TAG_W-1:0] TXC_META_TAG, output [`SIG_REQID_W-1:0] TXC_META_REQUESTER_ID, output [`SIG_TC_W-1:0] TXC_META_TC, output [`SIG_ATTR_W-1:0] TXC_META_ATTR, output TXC_META_EP, input TXC_META_READY, input TXC_SENT, // Interface: TXR Engine output TXR_DATA_VALID, output [C_PCI_DATA_WIDTH-1:0] TXR_DATA, output TXR_DATA_START_FLAG, output [clog2s(C_PCI_DATA_WIDTH/32)-1:0] TXR_DATA_START_OFFSET, output TXR_DATA_END_FLAG, output [clog2s(C_PCI_DATA_WIDTH/32)-1:0] TXR_DATA_END_OFFSET, input TXR_DATA_READY, output TXR_META_VALID, output [`SIG_FBE_W-1:0] TXR_META_FDWBE, output [`SIG_LBE_W-1:0] TXR_META_LDWBE, output [`SIG_ADDR_W-1:0] TXR_META_ADDR, output [`SIG_LEN_W-1:0] TXR_META_LENGTH, output [`SIG_TAG_W-1:0] TXR_META_TAG, output [`SIG_TC_W-1:0] TXR_META_TC, output [`SIG_ATTR_W-1:0] TXR_META_ATTR, output [`SIG_TYPE_W-1:0] TXR_META_TYPE, output TXR_META_EP, input TXR_META_READY, input TXR_SENT, // Interface: Configuration input [`SIG_CPLID_W-1:0] CONFIG_COMPLETER_ID, input CONFIG_BUS_MASTER_ENABLE, input [`SIG_LINKWIDTH_W-1:0] CONFIG_LINK_WIDTH, input [`SIG_LINKRATE_W-1:0] CONFIG_LINK_RATE, input [`SIG_MAXREAD_W-1:0] CONFIG_MAX_READ_REQUEST_SIZE, input [`SIG_MAXPAYLOAD_W-1:0] CONFIG_MAX_PAYLOAD_SIZE, input [`SIG_FC_CPLD_W-1:0] CONFIG_MAX_CPL_DATA, // Receive credit limit for data input [`SIG_FC_CPLH_W-1:0] CONFIG_MAX_CPL_HDR, // Receive credit limit for headers input CONFIG_INTERRUPT_MSIENABLE, input CONFIG_CPL_BOUNDARY_SEL, // Interrupt Request input INTR_MSI_RDY, // High when interrupt is able to be sent output INTR_MSI_REQUEST, // High to request interrupt, when both INTR_MSI_RDY and INTR_MSI_RE input [C_NUM_CHNL-1:0] CHNL_RX_CLK, output [C_NUM_CHNL-1:0] CHNL_RX, input [C_NUM_CHNL-1:0] CHNL_RX_ACK, output [C_NUM_CHNL-1:0] CHNL_RX_LAST, output [(C_NUM_CHNL*32)-1:0] CHNL_RX_LEN, output [(C_NUM_CHNL*31)-1:0] CHNL_RX_OFF, output [(C_NUM_CHNL*C_PCI_DATA_WIDTH)-1:0] CHNL_RX_DATA, output [C_NUM_CHNL-1:0] CHNL_RX_DATA_VALID, input [C_NUM_CHNL-1:0] CHNL_RX_DATA_REN, input [C_NUM_CHNL-1:0] CHNL_TX_CLK, input [C_NUM_CHNL-1:0] CHNL_TX, output [C_NUM_CHNL-1:0] CHNL_TX_ACK, input [C_NUM_CHNL-1:0] CHNL_TX_LAST, input [(C_NUM_CHNL*32)-1:0] CHNL_TX_LEN, input [(C_NUM_CHNL*31)-1:0] CHNL_TX_OFF, input [(C_NUM_CHNL*C_PCI_DATA_WIDTH)-1:0] CHNL_TX_DATA, input [C_NUM_CHNL-1:0] CHNL_TX_DATA_VALID, output [C_NUM_CHNL-1:0] CHNL_TX_DATA_REN ); localparam C_MAX_READ_REQ = clog2s(C_MAX_READ_REQ_BYTES)-7; // Max read: 000=128B; 001=256B; 010=512B; 011=1024B; 100=2048B; 101=4096B localparam C_NUM_CHNL_WIDTH = clog2s(C_NUM_CHNL); localparam C_PCI_DATA_WORD_WIDTH = clog2s((C_PCI_DATA_WIDTH/32)+1); localparam C_NUM_VECTORS = 2; localparam C_VECTOR_WIDTH = 32; // Interface: Reorder Buffer Output wire [(C_NUM_CHNL*C_PCI_DATA_WORD_WIDTH)-1:0] wRxEngMainDataEn; // Start offset and end offset wire [C_PCI_DATA_WIDTH-1:0] wRxEngData; wire [C_NUM_CHNL-1:0] wRxEngMainDone; wire [C_NUM_CHNL-1:0] wRxEngMainErr; // Interface: Reorder Buffer to SG RX engines wire [(C_NUM_CHNL*C_PCI_DATA_WORD_WIDTH)-1:0] wRxEngSgRxDataEn; wire [C_NUM_CHNL-1:0] wRxEngSgRxDone; wire [C_NUM_CHNL-1:0] wRxEngSgRxErr; // Interface: Reorder Buffer to SG TX engines wire [(C_NUM_CHNL*C_PCI_DATA_WORD_WIDTH)-1:0] wRxEngSgTxDataEn; wire [C_NUM_CHNL-1:0] wRxEngSgTxDone; wire [C_NUM_CHNL-1:0] wRxEngSgTxErr; // Interface: Channel TX Write wire [C_NUM_CHNL-1:0] wTxEngWrReq; wire [(C_NUM_CHNL*`SIG_ADDR_W)-1:0] wTxEngWrAddr; wire [(C_NUM_CHNL*`SIG_LEN_W)-1:0] wTxEngWrLen; wire [(C_NUM_CHNL*C_PCI_DATA_WIDTH)-1:0] wTxEngWrData; wire [C_NUM_CHNL-1:0] wTxEngWrDataRen; wire [C_NUM_CHNL-1:0] wTxEngWrAck; wire [C_NUM_CHNL-1:0] wTxEngWrSent; // Interface: Channel TX Read wire [C_NUM_CHNL-1:0] wTxEngRdReq; wire [(C_NUM_CHNL*2)-1:0] wTxEngRdSgChnl; wire [(C_NUM_CHNL*`SIG_ADDR_W)-1:0] wTxEngRdAddr; wire [(C_NUM_CHNL*`SIG_LEN_W)-1:0] wTxEngRdLen; wire [C_NUM_CHNL-1:0] wTxEngRdAck; // Interface: Channel Interrupts wire [C_NUM_CHNL-1:0] wChnlSgRxBufRecvd; wire [C_NUM_CHNL-1:0] wChnlRxDone; wire [C_NUM_CHNL-1:0] wChnlTxRequest; wire [C_NUM_CHNL-1:0] wChnlTxDone; wire [C_NUM_CHNL-1:0] wChnlSgTxBufRecvd; wire wInternalTagValid; wire [5:0] wInternalTag; wire wExternalTagValid; wire [C_TAG_WIDTH-1:0] wExternalTag; // Interface: Channel - PIO Read wire [C_NUM_CHNL-1:0] wChnlTxLenReady; wire [(`SIG_TXRLEN_W*C_NUM_CHNL)-1:0] wChnlTxReqLen; wire [C_NUM_CHNL-1:0] wChnlTxOfflastReady; wire [(`SIG_OFFLAST_W*C_NUM_CHNL)-1:0] wChnlTxOfflast; wire wCoreSettingsReady; wire [`SIG_CORESETTINGS_W-1:0] wCoreSettings; wire [C_NUM_VECTORS-1:0] wIntrVectorReady; wire [C_NUM_VECTORS*C_VECTOR_WIDTH-1:0] wIntrVector; wire [C_NUM_CHNL-1:0] wChnlTxDoneReady; wire [(`SIG_TXDONELEN_W*C_NUM_CHNL)-1:0] wChnlTxDoneLen; wire [C_NUM_CHNL-1:0] wChnlRxDoneReady; wire [(`SIG_RXDONELEN_W*C_NUM_CHNL)-1:0] wChnlRxDoneLen; wire wChnlNameReady; // Interface: Channel - PIO Write wire [31:0] wChnlReqData; wire [C_NUM_CHNL-1:0] wChnlSgRxLenValid; wire [C_NUM_CHNL-1:0] wChnlSgRxAddrLoValid; wire [C_NUM_CHNL-1:0] wChnlSgRxAddrHiValid; wire [C_NUM_CHNL-1:0] wChnlSgTxLenValid; wire [C_NUM_CHNL-1:0] wChnlSgTxAddrLoValid; wire [C_NUM_CHNL-1:0] wChnlSgTxAddrHiValid; wire [C_NUM_CHNL-1:0] wChnlRxLenValid; wire [C_NUM_CHNL-1:0] wChnlRxOfflastValid; // Interface: TXC Engine wire [C_PCI_DATA_WIDTH-1:0] _wTxcData, wTxcData; wire _wTxcDataValid, wTxcDataValid; wire _wTxcDataStartFlag, wTxcDataStartFlag; wire [clog2s(C_PCI_DATA_WIDTH/32)-1:0] _wTxcDataStartOffset, wTxcDataStartOffset; wire _wTxcDataEndFlag, wTxcDataEndFlag; wire [clog2s(C_PCI_DATA_WIDTH/32)-1:0] _wTxcDataEndOffset, wTxcDataEndOffset; wire _wTxcDataReady, wTxcDataReady; wire _wTxcMetaValid, wTxcMetaValid; wire [`SIG_FBE_W-1:0] _wTxcMetaFdwbe, wTxcMetaFdwbe; wire [`SIG_LBE_W-1:0] _wTxcMetaLdwbe, wTxcMetaLdwbe; wire [`SIG_LOWADDR_W-1:0] _wTxcMetaAddr, wTxcMetaAddr; wire [`SIG_TYPE_W-1:0] _wTxcMetaType, wTxcMetaType; wire [`SIG_LEN_W-1:0] _wTxcMetaLength, wTxcMetaLength; wire [`SIG_BYTECNT_W-1:0] _wTxcMetaByteCount, wTxcMetaByteCount; wire [`SIG_TAG_W-1:0] _wTxcMetaTag, wTxcMetaTag; wire [`SIG_REQID_W-1:0] _wTxcMetaRequesterId, wTxcMetaRequesterId; wire [`SIG_TC_W-1:0] _wTxcMetaTc, wTxcMetaTc; wire [`SIG_ATTR_W-1:0] _wTxcMetaAttr, wTxcMetaAttr; wire _wTxcMetaEp, wTxcMetaEp; wire _wTxcMetaReady, wTxcMetaReady; wire wRxBufSpaceAvail; wire wTxEngRdReqSent; wire wRxEngRdComplete; wire [31:0] wCPciDataWidth; reg [31:0] wCFpgaId; reg [4:0] rWideRst; reg rRst; genvar i; assign wRxEngRdComplete = RXC_DATA_END_FLAG & RXC_DATA_VALID & (RXC_META_LENGTH >= RXC_META_BYTES_REMAINING[`SIG_BYTECNT_W-1:2]);// TODO: Retime (if possible) assign wCoreSettings = {1'd0, wCFpgaId, wCPciDataWidth[8:5], CONFIG_MAX_PAYLOAD_SIZE, CONFIG_MAX_READ_REQUEST_SIZE, CONFIG_LINK_RATE[1:0], CONFIG_LINK_WIDTH, CONFIG_BUS_MASTER_ENABLE, C_NUM_CHNL[3:0]}; // Interface: TXC Engine assign TXC_DATA = wTxcData; assign TXC_DATA_START_FLAG = wTxcDataStartFlag; assign TXC_DATA_START_OFFSET = wTxcDataStartOffset; assign TXC_DATA_END_FLAG = wTxcDataEndFlag; assign TXC_DATA_END_OFFSET = wTxcDataEndOffset; assign TXC_DATA_VALID = wTxcDataValid & ~wPendingRst & DONE_TXC_RST; assign wTxcDataReady = TXC_DATA_READY & ~wPendingRst & DONE_TXC_RST; assign TXC_META_FDWBE = wTxcMetaFdwbe; assign TXC_META_LDWBE = wTxcMetaLdwbe; assign TXC_META_ADDR = wTxcMetaAddr; assign TXC_META_TYPE = wTxcMetaType; assign TXC_META_LENGTH = wTxcMetaLength; assign TXC_META_BYTE_COUNT = wTxcMetaByteCount; assign TXC_META_TAG = wTxcMetaTag; assign TXC_META_REQUESTER_ID = wTxcMetaRequesterId; assign TXC_META_TC = wTxcMetaTc; assign TXC_META_ATTR = wTxcMetaAttr; assign TXC_META_EP = wTxcMetaEp; assign TXC_META_VALID = wTxcMetaValid & ~wPendingRst & DONE_TXC_RST; assign wTxcMetaReady = TXC_META_READY & ~wPendingRst & DONE_TXC_RST; /* Workaround for a bug reported by the NetFPGA group, where the parameter C_PCI_DATA_WIDTH cannot be directly assigned to a wire. */ generate if(C_PCI_DATA_WIDTH == 32) begin assign wCPciDataWidth = 32; end else if (C_PCI_DATA_WIDTH == 64) begin assign wCPciDataWidth = 64; end else if (C_PCI_DATA_WIDTH == 128) begin assign wCPciDataWidth = 128; end else if (C_PCI_DATA_WIDTH == 256) begin assign wCPciDataWidth = 256; end always @(*) begin wCFpgaId = 0; if((C_FPGA_ID & 128) != 0) begin wCFpgaId[7] = 1; end else if ((C_FPGA_ID & 64) != 1) begin wCFpgaId[6] = 1; end else if ((C_FPGA_ID & 32) != 1) begin wCFpgaId[5] = 1; end else if ((C_FPGA_ID & 16) != 1) begin wCFpgaId[4] = 1; end else if ((C_FPGA_ID & 8) != 1) begin wCFpgaId[3] = 1; end else if ((C_FPGA_ID & 4) != 1) begin wCFpgaId[2] = 1; end else if ((C_FPGA_ID & 2) != 1) begin wCFpgaId[1] = 1; end else if ((C_FPGA_ID & 1) != 1) begin wCFpgaId[0] = 1; end end endgenerate /* The purpose of these two hold modules is to safely reset the TX path and still respond to the core status request (which causes a RIFFA reset). We could wait until after the completion has been transmitted, but we have no guarantee that the TX path is operating correctly until after we reset */ pipeline #(// Parameters .C_DEPTH (1), .C_WIDTH (2 * `SIG_FBE_W + `SIG_LOWADDR_W + `SIG_TYPE_W + `SIG_LEN_W + `SIG_BYTECNT_W + `SIG_TAG_W + `SIG_REQID_W + `SIG_TC_W + `SIG_ATTR_W + 1), .C_USE_MEMORY (0) /*AUTOINSTPARAM*/) txc_meta_hold (// Outputs .WR_DATA_READY (_wTxcMetaReady), // NC .RD_DATA ({wTxcMetaFdwbe, wTxcMetaLdwbe, wTxcMetaAddr, wTxcMetaType, wTxcMetaLength, wTxcMetaByteCount, wTxcMetaTag, wTxcMetaRequesterId, wTxcMetaTc, wTxcMetaAttr, wTxcMetaEp}), .RD_DATA_VALID (wTxcMetaValid), // Inputs .WR_DATA ({_wTxcMetaFdwbe, _wTxcMetaLdwbe, _wTxcMetaAddr, _wTxcMetaType, _wTxcMetaLength, _wTxcMetaByteCount, _wTxcMetaTag, _wTxcMetaRequesterId, _wTxcMetaTc, _wTxcMetaAttr, _wTxcMetaEp}), .WR_DATA_VALID (_wTxcMetaValid), .RD_DATA_READY (wTxcMetaReady), .RST_IN (RST_BUS), /*AUTOINST*/ // Inputs .CLK (CLK)); pipeline #(// Parameters .C_DEPTH (1), .C_WIDTH (C_PCI_DATA_WIDTH + 2 * (clog2s(C_PCI_DATA_WIDTH/32) + 1)), .C_USE_MEMORY (0) /*AUTOINSTPARAM*/) txc_data_hold (// Outputs .WR_DATA_READY (_wTxcDataReady), // NC .RD_DATA ({wTxcData, wTxcDataStartFlag, wTxcDataStartOffset, wTxcDataEndFlag, wTxcDataEndOffset}), .RD_DATA_VALID (wTxcDataValid), // Inputs .WR_DATA ({_wTxcData, _wTxcDataStartFlag, _wTxcDataStartOffset, _wTxcDataEndFlag, _wTxcDataEndOffset}), .WR_DATA_VALID (_wTxcDataValid), .RD_DATA_READY (wTxcDataReady), .RST_IN (RST_BUS), /*AUTOINST*/ // Inputs .CLK (CLK)); reset_extender #(.C_RST_COUNT (8) /*AUTOINSTPARAM*/) reset_extender_inst (// Outputs .PENDING_RST (wPendingRst), // Inputs .RST_LOGIC (wCoreSettingsReady), /*AUTOINST*/ // Outputs .RST_OUT (RST_OUT), // Inputs .CLK (CLK), .RST_BUS (RST_BUS)); reorder_queue #(.C_PCI_DATA_WIDTH(C_PCI_DATA_WIDTH), .C_NUM_CHNL(C_NUM_CHNL), .C_MAX_READ_REQ_BYTES(C_MAX_READ_REQ_BYTES), .C_TAG_WIDTH(C_TAG_WIDTH)) reorderQueue (.RST (RST_OUT), .VALID (RXC_DATA_VALID), .DATA_START_FLAG (RXC_DATA_START_FLAG), .DATA_START_OFFSET (RXC_DATA_START_OFFSET[clog2s(C_PCI_DATA_WIDTH/32)-1:0]), .DATA_END_FLAG (RXC_DATA_END_FLAG), .DATA_END_OFFSET (RXC_DATA_END_OFFSET[clog2s(C_PCI_DATA_WIDTH/32)-1:0]), .DATA (RXC_DATA), .DATA_EN (RXC_DATA_WORD_ENABLE), .DONE (wRxEngRdComplete), .ERR (RXC_META_EP), .TAG (RXC_META_TAG[C_TAG_WIDTH-1:0]), .INT_TAG (wInternalTag), .INT_TAG_VALID (wInternalTagValid), .EXT_TAG (wExternalTag), .EXT_TAG_VALID (wExternalTagValid), .ENG_DATA (wRxEngData), .MAIN_DATA_EN (wRxEngMainDataEn), .MAIN_DONE (wRxEngMainDone), .MAIN_ERR (wRxEngMainErr), .SG_RX_DATA_EN (wRxEngSgRxDataEn), .SG_RX_DONE (wRxEngSgRxDone), .SG_RX_ERR (wRxEngSgRxErr), .SG_TX_DATA_EN (wRxEngSgTxDataEn), .SG_TX_DONE (wRxEngSgTxDone), .SG_TX_ERR (wRxEngSgTxErr), /*AUTOINST*/ // Inputs .CLK (CLK)); registers #(// Parameters .C_PIPELINE_OUTPUT (1), .C_PIPELINE_INPUT (1), /*AUTOINSTPARAM*/ // Parameters .C_PCI_DATA_WIDTH (C_PCI_DATA_WIDTH), .C_NUM_CHNL (C_NUM_CHNL), .C_MAX_READ_REQ_BYTES (C_MAX_READ_REQ_BYTES), .C_VENDOR (C_VENDOR), .C_NUM_VECTORS (C_NUM_VECTORS), .C_VECTOR_WIDTH (C_VECTOR_WIDTH), .C_FPGA_NAME (C_FPGA_NAME)) reg_inst (// Outputs // Write Interfaces .CHNL_REQ_DATA (wChnlReqData[31:0]), .CHNL_SGRX_LEN_VALID (wChnlSgRxLenValid), .CHNL_SGRX_ADDRLO_VALID (wChnlSgRxAddrLoValid), .CHNL_SGRX_ADDRHI_VALID (wChnlSgRxAddrHiValid), .CHNL_SGTX_LEN_VALID (wChnlSgTxLenValid), .CHNL_SGTX_ADDRLO_VALID (wChnlSgTxAddrLoValid), .CHNL_SGTX_ADDRHI_VALID (wChnlSgTxAddrHiValid), .CHNL_RX_LEN_VALID (wChnlRxLenValid), .CHNL_RX_OFFLAST_VALID (wChnlRxOfflastValid), // Read Interfaces .CHNL_TX_LEN_READY (wChnlTxLenReady), .CHNL_TX_OFFLAST_READY (wChnlTxOfflastReady), .CORE_SETTINGS_READY (wCoreSettingsReady), .INTR_VECTOR_READY (wIntrVectorReady), .CHNL_TX_DONE_READY (wChnlTxDoneReady), .CHNL_RX_DONE_READY (wChnlRxDoneReady), .CHNL_NAME_READY (wChnlNameReady), // TODO: Could do this on a per-channel basis // TXC Engine Interface .TXC_DATA_VALID (_wTxcDataValid), .TXC_DATA (_wTxcData[C_PCI_DATA_WIDTH-1:0]), .TXC_DATA_START_FLAG (_wTxcDataStartFlag), .TXC_DATA_START_OFFSET (_wTxcDataStartOffset[clog2s(C_PCI_DATA_WIDTH/32)-1:0]), .TXC_DATA_END_FLAG (_wTxcDataEndFlag), .TXC_DATA_END_OFFSET (_wTxcDataEndOffset[clog2s(C_PCI_DATA_WIDTH/32)-1:0]), .TXC_META_VALID (_wTxcMetaValid), .TXC_META_FDWBE (_wTxcMetaFdwbe[`SIG_FBE_W-1:0]), .TXC_META_LDWBE (_wTxcMetaLdwbe[`SIG_LBE_W-1:0]), .TXC_META_ADDR (_wTxcMetaAddr[`SIG_LOWADDR_W-1:0]), .TXC_META_TYPE (_wTxcMetaType[`SIG_TYPE_W-1:0]), .TXC_META_LENGTH (_wTxcMetaLength[`SIG_LEN_W-1:0]), .TXC_META_BYTE_COUNT (_wTxcMetaByteCount[`SIG_BYTECNT_W-1:0]), .TXC_META_TAG (_wTxcMetaTag[`SIG_TAG_W-1:0]), .TXC_META_REQUESTER_ID (_wTxcMetaRequesterId[`SIG_REQID_W-1:0]), .TXC_META_TC (_wTxcMetaTc[`SIG_TC_W-1:0]), .TXC_META_ATTR (_wTxcMetaAttr[`SIG_ATTR_W-1:0]), .TXC_META_EP (_wTxcMetaEp), // Inputs // Read Data .CORE_SETTINGS (wCoreSettings), .CHNL_TX_REQLEN (wChnlTxReqLen), .CHNL_TX_OFFLAST (wChnlTxOfflast), .CHNL_TX_DONELEN (wChnlTxDoneLen), .CHNL_RX_DONELEN (wChnlRxDoneLen), .INTR_VECTOR (wIntrVector), .RST_IN (RST_OUT), .TXC_DATA_READY (_wTxcDataReady), .TXC_META_READY (_wTxcMetaReady), /*AUTOINST*/ // Inputs .CLK (CLK), .RXR_DATA (RXR_DATA[C_PCI_DATA_WIDTH-1:0]), .RXR_DATA_VALID (RXR_DATA_VALID), .RXR_DATA_START_FLAG (RXR_DATA_START_FLAG), .RXR_DATA_START_OFFSET (RXR_DATA_START_OFFSET[clog2s(C_PCI_DATA_WIDTH/32)-1:0]), .RXR_META_FDWBE (RXR_META_FDWBE[`SIG_FBE_W-1:0]), .RXR_DATA_END_FLAG (RXR_DATA_END_FLAG), .RXR_DATA_END_OFFSET (RXR_DATA_END_OFFSET[clog2s(C_PCI_DATA_WIDTH/32)-1:0]), .RXR_META_LDWBE (RXR_META_LDWBE[`SIG_LBE_W-1:0]), .RXR_META_TC (RXR_META_TC[`SIG_TC_W-1:0]), .RXR_META_ATTR (RXR_META_ATTR[`SIG_ATTR_W-1:0]), .RXR_META_TAG (RXR_META_TAG[`SIG_TAG_W-1:0]), .RXR_META_TYPE (RXR_META_TYPE[`SIG_TYPE_W-1:0]), .RXR_META_ADDR (RXR_META_ADDR[`SIG_ADDR_W-1:0]), .RXR_META_BAR_DECODED (RXR_META_BAR_DECODED[`SIG_BARDECODE_W-1:0]), .RXR_META_REQUESTER_ID (RXR_META_REQUESTER_ID[`SIG_REQID_W-1:0]), .RXR_META_LENGTH (RXR_META_LENGTH[`SIG_LEN_W-1:0])); // Track receive buffer flow control credits (header & Data) recv_credit_flow_ctrl rc_fc (// Outputs .RXBUF_SPACE_AVAIL (wRxBufSpaceAvail), // Inputs .RX_ENG_RD_DONE (wRxEngRdComplete), .TX_ENG_RD_REQ_SENT (wTxEngRdReqSent), .RST (RST_OUT), /*AUTOINST*/ // Inputs .CLK (CLK), .CONFIG_MAX_READ_REQUEST_SIZE (CONFIG_MAX_READ_REQUEST_SIZE[2:0]), .CONFIG_MAX_CPL_DATA (CONFIG_MAX_CPL_DATA[11:0]), .CONFIG_MAX_CPL_HDR (CONFIG_MAX_CPL_HDR[7:0]), .CONFIG_CPL_BOUNDARY_SEL (CONFIG_CPL_BOUNDARY_SEL)); // Connect the interrupt vector and controller. interrupt #(.C_NUM_CHNL (C_NUM_CHNL)) intr (// Inputs .RST (RST_OUT), .RX_SG_BUF_RECVD (wChnlSgRxBufRecvd), .RX_TXN_DONE (wChnlRxDone), .TX_TXN (wChnlTxRequest), .TX_SG_BUF_RECVD (wChnlSgTxBufRecvd), .TX_TXN_DONE (wChnlTxDone), .VECT_0_RST (wIntrVectorReady[0]), .VECT_1_RST (wIntrVectorReady[1]), .VECT_RST (_wTxcData[31:0]), .VECT_0 (wIntrVector[31:0]), .VECT_1 (wIntrVector[63:32]), .INTR_LEGACY_CLR (1'd0), /*AUTOINST*/ // Outputs .INTR_MSI_REQUEST (INTR_MSI_REQUEST), // Inputs .CLK (CLK), .CONFIG_INTERRUPT_MSIENABLE (CONFIG_INTERRUPT_MSIENABLE), .INTR_MSI_RDY (INTR_MSI_RDY)); tx_multiplexer #(/*AUTOINSTPARAM*/ // Parameters .C_PCI_DATA_WIDTH (C_PCI_DATA_WIDTH), .C_NUM_CHNL (C_NUM_CHNL), .C_TAG_WIDTH (C_TAG_WIDTH), .C_VENDOR (C_VENDOR), .C_DEPTH_PACKETS (C_DEPTH_PACKETS)) tx_mux_inst ( // Outputs .WR_DATA_REN (wTxEngWrDataRen[C_NUM_CHNL-1:0]), .WR_ACK (wTxEngWrAck[C_NUM_CHNL-1:0]), .RD_ACK (wTxEngRdAck[C_NUM_CHNL-1:0]), .INT_TAG (wInternalTag[5:0]), .INT_TAG_VALID (wInternalTagValid), .TX_ENG_RD_REQ_SENT (wTxEngRdReqSent), // Inputs .RST_IN (RST_OUT), .WR_REQ (wTxEngWrReq[C_NUM_CHNL-1:0]), .WR_ADDR (wTxEngWrAddr[(C_NUM_CHNL*`SIG_ADDR_W)-1:0]), .WR_LEN (wTxEngWrLen[(C_NUM_CHNL*`SIG_LEN_W)-1:0]), .WR_DATA (wTxEngWrData[(C_NUM_CHNL*C_PCI_DATA_WIDTH)-1:0]), .WR_SENT (wTxEngWrSent[C_NUM_CHNL-1:0]), .RD_REQ (wTxEngRdReq[C_NUM_CHNL-1:0]), .RD_SG_CHNL (wTxEngRdSgChnl[(C_NUM_CHNL*2)-1:0]), .RD_ADDR (wTxEngRdAddr[(C_NUM_CHNL*`SIG_ADDR_W)-1:0]), .RD_LEN (wTxEngRdLen[(C_NUM_CHNL*`SIG_LEN_W)-1:0]), .EXT_TAG (wExternalTag[C_TAG_WIDTH-1:0]), .EXT_TAG_VALID (wExternalTagValid), .RXBUF_SPACE_AVAIL (wRxBufSpaceAvail), /*AUTOINST*/ // Outputs .TXR_DATA_VALID (TXR_DATA_VALID), .TXR_DATA (TXR_DATA[C_PCI_DATA_WIDTH-1:0]), .TXR_DATA_START_FLAG (TXR_DATA_START_FLAG), .TXR_DATA_START_OFFSET (TXR_DATA_START_OFFSET[clog2s(C_PCI_DATA_WIDTH/32)-1:0]), .TXR_DATA_END_FLAG (TXR_DATA_END_FLAG), .TXR_DATA_END_OFFSET (TXR_DATA_END_OFFSET[clog2s(C_PCI_DATA_WIDTH/32)-1:0]), .TXR_META_VALID (TXR_META_VALID), .TXR_META_FDWBE (TXR_META_FDWBE[`SIG_FBE_W-1:0]), .TXR_META_LDWBE (TXR_META_LDWBE[`SIG_LBE_W-1:0]), .TXR_META_ADDR (TXR_META_ADDR[`SIG_ADDR_W-1:0]), .TXR_META_LENGTH (TXR_META_LENGTH[`SIG_LEN_W-1:0]), .TXR_META_TAG (TXR_META_TAG[`SIG_TAG_W-1:0]), .TXR_META_TC (TXR_META_TC[`SIG_TC_W-1:0]), .TXR_META_ATTR (TXR_META_ATTR[`SIG_ATTR_W-1:0]), .TXR_META_TYPE (TXR_META_TYPE[`SIG_TYPE_W-1:0]), .TXR_META_EP (TXR_META_EP), // Inputs .CLK (CLK), .TXR_DATA_READY (TXR_DATA_READY), .TXR_META_READY (TXR_META_READY), .TXR_SENT (TXR_SENT)); // Generate and link up the channels. generate for (i = 0; i < C_NUM_CHNL; i = i + 1) begin : channels channel #( .C_DATA_WIDTH(C_PCI_DATA_WIDTH), .C_MAX_READ_REQ(C_MAX_READ_REQ) ) channel ( .RST(RST_OUT), .CLK(CLK), .CONFIG_MAX_READ_REQUEST_SIZE(CONFIG_MAX_READ_REQUEST_SIZE), .CONFIG_MAX_PAYLOAD_SIZE(CONFIG_MAX_PAYLOAD_SIZE), .PIO_DATA(wChnlReqData), .ENG_DATA(wRxEngData), .SG_RX_BUF_RECVD(wChnlSgRxBufRecvd[i]), .SG_TX_BUF_RECVD(wChnlSgTxBufRecvd[i]), .TXN_TX(wChnlTxRequest[i]), .TXN_TX_DONE(wChnlTxDone[i]), .TXN_RX_DONE(wChnlRxDone[i]), .SG_RX_BUF_LEN_VALID(wChnlSgRxLenValid[i]), .SG_RX_BUF_ADDR_HI_VALID(wChnlSgRxAddrHiValid[i]), .SG_RX_BUF_ADDR_LO_VALID(wChnlSgRxAddrLoValid[i]), .SG_TX_BUF_LEN_VALID(wChnlSgTxLenValid[i]), .SG_TX_BUF_ADDR_HI_VALID(wChnlSgTxAddrHiValid[i]), .SG_TX_BUF_ADDR_LO_VALID(wChnlSgTxAddrLoValid[i]), .TXN_RX_LEN_VALID(wChnlRxLenValid[i]), .TXN_RX_OFF_LAST_VALID(wChnlRxOfflastValid[i]), .TXN_RX_DONE_LEN(wChnlRxDoneLen[(`SIG_RXDONELEN_W*i) +: `SIG_RXDONELEN_W]), .TXN_RX_DONE_ACK(wChnlRxDoneReady[i]), .TXN_TX_ACK(wChnlTxLenReady[i]), // ACK'd on length read .TXN_TX_LEN(wChnlTxReqLen[(`SIG_TXRLEN_W*i) +: `SIG_TXRLEN_W]), .TXN_TX_OFF_LAST(wChnlTxOfflast[(`SIG_OFFLAST_W*i) +: `SIG_OFFLAST_W]), .TXN_TX_DONE_LEN(wChnlTxDoneLen[(`SIG_TXDONELEN_W*i) +:`SIG_TXDONELEN_W]), .TXN_TX_DONE_ACK(wChnlTxDoneReady[i]), .RX_REQ(wTxEngRdReq[i]), .RX_REQ_ACK(wTxEngRdAck[i]), .RX_REQ_TAG(wTxEngRdSgChnl[(2*i) +:2]),// TODO: `SIG_INTERNALTAG_W .RX_REQ_ADDR(wTxEngRdAddr[(`SIG_ADDR_W*i) +:`SIG_ADDR_W]), .RX_REQ_LEN(wTxEngRdLen[(`SIG_LEN_W*i) +:`SIG_LEN_W]), .TX_REQ(wTxEngWrReq[i]), .TX_REQ_ACK(wTxEngWrAck[i]), .TX_ADDR(wTxEngWrAddr[(`SIG_ADDR_W*i) +: `SIG_ADDR_W]), .TX_LEN(wTxEngWrLen[(`SIG_LEN_W*i) +: `SIG_LEN_W]), .TX_DATA(wTxEngWrData[(C_PCI_DATA_WIDTH*i) +:C_PCI_DATA_WIDTH]), .TX_DATA_REN(wTxEngWrDataRen[i]), .TX_SENT(wTxEngWrSent[i]), .MAIN_DATA_EN(wRxEngMainDataEn[(C_PCI_DATA_WORD_WIDTH*i) +:C_PCI_DATA_WORD_WIDTH]), .MAIN_DONE(wRxEngMainDone[i]), .MAIN_ERR(wRxEngMainErr[i]), .SG_RX_DATA_EN(wRxEngSgRxDataEn[(C_PCI_DATA_WORD_WIDTH*i) +:C_PCI_DATA_WORD_WIDTH]), .SG_RX_DONE(wRxEngSgRxDone[i]), .SG_RX_ERR(wRxEngSgRxErr[i]), .SG_TX_DATA_EN(wRxEngSgTxDataEn[(C_PCI_DATA_WORD_WIDTH*i) +:C_PCI_DATA_WORD_WIDTH]), .SG_TX_DONE(wRxEngSgTxDone[i]), .SG_TX_ERR(wRxEngSgTxErr[i]), .CHNL_RX_CLK(CHNL_RX_CLK[i]), .CHNL_RX(CHNL_RX[i]), .CHNL_RX_ACK(CHNL_RX_ACK[i]), .CHNL_RX_LAST(CHNL_RX_LAST[i]), .CHNL_RX_LEN(CHNL_RX_LEN[(32*i) +:32]), .CHNL_RX_OFF(CHNL_RX_OFF[(31*i) +:31]), .CHNL_RX_DATA(CHNL_RX_DATA[(C_PCI_DATA_WIDTH*i) +:C_PCI_DATA_WIDTH]), .CHNL_RX_DATA_VALID(CHNL_RX_DATA_VALID[i]), .CHNL_RX_DATA_REN(CHNL_RX_DATA_REN[i]), .CHNL_TX_CLK(CHNL_TX_CLK[i]), .CHNL_TX(CHNL_TX[i]), .CHNL_TX_ACK(CHNL_TX_ACK[i]), .CHNL_TX_LAST(CHNL_TX_LAST[i]), .CHNL_TX_LEN(CHNL_TX_LEN[(32*i) +:32]), .CHNL_TX_OFF(CHNL_TX_OFF[(31*i) +:31]), .CHNL_TX_DATA(CHNL_TX_DATA[(C_PCI_DATA_WIDTH*i) +:C_PCI_DATA_WIDTH]), .CHNL_TX_DATA_VALID(CHNL_TX_DATA_VALID[i]), .CHNL_TX_DATA_REN(CHNL_TX_DATA_REN[i]) ); end endgenerate endmodule // Local Variables: // verilog-library-directories:("." "registers/" "import") // End:
// (C) 2001-2013 Altera Corporation. All rights reserved. // Your use of Altera Corporation's design tools, logic functions and other // software and tools, and its AMPP partner logic functions, and any output // files any of the foregoing (including device programming or simulation // files), and any associated documentation or information are expressly subject // to the terms and conditions of the Altera Program License Subscription // Agreement, Altera MegaCore Function License Agreement, or other applicable // license agreement, including, without limitation, that your use is for the // sole purpose of programming logic devices manufactured by Altera and sold by // Altera or its authorized distributors. Please refer to the applicable // agreement for further details. // $Id: //acds/rel/12.1sp1/ip/merlin/altera_reset_controller/altera_reset_controller.v#1 $ // $Revision: #1 $ // $Date: 2012/10/10 $ // $Author: swbranch $ // -------------------------------------- // Reset controller // // Combines all the input resets and synchronizes // the result to the clk. // -------------------------------------- `timescale 1 ns / 1 ns module altera_reset_controller #( parameter NUM_RESET_INPUTS = 6, parameter OUTPUT_RESET_SYNC_EDGES = "deassert", parameter SYNC_DEPTH = 2 ) ( // -------------------------------------- // We support up to 16 reset inputs, for now // -------------------------------------- input reset_in0, input reset_in1, input reset_in2, input reset_in3, input reset_in4, input reset_in5, input reset_in6, input reset_in7, input reset_in8, input reset_in9, input reset_in10, input reset_in11, input reset_in12, input reset_in13, input reset_in14, input reset_in15, input clk, output reset_out ); localparam ASYNC_RESET = (OUTPUT_RESET_SYNC_EDGES == "deassert"); wire merged_reset; // -------------------------------------- // "Or" all the input resets together // -------------------------------------- assign merged_reset = ( reset_in0 | reset_in1 | reset_in2 | reset_in3 | reset_in4 | reset_in5 | reset_in6 | reset_in7 | reset_in8 | reset_in9 | reset_in10 | reset_in11 | reset_in12 | reset_in13 | reset_in14 | reset_in15 ); // -------------------------------------- // And if required, synchronize it to the required clock domain, // with the correct synchronization type // -------------------------------------- generate if (OUTPUT_RESET_SYNC_EDGES == "none") begin assign reset_out = merged_reset; end else begin altera_reset_synchronizer #( .DEPTH (SYNC_DEPTH), .ASYNC_RESET(ASYNC_RESET) ) alt_rst_sync_uq1 ( .clk (clk), .reset_in (merged_reset), .reset_out (reset_out) ); end endgenerate endmodule
// (C) 2001-2013 Altera Corporation. All rights reserved. // Your use of Altera Corporation's design tools, logic functions and other // software and tools, and its AMPP partner logic functions, and any output // files any of the foregoing (including device programming or simulation // files), and any associated documentation or information are expressly subject // to the terms and conditions of the Altera Program License Subscription // Agreement, Altera MegaCore Function License Agreement, or other applicable // license agreement, including, without limitation, that your use is for the // sole purpose of programming logic devices manufactured by Altera and sold by // Altera or its authorized distributors. Please refer to the applicable // agreement for further details. // $Id: //acds/rel/12.1sp1/ip/merlin/altera_reset_controller/altera_reset_controller.v#1 $ // $Revision: #1 $ // $Date: 2012/10/10 $ // $Author: swbranch $ // -------------------------------------- // Reset controller // // Combines all the input resets and synchronizes // the result to the clk. // -------------------------------------- `timescale 1 ns / 1 ns module altera_reset_controller #( parameter NUM_RESET_INPUTS = 6, parameter OUTPUT_RESET_SYNC_EDGES = "deassert", parameter SYNC_DEPTH = 2 ) ( // -------------------------------------- // We support up to 16 reset inputs, for now // -------------------------------------- input reset_in0, input reset_in1, input reset_in2, input reset_in3, input reset_in4, input reset_in5, input reset_in6, input reset_in7, input reset_in8, input reset_in9, input reset_in10, input reset_in11, input reset_in12, input reset_in13, input reset_in14, input reset_in15, input clk, output reset_out ); localparam ASYNC_RESET = (OUTPUT_RESET_SYNC_EDGES == "deassert"); wire merged_reset; // -------------------------------------- // "Or" all the input resets together // -------------------------------------- assign merged_reset = ( reset_in0 | reset_in1 | reset_in2 | reset_in3 | reset_in4 | reset_in5 | reset_in6 | reset_in7 | reset_in8 | reset_in9 | reset_in10 | reset_in11 | reset_in12 | reset_in13 | reset_in14 | reset_in15 ); // -------------------------------------- // And if required, synchronize it to the required clock domain, // with the correct synchronization type // -------------------------------------- generate if (OUTPUT_RESET_SYNC_EDGES == "none") begin assign reset_out = merged_reset; end else begin altera_reset_synchronizer #( .DEPTH (SYNC_DEPTH), .ASYNC_RESET(ASYNC_RESET) ) alt_rst_sync_uq1 ( .clk (clk), .reset_in (merged_reset), .reset_out (reset_out) ); end endgenerate endmodule
/* :Project FPGA-Imaging-Library :Design FrameController2 :Function Controlling a frame(block ram etc.), writing or reading with counts. For controlling a BlockRAM from xilinx. Give the first output after mul_delay + 2 + ram_read_latency cycles while the input enable. :Module Main module :Version 1.0 :Modified 2015-05-25 Copyright (C) 2015 Tianyu Dai (dtysky) <[email protected]> This library is free software; you can redistribute it and/or modify it under the terms of the GNU Lesser General Public License as published by the Free Software Foundation; either version 2.1 of the License, or (at your option) any later version. This library is distributed in the hope that it will be useful, but WITHOUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU Lesser General Public License for more details. You should have received a copy of the GNU Lesser General Public License along with this library; if not, write to the Free Software Foundation, Inc., 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA Homepage for this project: http://fil.dtysky.moe Sources for this project: https://github.com/dtysky/FPGA-Imaging-Library My e-mail: [email protected] My blog: http://dtysky.moe */ `timescale 1ns / 1ps module FrameController2( clk, rst_n, in_count_x, in_count_y, in_enable, in_data, out_ready, out_data, ram_addr); /* ::description This module's working mode. ::range 0 for Pipline, 1 for Req-ack */ parameter work_mode = 0; /* ::description This module's WR mode. ::range 0 for Write, 1 for Read */ parameter wr_mode = 0; /* ::description Data bit width. */ parameter data_width = 8; /* ::description Width of image. ::range 1 - 4096 */ parameter im_width = 320; /* ::description Height of image. ::range 1 - 4096 */ parameter im_height = 240; /* ::description The bits of width of image. ::range Depend on width of image */ parameter im_width_bits = 9; /* ::description Address bit width of a ram for storing this image. ::range Depend on im_width and im_height. */ parameter addr_width = 17; /* ::description RL of RAM, in xilinx 7-series device, it is 2. ::range 0 - 15, Depend on your using ram. */ parameter ram_read_latency = 2; /* ::description Delay for multiplier. ::range Depend on your multilpliers' configurations */ parameter mul_delay = 3; /* ::description Clock. */ input clk; /* ::description Reset, active low. */ input rst_n; /* ::description Input pixel count for width. */ input[im_width_bits - 1 : 0] in_count_x; /* ::description Input pixel count for height. */ input[im_width_bits - 1 : 0] in_count_y; /* ::description Input data enable, in pipeline mode, it works as another rst_n, in req-ack mode, only it is high will in_data can be really changes. */ input in_enable; /* ::description Input data, it must be synchronous with in_enable. */ input [data_width - 1 : 0] in_data; /* ::description Output data ready, in both two mode, it will be high while the out_data can be read. */ output out_ready; /* ::description Output data, it will be synchronous with out_ready. */ output[data_width - 1 : 0] out_data; /* ::description Address for ram. */ output[addr_width - 1 : 0] ram_addr; reg[3 : 0] con_enable; reg[im_width_bits - 1 : 0] reg_in_count_x; reg[im_width_bits - 1 : 0] reg_in_count_y; reg[addr_width - 1 : 0] reg_addr; wire[11 : 0] mul_a, mul_b; wire[23 : 0] mul_p; assign mul_a = {{(12 - im_width_bits){1'b0}}, in_count_y}; assign mul_b = im_width; genvar i; generate /* ::description Multiplier for Unsigned 12bits x Unsigned 12bits, used for creating address for frame. You can configure the multiplier by yourself, then change the "mul_delay". You can not change the ports' configurations! */ Multiplier12x12FR2 Mul(.CLK(clk), .A(mul_a), .B(mul_b), .SCLR(~rst_n), .P(mul_p)); for (i = 0; i < mul_delay; i = i + 1) begin : conut_buffer reg[im_width_bits - 1 : 0] b; if(i == 0) begin always @(posedge clk) b <= in_count_x; end else begin always @(posedge clk) b <= conut_buffer[i - 1].b; end end always @(posedge clk or negedge rst_n or negedge in_enable) begin if(~rst_n || ~in_enable) begin reg_addr <= 0; end else begin reg_addr <= mul_p + conut_buffer[mul_delay - 1].b; end end assign ram_addr = reg_addr; if(wr_mode == 0) begin always @(posedge clk or negedge rst_n or negedge in_enable) begin if(~rst_n || ~in_enable) con_enable <= 0; else if(con_enable == mul_delay + 1) con_enable <= con_enable; else con_enable <= con_enable + 1; end assign out_ready = con_enable == mul_delay + 1 ? 1 : 0; if(work_mode == 0) begin for (i = 0; i < mul_delay + 1; i = i + 1) begin : buffer reg[data_width - 1 : 0] b; if(i == 0) begin always @(posedge clk) b <= in_data; end else begin always @(posedge clk) b <= buffer[i - 1].b; end end assign out_data = out_ready ? buffer[mul_delay].b : 0; end else begin reg[data_width - 1 : 0] reg_out_data; always @(posedge in_enable) reg_out_data = in_data; assign out_data = out_ready ? reg_out_data : 0; end end else begin always @(posedge clk or negedge rst_n or negedge in_enable) begin if(~rst_n || ~in_enable) con_enable <= 0; else if (con_enable == mul_delay + 1 + ram_read_latency) con_enable <= con_enable; else con_enable <= con_enable + 1; end assign out_data = out_ready ? in_data : 0; assign out_ready = con_enable == mul_delay + 1 + ram_read_latency ? 1 : 0; end endgenerate endmodule
////////////////////////////////////////////////////////////////////////////////// // d_SC_KES_buffer.v for Cosmos OpenSSD // Copyright (c) 2015 Hanyang University ENC Lab. // Contributed by Jinwoo Jeong <[email protected]> // Ilyong Jung <[email protected]> // Yong Ho Song <[email protected]> // // This file is part of Cosmos OpenSSD. // // Cosmos OpenSSD is free software; you can redistribute it and/or modify // it under the terms of the GNU General Public License as published by // the Free Software Foundation; either version 3, or (at your option) // any later version. // // Cosmos OpenSSD is distributed in the hope that it will be useful, // but WITHOUT ANY WARRANTY; without even the implied warranty of // MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. // See the GNU General Public License for more details. // // You should have received a copy of the GNU General Public License // along with Cosmos OpenSSD; see the file COPYING. // If not, see <http://www.gnu.org/licenses/>. ////////////////////////////////////////////////////////////////////////////////// ////////////////////////////////////////////////////////////////////////////////// // Company: ENC Lab. <http://enc.hanyang.ac.kr> // Engineer: Jinwoo Jeong <[email protected]> // Ilyong Jung <[email protected]> // // Project Name: Cosmos OpenSSD // Design Name: BCH decoder (page decoder) syndrome buffer // Module Name: d_SC_KES_buffer // File Name: d_SC_KES_buffer.v // // Version: v1.0.0 // // Description: Syndrome buffer between SC and KES // ////////////////////////////////////////////////////////////////////////////////// ////////////////////////////////////////////////////////////////////////////////// // Revision History: // // * v1.0.0 // - first draft ////////////////////////////////////////////////////////////////////////////////// `timescale 1ns / 1ps module d_SC_KES_buffer #( parameter Multi = 2, parameter GF = 12, parameter Syndromes = 27 ) ( i_clk , i_RESET , i_stop_dec , i_kes_available , i_exe_buf , i_ELP_search_needed , i_syndromes , o_buf_available , o_exe_kes , o_chunk_number , o_data_fowarding , o_buf_sequence_end , o_syndromes ); input i_clk ; input i_RESET ; input i_stop_dec ; input i_kes_available ; input i_exe_buf ; input [Multi - 1:0] i_ELP_search_needed ; input [Multi*GF*Syndromes - 1:0] i_syndromes ; output reg o_exe_kes ; output o_buf_available ; output reg o_chunk_number ; output reg o_data_fowarding ; output reg o_buf_sequence_end ; output reg [Syndromes*GF - 1:0] o_syndromes ; reg [5:0] r_cur_state ; reg [5:0] r_nxt_state ; reg [2:0] r_count ; reg [Multi - 1:0] r_chunk_num ; reg r_data_fowarding ; reg [Multi*GF - 1:0] r_sdr_001 ; reg [Multi*GF - 1:0] r_sdr_002 ; reg [Multi*GF - 1:0] r_sdr_003 ; reg [Multi*GF - 1:0] r_sdr_004 ; reg [Multi*GF - 1:0] r_sdr_005 ; reg [Multi*GF - 1:0] r_sdr_006 ; reg [Multi*GF - 1:0] r_sdr_007 ; reg [Multi*GF - 1:0] r_sdr_008 ; reg [Multi*GF - 1:0] r_sdr_009 ; reg [Multi*GF - 1:0] r_sdr_010 ; reg [Multi*GF - 1:0] r_sdr_011 ; reg [Multi*GF - 1:0] r_sdr_012 ; reg [Multi*GF - 1:0] r_sdr_013 ; reg [Multi*GF - 1:0] r_sdr_014 ; reg [Multi*GF - 1:0] r_sdr_015 ; reg [Multi*GF - 1:0] r_sdr_016 ; reg [Multi*GF - 1:0] r_sdr_017 ; reg [Multi*GF - 1:0] r_sdr_018 ; reg [Multi*GF - 1:0] r_sdr_019 ; reg [Multi*GF - 1:0] r_sdr_020 ; reg [Multi*GF - 1:0] r_sdr_021 ; reg [Multi*GF - 1:0] r_sdr_022 ; reg [Multi*GF - 1:0] r_sdr_023 ; reg [Multi*GF - 1:0] r_sdr_024 ; reg [Multi*GF - 1:0] r_sdr_025 ; reg [Multi*GF - 1:0] r_sdr_026 ; reg [Multi*GF - 1:0] r_sdr_027 ; wire w_out_available ; localparam State_Idle = 6'b000001 ; localparam State_Input = 6'b000010 ; localparam State_Shift = 6'b000100 ; localparam State_Standby = 6'b001000 ; localparam State_FowardStandby = 6'b010000 ; localparam State_Output = 6'b100000 ; //assign o_exe_kes = (r_cur_state == State_Output); assign o_buf_available = (r_cur_state == State_Idle); always @ (posedge i_clk) if (i_RESET || i_stop_dec) r_cur_state <= State_Idle; else r_cur_state <= r_nxt_state; always @ (*) if (i_RESET || i_stop_dec) r_nxt_state <= State_Idle; else begin case (r_cur_state) State_Idle: r_nxt_state <= (i_exe_buf) ? State_Input : State_Idle; State_Input: r_nxt_state <= (r_chunk_num == 0)?State_FowardStandby:State_Standby; State_Standby: r_nxt_state <= (r_count == Multi) ? State_Idle : ((r_chunk_num[0] == 0) ? State_Shift : ((i_kes_available) ? State_Output : State_Standby)); State_FowardStandby: r_nxt_state <= (i_kes_available) ? State_Output : State_FowardStandby; State_Output: r_nxt_state <= ((r_count == Multi - 1) || (o_buf_sequence_end)) ? State_Idle : State_Shift; State_Shift: r_nxt_state <= State_Standby; default: r_nxt_state <= State_Idle; endcase end always @ (posedge i_clk) begin if (i_RESET || i_stop_dec) begin o_buf_sequence_end <= 0; o_exe_kes <= 0; end else begin case(r_nxt_state) State_Output: begin o_buf_sequence_end <= (r_count == Multi - 1) ? 1'b1 : (!(r_chunk_num[1]) ? 1'b1 : 1'b0); o_exe_kes <= 1'b1; end default: begin o_buf_sequence_end <= 0; o_exe_kes <= 0; end endcase end end always @ (posedge i_clk) begin if (i_RESET || i_stop_dec) r_data_fowarding <= 0; else begin case (r_nxt_state) State_Idle: r_data_fowarding <= 0; State_FowardStandby: r_data_fowarding <= 1; default: r_data_fowarding <= r_data_fowarding; endcase end end always @ (posedge i_clk) begin if (i_RESET || i_stop_dec) begin o_chunk_number <= 0; o_data_fowarding <= 0; o_syndromes <= 0; end else begin case(r_nxt_state) State_Output: begin o_chunk_number <= r_count[0]; o_data_fowarding <= r_data_fowarding; o_syndromes[Syndromes*GF - 1:0] <= { r_sdr_001[GF - 1:0], r_sdr_002[GF - 1:0], r_sdr_003[GF - 1:0], r_sdr_004[GF - 1:0], r_sdr_005[GF - 1:0], r_sdr_006[GF - 1:0], r_sdr_007[GF - 1:0], r_sdr_008[GF - 1:0], r_sdr_009[GF - 1:0], r_sdr_010[GF - 1:0], r_sdr_011[GF - 1:0], r_sdr_012[GF - 1:0], r_sdr_013[GF - 1:0], r_sdr_014[GF - 1:0], r_sdr_015[GF - 1:0], r_sdr_016[GF - 1:0], r_sdr_017[GF - 1:0], r_sdr_018[GF - 1:0], r_sdr_019[GF - 1:0], r_sdr_020[GF - 1:0], r_sdr_021[GF - 1:0], r_sdr_022[GF - 1:0], r_sdr_023[GF - 1:0], r_sdr_024[GF - 1:0], r_sdr_025[GF - 1:0], r_sdr_026[GF - 1:0], r_sdr_027[GF - 1:0] }; end default: begin o_chunk_number <= 0; o_data_fowarding <= 0; o_syndromes <= 0; end endcase end end always @ (posedge i_clk) begin if (i_RESET || i_stop_dec) begin r_count <= 0; r_chunk_num <= 0; r_sdr_001 <= 0; r_sdr_002 <= 0; r_sdr_003 <= 0; r_sdr_004 <= 0; r_sdr_005 <= 0; r_sdr_006 <= 0; r_sdr_007 <= 0; r_sdr_008 <= 0; r_sdr_009 <= 0; r_sdr_010 <= 0; r_sdr_011 <= 0; r_sdr_012 <= 0; r_sdr_013 <= 0; r_sdr_014 <= 0; r_sdr_015 <= 0; r_sdr_016 <= 0; r_sdr_017 <= 0; r_sdr_018 <= 0; r_sdr_019 <= 0; r_sdr_020 <= 0; r_sdr_021 <= 0; r_sdr_022 <= 0; r_sdr_023 <= 0; r_sdr_024 <= 0; r_sdr_025 <= 0; r_sdr_026 <= 0; r_sdr_027 <= 0; end else begin case (r_nxt_state) State_Idle: begin r_count <= 0; r_chunk_num <= 0; r_sdr_001 <= 0; r_sdr_002 <= 0; r_sdr_003 <= 0; r_sdr_004 <= 0; r_sdr_005 <= 0; r_sdr_006 <= 0; r_sdr_007 <= 0; r_sdr_008 <= 0; r_sdr_009 <= 0; r_sdr_010 <= 0; r_sdr_011 <= 0; r_sdr_012 <= 0; r_sdr_013 <= 0; r_sdr_014 <= 0; r_sdr_015 <= 0; r_sdr_016 <= 0; r_sdr_017 <= 0; r_sdr_018 <= 0; r_sdr_019 <= 0; r_sdr_020 <= 0; r_sdr_021 <= 0; r_sdr_022 <= 0; r_sdr_023 <= 0; r_sdr_024 <= 0; r_sdr_025 <= 0; r_sdr_026 <= 0; r_sdr_027 <= 0; end State_Input: begin r_count <= 0 ; r_chunk_num <= i_ELP_search_needed ; r_sdr_001 <= i_syndromes[Multi * GF * (Syndromes+1 - 1) - 1 : Multi * GF * (Syndromes+1 - 2)]; r_sdr_002 <= i_syndromes[Multi * GF * (Syndromes+1 - 2) - 1 : Multi * GF * (Syndromes+1 - 3)]; r_sdr_003 <= i_syndromes[Multi * GF * (Syndromes+1 - 3) - 1 : Multi * GF * (Syndromes+1 - 4)]; r_sdr_004 <= i_syndromes[Multi * GF * (Syndromes+1 - 4) - 1 : Multi * GF * (Syndromes+1 - 5)]; r_sdr_005 <= i_syndromes[Multi * GF * (Syndromes+1 - 5) - 1 : Multi * GF * (Syndromes+1 - 6)]; r_sdr_006 <= i_syndromes[Multi * GF * (Syndromes+1 - 6) - 1 : Multi * GF * (Syndromes+1 - 7)]; r_sdr_007 <= i_syndromes[Multi * GF * (Syndromes+1 - 7) - 1 : Multi * GF * (Syndromes+1 - 8)]; r_sdr_008 <= i_syndromes[Multi * GF * (Syndromes+1 - 8) - 1 : Multi * GF * (Syndromes+1 - 9)]; r_sdr_009 <= i_syndromes[Multi * GF * (Syndromes+1 - 9) - 1 : Multi * GF * (Syndromes+1 - 10)]; r_sdr_010 <= i_syndromes[Multi * GF * (Syndromes+1 - 10) - 1 : Multi * GF * (Syndromes+1 - 11)]; r_sdr_011 <= i_syndromes[Multi * GF * (Syndromes+1 - 11) - 1 : Multi * GF * (Syndromes+1 - 12)]; r_sdr_012 <= i_syndromes[Multi * GF * (Syndromes+1 - 12) - 1 : Multi * GF * (Syndromes+1 - 13)]; r_sdr_013 <= i_syndromes[Multi * GF * (Syndromes+1 - 13) - 1 : Multi * GF * (Syndromes+1 - 14)]; r_sdr_014 <= i_syndromes[Multi * GF * (Syndromes+1 - 14) - 1 : Multi * GF * (Syndromes+1 - 15)]; r_sdr_015 <= i_syndromes[Multi * GF * (Syndromes+1 - 15) - 1 : Multi * GF * (Syndromes+1 - 16)]; r_sdr_016 <= i_syndromes[Multi * GF * (Syndromes+1 - 16) - 1 : Multi * GF * (Syndromes+1 - 17)]; r_sdr_017 <= i_syndromes[Multi * GF * (Syndromes+1 - 17) - 1 : Multi * GF * (Syndromes+1 - 18)]; r_sdr_018 <= i_syndromes[Multi * GF * (Syndromes+1 - 18) - 1 : Multi * GF * (Syndromes+1 - 19)]; r_sdr_019 <= i_syndromes[Multi * GF * (Syndromes+1 - 19) - 1 : Multi * GF * (Syndromes+1 - 20)]; r_sdr_020 <= i_syndromes[Multi * GF * (Syndromes+1 - 20) - 1 : Multi * GF * (Syndromes+1 - 21)]; r_sdr_021 <= i_syndromes[Multi * GF * (Syndromes+1 - 21) - 1 : Multi * GF * (Syndromes+1 - 22)]; r_sdr_022 <= i_syndromes[Multi * GF * (Syndromes+1 - 22) - 1 : Multi * GF * (Syndromes+1 - 23)]; r_sdr_023 <= i_syndromes[Multi * GF * (Syndromes+1 - 23) - 1 : Multi * GF * (Syndromes+1 - 24)]; r_sdr_024 <= i_syndromes[Multi * GF * (Syndromes+1 - 24) - 1 : Multi * GF * (Syndromes+1 - 25)]; r_sdr_025 <= i_syndromes[Multi * GF * (Syndromes+1 - 25) - 1 : Multi * GF * (Syndromes+1 - 26)]; r_sdr_026 <= i_syndromes[Multi * GF * (Syndromes+1 - 26) - 1 : Multi * GF * (Syndromes+1 - 27)]; r_sdr_027 <= i_syndromes[Multi * GF * (Syndromes+1 - 27) - 1 : Multi * GF * (Syndromes+1 - 28)]; end State_Shift: begin r_count <= r_count + 1'b1; r_chunk_num <= r_chunk_num >> 1; r_sdr_001 <= r_sdr_001 >> GF; r_sdr_002 <= r_sdr_002 >> GF; r_sdr_003 <= r_sdr_003 >> GF; r_sdr_004 <= r_sdr_004 >> GF; r_sdr_005 <= r_sdr_005 >> GF; r_sdr_006 <= r_sdr_006 >> GF; r_sdr_007 <= r_sdr_007 >> GF; r_sdr_008 <= r_sdr_008 >> GF; r_sdr_009 <= r_sdr_009 >> GF; r_sdr_010 <= r_sdr_010 >> GF; r_sdr_011 <= r_sdr_011 >> GF; r_sdr_012 <= r_sdr_012 >> GF; r_sdr_013 <= r_sdr_013 >> GF; r_sdr_014 <= r_sdr_014 >> GF; r_sdr_015 <= r_sdr_015 >> GF; r_sdr_016 <= r_sdr_016 >> GF; r_sdr_017 <= r_sdr_017 >> GF; r_sdr_018 <= r_sdr_018 >> GF; r_sdr_019 <= r_sdr_019 >> GF; r_sdr_020 <= r_sdr_020 >> GF; r_sdr_021 <= r_sdr_021 >> GF; r_sdr_022 <= r_sdr_022 >> GF; r_sdr_023 <= r_sdr_023 >> GF; r_sdr_024 <= r_sdr_024 >> GF; r_sdr_025 <= r_sdr_025 >> GF; r_sdr_026 <= r_sdr_026 >> GF; r_sdr_027 <= r_sdr_027 >> GF; end default: begin r_count <= r_count; r_chunk_num <= r_chunk_num; r_sdr_001 <= r_sdr_001; r_sdr_002 <= r_sdr_002; r_sdr_003 <= r_sdr_003; r_sdr_004 <= r_sdr_004; r_sdr_005 <= r_sdr_005; r_sdr_006 <= r_sdr_006; r_sdr_007 <= r_sdr_007; r_sdr_008 <= r_sdr_008; r_sdr_009 <= r_sdr_009; r_sdr_010 <= r_sdr_010; r_sdr_011 <= r_sdr_011; r_sdr_012 <= r_sdr_012; r_sdr_013 <= r_sdr_013; r_sdr_014 <= r_sdr_014; r_sdr_015 <= r_sdr_015; r_sdr_016 <= r_sdr_016; r_sdr_017 <= r_sdr_017; r_sdr_018 <= r_sdr_018; r_sdr_019 <= r_sdr_019; r_sdr_020 <= r_sdr_020; r_sdr_021 <= r_sdr_021; r_sdr_022 <= r_sdr_022; r_sdr_023 <= r_sdr_023; r_sdr_024 <= r_sdr_024; r_sdr_025 <= r_sdr_025; r_sdr_026 <= r_sdr_026; r_sdr_027 <= r_sdr_027; end endcase end end endmodule
////////////////////////////////////////////////////////////////////////////////// // d_SC_KES_buffer.v for Cosmos OpenSSD // Copyright (c) 2015 Hanyang University ENC Lab. // Contributed by Jinwoo Jeong <[email protected]> // Ilyong Jung <[email protected]> // Yong Ho Song <[email protected]> // // This file is part of Cosmos OpenSSD. // // Cosmos OpenSSD is free software; you can redistribute it and/or modify // it under the terms of the GNU General Public License as published by // the Free Software Foundation; either version 3, or (at your option) // any later version. // // Cosmos OpenSSD is distributed in the hope that it will be useful, // but WITHOUT ANY WARRANTY; without even the implied warranty of // MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. // See the GNU General Public License for more details. // // You should have received a copy of the GNU General Public License // along with Cosmos OpenSSD; see the file COPYING. // If not, see <http://www.gnu.org/licenses/>. ////////////////////////////////////////////////////////////////////////////////// ////////////////////////////////////////////////////////////////////////////////// // Company: ENC Lab. <http://enc.hanyang.ac.kr> // Engineer: Jinwoo Jeong <[email protected]> // Ilyong Jung <[email protected]> // // Project Name: Cosmos OpenSSD // Design Name: BCH decoder (page decoder) syndrome buffer // Module Name: d_SC_KES_buffer // File Name: d_SC_KES_buffer.v // // Version: v1.0.0 // // Description: Syndrome buffer between SC and KES // ////////////////////////////////////////////////////////////////////////////////// ////////////////////////////////////////////////////////////////////////////////// // Revision History: // // * v1.0.0 // - first draft ////////////////////////////////////////////////////////////////////////////////// `timescale 1ns / 1ps module d_SC_KES_buffer #( parameter Multi = 2, parameter GF = 12, parameter Syndromes = 27 ) ( i_clk , i_RESET , i_stop_dec , i_kes_available , i_exe_buf , i_ELP_search_needed , i_syndromes , o_buf_available , o_exe_kes , o_chunk_number , o_data_fowarding , o_buf_sequence_end , o_syndromes ); input i_clk ; input i_RESET ; input i_stop_dec ; input i_kes_available ; input i_exe_buf ; input [Multi - 1:0] i_ELP_search_needed ; input [Multi*GF*Syndromes - 1:0] i_syndromes ; output reg o_exe_kes ; output o_buf_available ; output reg o_chunk_number ; output reg o_data_fowarding ; output reg o_buf_sequence_end ; output reg [Syndromes*GF - 1:0] o_syndromes ; reg [5:0] r_cur_state ; reg [5:0] r_nxt_state ; reg [2:0] r_count ; reg [Multi - 1:0] r_chunk_num ; reg r_data_fowarding ; reg [Multi*GF - 1:0] r_sdr_001 ; reg [Multi*GF - 1:0] r_sdr_002 ; reg [Multi*GF - 1:0] r_sdr_003 ; reg [Multi*GF - 1:0] r_sdr_004 ; reg [Multi*GF - 1:0] r_sdr_005 ; reg [Multi*GF - 1:0] r_sdr_006 ; reg [Multi*GF - 1:0] r_sdr_007 ; reg [Multi*GF - 1:0] r_sdr_008 ; reg [Multi*GF - 1:0] r_sdr_009 ; reg [Multi*GF - 1:0] r_sdr_010 ; reg [Multi*GF - 1:0] r_sdr_011 ; reg [Multi*GF - 1:0] r_sdr_012 ; reg [Multi*GF - 1:0] r_sdr_013 ; reg [Multi*GF - 1:0] r_sdr_014 ; reg [Multi*GF - 1:0] r_sdr_015 ; reg [Multi*GF - 1:0] r_sdr_016 ; reg [Multi*GF - 1:0] r_sdr_017 ; reg [Multi*GF - 1:0] r_sdr_018 ; reg [Multi*GF - 1:0] r_sdr_019 ; reg [Multi*GF - 1:0] r_sdr_020 ; reg [Multi*GF - 1:0] r_sdr_021 ; reg [Multi*GF - 1:0] r_sdr_022 ; reg [Multi*GF - 1:0] r_sdr_023 ; reg [Multi*GF - 1:0] r_sdr_024 ; reg [Multi*GF - 1:0] r_sdr_025 ; reg [Multi*GF - 1:0] r_sdr_026 ; reg [Multi*GF - 1:0] r_sdr_027 ; wire w_out_available ; localparam State_Idle = 6'b000001 ; localparam State_Input = 6'b000010 ; localparam State_Shift = 6'b000100 ; localparam State_Standby = 6'b001000 ; localparam State_FowardStandby = 6'b010000 ; localparam State_Output = 6'b100000 ; //assign o_exe_kes = (r_cur_state == State_Output); assign o_buf_available = (r_cur_state == State_Idle); always @ (posedge i_clk) if (i_RESET || i_stop_dec) r_cur_state <= State_Idle; else r_cur_state <= r_nxt_state; always @ (*) if (i_RESET || i_stop_dec) r_nxt_state <= State_Idle; else begin case (r_cur_state) State_Idle: r_nxt_state <= (i_exe_buf) ? State_Input : State_Idle; State_Input: r_nxt_state <= (r_chunk_num == 0)?State_FowardStandby:State_Standby; State_Standby: r_nxt_state <= (r_count == Multi) ? State_Idle : ((r_chunk_num[0] == 0) ? State_Shift : ((i_kes_available) ? State_Output : State_Standby)); State_FowardStandby: r_nxt_state <= (i_kes_available) ? State_Output : State_FowardStandby; State_Output: r_nxt_state <= ((r_count == Multi - 1) || (o_buf_sequence_end)) ? State_Idle : State_Shift; State_Shift: r_nxt_state <= State_Standby; default: r_nxt_state <= State_Idle; endcase end always @ (posedge i_clk) begin if (i_RESET || i_stop_dec) begin o_buf_sequence_end <= 0; o_exe_kes <= 0; end else begin case(r_nxt_state) State_Output: begin o_buf_sequence_end <= (r_count == Multi - 1) ? 1'b1 : (!(r_chunk_num[1]) ? 1'b1 : 1'b0); o_exe_kes <= 1'b1; end default: begin o_buf_sequence_end <= 0; o_exe_kes <= 0; end endcase end end always @ (posedge i_clk) begin if (i_RESET || i_stop_dec) r_data_fowarding <= 0; else begin case (r_nxt_state) State_Idle: r_data_fowarding <= 0; State_FowardStandby: r_data_fowarding <= 1; default: r_data_fowarding <= r_data_fowarding; endcase end end always @ (posedge i_clk) begin if (i_RESET || i_stop_dec) begin o_chunk_number <= 0; o_data_fowarding <= 0; o_syndromes <= 0; end else begin case(r_nxt_state) State_Output: begin o_chunk_number <= r_count[0]; o_data_fowarding <= r_data_fowarding; o_syndromes[Syndromes*GF - 1:0] <= { r_sdr_001[GF - 1:0], r_sdr_002[GF - 1:0], r_sdr_003[GF - 1:0], r_sdr_004[GF - 1:0], r_sdr_005[GF - 1:0], r_sdr_006[GF - 1:0], r_sdr_007[GF - 1:0], r_sdr_008[GF - 1:0], r_sdr_009[GF - 1:0], r_sdr_010[GF - 1:0], r_sdr_011[GF - 1:0], r_sdr_012[GF - 1:0], r_sdr_013[GF - 1:0], r_sdr_014[GF - 1:0], r_sdr_015[GF - 1:0], r_sdr_016[GF - 1:0], r_sdr_017[GF - 1:0], r_sdr_018[GF - 1:0], r_sdr_019[GF - 1:0], r_sdr_020[GF - 1:0], r_sdr_021[GF - 1:0], r_sdr_022[GF - 1:0], r_sdr_023[GF - 1:0], r_sdr_024[GF - 1:0], r_sdr_025[GF - 1:0], r_sdr_026[GF - 1:0], r_sdr_027[GF - 1:0] }; end default: begin o_chunk_number <= 0; o_data_fowarding <= 0; o_syndromes <= 0; end endcase end end always @ (posedge i_clk) begin if (i_RESET || i_stop_dec) begin r_count <= 0; r_chunk_num <= 0; r_sdr_001 <= 0; r_sdr_002 <= 0; r_sdr_003 <= 0; r_sdr_004 <= 0; r_sdr_005 <= 0; r_sdr_006 <= 0; r_sdr_007 <= 0; r_sdr_008 <= 0; r_sdr_009 <= 0; r_sdr_010 <= 0; r_sdr_011 <= 0; r_sdr_012 <= 0; r_sdr_013 <= 0; r_sdr_014 <= 0; r_sdr_015 <= 0; r_sdr_016 <= 0; r_sdr_017 <= 0; r_sdr_018 <= 0; r_sdr_019 <= 0; r_sdr_020 <= 0; r_sdr_021 <= 0; r_sdr_022 <= 0; r_sdr_023 <= 0; r_sdr_024 <= 0; r_sdr_025 <= 0; r_sdr_026 <= 0; r_sdr_027 <= 0; end else begin case (r_nxt_state) State_Idle: begin r_count <= 0; r_chunk_num <= 0; r_sdr_001 <= 0; r_sdr_002 <= 0; r_sdr_003 <= 0; r_sdr_004 <= 0; r_sdr_005 <= 0; r_sdr_006 <= 0; r_sdr_007 <= 0; r_sdr_008 <= 0; r_sdr_009 <= 0; r_sdr_010 <= 0; r_sdr_011 <= 0; r_sdr_012 <= 0; r_sdr_013 <= 0; r_sdr_014 <= 0; r_sdr_015 <= 0; r_sdr_016 <= 0; r_sdr_017 <= 0; r_sdr_018 <= 0; r_sdr_019 <= 0; r_sdr_020 <= 0; r_sdr_021 <= 0; r_sdr_022 <= 0; r_sdr_023 <= 0; r_sdr_024 <= 0; r_sdr_025 <= 0; r_sdr_026 <= 0; r_sdr_027 <= 0; end State_Input: begin r_count <= 0 ; r_chunk_num <= i_ELP_search_needed ; r_sdr_001 <= i_syndromes[Multi * GF * (Syndromes+1 - 1) - 1 : Multi * GF * (Syndromes+1 - 2)]; r_sdr_002 <= i_syndromes[Multi * GF * (Syndromes+1 - 2) - 1 : Multi * GF * (Syndromes+1 - 3)]; r_sdr_003 <= i_syndromes[Multi * GF * (Syndromes+1 - 3) - 1 : Multi * GF * (Syndromes+1 - 4)]; r_sdr_004 <= i_syndromes[Multi * GF * (Syndromes+1 - 4) - 1 : Multi * GF * (Syndromes+1 - 5)]; r_sdr_005 <= i_syndromes[Multi * GF * (Syndromes+1 - 5) - 1 : Multi * GF * (Syndromes+1 - 6)]; r_sdr_006 <= i_syndromes[Multi * GF * (Syndromes+1 - 6) - 1 : Multi * GF * (Syndromes+1 - 7)]; r_sdr_007 <= i_syndromes[Multi * GF * (Syndromes+1 - 7) - 1 : Multi * GF * (Syndromes+1 - 8)]; r_sdr_008 <= i_syndromes[Multi * GF * (Syndromes+1 - 8) - 1 : Multi * GF * (Syndromes+1 - 9)]; r_sdr_009 <= i_syndromes[Multi * GF * (Syndromes+1 - 9) - 1 : Multi * GF * (Syndromes+1 - 10)]; r_sdr_010 <= i_syndromes[Multi * GF * (Syndromes+1 - 10) - 1 : Multi * GF * (Syndromes+1 - 11)]; r_sdr_011 <= i_syndromes[Multi * GF * (Syndromes+1 - 11) - 1 : Multi * GF * (Syndromes+1 - 12)]; r_sdr_012 <= i_syndromes[Multi * GF * (Syndromes+1 - 12) - 1 : Multi * GF * (Syndromes+1 - 13)]; r_sdr_013 <= i_syndromes[Multi * GF * (Syndromes+1 - 13) - 1 : Multi * GF * (Syndromes+1 - 14)]; r_sdr_014 <= i_syndromes[Multi * GF * (Syndromes+1 - 14) - 1 : Multi * GF * (Syndromes+1 - 15)]; r_sdr_015 <= i_syndromes[Multi * GF * (Syndromes+1 - 15) - 1 : Multi * GF * (Syndromes+1 - 16)]; r_sdr_016 <= i_syndromes[Multi * GF * (Syndromes+1 - 16) - 1 : Multi * GF * (Syndromes+1 - 17)]; r_sdr_017 <= i_syndromes[Multi * GF * (Syndromes+1 - 17) - 1 : Multi * GF * (Syndromes+1 - 18)]; r_sdr_018 <= i_syndromes[Multi * GF * (Syndromes+1 - 18) - 1 : Multi * GF * (Syndromes+1 - 19)]; r_sdr_019 <= i_syndromes[Multi * GF * (Syndromes+1 - 19) - 1 : Multi * GF * (Syndromes+1 - 20)]; r_sdr_020 <= i_syndromes[Multi * GF * (Syndromes+1 - 20) - 1 : Multi * GF * (Syndromes+1 - 21)]; r_sdr_021 <= i_syndromes[Multi * GF * (Syndromes+1 - 21) - 1 : Multi * GF * (Syndromes+1 - 22)]; r_sdr_022 <= i_syndromes[Multi * GF * (Syndromes+1 - 22) - 1 : Multi * GF * (Syndromes+1 - 23)]; r_sdr_023 <= i_syndromes[Multi * GF * (Syndromes+1 - 23) - 1 : Multi * GF * (Syndromes+1 - 24)]; r_sdr_024 <= i_syndromes[Multi * GF * (Syndromes+1 - 24) - 1 : Multi * GF * (Syndromes+1 - 25)]; r_sdr_025 <= i_syndromes[Multi * GF * (Syndromes+1 - 25) - 1 : Multi * GF * (Syndromes+1 - 26)]; r_sdr_026 <= i_syndromes[Multi * GF * (Syndromes+1 - 26) - 1 : Multi * GF * (Syndromes+1 - 27)]; r_sdr_027 <= i_syndromes[Multi * GF * (Syndromes+1 - 27) - 1 : Multi * GF * (Syndromes+1 - 28)]; end State_Shift: begin r_count <= r_count + 1'b1; r_chunk_num <= r_chunk_num >> 1; r_sdr_001 <= r_sdr_001 >> GF; r_sdr_002 <= r_sdr_002 >> GF; r_sdr_003 <= r_sdr_003 >> GF; r_sdr_004 <= r_sdr_004 >> GF; r_sdr_005 <= r_sdr_005 >> GF; r_sdr_006 <= r_sdr_006 >> GF; r_sdr_007 <= r_sdr_007 >> GF; r_sdr_008 <= r_sdr_008 >> GF; r_sdr_009 <= r_sdr_009 >> GF; r_sdr_010 <= r_sdr_010 >> GF; r_sdr_011 <= r_sdr_011 >> GF; r_sdr_012 <= r_sdr_012 >> GF; r_sdr_013 <= r_sdr_013 >> GF; r_sdr_014 <= r_sdr_014 >> GF; r_sdr_015 <= r_sdr_015 >> GF; r_sdr_016 <= r_sdr_016 >> GF; r_sdr_017 <= r_sdr_017 >> GF; r_sdr_018 <= r_sdr_018 >> GF; r_sdr_019 <= r_sdr_019 >> GF; r_sdr_020 <= r_sdr_020 >> GF; r_sdr_021 <= r_sdr_021 >> GF; r_sdr_022 <= r_sdr_022 >> GF; r_sdr_023 <= r_sdr_023 >> GF; r_sdr_024 <= r_sdr_024 >> GF; r_sdr_025 <= r_sdr_025 >> GF; r_sdr_026 <= r_sdr_026 >> GF; r_sdr_027 <= r_sdr_027 >> GF; end default: begin r_count <= r_count; r_chunk_num <= r_chunk_num; r_sdr_001 <= r_sdr_001; r_sdr_002 <= r_sdr_002; r_sdr_003 <= r_sdr_003; r_sdr_004 <= r_sdr_004; r_sdr_005 <= r_sdr_005; r_sdr_006 <= r_sdr_006; r_sdr_007 <= r_sdr_007; r_sdr_008 <= r_sdr_008; r_sdr_009 <= r_sdr_009; r_sdr_010 <= r_sdr_010; r_sdr_011 <= r_sdr_011; r_sdr_012 <= r_sdr_012; r_sdr_013 <= r_sdr_013; r_sdr_014 <= r_sdr_014; r_sdr_015 <= r_sdr_015; r_sdr_016 <= r_sdr_016; r_sdr_017 <= r_sdr_017; r_sdr_018 <= r_sdr_018; r_sdr_019 <= r_sdr_019; r_sdr_020 <= r_sdr_020; r_sdr_021 <= r_sdr_021; r_sdr_022 <= r_sdr_022; r_sdr_023 <= r_sdr_023; r_sdr_024 <= r_sdr_024; r_sdr_025 <= r_sdr_025; r_sdr_026 <= r_sdr_026; r_sdr_027 <= r_sdr_027; end endcase end end endmodule
`timescale 1ns/10ps module soc_design_system_pll( // interface 'refclk' input wire refclk, // interface 'reset' input wire rst, // interface 'outclk0' output wire outclk_0, // interface 'outclk1' output wire outclk_1, // interface 'locked' output wire locked ); altera_pll #( .fractional_vco_multiplier("false"), .reference_clock_frequency("50.0 MHz"), .operation_mode("direct"), .number_of_clocks(2), .output_clock_frequency0("100.000000 MHz"), .phase_shift0("0 ps"), .duty_cycle0(50), .output_clock_frequency1("100.000000 MHz"), .phase_shift1("8250 ps"), .duty_cycle1(50), .output_clock_frequency2("0 MHz"), .phase_shift2("0 ps"), .duty_cycle2(50), .output_clock_frequency3("0 MHz"), .phase_shift3("0 ps"), .duty_cycle3(50), .output_clock_frequency4("0 MHz"), .phase_shift4("0 ps"), .duty_cycle4(50), .output_clock_frequency5("0 MHz"), .phase_shift5("0 ps"), .duty_cycle5(50), .output_clock_frequency6("0 MHz"), .phase_shift6("0 ps"), .duty_cycle6(50), .output_clock_frequency7("0 MHz"), .phase_shift7("0 ps"), .duty_cycle7(50), .output_clock_frequency8("0 MHz"), .phase_shift8("0 ps"), .duty_cycle8(50), .output_clock_frequency9("0 MHz"), .phase_shift9("0 ps"), .duty_cycle9(50), .output_clock_frequency10("0 MHz"), .phase_shift10("0 ps"), .duty_cycle10(50), .output_clock_frequency11("0 MHz"), .phase_shift11("0 ps"), .duty_cycle11(50), .output_clock_frequency12("0 MHz"), .phase_shift12("0 ps"), .duty_cycle12(50), .output_clock_frequency13("0 MHz"), .phase_shift13("0 ps"), .duty_cycle13(50), .output_clock_frequency14("0 MHz"), .phase_shift14("0 ps"), .duty_cycle14(50), .output_clock_frequency15("0 MHz"), .phase_shift15("0 ps"), .duty_cycle15(50), .output_clock_frequency16("0 MHz"), .phase_shift16("0 ps"), .duty_cycle16(50), .output_clock_frequency17("0 MHz"), .phase_shift17("0 ps"), .duty_cycle17(50), .pll_type("General"), .pll_subtype("General") ) altera_pll_i ( .rst (rst), .outclk ({outclk_1, outclk_0}), .locked (locked), .fboutclk ( ), .fbclk (1'b0), .refclk (refclk) ); endmodule
`timescale 1ns/10ps module soc_design_system_pll( // interface 'refclk' input wire refclk, // interface 'reset' input wire rst, // interface 'outclk0' output wire outclk_0, // interface 'outclk1' output wire outclk_1, // interface 'locked' output wire locked ); altera_pll #( .fractional_vco_multiplier("false"), .reference_clock_frequency("50.0 MHz"), .operation_mode("direct"), .number_of_clocks(2), .output_clock_frequency0("100.000000 MHz"), .phase_shift0("0 ps"), .duty_cycle0(50), .output_clock_frequency1("100.000000 MHz"), .phase_shift1("8250 ps"), .duty_cycle1(50), .output_clock_frequency2("0 MHz"), .phase_shift2("0 ps"), .duty_cycle2(50), .output_clock_frequency3("0 MHz"), .phase_shift3("0 ps"), .duty_cycle3(50), .output_clock_frequency4("0 MHz"), .phase_shift4("0 ps"), .duty_cycle4(50), .output_clock_frequency5("0 MHz"), .phase_shift5("0 ps"), .duty_cycle5(50), .output_clock_frequency6("0 MHz"), .phase_shift6("0 ps"), .duty_cycle6(50), .output_clock_frequency7("0 MHz"), .phase_shift7("0 ps"), .duty_cycle7(50), .output_clock_frequency8("0 MHz"), .phase_shift8("0 ps"), .duty_cycle8(50), .output_clock_frequency9("0 MHz"), .phase_shift9("0 ps"), .duty_cycle9(50), .output_clock_frequency10("0 MHz"), .phase_shift10("0 ps"), .duty_cycle10(50), .output_clock_frequency11("0 MHz"), .phase_shift11("0 ps"), .duty_cycle11(50), .output_clock_frequency12("0 MHz"), .phase_shift12("0 ps"), .duty_cycle12(50), .output_clock_frequency13("0 MHz"), .phase_shift13("0 ps"), .duty_cycle13(50), .output_clock_frequency14("0 MHz"), .phase_shift14("0 ps"), .duty_cycle14(50), .output_clock_frequency15("0 MHz"), .phase_shift15("0 ps"), .duty_cycle15(50), .output_clock_frequency16("0 MHz"), .phase_shift16("0 ps"), .duty_cycle16(50), .output_clock_frequency17("0 MHz"), .phase_shift17("0 ps"), .duty_cycle17(50), .pll_type("General"), .pll_subtype("General") ) altera_pll_i ( .rst (rst), .outclk ({outclk_1, outclk_0}), .locked (locked), .fboutclk ( ), .fbclk (1'b0), .refclk (refclk) ); endmodule
//Legal Notice: (C)2017 Altera Corporation. All rights reserved. Your //use of Altera Corporation's design tools, logic functions and other //software and tools, and its AMPP partner logic functions, and any //output files any of the foregoing (including device programming or //simulation files), and any associated documentation or information are //expressly subject to the terms and conditions of the Altera Program //License Subscription Agreement or other applicable license agreement, //including, without limitation, that your use is for the sole purpose //of programming logic devices manufactured by Altera and sold by Altera //or its authorized distributors. Please refer to the applicable //agreement for further details. // synthesis translate_off `timescale 1ns / 1ps // synthesis translate_on // turn off superfluous verilog processor warnings // altera message_level Level1 // altera message_off 10034 10035 10036 10037 10230 10240 10030 module soc_design_SDRAM_input_efifo_module ( // inputs: clk, rd, reset_n, wr, wr_data, // outputs: almost_empty, almost_full, empty, full, rd_data ) ; output almost_empty; output almost_full; output empty; output full; output [ 43: 0] rd_data; input clk; input rd; input reset_n; input wr; input [ 43: 0] wr_data; wire almost_empty; wire almost_full; wire empty; reg [ 1: 0] entries; reg [ 43: 0] entry_0; reg [ 43: 0] entry_1; wire full; reg rd_address; reg [ 43: 0] rd_data; wire [ 1: 0] rdwr; reg wr_address; assign rdwr = {rd, wr}; assign full = entries == 2; assign almost_full = entries >= 1; assign empty = entries == 0; assign almost_empty = entries <= 1; always @(entry_0 or entry_1 or rd_address) begin case (rd_address) // synthesis parallel_case full_case 1'd0: begin rd_data = entry_0; end // 1'd0 1'd1: begin rd_data = entry_1; end // 1'd1 default: begin end // default endcase // rd_address end always @(posedge clk or negedge reset_n) begin if (reset_n == 0) begin wr_address <= 0; rd_address <= 0; entries <= 0; end else case (rdwr) // synthesis parallel_case full_case 2'd1: begin // Write data if (!full) begin entries <= entries + 1; wr_address <= (wr_address == 1) ? 0 : (wr_address + 1); end end // 2'd1 2'd2: begin // Read data if (!empty) begin entries <= entries - 1; rd_address <= (rd_address == 1) ? 0 : (rd_address + 1); end end // 2'd2 2'd3: begin wr_address <= (wr_address == 1) ? 0 : (wr_address + 1); rd_address <= (rd_address == 1) ? 0 : (rd_address + 1); end // 2'd3 default: begin end // default endcase // rdwr end always @(posedge clk) begin //Write data if (wr & !full) case (wr_address) // synthesis parallel_case full_case 1'd0: begin entry_0 <= wr_data; end // 1'd0 1'd1: begin entry_1 <= wr_data; end // 1'd1 default: begin end // default endcase // wr_address end endmodule // synthesis translate_off `timescale 1ns / 1ps // synthesis translate_on // turn off superfluous verilog processor warnings // altera message_level Level1 // altera message_off 10034 10035 10036 10037 10230 10240 10030 module soc_design_SDRAM ( // inputs: az_addr, az_be_n, az_cs, az_data, az_rd_n, az_wr_n, clk, reset_n, // outputs: za_data, za_valid, za_waitrequest, zs_addr, zs_ba, zs_cas_n, zs_cke, zs_cs_n, zs_dq, zs_dqm, zs_ras_n, zs_we_n ) ; output [ 15: 0] za_data; output za_valid; output za_waitrequest; output [ 12: 0] zs_addr; output [ 1: 0] zs_ba; output zs_cas_n; output zs_cke; output zs_cs_n; inout [ 15: 0] zs_dq; output [ 1: 0] zs_dqm; output zs_ras_n; output zs_we_n; input [ 24: 0] az_addr; input [ 1: 0] az_be_n; input az_cs; input [ 15: 0] az_data; input az_rd_n; input az_wr_n; input clk; input reset_n; wire [ 23: 0] CODE; reg ack_refresh_request; reg [ 24: 0] active_addr; wire [ 1: 0] active_bank; reg active_cs_n; reg [ 15: 0] active_data; reg [ 1: 0] active_dqm; reg active_rnw; wire almost_empty; wire almost_full; wire bank_match; wire [ 9: 0] cas_addr; wire clk_en; wire [ 3: 0] cmd_all; wire [ 2: 0] cmd_code; wire cs_n; wire csn_decode; wire csn_match; wire [ 24: 0] f_addr; wire [ 1: 0] f_bank; wire f_cs_n; wire [ 15: 0] f_data; wire [ 1: 0] f_dqm; wire f_empty; reg f_pop; wire f_rnw; wire f_select; wire [ 43: 0] fifo_read_data; reg [ 12: 0] i_addr; reg [ 3: 0] i_cmd; reg [ 2: 0] i_count; reg [ 2: 0] i_next; reg [ 2: 0] i_refs; reg [ 2: 0] i_state; reg init_done; reg [ 12: 0] m_addr /* synthesis ALTERA_ATTRIBUTE = "FAST_OUTPUT_REGISTER=ON" */; reg [ 1: 0] m_bank /* synthesis ALTERA_ATTRIBUTE = "FAST_OUTPUT_REGISTER=ON" */; reg [ 3: 0] m_cmd /* synthesis ALTERA_ATTRIBUTE = "FAST_OUTPUT_REGISTER=ON" */; reg [ 2: 0] m_count; reg [ 15: 0] m_data /* synthesis ALTERA_ATTRIBUTE = "FAST_OUTPUT_REGISTER=ON ; FAST_OUTPUT_ENABLE_REGISTER=ON" */; reg [ 1: 0] m_dqm /* synthesis ALTERA_ATTRIBUTE = "FAST_OUTPUT_REGISTER=ON" */; reg [ 8: 0] m_next; reg [ 8: 0] m_state; reg oe /* synthesis ALTERA_ATTRIBUTE = "FAST_OUTPUT_ENABLE_REGISTER=ON" */; wire pending; wire rd_strobe; reg [ 2: 0] rd_valid; reg [ 14: 0] refresh_counter; reg refresh_request; wire rnw_match; wire row_match; wire [ 23: 0] txt_code; reg za_cannotrefresh; reg [ 15: 0] za_data /* synthesis ALTERA_ATTRIBUTE = "FAST_INPUT_REGISTER=ON" */; reg za_valid; wire za_waitrequest; wire [ 12: 0] zs_addr; wire [ 1: 0] zs_ba; wire zs_cas_n; wire zs_cke; wire zs_cs_n; wire [ 15: 0] zs_dq; wire [ 1: 0] zs_dqm; wire zs_ras_n; wire zs_we_n; assign clk_en = 1; //s1, which is an e_avalon_slave assign {zs_cs_n, zs_ras_n, zs_cas_n, zs_we_n} = m_cmd; assign zs_addr = m_addr; assign zs_cke = clk_en; assign zs_dq = oe?m_data:{16{1'bz}}; assign zs_dqm = m_dqm; assign zs_ba = m_bank; assign f_select = f_pop & pending; assign f_cs_n = 1'b0; assign cs_n = f_select ? f_cs_n : active_cs_n; assign csn_decode = cs_n; assign {f_rnw, f_addr, f_dqm, f_data} = fifo_read_data; soc_design_SDRAM_input_efifo_module the_soc_design_SDRAM_input_efifo_module ( .almost_empty (almost_empty), .almost_full (almost_full), .clk (clk), .empty (f_empty), .full (za_waitrequest), .rd (f_select), .rd_data (fifo_read_data), .reset_n (reset_n), .wr ((~az_wr_n | ~az_rd_n) & !za_waitrequest), .wr_data ({az_wr_n, az_addr, az_wr_n ? 2'b0 : az_be_n, az_data}) ); assign f_bank = {f_addr[24],f_addr[10]}; // Refresh/init counter. always @(posedge clk or negedge reset_n) begin if (reset_n == 0) refresh_counter <= 20000; else if (refresh_counter == 0) refresh_counter <= 3124; else refresh_counter <= refresh_counter - 1'b1; end // Refresh request signal. always @(posedge clk or negedge reset_n) begin if (reset_n == 0) refresh_request <= 0; else if (1) refresh_request <= ((refresh_counter == 0) | refresh_request) & ~ack_refresh_request & init_done; end // Generate an Interrupt if two ref_reqs occur before one ack_refresh_request always @(posedge clk or negedge reset_n) begin if (reset_n == 0) za_cannotrefresh <= 0; else if (1) za_cannotrefresh <= (refresh_counter == 0) & refresh_request; end // Initialization-done flag. always @(posedge clk or negedge reset_n) begin if (reset_n == 0) init_done <= 0; else if (1) init_done <= init_done | (i_state == 3'b101); end // **** Init FSM **** always @(posedge clk or negedge reset_n) begin if (reset_n == 0) begin i_state <= 3'b000; i_next <= 3'b000; i_cmd <= 4'b1111; i_addr <= {13{1'b1}}; i_count <= {3{1'b0}}; end else begin i_addr <= {13{1'b1}}; case (i_state) // synthesis parallel_case full_case 3'b000: begin i_cmd <= 4'b1111; i_refs <= 3'b0; //Wait for refresh count-down after reset if (refresh_counter == 0) i_state <= 3'b001; end // 3'b000 3'b001: begin i_state <= 3'b011; i_cmd <= {{1{1'b0}},3'h2}; i_count <= 3; i_next <= 3'b010; end // 3'b001 3'b010: begin i_cmd <= {{1{1'b0}},3'h1}; i_refs <= i_refs + 1'b1; i_state <= 3'b011; i_count <= 7; // Count up init_refresh_commands if (i_refs == 3'h7) i_next <= 3'b111; else i_next <= 3'b010; end // 3'b010 3'b011: begin i_cmd <= {{1{1'b0}},3'h7}; //WAIT til safe to Proceed... if (i_count > 1) i_count <= i_count - 1'b1; else i_state <= i_next; end // 3'b011 3'b101: begin i_state <= 3'b101; end // 3'b101 3'b111: begin i_state <= 3'b011; i_cmd <= {{1{1'b0}},3'h0}; i_addr <= {{3{1'b0}},1'b0,2'b00,3'h3,4'h0}; i_count <= 2; i_next <= 3'b101; end // 3'b111 default: begin i_state <= 3'b000; end // default endcase // i_state end end assign active_bank = {active_addr[24],active_addr[10]}; assign csn_match = active_cs_n == f_cs_n; assign rnw_match = active_rnw == f_rnw; assign bank_match = active_bank == f_bank; assign row_match = {active_addr[23 : 11]} == {f_addr[23 : 11]}; assign pending = csn_match && rnw_match && bank_match && row_match && !f_empty; assign cas_addr = f_select ? { {3{1'b0}},f_addr[9 : 0] } : { {3{1'b0}},active_addr[9 : 0] }; // **** Main FSM **** always @(posedge clk or negedge reset_n) begin if (reset_n == 0) begin m_state <= 9'b000000001; m_next <= 9'b000000001; m_cmd <= 4'b1111; m_bank <= 2'b00; m_addr <= 13'b0000000000000; m_data <= 16'b0000000000000000; m_dqm <= 2'b00; m_count <= 3'b000; ack_refresh_request <= 1'b0; f_pop <= 1'b0; oe <= 1'b0; end else begin f_pop <= 1'b0; oe <= 1'b0; case (m_state) // synthesis parallel_case full_case 9'b000000001: begin //Wait for init-fsm to be done... if (init_done) begin //Hold bus if another cycle ended to arf. if (refresh_request) m_cmd <= {{1{1'b0}},3'h7}; else m_cmd <= 4'b1111; ack_refresh_request <= 1'b0; //Wait for a read/write request. if (refresh_request) begin m_state <= 9'b001000000; m_next <= 9'b010000000; m_count <= 3; active_cs_n <= 1'b1; end else if (!f_empty) begin f_pop <= 1'b1; active_cs_n <= f_cs_n; active_rnw <= f_rnw; active_addr <= f_addr; active_data <= f_data; active_dqm <= f_dqm; m_state <= 9'b000000010; end end else begin m_addr <= i_addr; m_state <= 9'b000000001; m_next <= 9'b000000001; m_cmd <= i_cmd; end end // 9'b000000001 9'b000000010: begin m_state <= 9'b000000100; m_cmd <= {csn_decode,3'h3}; m_bank <= active_bank; m_addr <= active_addr[23 : 11]; m_data <= active_data; m_dqm <= active_dqm; m_count <= 4; m_next <= active_rnw ? 9'b000001000 : 9'b000010000; end // 9'b000000010 9'b000000100: begin // precharge all if arf, else precharge csn_decode if (m_next == 9'b010000000) m_cmd <= {{1{1'b0}},3'h7}; else m_cmd <= {csn_decode,3'h7}; //Count down til safe to Proceed... if (m_count > 1) m_count <= m_count - 1'b1; else m_state <= m_next; end // 9'b000000100 9'b000001000: begin m_cmd <= {csn_decode,3'h5}; m_bank <= f_select ? f_bank : active_bank; m_dqm <= f_select ? f_dqm : active_dqm; m_addr <= cas_addr; //Do we have a transaction pending? if (pending) begin //if we need to ARF, bail, else spin if (refresh_request) begin m_state <= 9'b000000100; m_next <= 9'b000000001; m_count <= 2; end else begin f_pop <= 1'b1; active_cs_n <= f_cs_n; active_rnw <= f_rnw; active_addr <= f_addr; active_data <= f_data; active_dqm <= f_dqm; end end else begin //correctly end RD spin cycle if fifo mt if (~pending & f_pop) m_cmd <= {csn_decode,3'h7}; m_state <= 9'b100000000; end end // 9'b000001000 9'b000010000: begin m_cmd <= {csn_decode,3'h4}; oe <= 1'b1; m_data <= f_select ? f_data : active_data; m_dqm <= f_select ? f_dqm : active_dqm; m_bank <= f_select ? f_bank : active_bank; m_addr <= cas_addr; //Do we have a transaction pending? if (pending) begin //if we need to ARF, bail, else spin if (refresh_request) begin m_state <= 9'b000000100; m_next <= 9'b000000001; m_count <= 2; end else begin f_pop <= 1'b1; active_cs_n <= f_cs_n; active_rnw <= f_rnw; active_addr <= f_addr; active_data <= f_data; active_dqm <= f_dqm; end end else begin //correctly end WR spin cycle if fifo empty if (~pending & f_pop) begin m_cmd <= {csn_decode,3'h7}; oe <= 1'b0; end m_state <= 9'b100000000; end end // 9'b000010000 9'b000100000: begin m_cmd <= {csn_decode,3'h7}; //Count down til safe to Proceed... if (m_count > 1) m_count <= m_count - 1'b1; else begin m_state <= 9'b001000000; m_count <= 3; end end // 9'b000100000 9'b001000000: begin m_state <= 9'b000000100; m_addr <= {13{1'b1}}; // precharge all if arf, else precharge csn_decode if (refresh_request) m_cmd <= {{1{1'b0}},3'h2}; else m_cmd <= {csn_decode,3'h2}; end // 9'b001000000 9'b010000000: begin ack_refresh_request <= 1'b1; m_state <= 9'b000000100; m_cmd <= {{1{1'b0}},3'h1}; m_count <= 7; m_next <= 9'b000000001; end // 9'b010000000 9'b100000000: begin m_cmd <= {csn_decode,3'h7}; //if we need to ARF, bail, else spin if (refresh_request) begin m_state <= 9'b000000100; m_next <= 9'b000000001; m_count <= 1; end else //wait for fifo to have contents if (!f_empty) //Are we 'pending' yet? if (csn_match && rnw_match && bank_match && row_match) begin m_state <= f_rnw ? 9'b000001000 : 9'b000010000; f_pop <= 1'b1; active_cs_n <= f_cs_n; active_rnw <= f_rnw; active_addr <= f_addr; active_data <= f_data; active_dqm <= f_dqm; end else begin m_state <= 9'b000100000; m_next <= 9'b000000001; m_count <= 1; end end // 9'b100000000 // synthesis translate_off default: begin m_state <= m_state; m_cmd <= 4'b1111; f_pop <= 1'b0; oe <= 1'b0; end // default // synthesis translate_on endcase // m_state end end assign rd_strobe = m_cmd[2 : 0] == 3'h5; //Track RD Req's based on cas_latency w/shift reg always @(posedge clk or negedge reset_n) begin if (reset_n == 0) rd_valid <= {3{1'b0}}; else rd_valid <= (rd_valid << 1) | { {2{1'b0}}, rd_strobe }; end // Register dq data. always @(posedge clk or negedge reset_n) begin if (reset_n == 0) za_data <= 0; else za_data <= zs_dq; end // Delay za_valid to match registered data. always @(posedge clk or negedge reset_n) begin if (reset_n == 0) za_valid <= 0; else if (1) za_valid <= rd_valid[2]; end assign cmd_code = m_cmd[2 : 0]; assign cmd_all = m_cmd; //synthesis translate_off //////////////// SIMULATION-ONLY CONTENTS initial begin $write("\n"); $write("This reference design requires a vendor simulation model.\n"); $write("To simulate accesses to SDRAM, you must:\n"); $write(" - Download the vendor model\n"); $write(" - Install the model in the system_sim directory\n"); $write(" - `include the vendor model in the the top-level system file,\n"); $write(" - Instantiate sdram simulation models and wire them to testbench signals\n"); $write(" - Be aware that you may have to disable some timing checks in the vendor model\n"); $write(" (because this simulation is zero-delay based)\n"); $write("\n"); end assign txt_code = (cmd_code == 3'h0)? 24'h4c4d52 : (cmd_code == 3'h1)? 24'h415246 : (cmd_code == 3'h2)? 24'h505245 : (cmd_code == 3'h3)? 24'h414354 : (cmd_code == 3'h4)? 24'h205752 : (cmd_code == 3'h5)? 24'h205244 : (cmd_code == 3'h6)? 24'h425354 : (cmd_code == 3'h7)? 24'h4e4f50 : 24'h424144; assign CODE = &(cmd_all|4'h7) ? 24'h494e48 : txt_code; //////////////// END SIMULATION-ONLY CONTENTS //synthesis translate_on endmodule
// ---------------------------------------------------------------------- // Copyright (c) 2016, The Regents of the University of California All // rights reserved. // // Redistribution and use in source and binary forms, with or without // modification, are permitted provided that the following conditions are // met: // // * Redistributions of source code must retain the above copyright // notice, this list of conditions and the following disclaimer. // // * Redistributions in binary form must reproduce the above // copyright notice, this list of conditions and the following // disclaimer in the documentation and/or other materials provided // with the distribution. // // * Neither the name of The Regents of the University of California // nor the names of its contributors may be used to endorse or // promote products derived from this software without specific // prior written permission. // // THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS // "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT // LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR // A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL REGENTS OF THE // UNIVERSITY OF CALIFORNIA BE LIABLE FOR ANY DIRECT, INDIRECT, // INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, // BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS // OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND // ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR // TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE // USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH // DAMAGE. // ---------------------------------------------------------------------- //---------------------------------------------------------------------------- // Filename: rxc_engine_classic.v // Version: 1.0 // Verilog Standard: Verilog-2001 // Description: The RXC Engine (Classic) takes a single stream of TLP // packets and provides the completion packets on the RXC Interface. // This Engine is capable of operating at "line rate". // Author: Dustin Richmond (@darichmond) //----------------------------------------------------------------------------- `timescale 1ns/1ns `include "trellis.vh" `include "tlp.vh" module rxc_engine_classic #(parameter C_VENDOR = "ALTERA", parameter C_PCI_DATA_WIDTH = 128, parameter C_RX_PIPELINE_DEPTH = 10) (// Interface: Clocks input CLK, // Interface: Resets input RST_BUS, // Replacement for generic RST_IN input RST_LOGIC, // Addition for RIFFA_RST output DONE_RXC_RST, // Interface: RX Classic input [C_PCI_DATA_WIDTH-1:0] RX_TLP, input RX_TLP_VALID, input RX_TLP_START_FLAG, input [`SIG_OFFSET_W-1:0] RX_TLP_START_OFFSET, input RX_TLP_END_FLAG, input [`SIG_OFFSET_W-1:0] RX_TLP_END_OFFSET, input [`SIG_BARDECODE_W-1:0] RX_TLP_BAR_DECODE, // Interface: RXC Engine output [C_PCI_DATA_WIDTH-1:0] RXC_DATA, output RXC_DATA_VALID, output [(C_PCI_DATA_WIDTH/32)-1:0] RXC_DATA_WORD_ENABLE, output RXC_DATA_START_FLAG, output [clog2s(C_PCI_DATA_WIDTH/32)-1:0] RXC_DATA_START_OFFSET, output RXC_DATA_END_FLAG, output [clog2s(C_PCI_DATA_WIDTH/32)-1:0] RXC_DATA_END_OFFSET, output [`SIG_LBE_W-1:0] RXC_META_LDWBE, output [`SIG_FBE_W-1:0] RXC_META_FDWBE, output [`SIG_TAG_W-1:0] RXC_META_TAG, output [`SIG_LOWADDR_W-1:0] RXC_META_ADDR, output [`SIG_TYPE_W-1:0] RXC_META_TYPE, output [`SIG_LEN_W-1:0] RXC_META_LENGTH, output [`SIG_BYTECNT_W-1:0] RXC_META_BYTES_REMAINING, output [`SIG_CPLID_W-1:0] RXC_META_COMPLETER_ID, output RXC_META_EP, // Interface: RX Shift Register input [(C_RX_PIPELINE_DEPTH+1)*C_PCI_DATA_WIDTH-1:0] RX_SR_DATA, input [C_RX_PIPELINE_DEPTH:0] RX_SR_EOP, input [(C_RX_PIPELINE_DEPTH+1)*`SIG_OFFSET_W-1:0] RX_SR_END_OFFSET, input [C_RX_PIPELINE_DEPTH:0] RX_SR_SOP, input [C_RX_PIPELINE_DEPTH:0] RX_SR_VALID); /*AUTOWIRE*/ /*AUTOINPUT*/ ///*AUTOOUTPUT*/ // End of automatics localparam C_RX_BE_W = (`SIG_FBE_W+`SIG_LBE_W); localparam C_RX_INPUT_STAGES = 1; localparam C_RX_OUTPUT_STAGES = 1; // Must always be at least one localparam C_RX_COMPUTATION_STAGES = 1; localparam C_RX_DATA_STAGES = C_RX_COMPUTATION_STAGES; localparam C_RX_META_STAGES = C_RX_DATA_STAGES - 1; localparam C_TOTAL_STAGES = C_RX_COMPUTATION_STAGES + C_RX_OUTPUT_STAGES + C_RX_INPUT_STAGES; // Cycle index in the SOP register when enable is raised // Computation can begin when the last DW of the header is recieved. localparam C_RX_COMPUTATION_CYCLE = C_RX_COMPUTATION_STAGES + (`TLP_CPLMETADW2_I/C_PCI_DATA_WIDTH); // The computation cycle must be at least one cycle before the address is enabled localparam C_RX_DATA_CYCLE = C_RX_COMPUTATION_CYCLE; localparam C_RX_METADW0_CYCLE = (`TLP_CPLMETADW0_I/C_PCI_DATA_WIDTH) + C_RX_INPUT_STAGES; localparam C_RX_METADW1_CYCLE = (`TLP_CPLMETADW1_I/C_PCI_DATA_WIDTH) + C_RX_INPUT_STAGES; localparam C_RX_METADW2_CYCLE = (`TLP_CPLMETADW2_I/C_PCI_DATA_WIDTH) + C_RX_INPUT_STAGES; localparam C_RX_METADW0_INDEX = C_PCI_DATA_WIDTH*C_RX_INPUT_STAGES + (`TLP_CPLMETADW0_I%C_PCI_DATA_WIDTH); localparam C_RX_METADW1_INDEX = C_PCI_DATA_WIDTH*C_RX_INPUT_STAGES + (`TLP_CPLMETADW1_I%C_PCI_DATA_WIDTH); localparam C_RX_METADW2_INDEX = C_PCI_DATA_WIDTH*C_RX_INPUT_STAGES + (`TLP_CPLMETADW2_I%C_PCI_DATA_WIDTH); localparam C_OFFSET_WIDTH = clog2s(C_PCI_DATA_WIDTH/32); localparam C_MAX_ABLANK_WIDTH = 32; localparam C_MAX_START_OFFSET = (`TLP_MAXHDR_W + C_MAX_ABLANK_WIDTH)/32; localparam C_STD_START_DELAY = (64/C_PCI_DATA_WIDTH); wire [`TLP_CPLADDR_W-1:0] wAddr; wire [`TLP_CPLHDR_W-1:0] wMetadata; wire [`TLP_TYPE_W-1:0] wType; wire [`TLP_LEN_W-1:0] wLength; wire [2:0] wHdrLength; wire [2:0] wHdrLengthM1; wire [(C_PCI_DATA_WIDTH/32)-1:0] wEndMask; wire wEndFlag; wire [clog2s(C_PCI_DATA_WIDTH/32)-1:0] wEndOffset; wire [(C_PCI_DATA_WIDTH/32)-1:0] wStartMask; wire wStartFlag; wire _wStartFlag; wire [2:0] wStartOffset; wire [3:0] wStartFlags; wire wInsertBlank; wire [C_PCI_DATA_WIDTH-1:0] wRxcData; wire [95:0] wRxcMetadata; wire wRxcDataValid; wire wRxcDataEndFlag; wire [clog2s(C_PCI_DATA_WIDTH/32)-1:0] wRxcDataEndOffset; wire wRxcDataStartFlag; wire [clog2s(C_PCI_DATA_WIDTH/32)-1:0] wRxcDataStartOffset; wire [(C_PCI_DATA_WIDTH/32)-1:0] wRxcDataWordEnable; wire [C_RX_PIPELINE_DEPTH:0] wRxSrSop; reg rValid,_rValid; reg rRST; assign DONE_RXC_RST = ~rRST; // Calculate the header length (start offset), and header length minus 1 (end offset) assign wHdrLength = 3'b011; assign wHdrLengthM1 = 3'b010; // Determine if the TLP has an inserted blank before the payload assign wInsertBlank = ~wAddr[2] & (C_VENDOR == "ALTERA"); assign wStartOffset = (wHdrLength + {2'd0,wInsertBlank}); // Start offset in dwords assign wEndOffset = wHdrLengthM1 + wInsertBlank + wLength; //RX_SR_END_OFFSET[(C_TOTAL_STAGES-1)*`SIG_OFFSET_W +: C_OFFSET_WIDTH]; // Outputs assign RXC_DATA = RX_SR_DATA[(C_TOTAL_STAGES)*C_PCI_DATA_WIDTH +: C_PCI_DATA_WIDTH]; assign RXC_DATA_VALID = wRxcDataValid; assign RXC_DATA_END_FLAG = wRxcDataEndFlag; assign RXC_DATA_END_OFFSET = wRxcDataEndOffset; assign RXC_DATA_START_FLAG = wRxcDataStartFlag; assign RXC_DATA_START_OFFSET = wRxcDataStartOffset; assign RXC_META_LENGTH = wRxcMetadata[`TLP_LEN_R]; //assign RXC_META_TC = wRxcMetadata[`TLP_TC_R]; //assign RXC_META_ATTR = {wRxcMetadata[`TLP_ATTR1_R], wRxcMetadata[`TLP_ATTR0_R]}; assign RXC_META_TYPE = tlp_to_trellis_type({wRxcMetadata[`TLP_FMT_R],wRxcMetadata[`TLP_TYPE_R]}); assign RXC_META_ADDR = wRxcMetadata[`TLP_CPLADDR_R]; assign RXC_META_COMPLETER_ID = wRxcMetadata[`TLP_CPLCPLID_R]; assign RXC_META_BYTES_REMAINING = wRxcMetadata[`TLP_CPLBYTECNT_R]; assign RXC_META_TAG = wRxcMetadata[`TLP_CPLTAG_R]; assign RXC_META_EP = wRxcMetadata[`TLP_EP_R]; assign RXC_META_FDWBE = 0;// TODO: Remove (use addr) assign RXC_META_LDWBE = 0;// TODO: Remove (use addr) assign wEndFlag = RX_SR_EOP[C_RX_INPUT_STAGES+1]; assign _wStartFlag = wStartFlags != 0; generate if(C_PCI_DATA_WIDTH == 32) begin assign wStartFlags[3] = 0; assign wStartFlags[2] = wRxSrSop[C_RX_INPUT_STAGES + 3] & wMetadata[`TLP_PAYBIT_I] & ~rValid; // Any remaining cases assign wStartFlags[1] = wRxSrSop[C_RX_INPUT_STAGES + 2] & wMetadata[`TLP_PAYBIT_I] & ~wMetadata[`TLP_4DWHBIT_I]; // 3DWH, No Blank assign wStartFlags[0] = wRxSrSop[C_RX_INPUT_STAGES + 2] & ~wMetadata[`TLP_PAYBIT_I]; // No Payload end else if(C_PCI_DATA_WIDTH == 64) begin assign wStartFlags[3] = 0; assign wStartFlags[2] = wRxSrSop[C_RX_INPUT_STAGES + 2] & wMetadata[`TLP_PAYBIT_I] & ~rValid; // Any remaining cases if(C_VENDOR == "ALTERA") begin assign wStartFlags[1] = wRxSrSop[C_RX_INPUT_STAGES + 1] & wMetadata[`TLP_PAYBIT_I] & ~wMetadata[`TLP_4DWHBIT_I] & RX_SR_DATA[C_RX_METADW2_INDEX + 2]; // 3DWH, No Blank end else begin assign wStartFlags[1] = wRxSrSop[C_RX_INPUT_STAGES + 1] & wMetadata[`TLP_PAYBIT_I] & ~wMetadata[`TLP_4DWHBIT_I]; // 3DWH, No Blank end assign wStartFlags[0] = wRxSrSop[C_RX_INPUT_STAGES + 1] & ~wMetadata[`TLP_PAYBIT_I] & rValid; // No Payload end else if (C_PCI_DATA_WIDTH == 128) begin assign wStartFlags[3] = 0; assign wStartFlags[2] = wRxSrSop[C_RX_INPUT_STAGES + 1] & wMetadata[`TLP_PAYBIT_I] & ~rValid; // Is this correct? if(C_VENDOR == "ALTERA") begin assign wStartFlags[1] = wRxSrSop[C_RX_INPUT_STAGES] & RX_SR_DATA[C_RX_METADW0_INDEX + `TLP_PAYBIT_I] & ~RX_SR_DATA[C_RX_METADW0_INDEX + `TLP_4DWHBIT_I] & RX_SR_DATA[C_RX_METADW2_INDEX + 2]; // 3DWH, No Blank end else begin assign wStartFlags[1] = wRxSrSop[C_RX_INPUT_STAGES] & RX_SR_DATA[C_RX_METADW0_INDEX + `TLP_PAYBIT_I] & ~RX_SR_DATA[C_RX_METADW0_INDEX + `TLP_4DWHBIT_I]; end assign wStartFlags[0] = wRxSrSop[C_RX_INPUT_STAGES] & ~RX_SR_DATA[C_RX_METADW0_INDEX + `TLP_PAYBIT_I]; // No Payload end else begin // 256 assign wStartFlags[3] = 0; assign wStartFlags[2] = 0; assign wStartFlags[1] = 0; assign wStartFlags[0] = wRxSrSop[C_RX_INPUT_STAGES]; end // else: !if(C_PCI_DATA_WIDTH == 128) endgenerate always @(*) begin _rValid = rValid; if(_wStartFlag) begin _rValid = 1'b1; end else if (RX_SR_EOP[C_RX_INPUT_STAGES+1]) begin _rValid = 1'b0; end end always @(posedge CLK) begin if(rRST) begin rValid <= 1'b0; end else begin rValid <= _rValid; end end always @(posedge CLK) begin rRST <= RST_BUS | RST_LOGIC; end register #(// Parameters .C_WIDTH (32)) metadata_DW0_register (// Outputs .RD_DATA (wMetadata[31:0]), // Inputs .RST_IN (0), .WR_DATA (RX_SR_DATA[C_RX_METADW0_INDEX +: 32]), .WR_EN (wRxSrSop[C_RX_METADW0_CYCLE]), /*AUTOINST*/ // Inputs .CLK (CLK)); register #(// Parameters .C_WIDTH (32)) meta_DW1_register (// Outputs .RD_DATA (wMetadata[63:32]), // Inputs .RST_IN (0), .WR_DATA (RX_SR_DATA[C_RX_METADW1_INDEX +: 32]), .WR_EN (wRxSrSop[C_RX_METADW1_CYCLE]), /*AUTOINST*/ // Inputs .CLK (CLK)); register #(// Parameters .C_WIDTH (32)) meta_DW2_register (// Outputs .RD_DATA (wMetadata[95:64]), // Inputs .RST_IN (0), .WR_DATA (RX_SR_DATA[C_RX_METADW2_INDEX +: 32]), .WR_EN (wRxSrSop[C_RX_METADW2_CYCLE]), /*AUTOINST*/ // Inputs .CLK (CLK)); register #(// Parameters .C_WIDTH (`TLP_TYPE_W)) metadata_type_register (// Outputs .RD_DATA (wType), // Inputs .RST_IN (0), .WR_DATA (RX_SR_DATA[(`TLP_TYPE_I + C_PCI_DATA_WIDTH*C_RX_INPUT_STAGES) +: `TLP_TYPE_W]), .WR_EN (wRxSrSop[`TLP_TYPE_I/C_PCI_DATA_WIDTH + C_RX_INPUT_STAGES]), /*AUTOINST*/ // Inputs .CLK (CLK)); register #(// Parameters .C_WIDTH (`TLP_LEN_W)) metadata_length_register (// Outputs .RD_DATA (wLength), // Inputs .RST_IN (0), .WR_DATA (RX_SR_DATA[((`TLP_LEN_I%C_PCI_DATA_WIDTH) + C_PCI_DATA_WIDTH*C_RX_INPUT_STAGES) +: `TLP_LEN_W]), .WR_EN (wRxSrSop[`TLP_LEN_I/C_PCI_DATA_WIDTH + C_RX_INPUT_STAGES]), /*AUTOINST*/ // Inputs .CLK (CLK)); register #(// Parameters .C_WIDTH (`TLP_CPLADDR_W)) metadata_address_register (// Outputs .RD_DATA (wAddr), // Inputs .RST_IN (0), .WR_DATA (RX_SR_DATA[((`TLP_CPLADDR_I%C_PCI_DATA_WIDTH) + C_PCI_DATA_WIDTH*C_RX_INPUT_STAGES) +: `TLP_CPLADDR_W]), .WR_EN (wRxSrSop[`TLP_CPLADDR_I/C_PCI_DATA_WIDTH + C_RX_INPUT_STAGES]), /*AUTOINST*/ // Inputs .CLK (CLK)); register #(// Parameters .C_WIDTH (1), .C_VALUE (1'b0) /*AUTOINSTPARAM*/) start_flag_register (// Outputs .RD_DATA (wStartFlag), // Inputs .RST_IN (0), .WR_DATA (_wStartFlag), .WR_EN (1), /*AUTOINST*/ // Inputs .CLK (CLK)); assign wStartMask = {C_PCI_DATA_WIDTH/32{1'b1}} << ({C_OFFSET_WIDTH{wStartFlag}}& wStartOffset[C_OFFSET_WIDTH-1:0]); offset_to_mask #(// Parameters .C_MASK_SWAP (0), .C_MASK_WIDTH (C_PCI_DATA_WIDTH/32) /*AUTOINSTPARAM*/) o2m_ef (// Outputs .MASK (wEndMask), // Inputs .OFFSET_ENABLE (wEndFlag), .OFFSET (wEndOffset) /*AUTOINST*/); generate if(C_RX_OUTPUT_STAGES == 0) begin assign RXC_DATA_WORD_ENABLE = {wEndMask & wStartMask} & {C_PCI_DATA_WIDTH/32{~rValid | ~wMetadata[`TLP_PAYBIT_I]}}; end else begin register #(// Parameters .C_WIDTH (C_PCI_DATA_WIDTH/32), .C_VALUE (0) /*AUTOINSTPARAM*/) dw_enable (// Outputs .RD_DATA (wRxcDataWordEnable), // Inputs .RST_IN (~rValid | ~wMetadata[`TLP_PAYBIT_I]), .WR_DATA (wEndMask & wStartMask), .WR_EN (1), /*AUTOINST*/ // Inputs .CLK (CLK)); pipeline #(// Parameters .C_DEPTH (C_RX_OUTPUT_STAGES-1), .C_WIDTH (C_PCI_DATA_WIDTH/32), .C_USE_MEMORY (0) /*AUTOINSTPARAM*/) dw_pipeline (// Outputs .WR_DATA_READY (), // Pinned to 1 .RD_DATA (RXC_DATA_WORD_ENABLE), .RD_DATA_VALID (), // Inputs .WR_DATA (wRxcDataWordEnable), .WR_DATA_VALID (1), .RD_DATA_READY (1'b1), .RST_IN (rRST), /*AUTOINST*/ // Inputs .CLK (CLK)); end endgenerate pipeline #(// Parameters .C_DEPTH (C_RX_OUTPUT_STAGES), .C_WIDTH (`TLP_CPLHDR_W + 2*(clog2s(C_PCI_DATA_WIDTH/32) + 1)), .C_USE_MEMORY (0) /*AUTOINSTPARAM*/) output_pipeline (// Outputs .WR_DATA_READY (), // Pinned to 1 .RD_DATA ({wRxcMetadata,wRxcDataStartFlag,wRxcDataStartOffset,wRxcDataEndFlag,wRxcDataEndOffset}), .RD_DATA_VALID (wRxcDataValid), // Inputs .WR_DATA ({wMetadata, wStartFlag,wStartOffset[C_OFFSET_WIDTH-1:0],wEndFlag,wEndOffset[C_OFFSET_WIDTH-1:0]}), .WR_DATA_VALID (rValid & RX_SR_VALID[C_TOTAL_STAGES - C_RX_OUTPUT_STAGES]), .RD_DATA_READY (1'b1), .RST_IN (rRST), /*AUTOINST*/ // Inputs .CLK (CLK)); // Start Flag Shift Register. Data enables are derived from the // taps on this shift register. shiftreg #(// Parameters .C_DEPTH (C_RX_PIPELINE_DEPTH), .C_WIDTH (1'b1), .C_VALUE (0) /*AUTOINSTPARAM*/) sop_shiftreg_inst (// Outputs .RD_DATA (wRxSrSop), // Inputs .WR_DATA (RX_TLP_START_FLAG & RX_TLP_VALID & (RX_SR_DATA[`TLP_TYPE_R] == `TLP_TYPE_CPL)), .RST_IN (0), /*AUTOINST*/ // Inputs .CLK (CLK)); endmodule
// ---------------------------------------------------------------------- // Copyright (c) 2016, The Regents of the University of California All // rights reserved. // // Redistribution and use in source and binary forms, with or without // modification, are permitted provided that the following conditions are // met: // // * Redistributions of source code must retain the above copyright // notice, this list of conditions and the following disclaimer. // // * Redistributions in binary form must reproduce the above // copyright notice, this list of conditions and the following // disclaimer in the documentation and/or other materials provided // with the distribution. // // * Neither the name of The Regents of the University of California // nor the names of its contributors may be used to endorse or // promote products derived from this software without specific // prior written permission. // // THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS // "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT // LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR // A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL REGENTS OF THE // UNIVERSITY OF CALIFORNIA BE LIABLE FOR ANY DIRECT, INDIRECT, // INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, // BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS // OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND // ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR // TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE // USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH // DAMAGE. // ---------------------------------------------------------------------- //---------------------------------------------------------------------------- // Filename: rxc_engine_classic.v // Version: 1.0 // Verilog Standard: Verilog-2001 // Description: The RXC Engine (Classic) takes a single stream of TLP // packets and provides the completion packets on the RXC Interface. // This Engine is capable of operating at "line rate". // Author: Dustin Richmond (@darichmond) //----------------------------------------------------------------------------- `timescale 1ns/1ns `include "trellis.vh" `include "tlp.vh" module rxc_engine_classic #(parameter C_VENDOR = "ALTERA", parameter C_PCI_DATA_WIDTH = 128, parameter C_RX_PIPELINE_DEPTH = 10) (// Interface: Clocks input CLK, // Interface: Resets input RST_BUS, // Replacement for generic RST_IN input RST_LOGIC, // Addition for RIFFA_RST output DONE_RXC_RST, // Interface: RX Classic input [C_PCI_DATA_WIDTH-1:0] RX_TLP, input RX_TLP_VALID, input RX_TLP_START_FLAG, input [`SIG_OFFSET_W-1:0] RX_TLP_START_OFFSET, input RX_TLP_END_FLAG, input [`SIG_OFFSET_W-1:0] RX_TLP_END_OFFSET, input [`SIG_BARDECODE_W-1:0] RX_TLP_BAR_DECODE, // Interface: RXC Engine output [C_PCI_DATA_WIDTH-1:0] RXC_DATA, output RXC_DATA_VALID, output [(C_PCI_DATA_WIDTH/32)-1:0] RXC_DATA_WORD_ENABLE, output RXC_DATA_START_FLAG, output [clog2s(C_PCI_DATA_WIDTH/32)-1:0] RXC_DATA_START_OFFSET, output RXC_DATA_END_FLAG, output [clog2s(C_PCI_DATA_WIDTH/32)-1:0] RXC_DATA_END_OFFSET, output [`SIG_LBE_W-1:0] RXC_META_LDWBE, output [`SIG_FBE_W-1:0] RXC_META_FDWBE, output [`SIG_TAG_W-1:0] RXC_META_TAG, output [`SIG_LOWADDR_W-1:0] RXC_META_ADDR, output [`SIG_TYPE_W-1:0] RXC_META_TYPE, output [`SIG_LEN_W-1:0] RXC_META_LENGTH, output [`SIG_BYTECNT_W-1:0] RXC_META_BYTES_REMAINING, output [`SIG_CPLID_W-1:0] RXC_META_COMPLETER_ID, output RXC_META_EP, // Interface: RX Shift Register input [(C_RX_PIPELINE_DEPTH+1)*C_PCI_DATA_WIDTH-1:0] RX_SR_DATA, input [C_RX_PIPELINE_DEPTH:0] RX_SR_EOP, input [(C_RX_PIPELINE_DEPTH+1)*`SIG_OFFSET_W-1:0] RX_SR_END_OFFSET, input [C_RX_PIPELINE_DEPTH:0] RX_SR_SOP, input [C_RX_PIPELINE_DEPTH:0] RX_SR_VALID); /*AUTOWIRE*/ /*AUTOINPUT*/ ///*AUTOOUTPUT*/ // End of automatics localparam C_RX_BE_W = (`SIG_FBE_W+`SIG_LBE_W); localparam C_RX_INPUT_STAGES = 1; localparam C_RX_OUTPUT_STAGES = 1; // Must always be at least one localparam C_RX_COMPUTATION_STAGES = 1; localparam C_RX_DATA_STAGES = C_RX_COMPUTATION_STAGES; localparam C_RX_META_STAGES = C_RX_DATA_STAGES - 1; localparam C_TOTAL_STAGES = C_RX_COMPUTATION_STAGES + C_RX_OUTPUT_STAGES + C_RX_INPUT_STAGES; // Cycle index in the SOP register when enable is raised // Computation can begin when the last DW of the header is recieved. localparam C_RX_COMPUTATION_CYCLE = C_RX_COMPUTATION_STAGES + (`TLP_CPLMETADW2_I/C_PCI_DATA_WIDTH); // The computation cycle must be at least one cycle before the address is enabled localparam C_RX_DATA_CYCLE = C_RX_COMPUTATION_CYCLE; localparam C_RX_METADW0_CYCLE = (`TLP_CPLMETADW0_I/C_PCI_DATA_WIDTH) + C_RX_INPUT_STAGES; localparam C_RX_METADW1_CYCLE = (`TLP_CPLMETADW1_I/C_PCI_DATA_WIDTH) + C_RX_INPUT_STAGES; localparam C_RX_METADW2_CYCLE = (`TLP_CPLMETADW2_I/C_PCI_DATA_WIDTH) + C_RX_INPUT_STAGES; localparam C_RX_METADW0_INDEX = C_PCI_DATA_WIDTH*C_RX_INPUT_STAGES + (`TLP_CPLMETADW0_I%C_PCI_DATA_WIDTH); localparam C_RX_METADW1_INDEX = C_PCI_DATA_WIDTH*C_RX_INPUT_STAGES + (`TLP_CPLMETADW1_I%C_PCI_DATA_WIDTH); localparam C_RX_METADW2_INDEX = C_PCI_DATA_WIDTH*C_RX_INPUT_STAGES + (`TLP_CPLMETADW2_I%C_PCI_DATA_WIDTH); localparam C_OFFSET_WIDTH = clog2s(C_PCI_DATA_WIDTH/32); localparam C_MAX_ABLANK_WIDTH = 32; localparam C_MAX_START_OFFSET = (`TLP_MAXHDR_W + C_MAX_ABLANK_WIDTH)/32; localparam C_STD_START_DELAY = (64/C_PCI_DATA_WIDTH); wire [`TLP_CPLADDR_W-1:0] wAddr; wire [`TLP_CPLHDR_W-1:0] wMetadata; wire [`TLP_TYPE_W-1:0] wType; wire [`TLP_LEN_W-1:0] wLength; wire [2:0] wHdrLength; wire [2:0] wHdrLengthM1; wire [(C_PCI_DATA_WIDTH/32)-1:0] wEndMask; wire wEndFlag; wire [clog2s(C_PCI_DATA_WIDTH/32)-1:0] wEndOffset; wire [(C_PCI_DATA_WIDTH/32)-1:0] wStartMask; wire wStartFlag; wire _wStartFlag; wire [2:0] wStartOffset; wire [3:0] wStartFlags; wire wInsertBlank; wire [C_PCI_DATA_WIDTH-1:0] wRxcData; wire [95:0] wRxcMetadata; wire wRxcDataValid; wire wRxcDataEndFlag; wire [clog2s(C_PCI_DATA_WIDTH/32)-1:0] wRxcDataEndOffset; wire wRxcDataStartFlag; wire [clog2s(C_PCI_DATA_WIDTH/32)-1:0] wRxcDataStartOffset; wire [(C_PCI_DATA_WIDTH/32)-1:0] wRxcDataWordEnable; wire [C_RX_PIPELINE_DEPTH:0] wRxSrSop; reg rValid,_rValid; reg rRST; assign DONE_RXC_RST = ~rRST; // Calculate the header length (start offset), and header length minus 1 (end offset) assign wHdrLength = 3'b011; assign wHdrLengthM1 = 3'b010; // Determine if the TLP has an inserted blank before the payload assign wInsertBlank = ~wAddr[2] & (C_VENDOR == "ALTERA"); assign wStartOffset = (wHdrLength + {2'd0,wInsertBlank}); // Start offset in dwords assign wEndOffset = wHdrLengthM1 + wInsertBlank + wLength; //RX_SR_END_OFFSET[(C_TOTAL_STAGES-1)*`SIG_OFFSET_W +: C_OFFSET_WIDTH]; // Outputs assign RXC_DATA = RX_SR_DATA[(C_TOTAL_STAGES)*C_PCI_DATA_WIDTH +: C_PCI_DATA_WIDTH]; assign RXC_DATA_VALID = wRxcDataValid; assign RXC_DATA_END_FLAG = wRxcDataEndFlag; assign RXC_DATA_END_OFFSET = wRxcDataEndOffset; assign RXC_DATA_START_FLAG = wRxcDataStartFlag; assign RXC_DATA_START_OFFSET = wRxcDataStartOffset; assign RXC_META_LENGTH = wRxcMetadata[`TLP_LEN_R]; //assign RXC_META_TC = wRxcMetadata[`TLP_TC_R]; //assign RXC_META_ATTR = {wRxcMetadata[`TLP_ATTR1_R], wRxcMetadata[`TLP_ATTR0_R]}; assign RXC_META_TYPE = tlp_to_trellis_type({wRxcMetadata[`TLP_FMT_R],wRxcMetadata[`TLP_TYPE_R]}); assign RXC_META_ADDR = wRxcMetadata[`TLP_CPLADDR_R]; assign RXC_META_COMPLETER_ID = wRxcMetadata[`TLP_CPLCPLID_R]; assign RXC_META_BYTES_REMAINING = wRxcMetadata[`TLP_CPLBYTECNT_R]; assign RXC_META_TAG = wRxcMetadata[`TLP_CPLTAG_R]; assign RXC_META_EP = wRxcMetadata[`TLP_EP_R]; assign RXC_META_FDWBE = 0;// TODO: Remove (use addr) assign RXC_META_LDWBE = 0;// TODO: Remove (use addr) assign wEndFlag = RX_SR_EOP[C_RX_INPUT_STAGES+1]; assign _wStartFlag = wStartFlags != 0; generate if(C_PCI_DATA_WIDTH == 32) begin assign wStartFlags[3] = 0; assign wStartFlags[2] = wRxSrSop[C_RX_INPUT_STAGES + 3] & wMetadata[`TLP_PAYBIT_I] & ~rValid; // Any remaining cases assign wStartFlags[1] = wRxSrSop[C_RX_INPUT_STAGES + 2] & wMetadata[`TLP_PAYBIT_I] & ~wMetadata[`TLP_4DWHBIT_I]; // 3DWH, No Blank assign wStartFlags[0] = wRxSrSop[C_RX_INPUT_STAGES + 2] & ~wMetadata[`TLP_PAYBIT_I]; // No Payload end else if(C_PCI_DATA_WIDTH == 64) begin assign wStartFlags[3] = 0; assign wStartFlags[2] = wRxSrSop[C_RX_INPUT_STAGES + 2] & wMetadata[`TLP_PAYBIT_I] & ~rValid; // Any remaining cases if(C_VENDOR == "ALTERA") begin assign wStartFlags[1] = wRxSrSop[C_RX_INPUT_STAGES + 1] & wMetadata[`TLP_PAYBIT_I] & ~wMetadata[`TLP_4DWHBIT_I] & RX_SR_DATA[C_RX_METADW2_INDEX + 2]; // 3DWH, No Blank end else begin assign wStartFlags[1] = wRxSrSop[C_RX_INPUT_STAGES + 1] & wMetadata[`TLP_PAYBIT_I] & ~wMetadata[`TLP_4DWHBIT_I]; // 3DWH, No Blank end assign wStartFlags[0] = wRxSrSop[C_RX_INPUT_STAGES + 1] & ~wMetadata[`TLP_PAYBIT_I] & rValid; // No Payload end else if (C_PCI_DATA_WIDTH == 128) begin assign wStartFlags[3] = 0; assign wStartFlags[2] = wRxSrSop[C_RX_INPUT_STAGES + 1] & wMetadata[`TLP_PAYBIT_I] & ~rValid; // Is this correct? if(C_VENDOR == "ALTERA") begin assign wStartFlags[1] = wRxSrSop[C_RX_INPUT_STAGES] & RX_SR_DATA[C_RX_METADW0_INDEX + `TLP_PAYBIT_I] & ~RX_SR_DATA[C_RX_METADW0_INDEX + `TLP_4DWHBIT_I] & RX_SR_DATA[C_RX_METADW2_INDEX + 2]; // 3DWH, No Blank end else begin assign wStartFlags[1] = wRxSrSop[C_RX_INPUT_STAGES] & RX_SR_DATA[C_RX_METADW0_INDEX + `TLP_PAYBIT_I] & ~RX_SR_DATA[C_RX_METADW0_INDEX + `TLP_4DWHBIT_I]; end assign wStartFlags[0] = wRxSrSop[C_RX_INPUT_STAGES] & ~RX_SR_DATA[C_RX_METADW0_INDEX + `TLP_PAYBIT_I]; // No Payload end else begin // 256 assign wStartFlags[3] = 0; assign wStartFlags[2] = 0; assign wStartFlags[1] = 0; assign wStartFlags[0] = wRxSrSop[C_RX_INPUT_STAGES]; end // else: !if(C_PCI_DATA_WIDTH == 128) endgenerate always @(*) begin _rValid = rValid; if(_wStartFlag) begin _rValid = 1'b1; end else if (RX_SR_EOP[C_RX_INPUT_STAGES+1]) begin _rValid = 1'b0; end end always @(posedge CLK) begin if(rRST) begin rValid <= 1'b0; end else begin rValid <= _rValid; end end always @(posedge CLK) begin rRST <= RST_BUS | RST_LOGIC; end register #(// Parameters .C_WIDTH (32)) metadata_DW0_register (// Outputs .RD_DATA (wMetadata[31:0]), // Inputs .RST_IN (0), .WR_DATA (RX_SR_DATA[C_RX_METADW0_INDEX +: 32]), .WR_EN (wRxSrSop[C_RX_METADW0_CYCLE]), /*AUTOINST*/ // Inputs .CLK (CLK)); register #(// Parameters .C_WIDTH (32)) meta_DW1_register (// Outputs .RD_DATA (wMetadata[63:32]), // Inputs .RST_IN (0), .WR_DATA (RX_SR_DATA[C_RX_METADW1_INDEX +: 32]), .WR_EN (wRxSrSop[C_RX_METADW1_CYCLE]), /*AUTOINST*/ // Inputs .CLK (CLK)); register #(// Parameters .C_WIDTH (32)) meta_DW2_register (// Outputs .RD_DATA (wMetadata[95:64]), // Inputs .RST_IN (0), .WR_DATA (RX_SR_DATA[C_RX_METADW2_INDEX +: 32]), .WR_EN (wRxSrSop[C_RX_METADW2_CYCLE]), /*AUTOINST*/ // Inputs .CLK (CLK)); register #(// Parameters .C_WIDTH (`TLP_TYPE_W)) metadata_type_register (// Outputs .RD_DATA (wType), // Inputs .RST_IN (0), .WR_DATA (RX_SR_DATA[(`TLP_TYPE_I + C_PCI_DATA_WIDTH*C_RX_INPUT_STAGES) +: `TLP_TYPE_W]), .WR_EN (wRxSrSop[`TLP_TYPE_I/C_PCI_DATA_WIDTH + C_RX_INPUT_STAGES]), /*AUTOINST*/ // Inputs .CLK (CLK)); register #(// Parameters .C_WIDTH (`TLP_LEN_W)) metadata_length_register (// Outputs .RD_DATA (wLength), // Inputs .RST_IN (0), .WR_DATA (RX_SR_DATA[((`TLP_LEN_I%C_PCI_DATA_WIDTH) + C_PCI_DATA_WIDTH*C_RX_INPUT_STAGES) +: `TLP_LEN_W]), .WR_EN (wRxSrSop[`TLP_LEN_I/C_PCI_DATA_WIDTH + C_RX_INPUT_STAGES]), /*AUTOINST*/ // Inputs .CLK (CLK)); register #(// Parameters .C_WIDTH (`TLP_CPLADDR_W)) metadata_address_register (// Outputs .RD_DATA (wAddr), // Inputs .RST_IN (0), .WR_DATA (RX_SR_DATA[((`TLP_CPLADDR_I%C_PCI_DATA_WIDTH) + C_PCI_DATA_WIDTH*C_RX_INPUT_STAGES) +: `TLP_CPLADDR_W]), .WR_EN (wRxSrSop[`TLP_CPLADDR_I/C_PCI_DATA_WIDTH + C_RX_INPUT_STAGES]), /*AUTOINST*/ // Inputs .CLK (CLK)); register #(// Parameters .C_WIDTH (1), .C_VALUE (1'b0) /*AUTOINSTPARAM*/) start_flag_register (// Outputs .RD_DATA (wStartFlag), // Inputs .RST_IN (0), .WR_DATA (_wStartFlag), .WR_EN (1), /*AUTOINST*/ // Inputs .CLK (CLK)); assign wStartMask = {C_PCI_DATA_WIDTH/32{1'b1}} << ({C_OFFSET_WIDTH{wStartFlag}}& wStartOffset[C_OFFSET_WIDTH-1:0]); offset_to_mask #(// Parameters .C_MASK_SWAP (0), .C_MASK_WIDTH (C_PCI_DATA_WIDTH/32) /*AUTOINSTPARAM*/) o2m_ef (// Outputs .MASK (wEndMask), // Inputs .OFFSET_ENABLE (wEndFlag), .OFFSET (wEndOffset) /*AUTOINST*/); generate if(C_RX_OUTPUT_STAGES == 0) begin assign RXC_DATA_WORD_ENABLE = {wEndMask & wStartMask} & {C_PCI_DATA_WIDTH/32{~rValid | ~wMetadata[`TLP_PAYBIT_I]}}; end else begin register #(// Parameters .C_WIDTH (C_PCI_DATA_WIDTH/32), .C_VALUE (0) /*AUTOINSTPARAM*/) dw_enable (// Outputs .RD_DATA (wRxcDataWordEnable), // Inputs .RST_IN (~rValid | ~wMetadata[`TLP_PAYBIT_I]), .WR_DATA (wEndMask & wStartMask), .WR_EN (1), /*AUTOINST*/ // Inputs .CLK (CLK)); pipeline #(// Parameters .C_DEPTH (C_RX_OUTPUT_STAGES-1), .C_WIDTH (C_PCI_DATA_WIDTH/32), .C_USE_MEMORY (0) /*AUTOINSTPARAM*/) dw_pipeline (// Outputs .WR_DATA_READY (), // Pinned to 1 .RD_DATA (RXC_DATA_WORD_ENABLE), .RD_DATA_VALID (), // Inputs .WR_DATA (wRxcDataWordEnable), .WR_DATA_VALID (1), .RD_DATA_READY (1'b1), .RST_IN (rRST), /*AUTOINST*/ // Inputs .CLK (CLK)); end endgenerate pipeline #(// Parameters .C_DEPTH (C_RX_OUTPUT_STAGES), .C_WIDTH (`TLP_CPLHDR_W + 2*(clog2s(C_PCI_DATA_WIDTH/32) + 1)), .C_USE_MEMORY (0) /*AUTOINSTPARAM*/) output_pipeline (// Outputs .WR_DATA_READY (), // Pinned to 1 .RD_DATA ({wRxcMetadata,wRxcDataStartFlag,wRxcDataStartOffset,wRxcDataEndFlag,wRxcDataEndOffset}), .RD_DATA_VALID (wRxcDataValid), // Inputs .WR_DATA ({wMetadata, wStartFlag,wStartOffset[C_OFFSET_WIDTH-1:0],wEndFlag,wEndOffset[C_OFFSET_WIDTH-1:0]}), .WR_DATA_VALID (rValid & RX_SR_VALID[C_TOTAL_STAGES - C_RX_OUTPUT_STAGES]), .RD_DATA_READY (1'b1), .RST_IN (rRST), /*AUTOINST*/ // Inputs .CLK (CLK)); // Start Flag Shift Register. Data enables are derived from the // taps on this shift register. shiftreg #(// Parameters .C_DEPTH (C_RX_PIPELINE_DEPTH), .C_WIDTH (1'b1), .C_VALUE (0) /*AUTOINSTPARAM*/) sop_shiftreg_inst (// Outputs .RD_DATA (wRxSrSop), // Inputs .WR_DATA (RX_TLP_START_FLAG & RX_TLP_VALID & (RX_SR_DATA[`TLP_TYPE_R] == `TLP_TYPE_CPL)), .RST_IN (0), /*AUTOINST*/ // Inputs .CLK (CLK)); endmodule
`timescale 1ns/1ps module tb_multiplier (); /* this is automatically generated */ reg clk; // clock initial begin clk = 0; forever #5 clk = ~clk; end `ifdef SINGLE parameter SW = 24; `endif `ifdef DOUBLE parameter SW = 54;// */ `endif // (*NOTE*) replace reset, clock reg [SW-1:0] a; reg [SW-1:0] b; // wire [2*SW-2:0] BinaryRES; wire [2*SW-1:0] FKOARES; reg clk; reg rst; reg load_b_i; `ifdef SINGLE Sgf_Multiplication_SW24 #(.SW(SW)) `endif `ifdef DOUBLE Sgf_Multiplication_SW54 #(.SW(SW)) `endif inst_Sgf_Multiplication (.clk(clk),.rst(rst),.load_b_i(load_b_i),.Data_A_i(a), .Data_B_i(b), .sgf_result_o(FKOARES)); integer i = 1; parameter cycles = 1024; initial begin $monitor(a,b, FKOARES, a*b); end initial begin b = 1; rst = 1; a = 1; load_b_i = 0; #30; rst = 0; #15; load_b_i = 1; #100; b = 2; repeat (cycles) begin a = i; b = b + 2; i = i + 1; #50; end $finish; end endmodule
//***************************************************************************** // (c) Copyright 2009 - 2013 Xilinx, Inc. All rights reserved. // // This file contains confidential and proprietary information // of Xilinx, Inc. and is protected under U.S. and // international copyright and other intellectual property // laws. // // DISCLAIMER // This disclaimer is not a license and does not grant any // rights to the materials distributed herewith. Except as // otherwise provided in a valid license issued to you by // Xilinx, and to the maximum extent permitted by applicable // law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND // WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES // AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING // BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- // INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and // (2) Xilinx shall not be liable (whether in contract or tort, // including negligence, or under any other theory of // liability) for any loss or damage of any kind or nature // related to, arising under or in connection with these // materials, including for any direct, or any indirect, // special, incidental, or consequential loss or damage // (including loss of data, profits, goodwill, or any type of // loss or damage suffered as a result of any action brought // by a third party) even if such damage or loss was // reasonably foreseeable or Xilinx had been advised of the // possibility of the same. // // CRITICAL APPLICATIONS // Xilinx products are not designed or intended to be fail- // safe, or for use in any application requiring fail-safe // performance, such as life-support or safety devices or // systems, Class III medical devices, nuclear facilities, // applications related to the deployment of airbags, or any // other applications that could lead to death, personal // injury, or severe property or environmental damage // (individually and collectively, "Critical // Applications"). Customer assumes the sole risk and // liability of any use of Xilinx products in Critical // Applications, subject only to applicable laws and // regulations governing limitations on product liability. // // THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS // PART OF THIS FILE AT ALL TIMES. // //***************************************************************************** // ____ ____ // / /\/ / // /___/ \ / Vendor: Xilinx // \ \ \/ Version: %version // \ \ Application: MIG // / / Filename: iodelay_ctrl.v // /___/ /\ Date Last Modified: $Date: 2011/06/02 08:34:56 $ // \ \ / \ Date Created: Wed Aug 16 2006 // \___\/\___\ // //Device: Virtex-6 //Design Name: DDR3 SDRAM //Purpose: // This module instantiates the IDELAYCTRL primitive, which continously // calibrates the IODELAY elements in the region to account for varying // environmental conditions. A 200MHz or 300MHz reference clock (depending // on the desired IODELAY tap resolution) must be supplied //Reference: //Revision History: //***************************************************************************** /****************************************************************************** **$Id: iodelay_ctrl.v,v 1.1 2011/06/02 08:34:56 mishra Exp $ **$Date: 2011/06/02 08:34:56 $ **$Author: mishra $ **$Revision: 1.1 $ **$Source: /devl/xcs/repo/env/Databases/ip/src2/O/mig_7series_v1_3/data/dlib/7series/ddr3_sdram/verilog/rtl/clocking/iodelay_ctrl.v,v $ ******************************************************************************/ `timescale 1ps/1ps module mig_7series_v1_9_iodelay_ctrl # ( parameter TCQ = 100, // clk->out delay (sim only) parameter IODELAY_GRP = "IODELAY_MIG", // May be assigned unique name when // multiple IP cores used in design parameter REFCLK_TYPE = "DIFFERENTIAL", // Reference clock type // "DIFFERENTIAL","SINGLE_ENDED" // NO_BUFFER, USE_SYSTEM_CLOCK parameter SYSCLK_TYPE = "DIFFERENTIAL", // input clock type // DIFFERENTIAL, SINGLE_ENDED, // NO_BUFFER parameter SYS_RST_PORT = "FALSE", // "TRUE" - if pin is selected for sys_rst // and IBUF will be instantiated. // "FALSE" - if pin is not selected for sys_rst parameter RST_ACT_LOW = 1, // Reset input polarity // (0 = active high, 1 = active low) parameter DIFF_TERM_REFCLK = "TRUE" // Differential Termination ) ( input clk_ref_p, input clk_ref_n, input clk_ref_i, input sys_rst, output clk_ref, output sys_rst_o, output iodelay_ctrl_rdy ); // # of clock cycles to delay deassertion of reset. Needs to be a fairly // high number not so much for metastability protection, but to give time // for reset (i.e. stable clock cycles) to propagate through all state // machines and to all control signals (i.e. not all control signals have // resets, instead they rely on base state logic being reset, and the effect // of that reset propagating through the logic). Need this because we may not // be getting stable clock cycles while reset asserted (i.e. since reset // depends on DCM lock status) // COMMENTED, RC, 01/13/09 - causes pack error in MAP w/ larger # localparam RST_SYNC_NUM = 15; // localparam RST_SYNC_NUM = 25; wire clk_ref_bufg; wire clk_ref_ibufg; wire rst_ref; (* keep = "true", max_fanout = 10 *) reg [RST_SYNC_NUM-1:0] rst_ref_sync_r /* synthesis syn_maxfan = 10 */; wire rst_tmp_idelay; wire sys_rst_act_hi; //*************************************************************************** // If the pin is selected for sys_rst in GUI, IBUF will be instantiated. // If the pin is not selected in GUI, sys_rst signal is expected to be // driven internally. generate if (SYS_RST_PORT == "TRUE") IBUF u_sys_rst_ibuf ( .I (sys_rst), .O (sys_rst_o) ); else assign sys_rst_o = sys_rst; endgenerate // Possible inversion of system reset as appropriate assign sys_rst_act_hi = RST_ACT_LOW ? ~sys_rst_o: sys_rst_o; //*************************************************************************** // 1) Input buffer for IDELAYCTRL reference clock - handle either a // differential or single-ended input. Global clock buffer is used to // drive the rest of FPGA logic. // 2) For NO_BUFFER option, Reference clock will be driven from internal // clock i.e., clock is driven from fabric. Input buffers and Global // clock buffers will not be instaitaed. // 3) For USE_SYSTEM_CLOCK, input buffer output of system clock will be used // as the input reference clock. Global clock buffer is used to drive // the rest of FPGA logic. //*************************************************************************** generate if (REFCLK_TYPE == "DIFFERENTIAL") begin: diff_clk_ref IBUFGDS # ( .DIFF_TERM (DIFF_TERM_REFCLK), .IBUF_LOW_PWR ("FALSE") ) u_ibufg_clk_ref ( .I (clk_ref_p), .IB (clk_ref_n), .O (clk_ref_ibufg) ); BUFG u_bufg_clk_ref ( .O (clk_ref_bufg), .I (clk_ref_ibufg) ); end else if (REFCLK_TYPE == "SINGLE_ENDED") begin : se_clk_ref IBUFG # ( .IBUF_LOW_PWR ("FALSE") ) u_ibufg_clk_ref ( .I (clk_ref_i), .O (clk_ref_ibufg) ); BUFG u_bufg_clk_ref ( .O (clk_ref_bufg), .I (clk_ref_ibufg) ); end else if ((REFCLK_TYPE == "NO_BUFFER") || (REFCLK_TYPE == "USE_SYSTEM_CLOCK" && SYSCLK_TYPE == "NO_BUFFER")) begin : clk_ref_noibuf_nobuf assign clk_ref_bufg = clk_ref_i; end else if (REFCLK_TYPE == "USE_SYSTEM_CLOCK" && SYSCLK_TYPE != "NO_BUFFER") begin : clk_ref_noibuf BUFG u_bufg_clk_ref ( .O (clk_ref_bufg), .I (clk_ref_i) ); end endgenerate //*************************************************************************** // Global clock buffer for IDELAY reference clock //*************************************************************************** assign clk_ref = clk_ref_bufg; //***************************************************************** // IDELAYCTRL reset // This assumes an external clock signal driving the IDELAYCTRL // blocks. Otherwise, if a PLL drives IDELAYCTRL, then the PLL // lock signal will need to be incorporated in this. //***************************************************************** // Add PLL lock if PLL drives IDELAYCTRL in user design assign rst_tmp_idelay = sys_rst_act_hi; always @(posedge clk_ref_bufg or posedge rst_tmp_idelay) if (rst_tmp_idelay) rst_ref_sync_r <= #TCQ {RST_SYNC_NUM{1'b1}}; else rst_ref_sync_r <= #TCQ rst_ref_sync_r << 1; assign rst_ref = rst_ref_sync_r[RST_SYNC_NUM-1]; //***************************************************************** (* IODELAY_GROUP = IODELAY_GRP *) IDELAYCTRL u_idelayctrl ( .RDY (iodelay_ctrl_rdy), .REFCLK (clk_ref_bufg), .RST (rst_ref) ); endmodule
(** * Equiv: Program Equivalence *) Require Export Imp. (** *** Some general advice for working on exercises: - Most of the Coq proofs we ask you to do are similar to proofs that we've provided. Before starting to work on the homework problems, take the time to work through our proofs (both informally, on paper, and in Coq) and make sure you understand them in detail. This will save you a lot of time. - The Coq proofs we're doing now are sufficiently complicated that it is more or less impossible to complete them simply by random experimentation or "following your nose." You need to start with an idea about why the property is true and how the proof is going to go. The best way to do this is to write out at least a sketch of an informal proof on paper -- one that intuitively convinces you of the truth of the theorem -- before starting to work on the formal one. Alternately, grab a friend and try to convince them that the theorem is true; then try to formalize your explanation. - Use automation to save work! Some of the proofs in this chapter's exercises are pretty long if you try to write out all the cases explicitly. *) (* ####################################################### *) (** * Behavioral Equivalence *) (** In the last chapter, we investigated the correctness of a very simple program transformation: the [optimize_0plus] function. The programming language we were considering was the first version of the language of arithmetic expressions -- with no variables -- so in that setting it was very easy to define what it _means_ for a program transformation to be correct: it should always yield a program that evaluates to the same number as the original. To go further and talk about the correctness of program transformations in the full Imp language, we need to consider the role of variables and state. *) (* ####################################################### *) (** ** Definitions *) (** For [aexp]s and [bexp]s with variables, the definition we want is clear. We say that two [aexp]s or [bexp]s are _behaviorally equivalent_ if they evaluate to the same result _in every state_. *) Definition aequiv (a1 a2 : aexp) : Prop := forall (st:state), aeval st a1 = aeval st a2. Definition bequiv (b1 b2 : bexp) : Prop := forall (st:state), beval st b1 = beval st b2. (** For commands, the situation is a little more subtle. We can't simply say "two commands are behaviorally equivalent if they evaluate to the same ending state whenever they are started in the same initial state," because some commands (in some starting states) don't terminate in any final state at all! What we need instead is this: two commands are behaviorally equivalent if, for any given starting state, they either both diverge or both terminate in the same final state. A compact way to express this is "if the first one terminates in a particular state then so does the second, and vice versa." *) Definition cequiv (c1 c2 : com) : Prop := forall (st st' : state), (c1 / st || st') <-> (c2 / st || st'). (** **** Exercise: 2 stars (equiv_classes) *) (** Given the following programs, group together those that are equivalent in [Imp]. Your answer should be given as a list of lists, where each sub-list represents a group of equivalent programs. For example, if you think programs (a) through (h) are all equivalent to each other, but not to (i), your answer should look like this: [ [prog_a;prog_b;prog_c;prog_d;prog_e;prog_f;prog_g;prog_h] ; [prog_i] ] Write down your answer below in the definition of [equiv_classes]. *) Definition prog_a : com := WHILE BNot (BLe (AId X) (ANum 0)) DO X ::= APlus (AId X) (ANum 1) END. Definition prog_b : com := IFB BEq (AId X) (ANum 0) THEN X ::= APlus (AId X) (ANum 1);; Y ::= ANum 1 ELSE Y ::= ANum 0 FI;; X ::= AMinus (AId X) (AId Y);; Y ::= ANum 0. Definition prog_c : com := SKIP. Definition prog_d : com := WHILE BNot (BEq (AId X) (ANum 0)) DO X ::= APlus (AMult (AId X) (AId Y)) (ANum 1) END. Definition prog_e : com := Y ::= ANum 0. Definition prog_f : com := Y ::= APlus (AId X) (ANum 1);; WHILE BNot (BEq (AId X) (AId Y)) DO Y ::= APlus (AId X) (ANum 1) END. Definition prog_g : com := WHILE BTrue DO SKIP END. Definition prog_h : com := WHILE BNot (BEq (AId X) (AId X)) DO X ::= APlus (AId X) (ANum 1) END. Definition prog_i : com := WHILE BNot (BEq (AId X) (AId Y)) DO X ::= APlus (AId Y) (ANum 1) END. Definition equiv_classes : list (list com) := (* FILL IN HERE *) admit. (* GRADE_TEST 2: check_equiv_classes equiv_classes *) (** [] *) (* ####################################################### *) (** ** Examples *) (** Here are some simple examples of equivalences of arithmetic and boolean expressions. *) Theorem aequiv_example: aequiv (AMinus (AId X) (AId X)) (ANum 0). Proof. intros st. simpl. omega. Qed. Theorem bequiv_example: bequiv (BEq (AMinus (AId X) (AId X)) (ANum 0)) BTrue. Proof. intros st. unfold beval. rewrite aequiv_example. reflexivity. Qed. (** For examples of command equivalence, let's start by looking at some trivial program transformations involving [SKIP]: *) Theorem skip_left: forall c, cequiv (SKIP;; c) c. Proof. (* WORKED IN CLASS *) intros c st st'. split; intros H. Case "->". inversion H. subst. inversion H2. subst. assumption. Case "<-". apply E_Seq with st. apply E_Skip. assumption. Qed. (** **** Exercise: 2 stars (skip_right) *) (** Prove that adding a SKIP after a command results in an equivalent program *) Theorem skip_right: forall c, cequiv (c;; SKIP) c. Proof. (* FILL IN HERE *) Admitted. (** [] *) (** Similarly, here is a simple transformations that simplifies [IFB] commands: *) Theorem IFB_true_simple: forall c1 c2, cequiv (IFB BTrue THEN c1 ELSE c2 FI) c1. Proof. intros c1 c2. split; intros H. Case "->". inversion H; subst. assumption. inversion H5. Case "<-". apply E_IfTrue. reflexivity. assumption. Qed. (** Of course, few programmers would be tempted to write a conditional whose guard is literally [BTrue]. A more interesting case is when the guard is _equivalent_ to true: _Theorem_: If [b] is equivalent to [BTrue], then [IFB b THEN c1 ELSE c2 FI] is equivalent to [c1]. *) (** *** *) (** _Proof_: - ([->]) We must show, for all [st] and [st'], that if [IFB b THEN c1 ELSE c2 FI / st || st'] then [c1 / st || st']. Proceed by cases on the rules that could possibly have been used to show [IFB b THEN c1 ELSE c2 FI / st || st'], namely [E_IfTrue] and [E_IfFalse]. - Suppose the final rule rule in the derivation of [IFB b THEN c1 ELSE c2 FI / st || st'] was [E_IfTrue]. We then have, by the premises of [E_IfTrue], that [c1 / st || st']. This is exactly what we set out to prove. - On the other hand, suppose the final rule in the derivation of [IFB b THEN c1 ELSE c2 FI / st || st'] was [E_IfFalse]. We then know that [beval st b = false] and [c2 / st || st']. Recall that [b] is equivalent to [BTrue], i.e. forall [st], [beval st b = beval st BTrue]. In particular, this means that [beval st b = true], since [beval st BTrue = true]. But this is a contradiction, since [E_IfFalse] requires that [beval st b = false]. Thus, the final rule could not have been [E_IfFalse]. - ([<-]) We must show, for all [st] and [st'], that if [c1 / st || st'] then [IFB b THEN c1 ELSE c2 FI / st || st']. Since [b] is equivalent to [BTrue], we know that [beval st b] = [beval st BTrue] = [true]. Together with the assumption that [c1 / st || st'], we can apply [E_IfTrue] to derive [IFB b THEN c1 ELSE c2 FI / st || st']. [] Here is the formal version of this proof: *) Theorem IFB_true: forall b c1 c2, bequiv b BTrue -> cequiv (IFB b THEN c1 ELSE c2 FI) c1. Proof. intros b c1 c2 Hb. split; intros H. Case "->". inversion H; subst. SCase "b evaluates to true". assumption. SCase "b evaluates to false (contradiction)". unfold bequiv in Hb. simpl in Hb. rewrite Hb in H5. inversion H5. Case "<-". apply E_IfTrue; try assumption. unfold bequiv in Hb. simpl in Hb. rewrite Hb. reflexivity. Qed. (** **** Exercise: 2 stars (IFB_false) *) Theorem IFB_false: forall b c1 c2, bequiv b BFalse -> cequiv (IFB b THEN c1 ELSE c2 FI) c2. Proof. (* FILL IN HERE *) Admitted. (** [] *) (** **** Exercise: 3 stars (swap_if_branches) *) (** Show that we can swap the branches of an IF by negating its condition *) Theorem swap_if_branches: forall b e1 e2, cequiv (IFB b THEN e1 ELSE e2 FI) (IFB BNot b THEN e2 ELSE e1 FI). Proof. (* FILL IN HERE *) Admitted. (** [] *) (** *** *) (** For [WHILE] loops, we can give a similar pair of theorems. A loop whose guard is equivalent to [BFalse] is equivalent to [SKIP], while a loop whose guard is equivalent to [BTrue] is equivalent to [WHILE BTrue DO SKIP END] (or any other non-terminating program). The first of these facts is easy. *) Theorem WHILE_false : forall b c, bequiv b BFalse -> cequiv (WHILE b DO c END) SKIP. Proof. intros b c Hb. split; intros H. Case "->". inversion H; subst. SCase "E_WhileEnd". apply E_Skip. SCase "E_WhileLoop". rewrite Hb in H2. inversion H2. Case "<-". inversion H; subst. apply E_WhileEnd. rewrite Hb. reflexivity. Qed. (** **** Exercise: 2 stars, advanced, optional (WHILE_false_informal) *) (** Write an informal proof of [WHILE_false]. (* FILL IN HERE *) [] *) (** *** *) (** To prove the second fact, we need an auxiliary lemma stating that [WHILE] loops whose guards are equivalent to [BTrue] never terminate: _Lemma_: If [b] is equivalent to [BTrue], then it cannot be the case that [(WHILE b DO c END) / st || st']. _Proof_: Suppose that [(WHILE b DO c END) / st || st']. We show, by induction on a derivation of [(WHILE b DO c END) / st || st'], that this assumption leads to a contradiction. - Suppose [(WHILE b DO c END) / st || st'] is proved using rule [E_WhileEnd]. Then by assumption [beval st b = false]. But this contradicts the assumption that [b] is equivalent to [BTrue]. - Suppose [(WHILE b DO c END) / st || st'] is proved using rule [E_WhileLoop]. Then we are given the induction hypothesis that [(WHILE b DO c END) / st || st'] is contradictory, which is exactly what we are trying to prove! - Since these are the only rules that could have been used to prove [(WHILE b DO c END) / st || st'], the other cases of the induction are immediately contradictory. [] *) Lemma WHILE_true_nonterm : forall b c st st', bequiv b BTrue -> ~( (WHILE b DO c END) / st || st' ). Proof. (* WORKED IN CLASS *) intros b c st st' Hb. intros H. remember (WHILE b DO c END) as cw eqn:Heqcw. ceval_cases (induction H) Case; (* Most rules don't apply, and we can rule them out by inversion *) inversion Heqcw; subst; clear Heqcw. (* The two interesting cases are the ones for WHILE loops: *) Case "E_WhileEnd". (* contradictory -- b is always true! *) unfold bequiv in Hb. (* [rewrite] is able to instantiate the quantifier in [st] *) rewrite Hb in H. inversion H. Case "E_WhileLoop". (* immediate from the IH *) apply IHceval2. reflexivity. Qed. (** **** Exercise: 2 stars, optional (WHILE_true_nonterm_informal) *) (** Explain what the lemma [WHILE_true_nonterm] means in English. (* FILL IN HERE *) *) (** [] *) (** **** Exercise: 2 stars (WHILE_true) *) (** Prove the following theorem. _Hint_: You'll want to use [WHILE_true_nonterm] here. *) Theorem WHILE_true: forall b c, bequiv b BTrue -> cequiv (WHILE b DO c END) (WHILE BTrue DO SKIP END). Proof. (* FILL IN HERE *) Admitted. (** [] *) Theorem loop_unrolling: forall b c, cequiv (WHILE b DO c END) (IFB b THEN (c;; WHILE b DO c END) ELSE SKIP FI). Proof. (* WORKED IN CLASS *) intros b c st st'. split; intros Hce. Case "->". inversion Hce; subst. SCase "loop doesn't run". apply E_IfFalse. assumption. apply E_Skip. SCase "loop runs". apply E_IfTrue. assumption. apply E_Seq with (st' := st'0). assumption. assumption. Case "<-". inversion Hce; subst. SCase "loop runs". inversion H5; subst. apply E_WhileLoop with (st' := st'0). assumption. assumption. assumption. SCase "loop doesn't run". inversion H5; subst. apply E_WhileEnd. assumption. Qed. (** **** Exercise: 2 stars, optional (seq_assoc) *) Theorem seq_assoc : forall c1 c2 c3, cequiv ((c1;;c2);;c3) (c1;;(c2;;c3)). Proof. (* FILL IN HERE *) Admitted. (** [] *) (** ** The Functional Equivalence Axiom *) (** Finally, let's look at simple equivalences involving assignments. For example, we might expect to be able to show that [X ::= AId X] is equivalent to [SKIP]. However, when we try to show it, we get stuck in an interesting way. *) Theorem identity_assignment_first_try : forall (X:id), cequiv (X ::= AId X) SKIP. Proof. intros. split; intro H. Case "->". inversion H; subst. simpl. replace (update st X (st X)) with st. constructor. (* Stuck... *) Abort. (** Here we're stuck. The goal looks reasonable, but in fact it is not provable! If we look back at the set of lemmas we proved about [update] in the last chapter, we can see that lemma [update_same] almost does the job, but not quite: it says that the original and updated states agree at all values, but this is not the same thing as saying that they are [=] in Coq's sense! *) (** What is going on here? Recall that our states are just functions from identifiers to values. For Coq, functions are only equal when their definitions are syntactically the same, modulo simplification. (This is the only way we can legally apply the [refl_equal] constructor of the inductively defined proposition [eq]!) In practice, for functions built up by repeated uses of the [update] operation, this means that two functions can be proven equal only if they were constructed using the _same_ [update] operations, applied in the same order. In the theorem above, the sequence of updates on the first parameter [cequiv] is one longer than for the second parameter, so it is no wonder that the equality doesn't hold. *) (** *** *) (** This problem is actually quite general. If we try to prove other simple facts, such as cequiv (X ::= X + 1;; X ::= X + 1) (X ::= X + 2) or cequiv (X ::= 1;; Y ::= 2) (y ::= 2;; X ::= 1) we'll get stuck in the same way: we'll have two functions that behave the same way on all inputs, but cannot be proven to be [eq] to each other. The reasoning principle we would like to use in these situations is called _functional extensionality_: forall x, f x = g x ------------------- f = g Although this principle is not derivable in Coq's built-in logic, it is safe to add it as an additional _axiom_. *) Axiom functional_extensionality : forall {X Y: Type} {f g : X -> Y}, (forall (x: X), f x = g x) -> f = g. (** It can be shown that adding this axiom doesn't introduce any inconsistencies into Coq. (In this way, it is similar to adding one of the classical logic axioms, such as [excluded_middle].) *) (** With the benefit of this axiom we can prove our theorem. *) Theorem identity_assignment : forall (X:id), cequiv (X ::= AId X) SKIP. Proof. intros. split; intro H. Case "->". inversion H; subst. simpl. replace (update st X (st X)) with st. constructor. apply functional_extensionality. intro. rewrite update_same; reflexivity. Case "<-". inversion H; subst. assert (st' = (update st' X (st' X))). apply functional_extensionality. intro. rewrite update_same; reflexivity. rewrite H0 at 2. constructor. reflexivity. Qed. (** **** Exercise: 2 stars (assign_aequiv) *) Theorem assign_aequiv : forall X e, aequiv (AId X) e -> cequiv SKIP (X ::= e). Proof. (* FILL IN HERE *) Admitted. (** [] *) (* ####################################################### *) (** * Properties of Behavioral Equivalence *) (** We now turn to developing some of the properties of the program equivalences we have defined. *) (* ####################################################### *) (** ** Behavioral Equivalence is an Equivalence *) (** First, we verify that the equivalences on [aexps], [bexps], and [com]s really are _equivalences_ -- i.e., that they are reflexive, symmetric, and transitive. The proofs are all easy. *) Lemma refl_aequiv : forall (a : aexp), aequiv a a. Proof. intros a st. reflexivity. Qed. Lemma sym_aequiv : forall (a1 a2 : aexp), aequiv a1 a2 -> aequiv a2 a1. Proof. intros a1 a2 H. intros st. symmetry. apply H. Qed. Lemma trans_aequiv : forall (a1 a2 a3 : aexp), aequiv a1 a2 -> aequiv a2 a3 -> aequiv a1 a3. Proof. unfold aequiv. intros a1 a2 a3 H12 H23 st. rewrite (H12 st). rewrite (H23 st). reflexivity. Qed. Lemma refl_bequiv : forall (b : bexp), bequiv b b. Proof. unfold bequiv. intros b st. reflexivity. Qed. Lemma sym_bequiv : forall (b1 b2 : bexp), bequiv b1 b2 -> bequiv b2 b1. Proof. unfold bequiv. intros b1 b2 H. intros st. symmetry. apply H. Qed. Lemma trans_bequiv : forall (b1 b2 b3 : bexp), bequiv b1 b2 -> bequiv b2 b3 -> bequiv b1 b3. Proof. unfold bequiv. intros b1 b2 b3 H12 H23 st. rewrite (H12 st). rewrite (H23 st). reflexivity. Qed. Lemma refl_cequiv : forall (c : com), cequiv c c. Proof. unfold cequiv. intros c st st'. apply iff_refl. Qed. Lemma sym_cequiv : forall (c1 c2 : com), cequiv c1 c2 -> cequiv c2 c1. Proof. unfold cequiv. intros c1 c2 H st st'. assert (c1 / st || st' <-> c2 / st || st') as H'. SCase "Proof of assertion". apply H. apply iff_sym. assumption. Qed. Lemma iff_trans : forall (P1 P2 P3 : Prop), (P1 <-> P2) -> (P2 <-> P3) -> (P1 <-> P3). Proof. intros P1 P2 P3 H12 H23. inversion H12. inversion H23. split; intros A. apply H1. apply H. apply A. apply H0. apply H2. apply A. Qed. Lemma trans_cequiv : forall (c1 c2 c3 : com), cequiv c1 c2 -> cequiv c2 c3 -> cequiv c1 c3. Proof. unfold cequiv. intros c1 c2 c3 H12 H23 st st'. apply iff_trans with (c2 / st || st'). apply H12. apply H23. Qed. (* ######################################################## *) (** ** Behavioral Equivalence is a Congruence *) (** Less obviously, behavioral equivalence is also a _congruence_. That is, the equivalence of two subprograms implies the equivalence of the larger programs in which they are embedded: aequiv a1 a1' ----------------------------- cequiv (i ::= a1) (i ::= a1') cequiv c1 c1' cequiv c2 c2' ------------------------ cequiv (c1;;c2) (c1';;c2') ...and so on. (Note that we are using the inference rule notation here not as part of a definition, but simply to write down some valid implications in a readable format. We prove these implications below.) *) (** We will see a concrete example of why these congruence properties are important in the following section (in the proof of [fold_constants_com_sound]), but the main idea is that they allow us to replace a small part of a large program with an equivalent small part and know that the whole large programs are equivalent _without_ doing an explicit proof about the non-varying parts -- i.e., the "proof burden" of a small change to a large program is proportional to the size of the change, not the program. *) Theorem CAss_congruence : forall i a1 a1', aequiv a1 a1' -> cequiv (CAss i a1) (CAss i a1'). Proof. intros i a1 a2 Heqv st st'. split; intros Hceval. Case "->". inversion Hceval. subst. apply E_Ass. rewrite Heqv. reflexivity. Case "<-". inversion Hceval. subst. apply E_Ass. rewrite Heqv. reflexivity. Qed. (** The congruence property for loops is a little more interesting, since it requires induction. _Theorem_: Equivalence is a congruence for [WHILE] -- that is, if [b1] is equivalent to [b1'] and [c1] is equivalent to [c1'], then [WHILE b1 DO c1 END] is equivalent to [WHILE b1' DO c1' END]. _Proof_: Suppose [b1] is equivalent to [b1'] and [c1] is equivalent to [c1']. We must show, for every [st] and [st'], that [WHILE b1 DO c1 END / st || st'] iff [WHILE b1' DO c1' END / st || st']. We consider the two directions separately. - ([->]) We show that [WHILE b1 DO c1 END / st || st'] implies [WHILE b1' DO c1' END / st || st'], by induction on a derivation of [WHILE b1 DO c1 END / st || st']. The only nontrivial cases are when the final rule in the derivation is [E_WhileEnd] or [E_WhileLoop]. - [E_WhileEnd]: In this case, the form of the rule gives us [beval st b1 = false] and [st = st']. But then, since [b1] and [b1'] are equivalent, we have [beval st b1' = false], and [E-WhileEnd] applies, giving us [WHILE b1' DO c1' END / st || st'], as required. - [E_WhileLoop]: The form of the rule now gives us [beval st b1 = true], with [c1 / st || st'0] and [WHILE b1 DO c1 END / st'0 || st'] for some state [st'0], with the induction hypothesis [WHILE b1' DO c1' END / st'0 || st']. Since [c1] and [c1'] are equivalent, we know that [c1' / st || st'0]. And since [b1] and [b1'] are equivalent, we have [beval st b1' = true]. Now [E-WhileLoop] applies, giving us [WHILE b1' DO c1' END / st || st'], as required. - ([<-]) Similar. [] *) Theorem CWhile_congruence : forall b1 b1' c1 c1', bequiv b1 b1' -> cequiv c1 c1' -> cequiv (WHILE b1 DO c1 END) (WHILE b1' DO c1' END). Proof. (* WORKED IN CLASS *) unfold bequiv,cequiv. intros b1 b1' c1 c1' Hb1e Hc1e st st'. split; intros Hce. Case "->". remember (WHILE b1 DO c1 END) as cwhile eqn:Heqcwhile. induction Hce; inversion Heqcwhile; subst. SCase "E_WhileEnd". apply E_WhileEnd. rewrite <- Hb1e. apply H. SCase "E_WhileLoop". apply E_WhileLoop with (st' := st'). SSCase "show loop runs". rewrite <- Hb1e. apply H. SSCase "body execution". apply (Hc1e st st'). apply Hce1. SSCase "subsequent loop execution". apply IHHce2. reflexivity. Case "<-". remember (WHILE b1' DO c1' END) as c'while eqn:Heqc'while. induction Hce; inversion Heqc'while; subst. SCase "E_WhileEnd". apply E_WhileEnd. rewrite -> Hb1e. apply H. SCase "E_WhileLoop". apply E_WhileLoop with (st' := st'). SSCase "show loop runs". rewrite -> Hb1e. apply H. SSCase "body execution". apply (Hc1e st st'). apply Hce1. SSCase "subsequent loop execution". apply IHHce2. reflexivity. Qed. (** **** Exercise: 3 stars, optional (CSeq_congruence) *) Theorem CSeq_congruence : forall c1 c1' c2 c2', cequiv c1 c1' -> cequiv c2 c2' -> cequiv (c1;;c2) (c1';;c2'). Proof. (* FILL IN HERE *) Admitted. (** [] *) (** **** Exercise: 3 stars (CIf_congruence) *) Theorem CIf_congruence : forall b b' c1 c1' c2 c2', bequiv b b' -> cequiv c1 c1' -> cequiv c2 c2' -> cequiv (IFB b THEN c1 ELSE c2 FI) (IFB b' THEN c1' ELSE c2' FI). Proof. (* FILL IN HERE *) Admitted. (** [] *) (** *** *) (** For example, here are two equivalent programs and a proof of their equivalence... *) Example congruence_example: cequiv (* Program 1: *) (X ::= ANum 0;; IFB (BEq (AId X) (ANum 0)) THEN Y ::= ANum 0 ELSE Y ::= ANum 42 FI) (* Program 2: *) (X ::= ANum 0;; IFB (BEq (AId X) (ANum 0)) THEN Y ::= AMinus (AId X) (AId X) (* <--- changed here *) ELSE Y ::= ANum 42 FI). Proof. apply CSeq_congruence. apply refl_cequiv. apply CIf_congruence. apply refl_bequiv. apply CAss_congruence. unfold aequiv. simpl. symmetry. apply minus_diag. apply refl_cequiv. Qed. (* ####################################################### *) (** * Program Transformations *) (** A _program transformation_ is a function that takes a program as input and produces some variant of the program as its output. Compiler optimizations such as constant folding are a canonical example, but there are many others. *) (** A program transformation is _sound_ if it preserves the behavior of the original program. We can define a notion of soundness for translations of [aexp]s, [bexp]s, and [com]s. *) Definition atrans_sound (atrans : aexp -> aexp) : Prop := forall (a : aexp), aequiv a (atrans a). Definition btrans_sound (btrans : bexp -> bexp) : Prop := forall (b : bexp), bequiv b (btrans b). Definition ctrans_sound (ctrans : com -> com) : Prop := forall (c : com), cequiv c (ctrans c). (* ######################################################## *) (** ** The Constant-Folding Transformation *) (** An expression is _constant_ when it contains no variable references. Constant folding is an optimization that finds constant expressions and replaces them by their values. *) Fixpoint fold_constants_aexp (a : aexp) : aexp := match a with | ANum n => ANum n | AId i => AId i | APlus a1 a2 => match (fold_constants_aexp a1, fold_constants_aexp a2) with | (ANum n1, ANum n2) => ANum (n1 + n2) | (a1', a2') => APlus a1' a2' end | AMinus a1 a2 => match (fold_constants_aexp a1, fold_constants_aexp a2) with | (ANum n1, ANum n2) => ANum (n1 - n2) | (a1', a2') => AMinus a1' a2' end | AMult a1 a2 => match (fold_constants_aexp a1, fold_constants_aexp a2) with | (ANum n1, ANum n2) => ANum (n1 * n2) | (a1', a2') => AMult a1' a2' end end. Example fold_aexp_ex1 : fold_constants_aexp (AMult (APlus (ANum 1) (ANum 2)) (AId X)) = AMult (ANum 3) (AId X). Proof. reflexivity. Qed. (** Note that this version of constant folding doesn't eliminate trivial additions, etc. -- we are focusing attention on a single optimization for the sake of simplicity. It is not hard to incorporate other ways of simplifying expressions; the definitions and proofs just get longer. *) Example fold_aexp_ex2 : fold_constants_aexp (AMinus (AId X) (APlus (AMult (ANum 0) (ANum 6)) (AId Y))) = AMinus (AId X) (APlus (ANum 0) (AId Y)). Proof. reflexivity. Qed. (** *** *) (** Not only can we lift [fold_constants_aexp] to [bexp]s (in the [BEq] and [BLe] cases), we can also find constant _boolean_ expressions and reduce them in-place. *) Fixpoint fold_constants_bexp (b : bexp) : bexp := match b with | BTrue => BTrue | BFalse => BFalse | BEq a1 a2 => match (fold_constants_aexp a1, fold_constants_aexp a2) with | (ANum n1, ANum n2) => if beq_nat n1 n2 then BTrue else BFalse | (a1', a2') => BEq a1' a2' end | BLe a1 a2 => match (fold_constants_aexp a1, fold_constants_aexp a2) with | (ANum n1, ANum n2) => if ble_nat n1 n2 then BTrue else BFalse | (a1', a2') => BLe a1' a2' end | BNot b1 => match (fold_constants_bexp b1) with | BTrue => BFalse | BFalse => BTrue | b1' => BNot b1' end | BAnd b1 b2 => match (fold_constants_bexp b1, fold_constants_bexp b2) with | (BTrue, BTrue) => BTrue | (BTrue, BFalse) => BFalse | (BFalse, BTrue) => BFalse | (BFalse, BFalse) => BFalse | (b1', b2') => BAnd b1' b2' end end. Example fold_bexp_ex1 : fold_constants_bexp (BAnd BTrue (BNot (BAnd BFalse BTrue))) = BTrue. Proof. reflexivity. Qed. Example fold_bexp_ex2 : fold_constants_bexp (BAnd (BEq (AId X) (AId Y)) (BEq (ANum 0) (AMinus (ANum 2) (APlus (ANum 1) (ANum 1))))) = BAnd (BEq (AId X) (AId Y)) BTrue. Proof. reflexivity. Qed. (** *** *) (** To fold constants in a command, we apply the appropriate folding functions on all embedded expressions. *) Fixpoint fold_constants_com (c : com) : com := match c with | SKIP => SKIP | i ::= a => CAss i (fold_constants_aexp a) | c1 ;; c2 => (fold_constants_com c1) ;; (fold_constants_com c2) | IFB b THEN c1 ELSE c2 FI => match fold_constants_bexp b with | BTrue => fold_constants_com c1 | BFalse => fold_constants_com c2 | b' => IFB b' THEN fold_constants_com c1 ELSE fold_constants_com c2 FI end | WHILE b DO c END => match fold_constants_bexp b with | BTrue => WHILE BTrue DO SKIP END | BFalse => SKIP | b' => WHILE b' DO (fold_constants_com c) END end end. (** *** *) Example fold_com_ex1 : fold_constants_com (* Original program: *) (X ::= APlus (ANum 4) (ANum 5);; Y ::= AMinus (AId X) (ANum 3);; IFB BEq (AMinus (AId X) (AId Y)) (APlus (ANum 2) (ANum 4)) THEN SKIP ELSE Y ::= ANum 0 FI;; IFB BLe (ANum 0) (AMinus (ANum 4) (APlus (ANum 2) (ANum 1))) THEN Y ::= ANum 0 ELSE SKIP FI;; WHILE BEq (AId Y) (ANum 0) DO X ::= APlus (AId X) (ANum 1) END) = (* After constant folding: *) (X ::= ANum 9;; Y ::= AMinus (AId X) (ANum 3);; IFB BEq (AMinus (AId X) (AId Y)) (ANum 6) THEN SKIP ELSE (Y ::= ANum 0) FI;; Y ::= ANum 0;; WHILE BEq (AId Y) (ANum 0) DO X ::= APlus (AId X) (ANum 1) END). Proof. reflexivity. Qed. (* ################################################### *) (** ** Soundness of Constant Folding *) (** Now we need to show that what we've done is correct. *) (** Here's the proof for arithmetic expressions: *) Theorem fold_constants_aexp_sound : atrans_sound fold_constants_aexp. Proof. unfold atrans_sound. intros a. unfold aequiv. intros st. aexp_cases (induction a) Case; simpl; (* ANum and AId follow immediately *) try reflexivity; (* APlus, AMinus, and AMult follow from the IH and the observation that aeval st (APlus a1 a2) = ANum ((aeval st a1) + (aeval st a2)) = aeval st (ANum ((aeval st a1) + (aeval st a2))) (and similarly for AMinus/minus and AMult/mult) *) try (destruct (fold_constants_aexp a1); destruct (fold_constants_aexp a2); rewrite IHa1; rewrite IHa2; reflexivity). Qed. (** **** Exercise: 3 stars, optional (fold_bexp_Eq_informal) *) (** Here is an informal proof of the [BEq] case of the soundness argument for boolean expression constant folding. Read it carefully and compare it to the formal proof that follows. Then fill in the [BLe] case of the formal proof (without looking at the [BEq] case, if possible). _Theorem_: The constant folding function for booleans, [fold_constants_bexp], is sound. _Proof_: We must show that [b] is equivalent to [fold_constants_bexp], for all boolean expressions [b]. Proceed by induction on [b]. We show just the case where [b] has the form [BEq a1 a2]. In this case, we must show beval st (BEq a1 a2) = beval st (fold_constants_bexp (BEq a1 a2)). There are two cases to consider: - First, suppose [fold_constants_aexp a1 = ANum n1] and [fold_constants_aexp a2 = ANum n2] for some [n1] and [n2]. In this case, we have fold_constants_bexp (BEq a1 a2) = if beq_nat n1 n2 then BTrue else BFalse and beval st (BEq a1 a2) = beq_nat (aeval st a1) (aeval st a2). By the soundness of constant folding for arithmetic expressions (Lemma [fold_constants_aexp_sound]), we know aeval st a1 = aeval st (fold_constants_aexp a1) = aeval st (ANum n1) = n1 and aeval st a2 = aeval st (fold_constants_aexp a2) = aeval st (ANum n2) = n2, so beval st (BEq a1 a2) = beq_nat (aeval a1) (aeval a2) = beq_nat n1 n2. Also, it is easy to see (by considering the cases [n1 = n2] and [n1 <> n2] separately) that beval st (if beq_nat n1 n2 then BTrue else BFalse) = if beq_nat n1 n2 then beval st BTrue else beval st BFalse = if beq_nat n1 n2 then true else false = beq_nat n1 n2. So beval st (BEq a1 a2) = beq_nat n1 n2. = beval st (if beq_nat n1 n2 then BTrue else BFalse), ]] as required. - Otherwise, one of [fold_constants_aexp a1] and [fold_constants_aexp a2] is not a constant. In this case, we must show beval st (BEq a1 a2) = beval st (BEq (fold_constants_aexp a1) (fold_constants_aexp a2)), which, by the definition of [beval], is the same as showing beq_nat (aeval st a1) (aeval st a2) = beq_nat (aeval st (fold_constants_aexp a1)) (aeval st (fold_constants_aexp a2)). But the soundness of constant folding for arithmetic expressions ([fold_constants_aexp_sound]) gives us aeval st a1 = aeval st (fold_constants_aexp a1) aeval st a2 = aeval st (fold_constants_aexp a2), completing the case. [] *) Theorem fold_constants_bexp_sound: btrans_sound fold_constants_bexp. Proof. unfold btrans_sound. intros b. unfold bequiv. intros st. bexp_cases (induction b) Case; (* BTrue and BFalse are immediate *) try reflexivity. Case "BEq". (* Doing induction when there are a lot of constructors makes specifying variable names a chore, but Coq doesn't always choose nice variable names. We can rename entries in the context with the [rename] tactic: [rename a into a1] will change [a] to [a1] in the current goal and context. *) rename a into a1. rename a0 into a2. simpl. remember (fold_constants_aexp a1) as a1' eqn:Heqa1'. remember (fold_constants_aexp a2) as a2' eqn:Heqa2'. replace (aeval st a1) with (aeval st a1') by (subst a1'; rewrite <- fold_constants_aexp_sound; reflexivity). replace (aeval st a2) with (aeval st a2') by (subst a2'; rewrite <- fold_constants_aexp_sound; reflexivity). destruct a1'; destruct a2'; try reflexivity. (* The only interesting case is when both a1 and a2 become constants after folding *) simpl. destruct (beq_nat n n0); reflexivity. Case "BLe". (* FILL IN HERE *) admit. Case "BNot". simpl. remember (fold_constants_bexp b) as b' eqn:Heqb'. rewrite IHb. destruct b'; reflexivity. Case "BAnd". simpl. remember (fold_constants_bexp b1) as b1' eqn:Heqb1'. remember (fold_constants_bexp b2) as b2' eqn:Heqb2'. rewrite IHb1. rewrite IHb2. destruct b1'; destruct b2'; reflexivity. Qed. (** [] *) (** **** Exercise: 3 stars (fold_constants_com_sound) *) (** Complete the [WHILE] case of the following proof. *) Theorem fold_constants_com_sound : ctrans_sound fold_constants_com. Proof. unfold ctrans_sound. intros c. com_cases (induction c) Case; simpl. Case "SKIP". apply refl_cequiv. Case "::=". apply CAss_congruence. apply fold_constants_aexp_sound. Case ";;". apply CSeq_congruence; assumption. Case "IFB". assert (bequiv b (fold_constants_bexp b)). SCase "Pf of assertion". apply fold_constants_bexp_sound. destruct (fold_constants_bexp b) eqn:Heqb; (* If the optimization doesn't eliminate the if, then the result is easy to prove from the IH and fold_constants_bexp_sound *) try (apply CIf_congruence; assumption). SCase "b always true". apply trans_cequiv with c1; try assumption. apply IFB_true; assumption. SCase "b always false". apply trans_cequiv with c2; try assumption. apply IFB_false; assumption. Case "WHILE". (* FILL IN HERE *) Admitted. (** [] *) (* ########################################################## *) (** *** Soundness of (0 + n) Elimination, Redux *) (** **** Exercise: 4 stars, advanced, optional (optimize_0plus) *) (** Recall the definition [optimize_0plus] from Imp.v: Fixpoint optimize_0plus (e:aexp) : aexp := match e with | ANum n => ANum n | APlus (ANum 0) e2 => optimize_0plus e2 | APlus e1 e2 => APlus (optimize_0plus e1) (optimize_0plus e2) | AMinus e1 e2 => AMinus (optimize_0plus e1) (optimize_0plus e2) | AMult e1 e2 => AMult (optimize_0plus e1) (optimize_0plus e2) end. Note that this function is defined over the old [aexp]s, without states. Write a new version of this function that accounts for variables, and analogous ones for [bexp]s and commands: optimize_0plus_aexp optimize_0plus_bexp optimize_0plus_com Prove that these three functions are sound, as we did for [fold_constants_*]. (Make sure you use the congruence lemmas in the proof of [optimize_0plus_com] -- otherwise it will be _long_!) Then define an optimizer on commands that first folds constants (using [fold_constants_com]) and then eliminates [0 + n] terms (using [optimize_0plus_com]). - Give a meaningful example of this optimizer's output. - Prove that the optimizer is sound. (This part should be _very_ easy.) *) (* FILL IN HERE *) (** [] *) (* ####################################################### *) (** * Proving That Programs Are _Not_ Equivalent *) (** Suppose that [c1] is a command of the form [X ::= a1;; Y ::= a2] and [c2] is the command [X ::= a1;; Y ::= a2'], where [a2'] is formed by substituting [a1] for all occurrences of [X] in [a2]. For example, [c1] and [c2] might be: c1 = (X ::= 42 + 53;; Y ::= Y + X) c2 = (X ::= 42 + 53;; Y ::= Y + (42 + 53)) Clearly, this _particular_ [c1] and [c2] are equivalent. Is this true in general? *) (** We will see in a moment that it is not, but it is worthwhile to pause, now, and see if you can find a counter-example on your own. *) (** Here, formally, is the function that substitutes an arithmetic expression for each occurrence of a given variable in another expression: *) Fixpoint subst_aexp (i : id) (u : aexp) (a : aexp) : aexp := match a with | ANum n => ANum n | AId i' => if eq_id_dec i i' then u else AId i' | APlus a1 a2 => APlus (subst_aexp i u a1) (subst_aexp i u a2) | AMinus a1 a2 => AMinus (subst_aexp i u a1) (subst_aexp i u a2) | AMult a1 a2 => AMult (subst_aexp i u a1) (subst_aexp i u a2) end. Example subst_aexp_ex : subst_aexp X (APlus (ANum 42) (ANum 53)) (APlus (AId Y) (AId X)) = (APlus (AId Y) (APlus (ANum 42) (ANum 53))). Proof. reflexivity. Qed. (** And here is the property we are interested in, expressing the claim that commands [c1] and [c2] as described above are always equivalent. *) Definition subst_equiv_property := forall i1 i2 a1 a2, cequiv (i1 ::= a1;; i2 ::= a2) (i1 ::= a1;; i2 ::= subst_aexp i1 a1 a2). (** *** *) (** Sadly, the property does _not_ always hold. _Theorem_: It is not the case that, for all [i1], [i2], [a1], and [a2], cequiv (i1 ::= a1;; i2 ::= a2) (i1 ::= a1;; i2 ::= subst_aexp i1 a1 a2). ]] _Proof_: Suppose, for a contradiction, that for all [i1], [i2], [a1], and [a2], we have cequiv (i1 ::= a1;; i2 ::= a2) (i1 ::= a1;; i2 ::= subst_aexp i1 a1 a2). Consider the following program: X ::= APlus (AId X) (ANum 1);; Y ::= AId X Note that (X ::= APlus (AId X) (ANum 1);; Y ::= AId X) / empty_state || st1, where [st1 = { X |-> 1, Y |-> 1 }]. By our assumption, we know that cequiv (X ::= APlus (AId X) (ANum 1);; Y ::= AId X) (X ::= APlus (AId X) (ANum 1);; Y ::= APlus (AId X) (ANum 1)) so, by the definition of [cequiv], we have (X ::= APlus (AId X) (ANum 1);; Y ::= APlus (AId X) (ANum 1)) / empty_state || st1. But we can also derive (X ::= APlus (AId X) (ANum 1);; Y ::= APlus (AId X) (ANum 1)) / empty_state || st2, where [st2 = { X |-> 1, Y |-> 2 }]. Note that [st1 <> st2]; this is a contradiction, since [ceval] is deterministic! [] *) Theorem subst_inequiv : ~ subst_equiv_property. Proof. unfold subst_equiv_property. intros Contra. (* Here is the counterexample: assuming that [subst_equiv_property] holds allows us to prove that these two programs are equivalent... *) remember (X ::= APlus (AId X) (ANum 1);; Y ::= AId X) as c1. remember (X ::= APlus (AId X) (ANum 1);; Y ::= APlus (AId X) (ANum 1)) as c2. assert (cequiv c1 c2) by (subst; apply Contra). (* ... allows us to show that the command [c2] can terminate in two different final states: st1 = {X |-> 1, Y |-> 1} st2 = {X |-> 1, Y |-> 2}. *) remember (update (update empty_state X 1) Y 1) as st1. remember (update (update empty_state X 1) Y 2) as st2. assert (H1: c1 / empty_state || st1); assert (H2: c2 / empty_state || st2); try (subst; apply E_Seq with (st' := (update empty_state X 1)); apply E_Ass; reflexivity). apply H in H1. (* Finally, we use the fact that evaluation is deterministic to obtain a contradiction. *) assert (Hcontra: st1 = st2) by (apply (ceval_deterministic c2 empty_state); assumption). assert (Hcontra': st1 Y = st2 Y) by (rewrite Hcontra; reflexivity). subst. inversion Hcontra'. Qed. (** **** Exercise: 4 stars, optional (better_subst_equiv) *) (** The equivalence we had in mind above was not complete nonsense -- it was actually almost right. To make it correct, we just need to exclude the case where the variable [X] occurs in the right-hand-side of the first assignment statement. *) Inductive var_not_used_in_aexp (X:id) : aexp -> Prop := | VNUNum: forall n, var_not_used_in_aexp X (ANum n) | VNUId: forall Y, X <> Y -> var_not_used_in_aexp X (AId Y) | VNUPlus: forall a1 a2, var_not_used_in_aexp X a1 -> var_not_used_in_aexp X a2 -> var_not_used_in_aexp X (APlus a1 a2) | VNUMinus: forall a1 a2, var_not_used_in_aexp X a1 -> var_not_used_in_aexp X a2 -> var_not_used_in_aexp X (AMinus a1 a2) | VNUMult: forall a1 a2, var_not_used_in_aexp X a1 -> var_not_used_in_aexp X a2 -> var_not_used_in_aexp X (AMult a1 a2). Lemma aeval_weakening : forall i st a ni, var_not_used_in_aexp i a -> aeval (update st i ni) a = aeval st a. Proof. (* FILL IN HERE *) Admitted. (** Using [var_not_used_in_aexp], formalize and prove a correct verson of [subst_equiv_property]. *) (* FILL IN HERE *) (** [] *) (** **** Exercise: 3 stars, optional (inequiv_exercise) *) (** Prove that an infinite loop is not equivalent to [SKIP] *) Theorem inequiv_exercise: ~ cequiv (WHILE BTrue DO SKIP END) SKIP. Proof. (* FILL IN HERE *) Admitted. (** [] *) (** * Extended exercise: Non-deterministic Imp *) (** As we have seen (in theorem [ceval_deterministic] in the Imp chapter), Imp's evaluation relation is deterministic. However, _non_-determinism is an important part of the definition of many real programming languages. For example, in many imperative languages (such as C and its relatives), the order in which function arguments are evaluated is unspecified. The program fragment x = 0;; f(++x, x) might call [f] with arguments [(1, 0)] or [(1, 1)], depending how the compiler chooses to order things. This can be a little confusing for programmers, but it gives the compiler writer useful freedom. In this exercise, we will extend Imp with a simple non-deterministic command and study how this change affects program equivalence. The new command has the syntax [HAVOC X], where [X] is an identifier. The effect of executing [HAVOC X] is to assign an _arbitrary_ number to the variable [X], non-deterministically. For example, after executing the program: HAVOC Y;; Z ::= Y * 2 the value of [Y] can be any number, while the value of [Z] is twice that of [Y] (so [Z] is always even). Note that we are not saying anything about the _probabilities_ of the outcomes -- just that there are (infinitely) many different outcomes that can possibly happen after executing this non-deterministic code. In a sense a variable on which we do [HAVOC] roughly corresponds to an unitialized variable in the C programming language. After the [HAVOC] the variable holds a fixed but arbitrary number. Most sources of nondeterminism in language definitions are there precisely because programmers don't care which choice is made (and so it is good to leave it open to the compiler to choose whichever will run faster). We call this new language _Himp_ (``Imp extended with [HAVOC]''). *) Module Himp. (** To formalize the language, we first add a clause to the definition of commands. *) Inductive com : Type := | CSkip : com | CAss : id -> aexp -> com | CSeq : com -> com -> com | CIf : bexp -> com -> com -> com | CWhile : bexp -> com -> com | CHavoc : id -> com. (* <---- new *) Tactic Notation "com_cases" tactic(first) ident(c) := first; [ Case_aux c "SKIP" | Case_aux c "::=" | Case_aux c ";;" | Case_aux c "IFB" | Case_aux c "WHILE" | Case_aux c "HAVOC" ]. Notation "'SKIP'" := CSkip. Notation "X '::=' a" := (CAss X a) (at level 60). Notation "c1 ;; c2" := (CSeq c1 c2) (at level 80, right associativity). Notation "'WHILE' b 'DO' c 'END'" := (CWhile b c) (at level 80, right associativity). Notation "'IFB' e1 'THEN' e2 'ELSE' e3 'FI'" := (CIf e1 e2 e3) (at level 80, right associativity). Notation "'HAVOC' l" := (CHavoc l) (at level 60). (** **** Exercise: 2 stars (himp_ceval) *) (** Now, we must extend the operational semantics. We have provided a template for the [ceval] relation below, specifying the big-step semantics. What rule(s) must be added to the definition of [ceval] to formalize the behavior of the [HAVOC] command? *) Reserved Notation "c1 '/' st '||' st'" (at level 40, st at level 39). Inductive ceval : com -> state -> state -> Prop := | E_Skip : forall st : state, SKIP / st || st | E_Ass : forall (st : state) (a1 : aexp) (n : nat) (X : id), aeval st a1 = n -> (X ::= a1) / st || update st X n | E_Seq : forall (c1 c2 : com) (st st' st'' : state), c1 / st || st' -> c2 / st' || st'' -> (c1 ;; c2) / st || st'' | E_IfTrue : forall (st st' : state) (b1 : bexp) (c1 c2 : com), beval st b1 = true -> c1 / st || st' -> (IFB b1 THEN c1 ELSE c2 FI) / st || st' | E_IfFalse : forall (st st' : state) (b1 : bexp) (c1 c2 : com), beval st b1 = false -> c2 / st || st' -> (IFB b1 THEN c1 ELSE c2 FI) / st || st' | E_WhileEnd : forall (b1 : bexp) (st : state) (c1 : com), beval st b1 = false -> (WHILE b1 DO c1 END) / st || st | E_WhileLoop : forall (st st' st'' : state) (b1 : bexp) (c1 : com), beval st b1 = true -> c1 / st || st' -> (WHILE b1 DO c1 END) / st' || st'' -> (WHILE b1 DO c1 END) / st || st'' (* FILL IN HERE *) where "c1 '/' st '||' st'" := (ceval c1 st st'). Tactic Notation "ceval_cases" tactic(first) ident(c) := first; [ Case_aux c "E_Skip" | Case_aux c "E_Ass" | Case_aux c "E_Seq" | Case_aux c "E_IfTrue" | Case_aux c "E_IfFalse" | Case_aux c "E_WhileEnd" | Case_aux c "E_WhileLoop" (* FILL IN HERE *) ]. (** As a sanity check, the following claims should be provable for your definition: *) Example havoc_example1 : (HAVOC X) / empty_state || update empty_state X 0. Proof. (* FILL IN HERE *) Admitted. Example havoc_example2 : (SKIP;; HAVOC Z) / empty_state || update empty_state Z 42. Proof. (* FILL IN HERE *) Admitted. (** [] *) (** Finally, we repeat the definition of command equivalence from above: *) Definition cequiv (c1 c2 : com) : Prop := forall st st' : state, c1 / st || st' <-> c2 / st || st'. (** This definition still makes perfect sense in the case of always terminating programs, so let's apply it to prove some non-deterministic programs equivalent or non-equivalent. *) (** **** Exercise: 3 stars (havoc_swap) *) (** Are the following two programs equivalent? *) Definition pXY := HAVOC X;; HAVOC Y. Definition pYX := HAVOC Y;; HAVOC X. (** If you think they are equivalent, prove it. If you think they are not, prove that. *) Theorem pXY_cequiv_pYX : cequiv pXY pYX \/ ~cequiv pXY pYX. Proof. (* FILL IN HERE *) Admitted. (** [] *) (** **** Exercise: 4 stars, optional (havoc_copy) *) (** Are the following two programs equivalent? *) Definition ptwice := HAVOC X;; HAVOC Y. Definition pcopy := HAVOC X;; Y ::= AId X. (** If you think they are equivalent, then prove it. If you think they are not, then prove that. (Hint: You may find the [assert] tactic useful.) *) Theorem ptwice_cequiv_pcopy : cequiv ptwice pcopy \/ ~cequiv ptwice pcopy. Proof. (* FILL IN HERE *) Admitted. (** [] *) (** The definition of program equivalence we are using here has some subtle consequences on programs that may loop forever. What [cequiv] says is that the set of possible _terminating_ outcomes of two equivalent programs is the same. However, in a language with non-determinism, like Himp, some programs always terminate, some programs always diverge, and some programs can non-deterministically terminate in some runs and diverge in others. The final part of the following exercise illustrates this phenomenon. *) (** **** Exercise: 5 stars, advanced (p1_p2_equiv) *) (** Prove that p1 and p2 are equivalent. In this and the following exercises, try to understand why the [cequiv] definition has the behavior it has on these examples. *) Definition p1 : com := WHILE (BNot (BEq (AId X) (ANum 0))) DO HAVOC Y;; X ::= APlus (AId X) (ANum 1) END. Definition p2 : com := WHILE (BNot (BEq (AId X) (ANum 0))) DO SKIP END. (** Intuitively, the programs have the same termination behavior: either they loop forever, or they terminate in the same state they started in. We can capture the termination behavior of p1 and p2 individually with these lemmas: *) Lemma p1_may_diverge : forall st st', st X <> 0 -> ~ p1 / st || st'. Proof. (* FILL IN HERE *) Admitted. Lemma p2_may_diverge : forall st st', st X <> 0 -> ~ p2 / st || st'. Proof. (* FILL IN HERE *) Admitted. (** You should use these lemmas to prove that p1 and p2 are actually equivalent. *) Theorem p1_p2_equiv : cequiv p1 p2. Proof. (* FILL IN HERE *) Admitted. (** [] *) (** **** Exercise: 4 stars, advanced (p3_p4_inquiv) *) (** Prove that the following programs are _not_ equivalent. *) Definition p3 : com := Z ::= ANum 1;; WHILE (BNot (BEq (AId X) (ANum 0))) DO HAVOC X;; HAVOC Z END. Definition p4 : com := X ::= (ANum 0);; Z ::= (ANum 1). Theorem p3_p4_inequiv : ~ cequiv p3 p4. Proof. (* FILL IN HERE *) Admitted. (** [] *) (** **** Exercise: 5 stars, advanced, optional (p5_p6_equiv) *) Definition p5 : com := WHILE (BNot (BEq (AId X) (ANum 1))) DO HAVOC X END. Definition p6 : com := X ::= ANum 1. Theorem p5_p6_equiv : cequiv p5 p6. Proof. (* FILL IN HERE *) Admitted. (** [] *) End Himp. (* ####################################################### *) (** * Doing Without Extensionality (Advanced) *) (** Purists might object to using the [functional_extensionality] axiom. In general, it can be quite dangerous to add axioms, particularly several at once (as they may be mutually inconsistent). In fact, [functional_extensionality] and [excluded_middle] can both be assumed without any problems, but some Coq users prefer to avoid such "heavyweight" general techniques, and instead craft solutions for specific problems that stay within Coq's standard logic. For our particular problem here, rather than extending the definition of equality to do what we want on functions representing states, we could instead give an explicit notion of _equivalence_ on states. For example: *) Definition stequiv (st1 st2 : state) : Prop := forall (X:id), st1 X = st2 X. Notation "st1 '~' st2" := (stequiv st1 st2) (at level 30). (** It is easy to prove that [stequiv] is an _equivalence_ (i.e., it is reflexive, symmetric, and transitive), so it partitions the set of all states into equivalence classes. *) (** **** Exercise: 1 star, optional (stequiv_refl) *) Lemma stequiv_refl : forall (st : state), st ~ st. Proof. (* FILL IN HERE *) Admitted. (** [] *) (** **** Exercise: 1 star, optional (stequiv_sym) *) Lemma stequiv_sym : forall (st1 st2 : state), st1 ~ st2 -> st2 ~ st1. Proof. (* FILL IN HERE *) Admitted. (** [] *) (** **** Exercise: 1 star, optional (stequiv_trans) *) Lemma stequiv_trans : forall (st1 st2 st3 : state), st1 ~ st2 -> st2 ~ st3 -> st1 ~ st3. Proof. (* FILL IN HERE *) Admitted. (** [] *) (** Another useful fact... *) (** **** Exercise: 1 star, optional (stequiv_update) *) Lemma stequiv_update : forall (st1 st2 : state), st1 ~ st2 -> forall (X:id) (n:nat), update st1 X n ~ update st2 X n. Proof. (* FILL IN HERE *) Admitted. (** [] *) (** It is then straightforward to show that [aeval] and [beval] behave uniformly on all members of an equivalence class: *) (** **** Exercise: 2 stars, optional (stequiv_aeval) *) Lemma stequiv_aeval : forall (st1 st2 : state), st1 ~ st2 -> forall (a:aexp), aeval st1 a = aeval st2 a. Proof. (* FILL IN HERE *) Admitted. (** [] *) (** **** Exercise: 2 stars, optional (stequiv_beval) *) Lemma stequiv_beval : forall (st1 st2 : state), st1 ~ st2 -> forall (b:bexp), beval st1 b = beval st2 b. Proof. (* FILL IN HERE *) Admitted. (** [] *) (** We can also characterize the behavior of [ceval] on equivalent states (this result is a bit more complicated to write down because [ceval] is a relation). *) Lemma stequiv_ceval: forall (st1 st2 : state), st1 ~ st2 -> forall (c: com) (st1': state), (c / st1 || st1') -> exists st2' : state, ((c / st2 || st2') /\ st1' ~ st2'). Proof. intros st1 st2 STEQV c st1' CEV1. generalize dependent st2. induction CEV1; intros st2 STEQV. Case "SKIP". exists st2. split. constructor. assumption. Case ":=". exists (update st2 x n). split. constructor. rewrite <- H. symmetry. apply stequiv_aeval. assumption. apply stequiv_update. assumption. Case ";". destruct (IHCEV1_1 st2 STEQV) as [st2' [P1 EQV1]]. destruct (IHCEV1_2 st2' EQV1) as [st2'' [P2 EQV2]]. exists st2''. split. apply E_Seq with st2'; assumption. assumption. Case "IfTrue". destruct (IHCEV1 st2 STEQV) as [st2' [P EQV]]. exists st2'. split. apply E_IfTrue. rewrite <- H. symmetry. apply stequiv_beval. assumption. assumption. assumption. Case "IfFalse". destruct (IHCEV1 st2 STEQV) as [st2' [P EQV]]. exists st2'. split. apply E_IfFalse. rewrite <- H. symmetry. apply stequiv_beval. assumption. assumption. assumption. Case "WhileEnd". exists st2. split. apply E_WhileEnd. rewrite <- H. symmetry. apply stequiv_beval. assumption. assumption. Case "WhileLoop". destruct (IHCEV1_1 st2 STEQV) as [st2' [P1 EQV1]]. destruct (IHCEV1_2 st2' EQV1) as [st2'' [P2 EQV2]]. exists st2''. split. apply E_WhileLoop with st2'. rewrite <- H. symmetry. apply stequiv_beval. assumption. assumption. assumption. assumption. Qed. (** Now we need to redefine [cequiv] to use [~] instead of [=]. It is not completely trivial to do this in a way that keeps the definition simple and symmetric, but here is one approach (thanks to Andrew McCreight). We first define a looser variant of [||] that "folds in" the notion of equivalence. *) Reserved Notation "c1 '/' st '||'' st'" (at level 40, st at level 39). Inductive ceval' : com -> state -> state -> Prop := | E_equiv : forall c st st' st'', c / st || st' -> st' ~ st'' -> c / st ||' st'' where "c1 '/' st '||'' st'" := (ceval' c1 st st'). (** Now the revised definition of [cequiv'] looks familiar: *) Definition cequiv' (c1 c2 : com) : Prop := forall (st st' : state), (c1 / st ||' st') <-> (c2 / st ||' st'). (** A sanity check shows that the original notion of command equivalence is at least as strong as this new one. (The converse is not true, naturally.) *) Lemma cequiv__cequiv' : forall (c1 c2: com), cequiv c1 c2 -> cequiv' c1 c2. Proof. unfold cequiv, cequiv'; split; intros. inversion H0 ; subst. apply E_equiv with st'0. apply (H st st'0); assumption. assumption. inversion H0 ; subst. apply E_equiv with st'0. apply (H st st'0). assumption. assumption. Qed. (** **** Exercise: 2 stars, optional (identity_assignment') *) (** Finally, here is our example once more... (You can complete the proof.) *) Example identity_assignment' : cequiv' SKIP (X ::= AId X). Proof. unfold cequiv'. intros. split; intros. Case "->". inversion H; subst; clear H. inversion H0; subst. apply E_equiv with (update st'0 X (st'0 X)). constructor. reflexivity. apply stequiv_trans with st'0. unfold stequiv. intros. apply update_same. reflexivity. assumption. Case "<-". (* FILL IN HERE *) Admitted. (** [] *) (** On the whole, this explicit equivalence approach is considerably harder to work with than relying on functional extensionality. (Coq does have an advanced mechanism called "setoids" that makes working with equivalences somewhat easier, by allowing them to be registered with the system so that standard rewriting tactics work for them almost as well as for equalities.) But it is worth knowing about, because it applies even in situations where the equivalence in question is _not_ over functions. For example, if we chose to represent state mappings as binary search trees, we would need to use an explicit equivalence of this kind. *) (* ####################################################### *) (** * Additional Exercises *) (** **** Exercise: 4 stars, optional (for_while_equiv) *) (** This exercise extends the optional [add_for_loop] exercise from Imp.v, where you were asked to extend the language of commands with C-style [for] loops. Prove that the command: for (c1 ; b ; c2) { c3 } is equivalent to: c1 ; WHILE b DO c3 ; c2 END *) (* FILL IN HERE *) (** [] *) (** **** Exercise: 3 stars, optional (swap_noninterfering_assignments) *) Theorem swap_noninterfering_assignments: forall l1 l2 a1 a2, l1 <> l2 -> var_not_used_in_aexp l1 a2 -> var_not_used_in_aexp l2 a1 -> cequiv (l1 ::= a1;; l2 ::= a2) (l2 ::= a2;; l1 ::= a1). Proof. (* Hint: You'll need [functional_extensionality] *) (* FILL IN HERE *) Admitted. (** [] *)
// ---------------------------------------------------------------------- // Copyright (c) 2016, The Regents of the University of California All // rights reserved. // // Redistribution and use in source and binary forms, with or without // modification, are permitted provided that the following conditions are // met: // // * Redistributions of source code must retain the above copyright // notice, this list of conditions and the following disclaimer. // // * Redistributions in binary form must reproduce the above // copyright notice, this list of conditions and the following // disclaimer in the documentation and/or other materials provided // with the distribution. // // * Neither the name of The Regents of the University of California // nor the names of its contributors may be used to endorse or // promote products derived from this software without specific // prior written permission. // // THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS // "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT // LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR // A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL REGENTS OF THE // UNIVERSITY OF CALIFORNIA BE LIABLE FOR ANY DIRECT, INDIRECT, // INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, // BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS // OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND // ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR // TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE // USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH // DAMAGE. // ---------------------------------------------------------------------- //---------------------------------------------------------------------------- // Filename: rxc_engine_classic.v // Version: 1.0 // Verilog Standard: Verilog-2001 // Description: The RXC Engine (Ultrascale) takes a single stream of // AXI packets and provides the completion packets on the RXC Interface. // This Engine is capable of operating at "line rate". // Author: Dustin Richmond (@darichmond) //----------------------------------------------------------------------------- `timescale 1ns/1ns `include "trellis.vh" `include "ultrascale.vh" module rxc_engine_ultrascale #(parameter C_PCI_DATA_WIDTH = 128, parameter C_RX_PIPELINE_DEPTH=10, // Number of data pipeline registers for metadata and data stages parameter C_RX_META_STAGES = 0, parameter C_RX_DATA_STAGES = 1) (// Interface: Clocks input CLK, // Interface: Resets input RST_BUS, // Replacement for generic RST_IN input RST_LOGIC, // Addition for RIFFA_RST output DONE_RXC_RST, // Interface: RC input M_AXIS_RC_TVALID, input M_AXIS_RC_TLAST, input [C_PCI_DATA_WIDTH-1:0] M_AXIS_RC_TDATA, input [(C_PCI_DATA_WIDTH/32)-1:0] M_AXIS_RC_TKEEP, input [`SIG_RC_TUSER_W-1:0] M_AXIS_RC_TUSER, output M_AXIS_RC_TREADY, // Interface: RXC Engine output [C_PCI_DATA_WIDTH-1:0] RXC_DATA, output RXC_DATA_VALID, output [(C_PCI_DATA_WIDTH/32)-1:0] RXC_DATA_WORD_ENABLE, output RXC_DATA_START_FLAG, output [clog2s(C_PCI_DATA_WIDTH/32)-1:0] RXC_DATA_START_OFFSET, output RXC_DATA_END_FLAG, output [clog2s(C_PCI_DATA_WIDTH/32)-1:0] RXC_DATA_END_OFFSET, output [`SIG_LBE_W-1:0] RXC_META_LDWBE, output [`SIG_FBE_W-1:0] RXC_META_FDWBE, output [`SIG_TAG_W-1:0] RXC_META_TAG, output [`SIG_LOWADDR_W-1:0] RXC_META_ADDR, output [`SIG_TYPE_W-1:0] RXC_META_TYPE, output [`SIG_LEN_W-1:0] RXC_META_LENGTH, output [`SIG_BYTECNT_W-1:0] RXC_META_BYTES_REMAINING, output [`SIG_CPLID_W-1:0] RXC_META_COMPLETER_ID, output RXC_META_EP ); // Width of the Byte Enable Shift register localparam C_RX_BE_W = (`SIG_FBE_W + `SIG_LBE_W); localparam C_RX_INPUT_STAGES = 0; localparam C_RX_OUTPUT_STAGES = 2; // Should always be at least one localparam C_RX_COMPUTATION_STAGES = 1; localparam C_TOTAL_STAGES = C_RX_COMPUTATION_STAGES + C_RX_OUTPUT_STAGES + C_RX_INPUT_STAGES; // CYCLE = LOW ORDER BIT (INDEX) / C_PCI_DATA_WIDTH localparam C_RX_METADW0_CYCLE = (`UPKT_RXC_METADW0_I/C_PCI_DATA_WIDTH) + C_RX_INPUT_STAGES; localparam C_RX_METADW1_CYCLE = (`UPKT_RXC_METADW1_I/C_PCI_DATA_WIDTH) + C_RX_INPUT_STAGES; localparam C_RX_METADW2_CYCLE = (`UPKT_RXC_METADW2_I/C_PCI_DATA_WIDTH) + C_RX_INPUT_STAGES; localparam C_RX_PAYLOAD_CYCLE = (`UPKT_RXC_PAYLOAD_I/C_PCI_DATA_WIDTH) + C_RX_INPUT_STAGES; localparam C_RX_BE_CYCLE = C_RX_INPUT_STAGES; // Available on the first cycle (as per the spec) localparam C_RX_METADW0_INDEX = C_PCI_DATA_WIDTH*C_RX_INPUT_STAGES + (`UPKT_RXC_METADW0_I%C_PCI_DATA_WIDTH); localparam C_RX_METADW1_INDEX = C_PCI_DATA_WIDTH*C_RX_INPUT_STAGES + (`UPKT_RXC_METADW1_I%C_PCI_DATA_WIDTH); localparam C_RX_METADW2_INDEX = C_PCI_DATA_WIDTH*C_RX_INPUT_STAGES + (`UPKT_RXC_METADW2_I%C_PCI_DATA_WIDTH); localparam C_RX_BE_INDEX = C_PCI_DATA_WIDTH*C_RX_INPUT_STAGES; // Mask width of the calculated SOF/EOF fields localparam C_OFFSET_WIDTH = clog2(C_PCI_DATA_WIDTH/32); wire wMAxisRcSop; wire wMAxisRcTlast; wire [C_RX_PIPELINE_DEPTH:0] wRxSrSop; wire [C_RX_PIPELINE_DEPTH:0] wRxSrEop; wire [C_RX_PIPELINE_DEPTH:0] wRxSrDataValid; wire [(C_RX_PIPELINE_DEPTH+1)*C_RX_BE_W-1:0] wRxSrBe; wire [(C_RX_PIPELINE_DEPTH+1)*C_PCI_DATA_WIDTH-1:0] wRxSrData; wire wRxcDataValid; wire wRxcDataReady; // Pinned High wire [(C_PCI_DATA_WIDTH/32)-1:0] wRxcDataWordEnable; wire wRxcDataEndFlag; wire [clog2(C_PCI_DATA_WIDTH/32)-1:0] wRxcDataEndOffset; wire wRxcDataStartFlag; wire [clog2(C_PCI_DATA_WIDTH/32)-1:0] wRxcDataStartOffset; wire [`SIG_BYTECNT_W-1:0] wRxcMetaBytesRemaining; wire [`SIG_CPLID_W-1:0] wRxcMetaCompleterId; wire [`UPKT_RXC_MAXHDR_W-1:0] wRxcHdr; wire [`SIG_TYPE_W-1:0] wRxcType; wire [`SIG_BARDECODE_W-1:0] wRxcBarDecoded; wire [`UPKT_RXC_MAXHDR_W-1:0] wHdr; wire [`SIG_TYPE_W-1:0] wType; wire wHasPayload; wire _wEndFlag; wire wEndFlag; wire wEndFlagLastCycle; wire [clog2(C_PCI_DATA_WIDTH/32)-1:0] wEndOffset; wire [(C_PCI_DATA_WIDTH/32)-1:0] wEndMask; wire _wStartFlag; wire wStartFlag; wire [1:0] wStartFlags; wire [clog2(C_PCI_DATA_WIDTH/32)-1:0] wStartOffset; wire [(C_PCI_DATA_WIDTH/32)-1:0] wStartMask; wire [C_OFFSET_WIDTH-1:0] wOffsetMask; reg rValid,_rValid; reg rRST; assign DONE_RXC_RST = ~rRST; assign wMAxisRcSop = M_AXIS_RC_TUSER[`UPKT_RC_TUSER_SOP_I]; assign wMAxisRcTlast = M_AXIS_RC_TLAST; // We assert the end flag on the last cycle of a packet, however on single // cycle packets we need to check that there wasn't an end flag last cycle // (because wStartFlag will take priority when setting rValid) so we can // deassert rValid if necessary. assign wEndFlag = wRxSrEop[C_RX_INPUT_STAGES + C_RX_COMPUTATION_STAGES]; assign wEndFlagLastCycle = wRxSrEop[C_RX_INPUT_STAGES + C_RX_COMPUTATION_STAGES + 1]; /* verilator lint_off WIDTH */ assign wStartOffset = 3; assign wEndOffset = wHdr[`UPKT_RXC_LENGTH_I +: C_OFFSET_WIDTH] + ((`UPKT_RXC_MAXHDR_W-32)/32); /* verilator lint_on WIDTH */ // Output assignments. See the header file derived from the user // guide for indices. assign RXC_META_LENGTH = wRxcHdr[`UPKT_RXC_LENGTH_I+:`SIG_LEN_W]; //assign RXC_META_ATTR = wRxcHdr[`UPKT_RXC_ATTR_R]; //assign RXC_META_TC = wRxcHdr[`UPKT_RXC_TC_R]; assign RXC_META_TAG = wRxcHdr[`UPKT_RXC_TAG_R]; assign RXC_META_FDWBE = 0;// TODO: Remove (use addr) assign RXC_META_LDWBE = 0;// TODO: Remove (use addr) assign RXC_META_ADDR = wRxcHdr[(`UPKT_RXC_ADDRLOW_I) +: `SIG_LOWADDR_W]; assign RXC_DATA_START_FLAG = wRxcDataStartFlag; assign RXC_DATA_START_OFFSET = {C_PCI_DATA_WIDTH > 64, 1'b1}; assign RXC_DATA_END_FLAG = wRxcDataEndFlag; assign RXC_DATA_END_OFFSET = wRxcDataEndOffset; assign RXC_DATA_VALID = wRxcDataValid; assign RXC_DATA = wRxSrData[(C_TOTAL_STAGES)*C_PCI_DATA_WIDTH +: C_PCI_DATA_WIDTH]; assign RXC_META_TYPE = wRxcType; assign RXC_META_BYTES_REMAINING = wRxcHdr[`UPKT_RXC_BYTECNT_I +: `SIG_BYTECNT_W]; assign RXC_META_COMPLETER_ID = wRxcHdr[`UPKT_RXC_CPLID_R]; assign RXC_META_EP = wRxcHdr[`UPKT_RXC_EP_R]; assign M_AXIS_RC_TREADY = 1'b1; assign _wEndFlag = wRxSrEop[C_RX_INPUT_STAGES]; assign wEndFlag = wRxSrEop[C_RX_INPUT_STAGES+1]; assign _wStartFlag = wStartFlags != 0; assign wType = (wHasPayload)? `TRLS_CPL_WD: `TRLS_CPL_ND; generate if(C_PCI_DATA_WIDTH == 64) begin assign wStartFlags[0] = 0; assign wStartFlags[1] = wRxSrSop[C_RX_INPUT_STAGES + 1]; //assign wStartFlags[0] = wRxSrSop[C_RX_INPUT_STAGES + 1] & wRxSrEop[C_RX_INPUT_STAGES]; // No Payload end else if (C_PCI_DATA_WIDTH == 128) begin assign wStartFlags[1] = 0; assign wStartFlags[0] = wRxSrSop[C_RX_INPUT_STAGES]; end else begin // 256 assign wStartFlags[1] = 0; assign wStartFlags[0] = wRxSrSop[C_RX_INPUT_STAGES]; end // else: !if(C_PCI_DATA_WIDTH == 128) endgenerate always @(*) begin _rValid = rValid; if(_wStartFlag) begin _rValid = 1'b1; end else if (wEndFlag) begin _rValid = 1'b0; end end always @(posedge CLK) begin if(rRST) begin rValid <= 1'b0; end else begin rValid <= _rValid; end end always @(posedge CLK) begin rRST <= RST_BUS | RST_LOGIC; end register #(// Parameters .C_WIDTH (1), .C_VALUE (0) /*AUTOINSTPARAM*/) start_flag_register (// Outputs .RD_DATA (wStartFlag), // Inputs .WR_DATA (_wStartFlag), .WR_EN (1), .RST_IN (0), /*AUTOINST*/ // Inputs .CLK (CLK)); register #(// Parameters .C_WIDTH (32), .C_VALUE (0) /*AUTOINSTPARAM*/) meta_DW2_register (// Outputs .RD_DATA (wHdr[95:64]), // Inputs .WR_DATA (wRxSrData[C_RX_METADW2_INDEX +: 32]), .WR_EN (wRxSrSop[C_RX_METADW2_CYCLE]), .RST_IN (0), /*AUTOINST*/ // Inputs .CLK (CLK)); register #(// Parameters .C_WIDTH (32 + 1), .C_VALUE (0) /*AUTOINSTPARAM*/) meta_DW1_register (// Outputs .RD_DATA ({wHdr[63:32],wHasPayload}), // Inputs .WR_DATA ({wRxSrData[C_RX_METADW1_INDEX +: 32], wRxSrData[C_RX_METADW1_INDEX +: `UPKT_LEN_W] != 0}), .WR_EN (wRxSrSop[C_RX_METADW1_CYCLE]), .RST_IN (0), /*AUTOINST*/ // Inputs .CLK (CLK)); register #(// Parameters .C_WIDTH (32), .C_VALUE (0) /*AUTOINSTPARAM*/) metadata_DW0_register (// Outputs .RD_DATA (wHdr[31:0]), // Inputs .WR_DATA (wRxSrData[C_RX_METADW0_INDEX +: 32]), .WR_EN (wRxSrSop[C_RX_METADW0_CYCLE]), .RST_IN (0), /*AUTOINST*/ // Inputs .CLK (CLK)); // Shift register for input data with output taps for each delayed // cycle. shiftreg #(// Parameters .C_DEPTH (C_RX_PIPELINE_DEPTH), .C_WIDTH (C_PCI_DATA_WIDTH), .C_VALUE (0) /*AUTOINSTPARAM*/) data_shiftreg_inst (// Outputs .RD_DATA (wRxSrData), // Inputs .WR_DATA (M_AXIS_RC_TDATA), .RST_IN (0), /*AUTOINST*/ // Inputs .CLK (CLK)); // Start Flag Shift Register. Data enables are derived from the // taps on this shift register. shiftreg #(// Parameters .C_DEPTH (C_RX_PIPELINE_DEPTH), .C_WIDTH (1'b1), .C_VALUE (0) /*AUTOINSTPARAM*/) sop_shiftreg_inst (// Outputs .RD_DATA (wRxSrSop), // Inputs .WR_DATA (wMAxisRcSop & M_AXIS_RC_TVALID), .RST_IN (0), /*AUTOINST*/ // Inputs .CLK (CLK)); // End Flag Shift Register. shiftreg #(// Parameters .C_DEPTH (C_RX_PIPELINE_DEPTH), .C_WIDTH (1'b1), .C_VALUE (0) /*AUTOINSTPARAM*/) eop_shiftreg_inst (// Outputs .RD_DATA (wRxSrEop), // Inputs .WR_DATA (wMAxisRcTlast), .RST_IN (0), /*AUTOINST*/ // Inputs .CLK (CLK)); // Data Valid Shift Register. Data enables are derived from the // taps on this shift register. shiftreg #(// Parameters .C_DEPTH (C_RX_PIPELINE_DEPTH), .C_WIDTH (1), .C_VALUE (0) /*AUTOINSTPARAM*/) valid_shiftreg_inst (// Outputs .RD_DATA (wRxSrDataValid), // Inputs .WR_DATA (M_AXIS_RC_TVALID), .RST_IN (rRST), /*AUTOINST*/ // Inputs .CLK (CLK)); assign wStartMask = {C_PCI_DATA_WIDTH/32{1'b1}} << ({C_OFFSET_WIDTH{wStartFlag}}& wStartOffset[C_OFFSET_WIDTH-1:0]); offset_to_mask #(// Parameters .C_MASK_SWAP (0), .C_MASK_WIDTH (C_PCI_DATA_WIDTH/32) /*AUTOINSTPARAM*/) o2m_ef (// Outputs .MASK (wEndMask), // Inputs .OFFSET_ENABLE (wEndFlag), .OFFSET (wEndOffset) /*AUTOINST*/); generate if(C_RX_OUTPUT_STAGES == 0) begin assign RXC_DATA_WORD_ENABLE = {wEndMask & wStartMask} & {C_PCI_DATA_WIDTH/32{~rValid | ~wHasPayload}}; end else begin register #(// Parameters .C_WIDTH (C_PCI_DATA_WIDTH/32), .C_VALUE (0) /*AUTOINSTPARAM*/) dw_enable (// Outputs .RD_DATA (wRxcDataWordEnable), // Inputs .RST_IN (~rValid | ~wHasPayload), .WR_DATA (wEndMask & wStartMask), .WR_EN (1), /*AUTOINST*/ // Inputs .CLK (CLK)); pipeline #( // Parameters .C_DEPTH (C_RX_OUTPUT_STAGES-1), .C_WIDTH (C_PCI_DATA_WIDTH/32), .C_USE_MEMORY (0) /*AUTOINSTPARAM*/) dw_pipeline (// Outputs .WR_DATA_READY (), // Pinned to 1 .RD_DATA (RXC_DATA_WORD_ENABLE), .RD_DATA_VALID (), // Inputs .WR_DATA (wRxcDataWordEnable), .WR_DATA_VALID (1), .RD_DATA_READY (1'b1), .RST_IN (0), /*AUTOINST*/ // Inputs .CLK (CLK)); end endgenerate // Shift register for input data with output taps for each delayed // cycle. pipeline #( // Parameters .C_DEPTH (C_RX_OUTPUT_STAGES), .C_WIDTH (`UPKT_RXC_MAXHDR_W + 2*(1 + clog2(C_PCI_DATA_WIDTH/32))+`SIG_TYPE_W), .C_USE_MEMORY (0) /*AUTOINSTPARAM*/) output_pipeline ( // Outputs .WR_DATA_READY (), // Pinned to 1 .RD_DATA ({wRxcHdr, wRxcDataStartFlag, wRxcDataStartOffset,wRxcDataEndFlag, wRxcDataEndOffset,wRxcType}), .RD_DATA_VALID (wRxcDataValid), // Inputs .WR_DATA ({wHdr,wStartFlag, wStartOffset[C_OFFSET_WIDTH-1:0], wEndFlag,wEndOffset[C_OFFSET_WIDTH-1:0],wType}), .WR_DATA_VALID (rValid), .RD_DATA_READY (1'b1), .RST_IN (rRST), /*AUTOINST*/ // Inputs .CLK (CLK)); endmodule // Local Variables: // verilog-library-directories:("." "../../../common/") // End:
//Legal Notice: (C)2017 Altera Corporation. All rights reserved. Your //use of Altera Corporation's design tools, logic functions and other //software and tools, and its AMPP partner logic functions, and any //output files any of the foregoing (including device programming or //simulation files), and any associated documentation or information are //expressly subject to the terms and conditions of the Altera Program //License Subscription Agreement or other applicable license agreement, //including, without limitation, that your use is for the sole purpose //of programming logic devices manufactured by Altera and sold by Altera //or its authorized distributors. Please refer to the applicable //agreement for further details. // synthesis translate_off `timescale 1ns / 1ps // synthesis translate_on // turn off superfluous verilog processor warnings // altera message_level Level1 // altera message_off 10034 10035 10036 10037 10230 10240 10030 module soc_design_niosII_core_cpu_test_bench ( // inputs: A_cmp_result, A_ctrl_ld_non_bypass, A_en, A_exc_active_no_break_no_crst, A_exc_allowed, A_exc_any_active, A_exc_hbreak_pri1, A_exc_highest_pri_exc_id, A_exc_inst_fetch, A_exc_norm_intr_pri5, A_st_data, A_valid, A_wr_data_unfiltered, A_wr_dst_reg, E_add_br_to_taken_history_unfiltered, M_bht_ptr_unfiltered, M_bht_wr_data_unfiltered, M_bht_wr_en_unfiltered, M_mem_baddr, M_target_pcb, M_valid, W_badaddr_reg, W_bstatus_reg, W_dst_regnum, W_estatus_reg, W_exception_reg, W_iw, W_iw_op, W_iw_opx, W_pcb, W_status_reg, W_valid, W_vinst, W_wr_dst_reg, clk, d_address, d_byteenable, d_read, d_readdatavalid, d_write, i_address, i_read, i_readdatavalid, reset_n, // outputs: A_wr_data_filtered, E_add_br_to_taken_history_filtered, M_bht_ptr_filtered, M_bht_wr_data_filtered, M_bht_wr_en_filtered, test_has_ended ) ; output [ 31: 0] A_wr_data_filtered; output E_add_br_to_taken_history_filtered; output [ 7: 0] M_bht_ptr_filtered; output [ 1: 0] M_bht_wr_data_filtered; output M_bht_wr_en_filtered; output test_has_ended; input A_cmp_result; input A_ctrl_ld_non_bypass; input A_en; input A_exc_active_no_break_no_crst; input A_exc_allowed; input A_exc_any_active; input A_exc_hbreak_pri1; input [ 31: 0] A_exc_highest_pri_exc_id; input A_exc_inst_fetch; input A_exc_norm_intr_pri5; input [ 31: 0] A_st_data; input A_valid; input [ 31: 0] A_wr_data_unfiltered; input A_wr_dst_reg; input E_add_br_to_taken_history_unfiltered; input [ 7: 0] M_bht_ptr_unfiltered; input [ 1: 0] M_bht_wr_data_unfiltered; input M_bht_wr_en_unfiltered; input [ 26: 0] M_mem_baddr; input [ 26: 0] M_target_pcb; input M_valid; input [ 31: 0] W_badaddr_reg; input [ 31: 0] W_bstatus_reg; input [ 4: 0] W_dst_regnum; input [ 31: 0] W_estatus_reg; input [ 31: 0] W_exception_reg; input [ 31: 0] W_iw; input [ 5: 0] W_iw_op; input [ 5: 0] W_iw_opx; input [ 26: 0] W_pcb; input [ 31: 0] W_status_reg; input W_valid; input [ 71: 0] W_vinst; input W_wr_dst_reg; input clk; input [ 26: 0] d_address; input [ 3: 0] d_byteenable; input d_read; input d_readdatavalid; input d_write; input [ 26: 0] i_address; input i_read; input i_readdatavalid; input reset_n; wire A_iw_invalid; reg [ 26: 0] A_mem_baddr; reg [ 26: 0] A_target_pcb; wire [ 31: 0] A_wr_data_filtered; wire A_wr_data_unfiltered_0_is_x; wire A_wr_data_unfiltered_10_is_x; wire A_wr_data_unfiltered_11_is_x; wire A_wr_data_unfiltered_12_is_x; wire A_wr_data_unfiltered_13_is_x; wire A_wr_data_unfiltered_14_is_x; wire A_wr_data_unfiltered_15_is_x; wire A_wr_data_unfiltered_16_is_x; wire A_wr_data_unfiltered_17_is_x; wire A_wr_data_unfiltered_18_is_x; wire A_wr_data_unfiltered_19_is_x; wire A_wr_data_unfiltered_1_is_x; wire A_wr_data_unfiltered_20_is_x; wire A_wr_data_unfiltered_21_is_x; wire A_wr_data_unfiltered_22_is_x; wire A_wr_data_unfiltered_23_is_x; wire A_wr_data_unfiltered_24_is_x; wire A_wr_data_unfiltered_25_is_x; wire A_wr_data_unfiltered_26_is_x; wire A_wr_data_unfiltered_27_is_x; wire A_wr_data_unfiltered_28_is_x; wire A_wr_data_unfiltered_29_is_x; wire A_wr_data_unfiltered_2_is_x; wire A_wr_data_unfiltered_30_is_x; wire A_wr_data_unfiltered_31_is_x; wire A_wr_data_unfiltered_3_is_x; wire A_wr_data_unfiltered_4_is_x; wire A_wr_data_unfiltered_5_is_x; wire A_wr_data_unfiltered_6_is_x; wire A_wr_data_unfiltered_7_is_x; wire A_wr_data_unfiltered_8_is_x; wire A_wr_data_unfiltered_9_is_x; wire E_add_br_to_taken_history_filtered; wire E_add_br_to_taken_history_unfiltered_is_x; wire [ 7: 0] M_bht_ptr_filtered; wire M_bht_ptr_unfiltered_0_is_x; wire M_bht_ptr_unfiltered_1_is_x; wire M_bht_ptr_unfiltered_2_is_x; wire M_bht_ptr_unfiltered_3_is_x; wire M_bht_ptr_unfiltered_4_is_x; wire M_bht_ptr_unfiltered_5_is_x; wire M_bht_ptr_unfiltered_6_is_x; wire M_bht_ptr_unfiltered_7_is_x; wire [ 1: 0] M_bht_wr_data_filtered; wire M_bht_wr_data_unfiltered_0_is_x; wire M_bht_wr_data_unfiltered_1_is_x; wire M_bht_wr_en_filtered; wire M_bht_wr_en_unfiltered_is_x; reg W_cmp_result; reg W_exc_any_active; reg [ 31: 0] W_exc_highest_pri_exc_id; wire W_is_opx_inst; reg W_iw_invalid; wire W_op_add; wire W_op_addi; wire W_op_and; wire W_op_andhi; wire W_op_andi; wire W_op_beq; wire W_op_bge; wire W_op_bgeu; wire W_op_blt; wire W_op_bltu; wire W_op_bne; wire W_op_br; wire W_op_break; wire W_op_bret; wire W_op_call; wire W_op_callr; wire W_op_cmpeq; wire W_op_cmpeqi; wire W_op_cmpge; wire W_op_cmpgei; wire W_op_cmpgeu; wire W_op_cmpgeui; wire W_op_cmplt; wire W_op_cmplti; wire W_op_cmpltu; wire W_op_cmpltui; wire W_op_cmpne; wire W_op_cmpnei; wire W_op_crst; wire W_op_custom; wire W_op_div; wire W_op_divu; wire W_op_eret; wire W_op_flushd; wire W_op_flushda; wire W_op_flushi; wire W_op_flushp; wire W_op_hbreak; wire W_op_initd; wire W_op_initda; wire W_op_initi; wire W_op_intr; wire W_op_jmp; wire W_op_jmpi; wire W_op_ldb; wire W_op_ldbio; wire W_op_ldbu; wire W_op_ldbuio; wire W_op_ldh; wire W_op_ldhio; wire W_op_ldhu; wire W_op_ldhuio; wire W_op_ldl; wire W_op_ldw; wire W_op_ldwio; wire W_op_mul; wire W_op_muli; wire W_op_mulxss; wire W_op_mulxsu; wire W_op_mulxuu; wire W_op_nextpc; wire W_op_nor; wire W_op_op_rsv02; wire W_op_op_rsv09; wire W_op_op_rsv10; wire W_op_op_rsv17; wire W_op_op_rsv18; wire W_op_op_rsv25; wire W_op_op_rsv26; wire W_op_op_rsv33; wire W_op_op_rsv34; wire W_op_op_rsv41; wire W_op_op_rsv42; wire W_op_op_rsv49; wire W_op_op_rsv57; wire W_op_op_rsv61; wire W_op_op_rsv62; wire W_op_op_rsv63; wire W_op_opx_rsv00; wire W_op_opx_rsv10; wire W_op_opx_rsv15; wire W_op_opx_rsv17; wire W_op_opx_rsv21; wire W_op_opx_rsv25; wire W_op_opx_rsv33; wire W_op_opx_rsv34; wire W_op_opx_rsv35; wire W_op_opx_rsv42; wire W_op_opx_rsv43; wire W_op_opx_rsv44; wire W_op_opx_rsv47; wire W_op_opx_rsv50; wire W_op_opx_rsv51; wire W_op_opx_rsv55; wire W_op_opx_rsv56; wire W_op_opx_rsv60; wire W_op_opx_rsv63; wire W_op_or; wire W_op_orhi; wire W_op_ori; wire W_op_rdctl; wire W_op_rdprs; wire W_op_ret; wire W_op_rol; wire W_op_roli; wire W_op_ror; wire W_op_sll; wire W_op_slli; wire W_op_sra; wire W_op_srai; wire W_op_srl; wire W_op_srli; wire W_op_stb; wire W_op_stbio; wire W_op_stc; wire W_op_sth; wire W_op_sthio; wire W_op_stw; wire W_op_stwio; wire W_op_sub; wire W_op_sync; wire W_op_trap; wire W_op_wrctl; wire W_op_wrprs; wire W_op_xor; wire W_op_xorhi; wire W_op_xori; reg [ 31: 0] W_st_data; reg [ 26: 0] W_target_pcb; reg W_valid_crst; reg W_valid_hbreak; reg W_valid_intr; reg [ 31: 0] W_wr_data_filtered; wire test_has_ended; assign W_op_call = W_iw_op == 0; assign W_op_jmpi = W_iw_op == 1; assign W_op_op_rsv02 = W_iw_op == 2; assign W_op_ldbu = W_iw_op == 3; assign W_op_addi = W_iw_op == 4; assign W_op_stb = W_iw_op == 5; assign W_op_br = W_iw_op == 6; assign W_op_ldb = W_iw_op == 7; assign W_op_cmpgei = W_iw_op == 8; assign W_op_op_rsv09 = W_iw_op == 9; assign W_op_op_rsv10 = W_iw_op == 10; assign W_op_ldhu = W_iw_op == 11; assign W_op_andi = W_iw_op == 12; assign W_op_sth = W_iw_op == 13; assign W_op_bge = W_iw_op == 14; assign W_op_ldh = W_iw_op == 15; assign W_op_cmplti = W_iw_op == 16; assign W_op_op_rsv17 = W_iw_op == 17; assign W_op_op_rsv18 = W_iw_op == 18; assign W_op_initda = W_iw_op == 19; assign W_op_ori = W_iw_op == 20; assign W_op_stw = W_iw_op == 21; assign W_op_blt = W_iw_op == 22; assign W_op_ldw = W_iw_op == 23; assign W_op_cmpnei = W_iw_op == 24; assign W_op_op_rsv25 = W_iw_op == 25; assign W_op_op_rsv26 = W_iw_op == 26; assign W_op_flushda = W_iw_op == 27; assign W_op_xori = W_iw_op == 28; assign W_op_stc = W_iw_op == 29; assign W_op_bne = W_iw_op == 30; assign W_op_ldl = W_iw_op == 31; assign W_op_cmpeqi = W_iw_op == 32; assign W_op_op_rsv33 = W_iw_op == 33; assign W_op_op_rsv34 = W_iw_op == 34; assign W_op_ldbuio = W_iw_op == 35; assign W_op_muli = W_iw_op == 36; assign W_op_stbio = W_iw_op == 37; assign W_op_beq = W_iw_op == 38; assign W_op_ldbio = W_iw_op == 39; assign W_op_cmpgeui = W_iw_op == 40; assign W_op_op_rsv41 = W_iw_op == 41; assign W_op_op_rsv42 = W_iw_op == 42; assign W_op_ldhuio = W_iw_op == 43; assign W_op_andhi = W_iw_op == 44; assign W_op_sthio = W_iw_op == 45; assign W_op_bgeu = W_iw_op == 46; assign W_op_ldhio = W_iw_op == 47; assign W_op_cmpltui = W_iw_op == 48; assign W_op_op_rsv49 = W_iw_op == 49; assign W_op_custom = W_iw_op == 50; assign W_op_initd = W_iw_op == 51; assign W_op_orhi = W_iw_op == 52; assign W_op_stwio = W_iw_op == 53; assign W_op_bltu = W_iw_op == 54; assign W_op_ldwio = W_iw_op == 55; assign W_op_rdprs = W_iw_op == 56; assign W_op_op_rsv57 = W_iw_op == 57; assign W_op_flushd = W_iw_op == 59; assign W_op_xorhi = W_iw_op == 60; assign W_op_op_rsv61 = W_iw_op == 61; assign W_op_op_rsv62 = W_iw_op == 62; assign W_op_op_rsv63 = W_iw_op == 63; assign W_op_opx_rsv00 = (W_iw_opx == 0) & W_is_opx_inst; assign W_op_eret = (W_iw_opx == 1) & W_is_opx_inst; assign W_op_roli = (W_iw_opx == 2) & W_is_opx_inst; assign W_op_rol = (W_iw_opx == 3) & W_is_opx_inst; assign W_op_flushp = (W_iw_opx == 4) & W_is_opx_inst; assign W_op_ret = (W_iw_opx == 5) & W_is_opx_inst; assign W_op_nor = (W_iw_opx == 6) & W_is_opx_inst; assign W_op_mulxuu = (W_iw_opx == 7) & W_is_opx_inst; assign W_op_cmpge = (W_iw_opx == 8) & W_is_opx_inst; assign W_op_bret = (W_iw_opx == 9) & W_is_opx_inst; assign W_op_opx_rsv10 = (W_iw_opx == 10) & W_is_opx_inst; assign W_op_ror = (W_iw_opx == 11) & W_is_opx_inst; assign W_op_flushi = (W_iw_opx == 12) & W_is_opx_inst; assign W_op_jmp = (W_iw_opx == 13) & W_is_opx_inst; assign W_op_and = (W_iw_opx == 14) & W_is_opx_inst; assign W_op_opx_rsv15 = (W_iw_opx == 15) & W_is_opx_inst; assign W_op_cmplt = (W_iw_opx == 16) & W_is_opx_inst; assign W_op_opx_rsv17 = (W_iw_opx == 17) & W_is_opx_inst; assign W_op_slli = (W_iw_opx == 18) & W_is_opx_inst; assign W_op_sll = (W_iw_opx == 19) & W_is_opx_inst; assign W_op_wrprs = (W_iw_opx == 20) & W_is_opx_inst; assign W_op_opx_rsv21 = (W_iw_opx == 21) & W_is_opx_inst; assign W_op_or = (W_iw_opx == 22) & W_is_opx_inst; assign W_op_mulxsu = (W_iw_opx == 23) & W_is_opx_inst; assign W_op_cmpne = (W_iw_opx == 24) & W_is_opx_inst; assign W_op_opx_rsv25 = (W_iw_opx == 25) & W_is_opx_inst; assign W_op_srli = (W_iw_opx == 26) & W_is_opx_inst; assign W_op_srl = (W_iw_opx == 27) & W_is_opx_inst; assign W_op_nextpc = (W_iw_opx == 28) & W_is_opx_inst; assign W_op_callr = (W_iw_opx == 29) & W_is_opx_inst; assign W_op_xor = (W_iw_opx == 30) & W_is_opx_inst; assign W_op_mulxss = (W_iw_opx == 31) & W_is_opx_inst; assign W_op_cmpeq = (W_iw_opx == 32) & W_is_opx_inst; assign W_op_opx_rsv33 = (W_iw_opx == 33) & W_is_opx_inst; assign W_op_opx_rsv34 = (W_iw_opx == 34) & W_is_opx_inst; assign W_op_opx_rsv35 = (W_iw_opx == 35) & W_is_opx_inst; assign W_op_divu = (W_iw_opx == 36) & W_is_opx_inst; assign W_op_div = (W_iw_opx == 37) & W_is_opx_inst; assign W_op_rdctl = (W_iw_opx == 38) & W_is_opx_inst; assign W_op_mul = (W_iw_opx == 39) & W_is_opx_inst; assign W_op_cmpgeu = (W_iw_opx == 40) & W_is_opx_inst; assign W_op_initi = (W_iw_opx == 41) & W_is_opx_inst; assign W_op_opx_rsv42 = (W_iw_opx == 42) & W_is_opx_inst; assign W_op_opx_rsv43 = (W_iw_opx == 43) & W_is_opx_inst; assign W_op_opx_rsv44 = (W_iw_opx == 44) & W_is_opx_inst; assign W_op_trap = (W_iw_opx == 45) & W_is_opx_inst; assign W_op_wrctl = (W_iw_opx == 46) & W_is_opx_inst; assign W_op_opx_rsv47 = (W_iw_opx == 47) & W_is_opx_inst; assign W_op_cmpltu = (W_iw_opx == 48) & W_is_opx_inst; assign W_op_add = (W_iw_opx == 49) & W_is_opx_inst; assign W_op_opx_rsv50 = (W_iw_opx == 50) & W_is_opx_inst; assign W_op_opx_rsv51 = (W_iw_opx == 51) & W_is_opx_inst; assign W_op_break = (W_iw_opx == 52) & W_is_opx_inst; assign W_op_hbreak = (W_iw_opx == 53) & W_is_opx_inst; assign W_op_sync = (W_iw_opx == 54) & W_is_opx_inst; assign W_op_opx_rsv55 = (W_iw_opx == 55) & W_is_opx_inst; assign W_op_opx_rsv56 = (W_iw_opx == 56) & W_is_opx_inst; assign W_op_sub = (W_iw_opx == 57) & W_is_opx_inst; assign W_op_srai = (W_iw_opx == 58) & W_is_opx_inst; assign W_op_sra = (W_iw_opx == 59) & W_is_opx_inst; assign W_op_opx_rsv60 = (W_iw_opx == 60) & W_is_opx_inst; assign W_op_intr = (W_iw_opx == 61) & W_is_opx_inst; assign W_op_crst = (W_iw_opx == 62) & W_is_opx_inst; assign W_op_opx_rsv63 = (W_iw_opx == 63) & W_is_opx_inst; assign W_is_opx_inst = W_iw_op == 58; always @(posedge clk or negedge reset_n) begin if (reset_n == 0) A_target_pcb <= 0; else if (A_en) A_target_pcb <= M_target_pcb; end always @(posedge clk or negedge reset_n) begin if (reset_n == 0) A_mem_baddr <= 0; else if (A_en) A_mem_baddr <= M_mem_baddr; end always @(posedge clk or negedge reset_n) begin if (reset_n == 0) W_wr_data_filtered <= 0; else W_wr_data_filtered <= A_wr_data_filtered; end always @(posedge clk or negedge reset_n) begin if (reset_n == 0) W_st_data <= 0; else W_st_data <= A_st_data; end always @(posedge clk or negedge reset_n) begin if (reset_n == 0) W_cmp_result <= 0; else W_cmp_result <= A_cmp_result; end always @(posedge clk or negedge reset_n) begin if (reset_n == 0) W_target_pcb <= 0; else W_target_pcb <= A_target_pcb; end always @(posedge clk or negedge reset_n) begin if (reset_n == 0) W_valid_hbreak <= 0; else W_valid_hbreak <= A_exc_allowed & A_exc_hbreak_pri1; end always @(posedge clk or negedge reset_n) begin if (reset_n == 0) W_valid_crst <= 0; else W_valid_crst <= A_exc_allowed & 0; end always @(posedge clk or negedge reset_n) begin if (reset_n == 0) W_valid_intr <= 0; else W_valid_intr <= A_exc_allowed & A_exc_norm_intr_pri5; end always @(posedge clk or negedge reset_n) begin if (reset_n == 0) W_exc_any_active <= 0; else W_exc_any_active <= A_exc_any_active; end always @(posedge clk or negedge reset_n) begin if (reset_n == 0) W_exc_highest_pri_exc_id <= 0; else W_exc_highest_pri_exc_id <= A_exc_highest_pri_exc_id; end assign A_iw_invalid = A_exc_inst_fetch & A_exc_active_no_break_no_crst; always @(posedge clk or negedge reset_n) begin if (reset_n == 0) W_iw_invalid <= 0; else W_iw_invalid <= A_iw_invalid; end assign test_has_ended = 1'b0; //synthesis translate_off //////////////// SIMULATION-ONLY CONTENTS //Clearing 'X' data bits assign A_wr_data_unfiltered_0_is_x = ^(A_wr_data_unfiltered[0]) === 1'bx; assign A_wr_data_filtered[0] = (A_wr_data_unfiltered_0_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[0]; assign A_wr_data_unfiltered_1_is_x = ^(A_wr_data_unfiltered[1]) === 1'bx; assign A_wr_data_filtered[1] = (A_wr_data_unfiltered_1_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[1]; assign A_wr_data_unfiltered_2_is_x = ^(A_wr_data_unfiltered[2]) === 1'bx; assign A_wr_data_filtered[2] = (A_wr_data_unfiltered_2_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[2]; assign A_wr_data_unfiltered_3_is_x = ^(A_wr_data_unfiltered[3]) === 1'bx; assign A_wr_data_filtered[3] = (A_wr_data_unfiltered_3_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[3]; assign A_wr_data_unfiltered_4_is_x = ^(A_wr_data_unfiltered[4]) === 1'bx; assign A_wr_data_filtered[4] = (A_wr_data_unfiltered_4_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[4]; assign A_wr_data_unfiltered_5_is_x = ^(A_wr_data_unfiltered[5]) === 1'bx; assign A_wr_data_filtered[5] = (A_wr_data_unfiltered_5_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[5]; assign A_wr_data_unfiltered_6_is_x = ^(A_wr_data_unfiltered[6]) === 1'bx; assign A_wr_data_filtered[6] = (A_wr_data_unfiltered_6_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[6]; assign A_wr_data_unfiltered_7_is_x = ^(A_wr_data_unfiltered[7]) === 1'bx; assign A_wr_data_filtered[7] = (A_wr_data_unfiltered_7_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[7]; assign A_wr_data_unfiltered_8_is_x = ^(A_wr_data_unfiltered[8]) === 1'bx; assign A_wr_data_filtered[8] = (A_wr_data_unfiltered_8_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[8]; assign A_wr_data_unfiltered_9_is_x = ^(A_wr_data_unfiltered[9]) === 1'bx; assign A_wr_data_filtered[9] = (A_wr_data_unfiltered_9_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[9]; assign A_wr_data_unfiltered_10_is_x = ^(A_wr_data_unfiltered[10]) === 1'bx; assign A_wr_data_filtered[10] = (A_wr_data_unfiltered_10_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[10]; assign A_wr_data_unfiltered_11_is_x = ^(A_wr_data_unfiltered[11]) === 1'bx; assign A_wr_data_filtered[11] = (A_wr_data_unfiltered_11_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[11]; assign A_wr_data_unfiltered_12_is_x = ^(A_wr_data_unfiltered[12]) === 1'bx; assign A_wr_data_filtered[12] = (A_wr_data_unfiltered_12_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[12]; assign A_wr_data_unfiltered_13_is_x = ^(A_wr_data_unfiltered[13]) === 1'bx; assign A_wr_data_filtered[13] = (A_wr_data_unfiltered_13_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[13]; assign A_wr_data_unfiltered_14_is_x = ^(A_wr_data_unfiltered[14]) === 1'bx; assign A_wr_data_filtered[14] = (A_wr_data_unfiltered_14_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[14]; assign A_wr_data_unfiltered_15_is_x = ^(A_wr_data_unfiltered[15]) === 1'bx; assign A_wr_data_filtered[15] = (A_wr_data_unfiltered_15_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[15]; assign A_wr_data_unfiltered_16_is_x = ^(A_wr_data_unfiltered[16]) === 1'bx; assign A_wr_data_filtered[16] = (A_wr_data_unfiltered_16_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[16]; assign A_wr_data_unfiltered_17_is_x = ^(A_wr_data_unfiltered[17]) === 1'bx; assign A_wr_data_filtered[17] = (A_wr_data_unfiltered_17_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[17]; assign A_wr_data_unfiltered_18_is_x = ^(A_wr_data_unfiltered[18]) === 1'bx; assign A_wr_data_filtered[18] = (A_wr_data_unfiltered_18_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[18]; assign A_wr_data_unfiltered_19_is_x = ^(A_wr_data_unfiltered[19]) === 1'bx; assign A_wr_data_filtered[19] = (A_wr_data_unfiltered_19_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[19]; assign A_wr_data_unfiltered_20_is_x = ^(A_wr_data_unfiltered[20]) === 1'bx; assign A_wr_data_filtered[20] = (A_wr_data_unfiltered_20_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[20]; assign A_wr_data_unfiltered_21_is_x = ^(A_wr_data_unfiltered[21]) === 1'bx; assign A_wr_data_filtered[21] = (A_wr_data_unfiltered_21_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[21]; assign A_wr_data_unfiltered_22_is_x = ^(A_wr_data_unfiltered[22]) === 1'bx; assign A_wr_data_filtered[22] = (A_wr_data_unfiltered_22_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[22]; assign A_wr_data_unfiltered_23_is_x = ^(A_wr_data_unfiltered[23]) === 1'bx; assign A_wr_data_filtered[23] = (A_wr_data_unfiltered_23_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[23]; assign A_wr_data_unfiltered_24_is_x = ^(A_wr_data_unfiltered[24]) === 1'bx; assign A_wr_data_filtered[24] = (A_wr_data_unfiltered_24_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[24]; assign A_wr_data_unfiltered_25_is_x = ^(A_wr_data_unfiltered[25]) === 1'bx; assign A_wr_data_filtered[25] = (A_wr_data_unfiltered_25_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[25]; assign A_wr_data_unfiltered_26_is_x = ^(A_wr_data_unfiltered[26]) === 1'bx; assign A_wr_data_filtered[26] = (A_wr_data_unfiltered_26_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[26]; assign A_wr_data_unfiltered_27_is_x = ^(A_wr_data_unfiltered[27]) === 1'bx; assign A_wr_data_filtered[27] = (A_wr_data_unfiltered_27_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[27]; assign A_wr_data_unfiltered_28_is_x = ^(A_wr_data_unfiltered[28]) === 1'bx; assign A_wr_data_filtered[28] = (A_wr_data_unfiltered_28_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[28]; assign A_wr_data_unfiltered_29_is_x = ^(A_wr_data_unfiltered[29]) === 1'bx; assign A_wr_data_filtered[29] = (A_wr_data_unfiltered_29_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[29]; assign A_wr_data_unfiltered_30_is_x = ^(A_wr_data_unfiltered[30]) === 1'bx; assign A_wr_data_filtered[30] = (A_wr_data_unfiltered_30_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[30]; assign A_wr_data_unfiltered_31_is_x = ^(A_wr_data_unfiltered[31]) === 1'bx; assign A_wr_data_filtered[31] = (A_wr_data_unfiltered_31_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[31]; //Clearing 'X' data bits assign E_add_br_to_taken_history_unfiltered_is_x = ^(E_add_br_to_taken_history_unfiltered) === 1'bx; assign E_add_br_to_taken_history_filtered = E_add_br_to_taken_history_unfiltered_is_x ? 1'b0 : E_add_br_to_taken_history_unfiltered; //Clearing 'X' data bits assign M_bht_wr_en_unfiltered_is_x = ^(M_bht_wr_en_unfiltered) === 1'bx; assign M_bht_wr_en_filtered = M_bht_wr_en_unfiltered_is_x ? 1'b0 : M_bht_wr_en_unfiltered; //Clearing 'X' data bits assign M_bht_wr_data_unfiltered_0_is_x = ^(M_bht_wr_data_unfiltered[0]) === 1'bx; assign M_bht_wr_data_filtered[0] = M_bht_wr_data_unfiltered_0_is_x ? 1'b0 : M_bht_wr_data_unfiltered[0]; assign M_bht_wr_data_unfiltered_1_is_x = ^(M_bht_wr_data_unfiltered[1]) === 1'bx; assign M_bht_wr_data_filtered[1] = M_bht_wr_data_unfiltered_1_is_x ? 1'b0 : M_bht_wr_data_unfiltered[1]; //Clearing 'X' data bits assign M_bht_ptr_unfiltered_0_is_x = ^(M_bht_ptr_unfiltered[0]) === 1'bx; assign M_bht_ptr_filtered[0] = M_bht_ptr_unfiltered_0_is_x ? 1'b0 : M_bht_ptr_unfiltered[0]; assign M_bht_ptr_unfiltered_1_is_x = ^(M_bht_ptr_unfiltered[1]) === 1'bx; assign M_bht_ptr_filtered[1] = M_bht_ptr_unfiltered_1_is_x ? 1'b0 : M_bht_ptr_unfiltered[1]; assign M_bht_ptr_unfiltered_2_is_x = ^(M_bht_ptr_unfiltered[2]) === 1'bx; assign M_bht_ptr_filtered[2] = M_bht_ptr_unfiltered_2_is_x ? 1'b0 : M_bht_ptr_unfiltered[2]; assign M_bht_ptr_unfiltered_3_is_x = ^(M_bht_ptr_unfiltered[3]) === 1'bx; assign M_bht_ptr_filtered[3] = M_bht_ptr_unfiltered_3_is_x ? 1'b0 : M_bht_ptr_unfiltered[3]; assign M_bht_ptr_unfiltered_4_is_x = ^(M_bht_ptr_unfiltered[4]) === 1'bx; assign M_bht_ptr_filtered[4] = M_bht_ptr_unfiltered_4_is_x ? 1'b0 : M_bht_ptr_unfiltered[4]; assign M_bht_ptr_unfiltered_5_is_x = ^(M_bht_ptr_unfiltered[5]) === 1'bx; assign M_bht_ptr_filtered[5] = M_bht_ptr_unfiltered_5_is_x ? 1'b0 : M_bht_ptr_unfiltered[5]; assign M_bht_ptr_unfiltered_6_is_x = ^(M_bht_ptr_unfiltered[6]) === 1'bx; assign M_bht_ptr_filtered[6] = M_bht_ptr_unfiltered_6_is_x ? 1'b0 : M_bht_ptr_unfiltered[6]; assign M_bht_ptr_unfiltered_7_is_x = ^(M_bht_ptr_unfiltered[7]) === 1'bx; assign M_bht_ptr_filtered[7] = M_bht_ptr_unfiltered_7_is_x ? 1'b0 : M_bht_ptr_unfiltered[7]; always @(posedge clk) begin if (reset_n) if (^(W_wr_dst_reg) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/W_wr_dst_reg is 'x'\n", $time); $stop; end end always @(posedge clk or negedge reset_n) begin if (reset_n == 0) begin end else if (W_wr_dst_reg) if (^(W_dst_regnum) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/W_dst_regnum is 'x'\n", $time); $stop; end end always @(posedge clk) begin if (reset_n) if (^(W_valid) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/W_valid is 'x'\n", $time); $stop; end end always @(posedge clk or negedge reset_n) begin if (reset_n == 0) begin end else if (W_valid) if (^(W_pcb) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/W_pcb is 'x'\n", $time); $stop; end end always @(posedge clk or negedge reset_n) begin if (reset_n == 0) begin end else if (W_valid) if (^(W_iw) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/W_iw is 'x'\n", $time); $stop; end end always @(posedge clk) begin if (reset_n) if (^(A_en) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/A_en is 'x'\n", $time); $stop; end end always @(posedge clk) begin if (reset_n) if (^(M_valid) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/M_valid is 'x'\n", $time); $stop; end end always @(posedge clk) begin if (reset_n) if (^(A_valid) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/A_valid is 'x'\n", $time); $stop; end end always @(posedge clk or negedge reset_n) begin if (reset_n == 0) begin end else if (A_valid & A_en & A_wr_dst_reg) if (^(A_wr_data_unfiltered) === 1'bx) begin $write("%0d ns: WARNING: soc_design_niosII_core_cpu_test_bench/A_wr_data_unfiltered is 'x'\n", $time); end end always @(posedge clk) begin if (reset_n) if (^(W_status_reg) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/W_status_reg is 'x'\n", $time); $stop; end end always @(posedge clk) begin if (reset_n) if (^(W_estatus_reg) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/W_estatus_reg is 'x'\n", $time); $stop; end end always @(posedge clk) begin if (reset_n) if (^(W_bstatus_reg) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/W_bstatus_reg is 'x'\n", $time); $stop; end end always @(posedge clk) begin if (reset_n) if (^(W_exception_reg) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/W_exception_reg is 'x'\n", $time); $stop; end end always @(posedge clk) begin if (reset_n) if (^(W_badaddr_reg) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/W_badaddr_reg is 'x'\n", $time); $stop; end end always @(posedge clk) begin if (reset_n) if (^(A_exc_any_active) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/A_exc_any_active is 'x'\n", $time); $stop; end end always @(posedge clk) begin if (reset_n) if (^(i_read) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/i_read is 'x'\n", $time); $stop; end end always @(posedge clk or negedge reset_n) begin if (reset_n == 0) begin end else if (i_read) if (^(i_address) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/i_address is 'x'\n", $time); $stop; end end always @(posedge clk) begin if (reset_n) if (^(d_write) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/d_write is 'x'\n", $time); $stop; end end always @(posedge clk or negedge reset_n) begin if (reset_n == 0) begin end else if (d_write) if (^(d_byteenable) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/d_byteenable is 'x'\n", $time); $stop; end end always @(posedge clk or negedge reset_n) begin if (reset_n == 0) begin end else if (d_write | d_read) if (^(d_address) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/d_address is 'x'\n", $time); $stop; end end always @(posedge clk) begin if (reset_n) if (^(d_read) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/d_read is 'x'\n", $time); $stop; end end always @(posedge clk) begin if (reset_n) if (^(i_readdatavalid) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/i_readdatavalid is 'x'\n", $time); $stop; end end always @(posedge clk) begin if (reset_n) if (^(d_readdatavalid) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/d_readdatavalid is 'x'\n", $time); $stop; end end //////////////// END SIMULATION-ONLY CONTENTS //synthesis translate_on //synthesis read_comments_as_HDL on // // assign A_wr_data_filtered = A_wr_data_unfiltered; // // // assign E_add_br_to_taken_history_filtered = E_add_br_to_taken_history_unfiltered; // // // assign M_bht_wr_en_filtered = M_bht_wr_en_unfiltered; // // // assign M_bht_wr_data_filtered = M_bht_wr_data_unfiltered; // // // assign M_bht_ptr_filtered = M_bht_ptr_unfiltered; // //synthesis read_comments_as_HDL off endmodule
//Legal Notice: (C)2017 Altera Corporation. All rights reserved. Your //use of Altera Corporation's design tools, logic functions and other //software and tools, and its AMPP partner logic functions, and any //output files any of the foregoing (including device programming or //simulation files), and any associated documentation or information are //expressly subject to the terms and conditions of the Altera Program //License Subscription Agreement or other applicable license agreement, //including, without limitation, that your use is for the sole purpose //of programming logic devices manufactured by Altera and sold by Altera //or its authorized distributors. Please refer to the applicable //agreement for further details. // synthesis translate_off `timescale 1ns / 1ps // synthesis translate_on // turn off superfluous verilog processor warnings // altera message_level Level1 // altera message_off 10034 10035 10036 10037 10230 10240 10030 module soc_design_niosII_core_cpu_test_bench ( // inputs: A_cmp_result, A_ctrl_ld_non_bypass, A_en, A_exc_active_no_break_no_crst, A_exc_allowed, A_exc_any_active, A_exc_hbreak_pri1, A_exc_highest_pri_exc_id, A_exc_inst_fetch, A_exc_norm_intr_pri5, A_st_data, A_valid, A_wr_data_unfiltered, A_wr_dst_reg, E_add_br_to_taken_history_unfiltered, M_bht_ptr_unfiltered, M_bht_wr_data_unfiltered, M_bht_wr_en_unfiltered, M_mem_baddr, M_target_pcb, M_valid, W_badaddr_reg, W_bstatus_reg, W_dst_regnum, W_estatus_reg, W_exception_reg, W_iw, W_iw_op, W_iw_opx, W_pcb, W_status_reg, W_valid, W_vinst, W_wr_dst_reg, clk, d_address, d_byteenable, d_read, d_readdatavalid, d_write, i_address, i_read, i_readdatavalid, reset_n, // outputs: A_wr_data_filtered, E_add_br_to_taken_history_filtered, M_bht_ptr_filtered, M_bht_wr_data_filtered, M_bht_wr_en_filtered, test_has_ended ) ; output [ 31: 0] A_wr_data_filtered; output E_add_br_to_taken_history_filtered; output [ 7: 0] M_bht_ptr_filtered; output [ 1: 0] M_bht_wr_data_filtered; output M_bht_wr_en_filtered; output test_has_ended; input A_cmp_result; input A_ctrl_ld_non_bypass; input A_en; input A_exc_active_no_break_no_crst; input A_exc_allowed; input A_exc_any_active; input A_exc_hbreak_pri1; input [ 31: 0] A_exc_highest_pri_exc_id; input A_exc_inst_fetch; input A_exc_norm_intr_pri5; input [ 31: 0] A_st_data; input A_valid; input [ 31: 0] A_wr_data_unfiltered; input A_wr_dst_reg; input E_add_br_to_taken_history_unfiltered; input [ 7: 0] M_bht_ptr_unfiltered; input [ 1: 0] M_bht_wr_data_unfiltered; input M_bht_wr_en_unfiltered; input [ 26: 0] M_mem_baddr; input [ 26: 0] M_target_pcb; input M_valid; input [ 31: 0] W_badaddr_reg; input [ 31: 0] W_bstatus_reg; input [ 4: 0] W_dst_regnum; input [ 31: 0] W_estatus_reg; input [ 31: 0] W_exception_reg; input [ 31: 0] W_iw; input [ 5: 0] W_iw_op; input [ 5: 0] W_iw_opx; input [ 26: 0] W_pcb; input [ 31: 0] W_status_reg; input W_valid; input [ 71: 0] W_vinst; input W_wr_dst_reg; input clk; input [ 26: 0] d_address; input [ 3: 0] d_byteenable; input d_read; input d_readdatavalid; input d_write; input [ 26: 0] i_address; input i_read; input i_readdatavalid; input reset_n; wire A_iw_invalid; reg [ 26: 0] A_mem_baddr; reg [ 26: 0] A_target_pcb; wire [ 31: 0] A_wr_data_filtered; wire A_wr_data_unfiltered_0_is_x; wire A_wr_data_unfiltered_10_is_x; wire A_wr_data_unfiltered_11_is_x; wire A_wr_data_unfiltered_12_is_x; wire A_wr_data_unfiltered_13_is_x; wire A_wr_data_unfiltered_14_is_x; wire A_wr_data_unfiltered_15_is_x; wire A_wr_data_unfiltered_16_is_x; wire A_wr_data_unfiltered_17_is_x; wire A_wr_data_unfiltered_18_is_x; wire A_wr_data_unfiltered_19_is_x; wire A_wr_data_unfiltered_1_is_x; wire A_wr_data_unfiltered_20_is_x; wire A_wr_data_unfiltered_21_is_x; wire A_wr_data_unfiltered_22_is_x; wire A_wr_data_unfiltered_23_is_x; wire A_wr_data_unfiltered_24_is_x; wire A_wr_data_unfiltered_25_is_x; wire A_wr_data_unfiltered_26_is_x; wire A_wr_data_unfiltered_27_is_x; wire A_wr_data_unfiltered_28_is_x; wire A_wr_data_unfiltered_29_is_x; wire A_wr_data_unfiltered_2_is_x; wire A_wr_data_unfiltered_30_is_x; wire A_wr_data_unfiltered_31_is_x; wire A_wr_data_unfiltered_3_is_x; wire A_wr_data_unfiltered_4_is_x; wire A_wr_data_unfiltered_5_is_x; wire A_wr_data_unfiltered_6_is_x; wire A_wr_data_unfiltered_7_is_x; wire A_wr_data_unfiltered_8_is_x; wire A_wr_data_unfiltered_9_is_x; wire E_add_br_to_taken_history_filtered; wire E_add_br_to_taken_history_unfiltered_is_x; wire [ 7: 0] M_bht_ptr_filtered; wire M_bht_ptr_unfiltered_0_is_x; wire M_bht_ptr_unfiltered_1_is_x; wire M_bht_ptr_unfiltered_2_is_x; wire M_bht_ptr_unfiltered_3_is_x; wire M_bht_ptr_unfiltered_4_is_x; wire M_bht_ptr_unfiltered_5_is_x; wire M_bht_ptr_unfiltered_6_is_x; wire M_bht_ptr_unfiltered_7_is_x; wire [ 1: 0] M_bht_wr_data_filtered; wire M_bht_wr_data_unfiltered_0_is_x; wire M_bht_wr_data_unfiltered_1_is_x; wire M_bht_wr_en_filtered; wire M_bht_wr_en_unfiltered_is_x; reg W_cmp_result; reg W_exc_any_active; reg [ 31: 0] W_exc_highest_pri_exc_id; wire W_is_opx_inst; reg W_iw_invalid; wire W_op_add; wire W_op_addi; wire W_op_and; wire W_op_andhi; wire W_op_andi; wire W_op_beq; wire W_op_bge; wire W_op_bgeu; wire W_op_blt; wire W_op_bltu; wire W_op_bne; wire W_op_br; wire W_op_break; wire W_op_bret; wire W_op_call; wire W_op_callr; wire W_op_cmpeq; wire W_op_cmpeqi; wire W_op_cmpge; wire W_op_cmpgei; wire W_op_cmpgeu; wire W_op_cmpgeui; wire W_op_cmplt; wire W_op_cmplti; wire W_op_cmpltu; wire W_op_cmpltui; wire W_op_cmpne; wire W_op_cmpnei; wire W_op_crst; wire W_op_custom; wire W_op_div; wire W_op_divu; wire W_op_eret; wire W_op_flushd; wire W_op_flushda; wire W_op_flushi; wire W_op_flushp; wire W_op_hbreak; wire W_op_initd; wire W_op_initda; wire W_op_initi; wire W_op_intr; wire W_op_jmp; wire W_op_jmpi; wire W_op_ldb; wire W_op_ldbio; wire W_op_ldbu; wire W_op_ldbuio; wire W_op_ldh; wire W_op_ldhio; wire W_op_ldhu; wire W_op_ldhuio; wire W_op_ldl; wire W_op_ldw; wire W_op_ldwio; wire W_op_mul; wire W_op_muli; wire W_op_mulxss; wire W_op_mulxsu; wire W_op_mulxuu; wire W_op_nextpc; wire W_op_nor; wire W_op_op_rsv02; wire W_op_op_rsv09; wire W_op_op_rsv10; wire W_op_op_rsv17; wire W_op_op_rsv18; wire W_op_op_rsv25; wire W_op_op_rsv26; wire W_op_op_rsv33; wire W_op_op_rsv34; wire W_op_op_rsv41; wire W_op_op_rsv42; wire W_op_op_rsv49; wire W_op_op_rsv57; wire W_op_op_rsv61; wire W_op_op_rsv62; wire W_op_op_rsv63; wire W_op_opx_rsv00; wire W_op_opx_rsv10; wire W_op_opx_rsv15; wire W_op_opx_rsv17; wire W_op_opx_rsv21; wire W_op_opx_rsv25; wire W_op_opx_rsv33; wire W_op_opx_rsv34; wire W_op_opx_rsv35; wire W_op_opx_rsv42; wire W_op_opx_rsv43; wire W_op_opx_rsv44; wire W_op_opx_rsv47; wire W_op_opx_rsv50; wire W_op_opx_rsv51; wire W_op_opx_rsv55; wire W_op_opx_rsv56; wire W_op_opx_rsv60; wire W_op_opx_rsv63; wire W_op_or; wire W_op_orhi; wire W_op_ori; wire W_op_rdctl; wire W_op_rdprs; wire W_op_ret; wire W_op_rol; wire W_op_roli; wire W_op_ror; wire W_op_sll; wire W_op_slli; wire W_op_sra; wire W_op_srai; wire W_op_srl; wire W_op_srli; wire W_op_stb; wire W_op_stbio; wire W_op_stc; wire W_op_sth; wire W_op_sthio; wire W_op_stw; wire W_op_stwio; wire W_op_sub; wire W_op_sync; wire W_op_trap; wire W_op_wrctl; wire W_op_wrprs; wire W_op_xor; wire W_op_xorhi; wire W_op_xori; reg [ 31: 0] W_st_data; reg [ 26: 0] W_target_pcb; reg W_valid_crst; reg W_valid_hbreak; reg W_valid_intr; reg [ 31: 0] W_wr_data_filtered; wire test_has_ended; assign W_op_call = W_iw_op == 0; assign W_op_jmpi = W_iw_op == 1; assign W_op_op_rsv02 = W_iw_op == 2; assign W_op_ldbu = W_iw_op == 3; assign W_op_addi = W_iw_op == 4; assign W_op_stb = W_iw_op == 5; assign W_op_br = W_iw_op == 6; assign W_op_ldb = W_iw_op == 7; assign W_op_cmpgei = W_iw_op == 8; assign W_op_op_rsv09 = W_iw_op == 9; assign W_op_op_rsv10 = W_iw_op == 10; assign W_op_ldhu = W_iw_op == 11; assign W_op_andi = W_iw_op == 12; assign W_op_sth = W_iw_op == 13; assign W_op_bge = W_iw_op == 14; assign W_op_ldh = W_iw_op == 15; assign W_op_cmplti = W_iw_op == 16; assign W_op_op_rsv17 = W_iw_op == 17; assign W_op_op_rsv18 = W_iw_op == 18; assign W_op_initda = W_iw_op == 19; assign W_op_ori = W_iw_op == 20; assign W_op_stw = W_iw_op == 21; assign W_op_blt = W_iw_op == 22; assign W_op_ldw = W_iw_op == 23; assign W_op_cmpnei = W_iw_op == 24; assign W_op_op_rsv25 = W_iw_op == 25; assign W_op_op_rsv26 = W_iw_op == 26; assign W_op_flushda = W_iw_op == 27; assign W_op_xori = W_iw_op == 28; assign W_op_stc = W_iw_op == 29; assign W_op_bne = W_iw_op == 30; assign W_op_ldl = W_iw_op == 31; assign W_op_cmpeqi = W_iw_op == 32; assign W_op_op_rsv33 = W_iw_op == 33; assign W_op_op_rsv34 = W_iw_op == 34; assign W_op_ldbuio = W_iw_op == 35; assign W_op_muli = W_iw_op == 36; assign W_op_stbio = W_iw_op == 37; assign W_op_beq = W_iw_op == 38; assign W_op_ldbio = W_iw_op == 39; assign W_op_cmpgeui = W_iw_op == 40; assign W_op_op_rsv41 = W_iw_op == 41; assign W_op_op_rsv42 = W_iw_op == 42; assign W_op_ldhuio = W_iw_op == 43; assign W_op_andhi = W_iw_op == 44; assign W_op_sthio = W_iw_op == 45; assign W_op_bgeu = W_iw_op == 46; assign W_op_ldhio = W_iw_op == 47; assign W_op_cmpltui = W_iw_op == 48; assign W_op_op_rsv49 = W_iw_op == 49; assign W_op_custom = W_iw_op == 50; assign W_op_initd = W_iw_op == 51; assign W_op_orhi = W_iw_op == 52; assign W_op_stwio = W_iw_op == 53; assign W_op_bltu = W_iw_op == 54; assign W_op_ldwio = W_iw_op == 55; assign W_op_rdprs = W_iw_op == 56; assign W_op_op_rsv57 = W_iw_op == 57; assign W_op_flushd = W_iw_op == 59; assign W_op_xorhi = W_iw_op == 60; assign W_op_op_rsv61 = W_iw_op == 61; assign W_op_op_rsv62 = W_iw_op == 62; assign W_op_op_rsv63 = W_iw_op == 63; assign W_op_opx_rsv00 = (W_iw_opx == 0) & W_is_opx_inst; assign W_op_eret = (W_iw_opx == 1) & W_is_opx_inst; assign W_op_roli = (W_iw_opx == 2) & W_is_opx_inst; assign W_op_rol = (W_iw_opx == 3) & W_is_opx_inst; assign W_op_flushp = (W_iw_opx == 4) & W_is_opx_inst; assign W_op_ret = (W_iw_opx == 5) & W_is_opx_inst; assign W_op_nor = (W_iw_opx == 6) & W_is_opx_inst; assign W_op_mulxuu = (W_iw_opx == 7) & W_is_opx_inst; assign W_op_cmpge = (W_iw_opx == 8) & W_is_opx_inst; assign W_op_bret = (W_iw_opx == 9) & W_is_opx_inst; assign W_op_opx_rsv10 = (W_iw_opx == 10) & W_is_opx_inst; assign W_op_ror = (W_iw_opx == 11) & W_is_opx_inst; assign W_op_flushi = (W_iw_opx == 12) & W_is_opx_inst; assign W_op_jmp = (W_iw_opx == 13) & W_is_opx_inst; assign W_op_and = (W_iw_opx == 14) & W_is_opx_inst; assign W_op_opx_rsv15 = (W_iw_opx == 15) & W_is_opx_inst; assign W_op_cmplt = (W_iw_opx == 16) & W_is_opx_inst; assign W_op_opx_rsv17 = (W_iw_opx == 17) & W_is_opx_inst; assign W_op_slli = (W_iw_opx == 18) & W_is_opx_inst; assign W_op_sll = (W_iw_opx == 19) & W_is_opx_inst; assign W_op_wrprs = (W_iw_opx == 20) & W_is_opx_inst; assign W_op_opx_rsv21 = (W_iw_opx == 21) & W_is_opx_inst; assign W_op_or = (W_iw_opx == 22) & W_is_opx_inst; assign W_op_mulxsu = (W_iw_opx == 23) & W_is_opx_inst; assign W_op_cmpne = (W_iw_opx == 24) & W_is_opx_inst; assign W_op_opx_rsv25 = (W_iw_opx == 25) & W_is_opx_inst; assign W_op_srli = (W_iw_opx == 26) & W_is_opx_inst; assign W_op_srl = (W_iw_opx == 27) & W_is_opx_inst; assign W_op_nextpc = (W_iw_opx == 28) & W_is_opx_inst; assign W_op_callr = (W_iw_opx == 29) & W_is_opx_inst; assign W_op_xor = (W_iw_opx == 30) & W_is_opx_inst; assign W_op_mulxss = (W_iw_opx == 31) & W_is_opx_inst; assign W_op_cmpeq = (W_iw_opx == 32) & W_is_opx_inst; assign W_op_opx_rsv33 = (W_iw_opx == 33) & W_is_opx_inst; assign W_op_opx_rsv34 = (W_iw_opx == 34) & W_is_opx_inst; assign W_op_opx_rsv35 = (W_iw_opx == 35) & W_is_opx_inst; assign W_op_divu = (W_iw_opx == 36) & W_is_opx_inst; assign W_op_div = (W_iw_opx == 37) & W_is_opx_inst; assign W_op_rdctl = (W_iw_opx == 38) & W_is_opx_inst; assign W_op_mul = (W_iw_opx == 39) & W_is_opx_inst; assign W_op_cmpgeu = (W_iw_opx == 40) & W_is_opx_inst; assign W_op_initi = (W_iw_opx == 41) & W_is_opx_inst; assign W_op_opx_rsv42 = (W_iw_opx == 42) & W_is_opx_inst; assign W_op_opx_rsv43 = (W_iw_opx == 43) & W_is_opx_inst; assign W_op_opx_rsv44 = (W_iw_opx == 44) & W_is_opx_inst; assign W_op_trap = (W_iw_opx == 45) & W_is_opx_inst; assign W_op_wrctl = (W_iw_opx == 46) & W_is_opx_inst; assign W_op_opx_rsv47 = (W_iw_opx == 47) & W_is_opx_inst; assign W_op_cmpltu = (W_iw_opx == 48) & W_is_opx_inst; assign W_op_add = (W_iw_opx == 49) & W_is_opx_inst; assign W_op_opx_rsv50 = (W_iw_opx == 50) & W_is_opx_inst; assign W_op_opx_rsv51 = (W_iw_opx == 51) & W_is_opx_inst; assign W_op_break = (W_iw_opx == 52) & W_is_opx_inst; assign W_op_hbreak = (W_iw_opx == 53) & W_is_opx_inst; assign W_op_sync = (W_iw_opx == 54) & W_is_opx_inst; assign W_op_opx_rsv55 = (W_iw_opx == 55) & W_is_opx_inst; assign W_op_opx_rsv56 = (W_iw_opx == 56) & W_is_opx_inst; assign W_op_sub = (W_iw_opx == 57) & W_is_opx_inst; assign W_op_srai = (W_iw_opx == 58) & W_is_opx_inst; assign W_op_sra = (W_iw_opx == 59) & W_is_opx_inst; assign W_op_opx_rsv60 = (W_iw_opx == 60) & W_is_opx_inst; assign W_op_intr = (W_iw_opx == 61) & W_is_opx_inst; assign W_op_crst = (W_iw_opx == 62) & W_is_opx_inst; assign W_op_opx_rsv63 = (W_iw_opx == 63) & W_is_opx_inst; assign W_is_opx_inst = W_iw_op == 58; always @(posedge clk or negedge reset_n) begin if (reset_n == 0) A_target_pcb <= 0; else if (A_en) A_target_pcb <= M_target_pcb; end always @(posedge clk or negedge reset_n) begin if (reset_n == 0) A_mem_baddr <= 0; else if (A_en) A_mem_baddr <= M_mem_baddr; end always @(posedge clk or negedge reset_n) begin if (reset_n == 0) W_wr_data_filtered <= 0; else W_wr_data_filtered <= A_wr_data_filtered; end always @(posedge clk or negedge reset_n) begin if (reset_n == 0) W_st_data <= 0; else W_st_data <= A_st_data; end always @(posedge clk or negedge reset_n) begin if (reset_n == 0) W_cmp_result <= 0; else W_cmp_result <= A_cmp_result; end always @(posedge clk or negedge reset_n) begin if (reset_n == 0) W_target_pcb <= 0; else W_target_pcb <= A_target_pcb; end always @(posedge clk or negedge reset_n) begin if (reset_n == 0) W_valid_hbreak <= 0; else W_valid_hbreak <= A_exc_allowed & A_exc_hbreak_pri1; end always @(posedge clk or negedge reset_n) begin if (reset_n == 0) W_valid_crst <= 0; else W_valid_crst <= A_exc_allowed & 0; end always @(posedge clk or negedge reset_n) begin if (reset_n == 0) W_valid_intr <= 0; else W_valid_intr <= A_exc_allowed & A_exc_norm_intr_pri5; end always @(posedge clk or negedge reset_n) begin if (reset_n == 0) W_exc_any_active <= 0; else W_exc_any_active <= A_exc_any_active; end always @(posedge clk or negedge reset_n) begin if (reset_n == 0) W_exc_highest_pri_exc_id <= 0; else W_exc_highest_pri_exc_id <= A_exc_highest_pri_exc_id; end assign A_iw_invalid = A_exc_inst_fetch & A_exc_active_no_break_no_crst; always @(posedge clk or negedge reset_n) begin if (reset_n == 0) W_iw_invalid <= 0; else W_iw_invalid <= A_iw_invalid; end assign test_has_ended = 1'b0; //synthesis translate_off //////////////// SIMULATION-ONLY CONTENTS //Clearing 'X' data bits assign A_wr_data_unfiltered_0_is_x = ^(A_wr_data_unfiltered[0]) === 1'bx; assign A_wr_data_filtered[0] = (A_wr_data_unfiltered_0_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[0]; assign A_wr_data_unfiltered_1_is_x = ^(A_wr_data_unfiltered[1]) === 1'bx; assign A_wr_data_filtered[1] = (A_wr_data_unfiltered_1_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[1]; assign A_wr_data_unfiltered_2_is_x = ^(A_wr_data_unfiltered[2]) === 1'bx; assign A_wr_data_filtered[2] = (A_wr_data_unfiltered_2_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[2]; assign A_wr_data_unfiltered_3_is_x = ^(A_wr_data_unfiltered[3]) === 1'bx; assign A_wr_data_filtered[3] = (A_wr_data_unfiltered_3_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[3]; assign A_wr_data_unfiltered_4_is_x = ^(A_wr_data_unfiltered[4]) === 1'bx; assign A_wr_data_filtered[4] = (A_wr_data_unfiltered_4_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[4]; assign A_wr_data_unfiltered_5_is_x = ^(A_wr_data_unfiltered[5]) === 1'bx; assign A_wr_data_filtered[5] = (A_wr_data_unfiltered_5_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[5]; assign A_wr_data_unfiltered_6_is_x = ^(A_wr_data_unfiltered[6]) === 1'bx; assign A_wr_data_filtered[6] = (A_wr_data_unfiltered_6_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[6]; assign A_wr_data_unfiltered_7_is_x = ^(A_wr_data_unfiltered[7]) === 1'bx; assign A_wr_data_filtered[7] = (A_wr_data_unfiltered_7_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[7]; assign A_wr_data_unfiltered_8_is_x = ^(A_wr_data_unfiltered[8]) === 1'bx; assign A_wr_data_filtered[8] = (A_wr_data_unfiltered_8_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[8]; assign A_wr_data_unfiltered_9_is_x = ^(A_wr_data_unfiltered[9]) === 1'bx; assign A_wr_data_filtered[9] = (A_wr_data_unfiltered_9_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[9]; assign A_wr_data_unfiltered_10_is_x = ^(A_wr_data_unfiltered[10]) === 1'bx; assign A_wr_data_filtered[10] = (A_wr_data_unfiltered_10_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[10]; assign A_wr_data_unfiltered_11_is_x = ^(A_wr_data_unfiltered[11]) === 1'bx; assign A_wr_data_filtered[11] = (A_wr_data_unfiltered_11_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[11]; assign A_wr_data_unfiltered_12_is_x = ^(A_wr_data_unfiltered[12]) === 1'bx; assign A_wr_data_filtered[12] = (A_wr_data_unfiltered_12_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[12]; assign A_wr_data_unfiltered_13_is_x = ^(A_wr_data_unfiltered[13]) === 1'bx; assign A_wr_data_filtered[13] = (A_wr_data_unfiltered_13_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[13]; assign A_wr_data_unfiltered_14_is_x = ^(A_wr_data_unfiltered[14]) === 1'bx; assign A_wr_data_filtered[14] = (A_wr_data_unfiltered_14_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[14]; assign A_wr_data_unfiltered_15_is_x = ^(A_wr_data_unfiltered[15]) === 1'bx; assign A_wr_data_filtered[15] = (A_wr_data_unfiltered_15_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[15]; assign A_wr_data_unfiltered_16_is_x = ^(A_wr_data_unfiltered[16]) === 1'bx; assign A_wr_data_filtered[16] = (A_wr_data_unfiltered_16_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[16]; assign A_wr_data_unfiltered_17_is_x = ^(A_wr_data_unfiltered[17]) === 1'bx; assign A_wr_data_filtered[17] = (A_wr_data_unfiltered_17_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[17]; assign A_wr_data_unfiltered_18_is_x = ^(A_wr_data_unfiltered[18]) === 1'bx; assign A_wr_data_filtered[18] = (A_wr_data_unfiltered_18_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[18]; assign A_wr_data_unfiltered_19_is_x = ^(A_wr_data_unfiltered[19]) === 1'bx; assign A_wr_data_filtered[19] = (A_wr_data_unfiltered_19_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[19]; assign A_wr_data_unfiltered_20_is_x = ^(A_wr_data_unfiltered[20]) === 1'bx; assign A_wr_data_filtered[20] = (A_wr_data_unfiltered_20_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[20]; assign A_wr_data_unfiltered_21_is_x = ^(A_wr_data_unfiltered[21]) === 1'bx; assign A_wr_data_filtered[21] = (A_wr_data_unfiltered_21_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[21]; assign A_wr_data_unfiltered_22_is_x = ^(A_wr_data_unfiltered[22]) === 1'bx; assign A_wr_data_filtered[22] = (A_wr_data_unfiltered_22_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[22]; assign A_wr_data_unfiltered_23_is_x = ^(A_wr_data_unfiltered[23]) === 1'bx; assign A_wr_data_filtered[23] = (A_wr_data_unfiltered_23_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[23]; assign A_wr_data_unfiltered_24_is_x = ^(A_wr_data_unfiltered[24]) === 1'bx; assign A_wr_data_filtered[24] = (A_wr_data_unfiltered_24_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[24]; assign A_wr_data_unfiltered_25_is_x = ^(A_wr_data_unfiltered[25]) === 1'bx; assign A_wr_data_filtered[25] = (A_wr_data_unfiltered_25_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[25]; assign A_wr_data_unfiltered_26_is_x = ^(A_wr_data_unfiltered[26]) === 1'bx; assign A_wr_data_filtered[26] = (A_wr_data_unfiltered_26_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[26]; assign A_wr_data_unfiltered_27_is_x = ^(A_wr_data_unfiltered[27]) === 1'bx; assign A_wr_data_filtered[27] = (A_wr_data_unfiltered_27_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[27]; assign A_wr_data_unfiltered_28_is_x = ^(A_wr_data_unfiltered[28]) === 1'bx; assign A_wr_data_filtered[28] = (A_wr_data_unfiltered_28_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[28]; assign A_wr_data_unfiltered_29_is_x = ^(A_wr_data_unfiltered[29]) === 1'bx; assign A_wr_data_filtered[29] = (A_wr_data_unfiltered_29_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[29]; assign A_wr_data_unfiltered_30_is_x = ^(A_wr_data_unfiltered[30]) === 1'bx; assign A_wr_data_filtered[30] = (A_wr_data_unfiltered_30_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[30]; assign A_wr_data_unfiltered_31_is_x = ^(A_wr_data_unfiltered[31]) === 1'bx; assign A_wr_data_filtered[31] = (A_wr_data_unfiltered_31_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[31]; //Clearing 'X' data bits assign E_add_br_to_taken_history_unfiltered_is_x = ^(E_add_br_to_taken_history_unfiltered) === 1'bx; assign E_add_br_to_taken_history_filtered = E_add_br_to_taken_history_unfiltered_is_x ? 1'b0 : E_add_br_to_taken_history_unfiltered; //Clearing 'X' data bits assign M_bht_wr_en_unfiltered_is_x = ^(M_bht_wr_en_unfiltered) === 1'bx; assign M_bht_wr_en_filtered = M_bht_wr_en_unfiltered_is_x ? 1'b0 : M_bht_wr_en_unfiltered; //Clearing 'X' data bits assign M_bht_wr_data_unfiltered_0_is_x = ^(M_bht_wr_data_unfiltered[0]) === 1'bx; assign M_bht_wr_data_filtered[0] = M_bht_wr_data_unfiltered_0_is_x ? 1'b0 : M_bht_wr_data_unfiltered[0]; assign M_bht_wr_data_unfiltered_1_is_x = ^(M_bht_wr_data_unfiltered[1]) === 1'bx; assign M_bht_wr_data_filtered[1] = M_bht_wr_data_unfiltered_1_is_x ? 1'b0 : M_bht_wr_data_unfiltered[1]; //Clearing 'X' data bits assign M_bht_ptr_unfiltered_0_is_x = ^(M_bht_ptr_unfiltered[0]) === 1'bx; assign M_bht_ptr_filtered[0] = M_bht_ptr_unfiltered_0_is_x ? 1'b0 : M_bht_ptr_unfiltered[0]; assign M_bht_ptr_unfiltered_1_is_x = ^(M_bht_ptr_unfiltered[1]) === 1'bx; assign M_bht_ptr_filtered[1] = M_bht_ptr_unfiltered_1_is_x ? 1'b0 : M_bht_ptr_unfiltered[1]; assign M_bht_ptr_unfiltered_2_is_x = ^(M_bht_ptr_unfiltered[2]) === 1'bx; assign M_bht_ptr_filtered[2] = M_bht_ptr_unfiltered_2_is_x ? 1'b0 : M_bht_ptr_unfiltered[2]; assign M_bht_ptr_unfiltered_3_is_x = ^(M_bht_ptr_unfiltered[3]) === 1'bx; assign M_bht_ptr_filtered[3] = M_bht_ptr_unfiltered_3_is_x ? 1'b0 : M_bht_ptr_unfiltered[3]; assign M_bht_ptr_unfiltered_4_is_x = ^(M_bht_ptr_unfiltered[4]) === 1'bx; assign M_bht_ptr_filtered[4] = M_bht_ptr_unfiltered_4_is_x ? 1'b0 : M_bht_ptr_unfiltered[4]; assign M_bht_ptr_unfiltered_5_is_x = ^(M_bht_ptr_unfiltered[5]) === 1'bx; assign M_bht_ptr_filtered[5] = M_bht_ptr_unfiltered_5_is_x ? 1'b0 : M_bht_ptr_unfiltered[5]; assign M_bht_ptr_unfiltered_6_is_x = ^(M_bht_ptr_unfiltered[6]) === 1'bx; assign M_bht_ptr_filtered[6] = M_bht_ptr_unfiltered_6_is_x ? 1'b0 : M_bht_ptr_unfiltered[6]; assign M_bht_ptr_unfiltered_7_is_x = ^(M_bht_ptr_unfiltered[7]) === 1'bx; assign M_bht_ptr_filtered[7] = M_bht_ptr_unfiltered_7_is_x ? 1'b0 : M_bht_ptr_unfiltered[7]; always @(posedge clk) begin if (reset_n) if (^(W_wr_dst_reg) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/W_wr_dst_reg is 'x'\n", $time); $stop; end end always @(posedge clk or negedge reset_n) begin if (reset_n == 0) begin end else if (W_wr_dst_reg) if (^(W_dst_regnum) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/W_dst_regnum is 'x'\n", $time); $stop; end end always @(posedge clk) begin if (reset_n) if (^(W_valid) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/W_valid is 'x'\n", $time); $stop; end end always @(posedge clk or negedge reset_n) begin if (reset_n == 0) begin end else if (W_valid) if (^(W_pcb) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/W_pcb is 'x'\n", $time); $stop; end end always @(posedge clk or negedge reset_n) begin if (reset_n == 0) begin end else if (W_valid) if (^(W_iw) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/W_iw is 'x'\n", $time); $stop; end end always @(posedge clk) begin if (reset_n) if (^(A_en) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/A_en is 'x'\n", $time); $stop; end end always @(posedge clk) begin if (reset_n) if (^(M_valid) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/M_valid is 'x'\n", $time); $stop; end end always @(posedge clk) begin if (reset_n) if (^(A_valid) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/A_valid is 'x'\n", $time); $stop; end end always @(posedge clk or negedge reset_n) begin if (reset_n == 0) begin end else if (A_valid & A_en & A_wr_dst_reg) if (^(A_wr_data_unfiltered) === 1'bx) begin $write("%0d ns: WARNING: soc_design_niosII_core_cpu_test_bench/A_wr_data_unfiltered is 'x'\n", $time); end end always @(posedge clk) begin if (reset_n) if (^(W_status_reg) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/W_status_reg is 'x'\n", $time); $stop; end end always @(posedge clk) begin if (reset_n) if (^(W_estatus_reg) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/W_estatus_reg is 'x'\n", $time); $stop; end end always @(posedge clk) begin if (reset_n) if (^(W_bstatus_reg) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/W_bstatus_reg is 'x'\n", $time); $stop; end end always @(posedge clk) begin if (reset_n) if (^(W_exception_reg) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/W_exception_reg is 'x'\n", $time); $stop; end end always @(posedge clk) begin if (reset_n) if (^(W_badaddr_reg) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/W_badaddr_reg is 'x'\n", $time); $stop; end end always @(posedge clk) begin if (reset_n) if (^(A_exc_any_active) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/A_exc_any_active is 'x'\n", $time); $stop; end end always @(posedge clk) begin if (reset_n) if (^(i_read) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/i_read is 'x'\n", $time); $stop; end end always @(posedge clk or negedge reset_n) begin if (reset_n == 0) begin end else if (i_read) if (^(i_address) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/i_address is 'x'\n", $time); $stop; end end always @(posedge clk) begin if (reset_n) if (^(d_write) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/d_write is 'x'\n", $time); $stop; end end always @(posedge clk or negedge reset_n) begin if (reset_n == 0) begin end else if (d_write) if (^(d_byteenable) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/d_byteenable is 'x'\n", $time); $stop; end end always @(posedge clk or negedge reset_n) begin if (reset_n == 0) begin end else if (d_write | d_read) if (^(d_address) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/d_address is 'x'\n", $time); $stop; end end always @(posedge clk) begin if (reset_n) if (^(d_read) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/d_read is 'x'\n", $time); $stop; end end always @(posedge clk) begin if (reset_n) if (^(i_readdatavalid) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/i_readdatavalid is 'x'\n", $time); $stop; end end always @(posedge clk) begin if (reset_n) if (^(d_readdatavalid) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/d_readdatavalid is 'x'\n", $time); $stop; end end //////////////// END SIMULATION-ONLY CONTENTS //synthesis translate_on //synthesis read_comments_as_HDL on // // assign A_wr_data_filtered = A_wr_data_unfiltered; // // // assign E_add_br_to_taken_history_filtered = E_add_br_to_taken_history_unfiltered; // // // assign M_bht_wr_en_filtered = M_bht_wr_en_unfiltered; // // // assign M_bht_wr_data_filtered = M_bht_wr_data_unfiltered; // // // assign M_bht_ptr_filtered = M_bht_ptr_unfiltered; // //synthesis read_comments_as_HDL off endmodule
//Legal Notice: (C)2017 Altera Corporation. All rights reserved. Your //use of Altera Corporation's design tools, logic functions and other //software and tools, and its AMPP partner logic functions, and any //output files any of the foregoing (including device programming or //simulation files), and any associated documentation or information are //expressly subject to the terms and conditions of the Altera Program //License Subscription Agreement or other applicable license agreement, //including, without limitation, that your use is for the sole purpose //of programming logic devices manufactured by Altera and sold by Altera //or its authorized distributors. Please refer to the applicable //agreement for further details. // synthesis translate_off `timescale 1ns / 1ps // synthesis translate_on // turn off superfluous verilog processor warnings // altera message_level Level1 // altera message_off 10034 10035 10036 10037 10230 10240 10030 module soc_design_niosII_core_cpu_test_bench ( // inputs: A_cmp_result, A_ctrl_ld_non_bypass, A_en, A_exc_active_no_break_no_crst, A_exc_allowed, A_exc_any_active, A_exc_hbreak_pri1, A_exc_highest_pri_exc_id, A_exc_inst_fetch, A_exc_norm_intr_pri5, A_st_data, A_valid, A_wr_data_unfiltered, A_wr_dst_reg, E_add_br_to_taken_history_unfiltered, M_bht_ptr_unfiltered, M_bht_wr_data_unfiltered, M_bht_wr_en_unfiltered, M_mem_baddr, M_target_pcb, M_valid, W_badaddr_reg, W_bstatus_reg, W_dst_regnum, W_estatus_reg, W_exception_reg, W_iw, W_iw_op, W_iw_opx, W_pcb, W_status_reg, W_valid, W_vinst, W_wr_dst_reg, clk, d_address, d_byteenable, d_read, d_readdatavalid, d_write, i_address, i_read, i_readdatavalid, reset_n, // outputs: A_wr_data_filtered, E_add_br_to_taken_history_filtered, M_bht_ptr_filtered, M_bht_wr_data_filtered, M_bht_wr_en_filtered, test_has_ended ) ; output [ 31: 0] A_wr_data_filtered; output E_add_br_to_taken_history_filtered; output [ 7: 0] M_bht_ptr_filtered; output [ 1: 0] M_bht_wr_data_filtered; output M_bht_wr_en_filtered; output test_has_ended; input A_cmp_result; input A_ctrl_ld_non_bypass; input A_en; input A_exc_active_no_break_no_crst; input A_exc_allowed; input A_exc_any_active; input A_exc_hbreak_pri1; input [ 31: 0] A_exc_highest_pri_exc_id; input A_exc_inst_fetch; input A_exc_norm_intr_pri5; input [ 31: 0] A_st_data; input A_valid; input [ 31: 0] A_wr_data_unfiltered; input A_wr_dst_reg; input E_add_br_to_taken_history_unfiltered; input [ 7: 0] M_bht_ptr_unfiltered; input [ 1: 0] M_bht_wr_data_unfiltered; input M_bht_wr_en_unfiltered; input [ 26: 0] M_mem_baddr; input [ 26: 0] M_target_pcb; input M_valid; input [ 31: 0] W_badaddr_reg; input [ 31: 0] W_bstatus_reg; input [ 4: 0] W_dst_regnum; input [ 31: 0] W_estatus_reg; input [ 31: 0] W_exception_reg; input [ 31: 0] W_iw; input [ 5: 0] W_iw_op; input [ 5: 0] W_iw_opx; input [ 26: 0] W_pcb; input [ 31: 0] W_status_reg; input W_valid; input [ 71: 0] W_vinst; input W_wr_dst_reg; input clk; input [ 26: 0] d_address; input [ 3: 0] d_byteenable; input d_read; input d_readdatavalid; input d_write; input [ 26: 0] i_address; input i_read; input i_readdatavalid; input reset_n; wire A_iw_invalid; reg [ 26: 0] A_mem_baddr; reg [ 26: 0] A_target_pcb; wire [ 31: 0] A_wr_data_filtered; wire A_wr_data_unfiltered_0_is_x; wire A_wr_data_unfiltered_10_is_x; wire A_wr_data_unfiltered_11_is_x; wire A_wr_data_unfiltered_12_is_x; wire A_wr_data_unfiltered_13_is_x; wire A_wr_data_unfiltered_14_is_x; wire A_wr_data_unfiltered_15_is_x; wire A_wr_data_unfiltered_16_is_x; wire A_wr_data_unfiltered_17_is_x; wire A_wr_data_unfiltered_18_is_x; wire A_wr_data_unfiltered_19_is_x; wire A_wr_data_unfiltered_1_is_x; wire A_wr_data_unfiltered_20_is_x; wire A_wr_data_unfiltered_21_is_x; wire A_wr_data_unfiltered_22_is_x; wire A_wr_data_unfiltered_23_is_x; wire A_wr_data_unfiltered_24_is_x; wire A_wr_data_unfiltered_25_is_x; wire A_wr_data_unfiltered_26_is_x; wire A_wr_data_unfiltered_27_is_x; wire A_wr_data_unfiltered_28_is_x; wire A_wr_data_unfiltered_29_is_x; wire A_wr_data_unfiltered_2_is_x; wire A_wr_data_unfiltered_30_is_x; wire A_wr_data_unfiltered_31_is_x; wire A_wr_data_unfiltered_3_is_x; wire A_wr_data_unfiltered_4_is_x; wire A_wr_data_unfiltered_5_is_x; wire A_wr_data_unfiltered_6_is_x; wire A_wr_data_unfiltered_7_is_x; wire A_wr_data_unfiltered_8_is_x; wire A_wr_data_unfiltered_9_is_x; wire E_add_br_to_taken_history_filtered; wire E_add_br_to_taken_history_unfiltered_is_x; wire [ 7: 0] M_bht_ptr_filtered; wire M_bht_ptr_unfiltered_0_is_x; wire M_bht_ptr_unfiltered_1_is_x; wire M_bht_ptr_unfiltered_2_is_x; wire M_bht_ptr_unfiltered_3_is_x; wire M_bht_ptr_unfiltered_4_is_x; wire M_bht_ptr_unfiltered_5_is_x; wire M_bht_ptr_unfiltered_6_is_x; wire M_bht_ptr_unfiltered_7_is_x; wire [ 1: 0] M_bht_wr_data_filtered; wire M_bht_wr_data_unfiltered_0_is_x; wire M_bht_wr_data_unfiltered_1_is_x; wire M_bht_wr_en_filtered; wire M_bht_wr_en_unfiltered_is_x; reg W_cmp_result; reg W_exc_any_active; reg [ 31: 0] W_exc_highest_pri_exc_id; wire W_is_opx_inst; reg W_iw_invalid; wire W_op_add; wire W_op_addi; wire W_op_and; wire W_op_andhi; wire W_op_andi; wire W_op_beq; wire W_op_bge; wire W_op_bgeu; wire W_op_blt; wire W_op_bltu; wire W_op_bne; wire W_op_br; wire W_op_break; wire W_op_bret; wire W_op_call; wire W_op_callr; wire W_op_cmpeq; wire W_op_cmpeqi; wire W_op_cmpge; wire W_op_cmpgei; wire W_op_cmpgeu; wire W_op_cmpgeui; wire W_op_cmplt; wire W_op_cmplti; wire W_op_cmpltu; wire W_op_cmpltui; wire W_op_cmpne; wire W_op_cmpnei; wire W_op_crst; wire W_op_custom; wire W_op_div; wire W_op_divu; wire W_op_eret; wire W_op_flushd; wire W_op_flushda; wire W_op_flushi; wire W_op_flushp; wire W_op_hbreak; wire W_op_initd; wire W_op_initda; wire W_op_initi; wire W_op_intr; wire W_op_jmp; wire W_op_jmpi; wire W_op_ldb; wire W_op_ldbio; wire W_op_ldbu; wire W_op_ldbuio; wire W_op_ldh; wire W_op_ldhio; wire W_op_ldhu; wire W_op_ldhuio; wire W_op_ldl; wire W_op_ldw; wire W_op_ldwio; wire W_op_mul; wire W_op_muli; wire W_op_mulxss; wire W_op_mulxsu; wire W_op_mulxuu; wire W_op_nextpc; wire W_op_nor; wire W_op_op_rsv02; wire W_op_op_rsv09; wire W_op_op_rsv10; wire W_op_op_rsv17; wire W_op_op_rsv18; wire W_op_op_rsv25; wire W_op_op_rsv26; wire W_op_op_rsv33; wire W_op_op_rsv34; wire W_op_op_rsv41; wire W_op_op_rsv42; wire W_op_op_rsv49; wire W_op_op_rsv57; wire W_op_op_rsv61; wire W_op_op_rsv62; wire W_op_op_rsv63; wire W_op_opx_rsv00; wire W_op_opx_rsv10; wire W_op_opx_rsv15; wire W_op_opx_rsv17; wire W_op_opx_rsv21; wire W_op_opx_rsv25; wire W_op_opx_rsv33; wire W_op_opx_rsv34; wire W_op_opx_rsv35; wire W_op_opx_rsv42; wire W_op_opx_rsv43; wire W_op_opx_rsv44; wire W_op_opx_rsv47; wire W_op_opx_rsv50; wire W_op_opx_rsv51; wire W_op_opx_rsv55; wire W_op_opx_rsv56; wire W_op_opx_rsv60; wire W_op_opx_rsv63; wire W_op_or; wire W_op_orhi; wire W_op_ori; wire W_op_rdctl; wire W_op_rdprs; wire W_op_ret; wire W_op_rol; wire W_op_roli; wire W_op_ror; wire W_op_sll; wire W_op_slli; wire W_op_sra; wire W_op_srai; wire W_op_srl; wire W_op_srli; wire W_op_stb; wire W_op_stbio; wire W_op_stc; wire W_op_sth; wire W_op_sthio; wire W_op_stw; wire W_op_stwio; wire W_op_sub; wire W_op_sync; wire W_op_trap; wire W_op_wrctl; wire W_op_wrprs; wire W_op_xor; wire W_op_xorhi; wire W_op_xori; reg [ 31: 0] W_st_data; reg [ 26: 0] W_target_pcb; reg W_valid_crst; reg W_valid_hbreak; reg W_valid_intr; reg [ 31: 0] W_wr_data_filtered; wire test_has_ended; assign W_op_call = W_iw_op == 0; assign W_op_jmpi = W_iw_op == 1; assign W_op_op_rsv02 = W_iw_op == 2; assign W_op_ldbu = W_iw_op == 3; assign W_op_addi = W_iw_op == 4; assign W_op_stb = W_iw_op == 5; assign W_op_br = W_iw_op == 6; assign W_op_ldb = W_iw_op == 7; assign W_op_cmpgei = W_iw_op == 8; assign W_op_op_rsv09 = W_iw_op == 9; assign W_op_op_rsv10 = W_iw_op == 10; assign W_op_ldhu = W_iw_op == 11; assign W_op_andi = W_iw_op == 12; assign W_op_sth = W_iw_op == 13; assign W_op_bge = W_iw_op == 14; assign W_op_ldh = W_iw_op == 15; assign W_op_cmplti = W_iw_op == 16; assign W_op_op_rsv17 = W_iw_op == 17; assign W_op_op_rsv18 = W_iw_op == 18; assign W_op_initda = W_iw_op == 19; assign W_op_ori = W_iw_op == 20; assign W_op_stw = W_iw_op == 21; assign W_op_blt = W_iw_op == 22; assign W_op_ldw = W_iw_op == 23; assign W_op_cmpnei = W_iw_op == 24; assign W_op_op_rsv25 = W_iw_op == 25; assign W_op_op_rsv26 = W_iw_op == 26; assign W_op_flushda = W_iw_op == 27; assign W_op_xori = W_iw_op == 28; assign W_op_stc = W_iw_op == 29; assign W_op_bne = W_iw_op == 30; assign W_op_ldl = W_iw_op == 31; assign W_op_cmpeqi = W_iw_op == 32; assign W_op_op_rsv33 = W_iw_op == 33; assign W_op_op_rsv34 = W_iw_op == 34; assign W_op_ldbuio = W_iw_op == 35; assign W_op_muli = W_iw_op == 36; assign W_op_stbio = W_iw_op == 37; assign W_op_beq = W_iw_op == 38; assign W_op_ldbio = W_iw_op == 39; assign W_op_cmpgeui = W_iw_op == 40; assign W_op_op_rsv41 = W_iw_op == 41; assign W_op_op_rsv42 = W_iw_op == 42; assign W_op_ldhuio = W_iw_op == 43; assign W_op_andhi = W_iw_op == 44; assign W_op_sthio = W_iw_op == 45; assign W_op_bgeu = W_iw_op == 46; assign W_op_ldhio = W_iw_op == 47; assign W_op_cmpltui = W_iw_op == 48; assign W_op_op_rsv49 = W_iw_op == 49; assign W_op_custom = W_iw_op == 50; assign W_op_initd = W_iw_op == 51; assign W_op_orhi = W_iw_op == 52; assign W_op_stwio = W_iw_op == 53; assign W_op_bltu = W_iw_op == 54; assign W_op_ldwio = W_iw_op == 55; assign W_op_rdprs = W_iw_op == 56; assign W_op_op_rsv57 = W_iw_op == 57; assign W_op_flushd = W_iw_op == 59; assign W_op_xorhi = W_iw_op == 60; assign W_op_op_rsv61 = W_iw_op == 61; assign W_op_op_rsv62 = W_iw_op == 62; assign W_op_op_rsv63 = W_iw_op == 63; assign W_op_opx_rsv00 = (W_iw_opx == 0) & W_is_opx_inst; assign W_op_eret = (W_iw_opx == 1) & W_is_opx_inst; assign W_op_roli = (W_iw_opx == 2) & W_is_opx_inst; assign W_op_rol = (W_iw_opx == 3) & W_is_opx_inst; assign W_op_flushp = (W_iw_opx == 4) & W_is_opx_inst; assign W_op_ret = (W_iw_opx == 5) & W_is_opx_inst; assign W_op_nor = (W_iw_opx == 6) & W_is_opx_inst; assign W_op_mulxuu = (W_iw_opx == 7) & W_is_opx_inst; assign W_op_cmpge = (W_iw_opx == 8) & W_is_opx_inst; assign W_op_bret = (W_iw_opx == 9) & W_is_opx_inst; assign W_op_opx_rsv10 = (W_iw_opx == 10) & W_is_opx_inst; assign W_op_ror = (W_iw_opx == 11) & W_is_opx_inst; assign W_op_flushi = (W_iw_opx == 12) & W_is_opx_inst; assign W_op_jmp = (W_iw_opx == 13) & W_is_opx_inst; assign W_op_and = (W_iw_opx == 14) & W_is_opx_inst; assign W_op_opx_rsv15 = (W_iw_opx == 15) & W_is_opx_inst; assign W_op_cmplt = (W_iw_opx == 16) & W_is_opx_inst; assign W_op_opx_rsv17 = (W_iw_opx == 17) & W_is_opx_inst; assign W_op_slli = (W_iw_opx == 18) & W_is_opx_inst; assign W_op_sll = (W_iw_opx == 19) & W_is_opx_inst; assign W_op_wrprs = (W_iw_opx == 20) & W_is_opx_inst; assign W_op_opx_rsv21 = (W_iw_opx == 21) & W_is_opx_inst; assign W_op_or = (W_iw_opx == 22) & W_is_opx_inst; assign W_op_mulxsu = (W_iw_opx == 23) & W_is_opx_inst; assign W_op_cmpne = (W_iw_opx == 24) & W_is_opx_inst; assign W_op_opx_rsv25 = (W_iw_opx == 25) & W_is_opx_inst; assign W_op_srli = (W_iw_opx == 26) & W_is_opx_inst; assign W_op_srl = (W_iw_opx == 27) & W_is_opx_inst; assign W_op_nextpc = (W_iw_opx == 28) & W_is_opx_inst; assign W_op_callr = (W_iw_opx == 29) & W_is_opx_inst; assign W_op_xor = (W_iw_opx == 30) & W_is_opx_inst; assign W_op_mulxss = (W_iw_opx == 31) & W_is_opx_inst; assign W_op_cmpeq = (W_iw_opx == 32) & W_is_opx_inst; assign W_op_opx_rsv33 = (W_iw_opx == 33) & W_is_opx_inst; assign W_op_opx_rsv34 = (W_iw_opx == 34) & W_is_opx_inst; assign W_op_opx_rsv35 = (W_iw_opx == 35) & W_is_opx_inst; assign W_op_divu = (W_iw_opx == 36) & W_is_opx_inst; assign W_op_div = (W_iw_opx == 37) & W_is_opx_inst; assign W_op_rdctl = (W_iw_opx == 38) & W_is_opx_inst; assign W_op_mul = (W_iw_opx == 39) & W_is_opx_inst; assign W_op_cmpgeu = (W_iw_opx == 40) & W_is_opx_inst; assign W_op_initi = (W_iw_opx == 41) & W_is_opx_inst; assign W_op_opx_rsv42 = (W_iw_opx == 42) & W_is_opx_inst; assign W_op_opx_rsv43 = (W_iw_opx == 43) & W_is_opx_inst; assign W_op_opx_rsv44 = (W_iw_opx == 44) & W_is_opx_inst; assign W_op_trap = (W_iw_opx == 45) & W_is_opx_inst; assign W_op_wrctl = (W_iw_opx == 46) & W_is_opx_inst; assign W_op_opx_rsv47 = (W_iw_opx == 47) & W_is_opx_inst; assign W_op_cmpltu = (W_iw_opx == 48) & W_is_opx_inst; assign W_op_add = (W_iw_opx == 49) & W_is_opx_inst; assign W_op_opx_rsv50 = (W_iw_opx == 50) & W_is_opx_inst; assign W_op_opx_rsv51 = (W_iw_opx == 51) & W_is_opx_inst; assign W_op_break = (W_iw_opx == 52) & W_is_opx_inst; assign W_op_hbreak = (W_iw_opx == 53) & W_is_opx_inst; assign W_op_sync = (W_iw_opx == 54) & W_is_opx_inst; assign W_op_opx_rsv55 = (W_iw_opx == 55) & W_is_opx_inst; assign W_op_opx_rsv56 = (W_iw_opx == 56) & W_is_opx_inst; assign W_op_sub = (W_iw_opx == 57) & W_is_opx_inst; assign W_op_srai = (W_iw_opx == 58) & W_is_opx_inst; assign W_op_sra = (W_iw_opx == 59) & W_is_opx_inst; assign W_op_opx_rsv60 = (W_iw_opx == 60) & W_is_opx_inst; assign W_op_intr = (W_iw_opx == 61) & W_is_opx_inst; assign W_op_crst = (W_iw_opx == 62) & W_is_opx_inst; assign W_op_opx_rsv63 = (W_iw_opx == 63) & W_is_opx_inst; assign W_is_opx_inst = W_iw_op == 58; always @(posedge clk or negedge reset_n) begin if (reset_n == 0) A_target_pcb <= 0; else if (A_en) A_target_pcb <= M_target_pcb; end always @(posedge clk or negedge reset_n) begin if (reset_n == 0) A_mem_baddr <= 0; else if (A_en) A_mem_baddr <= M_mem_baddr; end always @(posedge clk or negedge reset_n) begin if (reset_n == 0) W_wr_data_filtered <= 0; else W_wr_data_filtered <= A_wr_data_filtered; end always @(posedge clk or negedge reset_n) begin if (reset_n == 0) W_st_data <= 0; else W_st_data <= A_st_data; end always @(posedge clk or negedge reset_n) begin if (reset_n == 0) W_cmp_result <= 0; else W_cmp_result <= A_cmp_result; end always @(posedge clk or negedge reset_n) begin if (reset_n == 0) W_target_pcb <= 0; else W_target_pcb <= A_target_pcb; end always @(posedge clk or negedge reset_n) begin if (reset_n == 0) W_valid_hbreak <= 0; else W_valid_hbreak <= A_exc_allowed & A_exc_hbreak_pri1; end always @(posedge clk or negedge reset_n) begin if (reset_n == 0) W_valid_crst <= 0; else W_valid_crst <= A_exc_allowed & 0; end always @(posedge clk or negedge reset_n) begin if (reset_n == 0) W_valid_intr <= 0; else W_valid_intr <= A_exc_allowed & A_exc_norm_intr_pri5; end always @(posedge clk or negedge reset_n) begin if (reset_n == 0) W_exc_any_active <= 0; else W_exc_any_active <= A_exc_any_active; end always @(posedge clk or negedge reset_n) begin if (reset_n == 0) W_exc_highest_pri_exc_id <= 0; else W_exc_highest_pri_exc_id <= A_exc_highest_pri_exc_id; end assign A_iw_invalid = A_exc_inst_fetch & A_exc_active_no_break_no_crst; always @(posedge clk or negedge reset_n) begin if (reset_n == 0) W_iw_invalid <= 0; else W_iw_invalid <= A_iw_invalid; end assign test_has_ended = 1'b0; //synthesis translate_off //////////////// SIMULATION-ONLY CONTENTS //Clearing 'X' data bits assign A_wr_data_unfiltered_0_is_x = ^(A_wr_data_unfiltered[0]) === 1'bx; assign A_wr_data_filtered[0] = (A_wr_data_unfiltered_0_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[0]; assign A_wr_data_unfiltered_1_is_x = ^(A_wr_data_unfiltered[1]) === 1'bx; assign A_wr_data_filtered[1] = (A_wr_data_unfiltered_1_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[1]; assign A_wr_data_unfiltered_2_is_x = ^(A_wr_data_unfiltered[2]) === 1'bx; assign A_wr_data_filtered[2] = (A_wr_data_unfiltered_2_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[2]; assign A_wr_data_unfiltered_3_is_x = ^(A_wr_data_unfiltered[3]) === 1'bx; assign A_wr_data_filtered[3] = (A_wr_data_unfiltered_3_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[3]; assign A_wr_data_unfiltered_4_is_x = ^(A_wr_data_unfiltered[4]) === 1'bx; assign A_wr_data_filtered[4] = (A_wr_data_unfiltered_4_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[4]; assign A_wr_data_unfiltered_5_is_x = ^(A_wr_data_unfiltered[5]) === 1'bx; assign A_wr_data_filtered[5] = (A_wr_data_unfiltered_5_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[5]; assign A_wr_data_unfiltered_6_is_x = ^(A_wr_data_unfiltered[6]) === 1'bx; assign A_wr_data_filtered[6] = (A_wr_data_unfiltered_6_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[6]; assign A_wr_data_unfiltered_7_is_x = ^(A_wr_data_unfiltered[7]) === 1'bx; assign A_wr_data_filtered[7] = (A_wr_data_unfiltered_7_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[7]; assign A_wr_data_unfiltered_8_is_x = ^(A_wr_data_unfiltered[8]) === 1'bx; assign A_wr_data_filtered[8] = (A_wr_data_unfiltered_8_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[8]; assign A_wr_data_unfiltered_9_is_x = ^(A_wr_data_unfiltered[9]) === 1'bx; assign A_wr_data_filtered[9] = (A_wr_data_unfiltered_9_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[9]; assign A_wr_data_unfiltered_10_is_x = ^(A_wr_data_unfiltered[10]) === 1'bx; assign A_wr_data_filtered[10] = (A_wr_data_unfiltered_10_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[10]; assign A_wr_data_unfiltered_11_is_x = ^(A_wr_data_unfiltered[11]) === 1'bx; assign A_wr_data_filtered[11] = (A_wr_data_unfiltered_11_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[11]; assign A_wr_data_unfiltered_12_is_x = ^(A_wr_data_unfiltered[12]) === 1'bx; assign A_wr_data_filtered[12] = (A_wr_data_unfiltered_12_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[12]; assign A_wr_data_unfiltered_13_is_x = ^(A_wr_data_unfiltered[13]) === 1'bx; assign A_wr_data_filtered[13] = (A_wr_data_unfiltered_13_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[13]; assign A_wr_data_unfiltered_14_is_x = ^(A_wr_data_unfiltered[14]) === 1'bx; assign A_wr_data_filtered[14] = (A_wr_data_unfiltered_14_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[14]; assign A_wr_data_unfiltered_15_is_x = ^(A_wr_data_unfiltered[15]) === 1'bx; assign A_wr_data_filtered[15] = (A_wr_data_unfiltered_15_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[15]; assign A_wr_data_unfiltered_16_is_x = ^(A_wr_data_unfiltered[16]) === 1'bx; assign A_wr_data_filtered[16] = (A_wr_data_unfiltered_16_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[16]; assign A_wr_data_unfiltered_17_is_x = ^(A_wr_data_unfiltered[17]) === 1'bx; assign A_wr_data_filtered[17] = (A_wr_data_unfiltered_17_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[17]; assign A_wr_data_unfiltered_18_is_x = ^(A_wr_data_unfiltered[18]) === 1'bx; assign A_wr_data_filtered[18] = (A_wr_data_unfiltered_18_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[18]; assign A_wr_data_unfiltered_19_is_x = ^(A_wr_data_unfiltered[19]) === 1'bx; assign A_wr_data_filtered[19] = (A_wr_data_unfiltered_19_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[19]; assign A_wr_data_unfiltered_20_is_x = ^(A_wr_data_unfiltered[20]) === 1'bx; assign A_wr_data_filtered[20] = (A_wr_data_unfiltered_20_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[20]; assign A_wr_data_unfiltered_21_is_x = ^(A_wr_data_unfiltered[21]) === 1'bx; assign A_wr_data_filtered[21] = (A_wr_data_unfiltered_21_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[21]; assign A_wr_data_unfiltered_22_is_x = ^(A_wr_data_unfiltered[22]) === 1'bx; assign A_wr_data_filtered[22] = (A_wr_data_unfiltered_22_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[22]; assign A_wr_data_unfiltered_23_is_x = ^(A_wr_data_unfiltered[23]) === 1'bx; assign A_wr_data_filtered[23] = (A_wr_data_unfiltered_23_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[23]; assign A_wr_data_unfiltered_24_is_x = ^(A_wr_data_unfiltered[24]) === 1'bx; assign A_wr_data_filtered[24] = (A_wr_data_unfiltered_24_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[24]; assign A_wr_data_unfiltered_25_is_x = ^(A_wr_data_unfiltered[25]) === 1'bx; assign A_wr_data_filtered[25] = (A_wr_data_unfiltered_25_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[25]; assign A_wr_data_unfiltered_26_is_x = ^(A_wr_data_unfiltered[26]) === 1'bx; assign A_wr_data_filtered[26] = (A_wr_data_unfiltered_26_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[26]; assign A_wr_data_unfiltered_27_is_x = ^(A_wr_data_unfiltered[27]) === 1'bx; assign A_wr_data_filtered[27] = (A_wr_data_unfiltered_27_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[27]; assign A_wr_data_unfiltered_28_is_x = ^(A_wr_data_unfiltered[28]) === 1'bx; assign A_wr_data_filtered[28] = (A_wr_data_unfiltered_28_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[28]; assign A_wr_data_unfiltered_29_is_x = ^(A_wr_data_unfiltered[29]) === 1'bx; assign A_wr_data_filtered[29] = (A_wr_data_unfiltered_29_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[29]; assign A_wr_data_unfiltered_30_is_x = ^(A_wr_data_unfiltered[30]) === 1'bx; assign A_wr_data_filtered[30] = (A_wr_data_unfiltered_30_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[30]; assign A_wr_data_unfiltered_31_is_x = ^(A_wr_data_unfiltered[31]) === 1'bx; assign A_wr_data_filtered[31] = (A_wr_data_unfiltered_31_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[31]; //Clearing 'X' data bits assign E_add_br_to_taken_history_unfiltered_is_x = ^(E_add_br_to_taken_history_unfiltered) === 1'bx; assign E_add_br_to_taken_history_filtered = E_add_br_to_taken_history_unfiltered_is_x ? 1'b0 : E_add_br_to_taken_history_unfiltered; //Clearing 'X' data bits assign M_bht_wr_en_unfiltered_is_x = ^(M_bht_wr_en_unfiltered) === 1'bx; assign M_bht_wr_en_filtered = M_bht_wr_en_unfiltered_is_x ? 1'b0 : M_bht_wr_en_unfiltered; //Clearing 'X' data bits assign M_bht_wr_data_unfiltered_0_is_x = ^(M_bht_wr_data_unfiltered[0]) === 1'bx; assign M_bht_wr_data_filtered[0] = M_bht_wr_data_unfiltered_0_is_x ? 1'b0 : M_bht_wr_data_unfiltered[0]; assign M_bht_wr_data_unfiltered_1_is_x = ^(M_bht_wr_data_unfiltered[1]) === 1'bx; assign M_bht_wr_data_filtered[1] = M_bht_wr_data_unfiltered_1_is_x ? 1'b0 : M_bht_wr_data_unfiltered[1]; //Clearing 'X' data bits assign M_bht_ptr_unfiltered_0_is_x = ^(M_bht_ptr_unfiltered[0]) === 1'bx; assign M_bht_ptr_filtered[0] = M_bht_ptr_unfiltered_0_is_x ? 1'b0 : M_bht_ptr_unfiltered[0]; assign M_bht_ptr_unfiltered_1_is_x = ^(M_bht_ptr_unfiltered[1]) === 1'bx; assign M_bht_ptr_filtered[1] = M_bht_ptr_unfiltered_1_is_x ? 1'b0 : M_bht_ptr_unfiltered[1]; assign M_bht_ptr_unfiltered_2_is_x = ^(M_bht_ptr_unfiltered[2]) === 1'bx; assign M_bht_ptr_filtered[2] = M_bht_ptr_unfiltered_2_is_x ? 1'b0 : M_bht_ptr_unfiltered[2]; assign M_bht_ptr_unfiltered_3_is_x = ^(M_bht_ptr_unfiltered[3]) === 1'bx; assign M_bht_ptr_filtered[3] = M_bht_ptr_unfiltered_3_is_x ? 1'b0 : M_bht_ptr_unfiltered[3]; assign M_bht_ptr_unfiltered_4_is_x = ^(M_bht_ptr_unfiltered[4]) === 1'bx; assign M_bht_ptr_filtered[4] = M_bht_ptr_unfiltered_4_is_x ? 1'b0 : M_bht_ptr_unfiltered[4]; assign M_bht_ptr_unfiltered_5_is_x = ^(M_bht_ptr_unfiltered[5]) === 1'bx; assign M_bht_ptr_filtered[5] = M_bht_ptr_unfiltered_5_is_x ? 1'b0 : M_bht_ptr_unfiltered[5]; assign M_bht_ptr_unfiltered_6_is_x = ^(M_bht_ptr_unfiltered[6]) === 1'bx; assign M_bht_ptr_filtered[6] = M_bht_ptr_unfiltered_6_is_x ? 1'b0 : M_bht_ptr_unfiltered[6]; assign M_bht_ptr_unfiltered_7_is_x = ^(M_bht_ptr_unfiltered[7]) === 1'bx; assign M_bht_ptr_filtered[7] = M_bht_ptr_unfiltered_7_is_x ? 1'b0 : M_bht_ptr_unfiltered[7]; always @(posedge clk) begin if (reset_n) if (^(W_wr_dst_reg) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/W_wr_dst_reg is 'x'\n", $time); $stop; end end always @(posedge clk or negedge reset_n) begin if (reset_n == 0) begin end else if (W_wr_dst_reg) if (^(W_dst_regnum) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/W_dst_regnum is 'x'\n", $time); $stop; end end always @(posedge clk) begin if (reset_n) if (^(W_valid) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/W_valid is 'x'\n", $time); $stop; end end always @(posedge clk or negedge reset_n) begin if (reset_n == 0) begin end else if (W_valid) if (^(W_pcb) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/W_pcb is 'x'\n", $time); $stop; end end always @(posedge clk or negedge reset_n) begin if (reset_n == 0) begin end else if (W_valid) if (^(W_iw) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/W_iw is 'x'\n", $time); $stop; end end always @(posedge clk) begin if (reset_n) if (^(A_en) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/A_en is 'x'\n", $time); $stop; end end always @(posedge clk) begin if (reset_n) if (^(M_valid) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/M_valid is 'x'\n", $time); $stop; end end always @(posedge clk) begin if (reset_n) if (^(A_valid) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/A_valid is 'x'\n", $time); $stop; end end always @(posedge clk or negedge reset_n) begin if (reset_n == 0) begin end else if (A_valid & A_en & A_wr_dst_reg) if (^(A_wr_data_unfiltered) === 1'bx) begin $write("%0d ns: WARNING: soc_design_niosII_core_cpu_test_bench/A_wr_data_unfiltered is 'x'\n", $time); end end always @(posedge clk) begin if (reset_n) if (^(W_status_reg) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/W_status_reg is 'x'\n", $time); $stop; end end always @(posedge clk) begin if (reset_n) if (^(W_estatus_reg) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/W_estatus_reg is 'x'\n", $time); $stop; end end always @(posedge clk) begin if (reset_n) if (^(W_bstatus_reg) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/W_bstatus_reg is 'x'\n", $time); $stop; end end always @(posedge clk) begin if (reset_n) if (^(W_exception_reg) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/W_exception_reg is 'x'\n", $time); $stop; end end always @(posedge clk) begin if (reset_n) if (^(W_badaddr_reg) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/W_badaddr_reg is 'x'\n", $time); $stop; end end always @(posedge clk) begin if (reset_n) if (^(A_exc_any_active) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/A_exc_any_active is 'x'\n", $time); $stop; end end always @(posedge clk) begin if (reset_n) if (^(i_read) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/i_read is 'x'\n", $time); $stop; end end always @(posedge clk or negedge reset_n) begin if (reset_n == 0) begin end else if (i_read) if (^(i_address) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/i_address is 'x'\n", $time); $stop; end end always @(posedge clk) begin if (reset_n) if (^(d_write) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/d_write is 'x'\n", $time); $stop; end end always @(posedge clk or negedge reset_n) begin if (reset_n == 0) begin end else if (d_write) if (^(d_byteenable) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/d_byteenable is 'x'\n", $time); $stop; end end always @(posedge clk or negedge reset_n) begin if (reset_n == 0) begin end else if (d_write | d_read) if (^(d_address) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/d_address is 'x'\n", $time); $stop; end end always @(posedge clk) begin if (reset_n) if (^(d_read) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/d_read is 'x'\n", $time); $stop; end end always @(posedge clk) begin if (reset_n) if (^(i_readdatavalid) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/i_readdatavalid is 'x'\n", $time); $stop; end end always @(posedge clk) begin if (reset_n) if (^(d_readdatavalid) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/d_readdatavalid is 'x'\n", $time); $stop; end end //////////////// END SIMULATION-ONLY CONTENTS //synthesis translate_on //synthesis read_comments_as_HDL on // // assign A_wr_data_filtered = A_wr_data_unfiltered; // // // assign E_add_br_to_taken_history_filtered = E_add_br_to_taken_history_unfiltered; // // // assign M_bht_wr_en_filtered = M_bht_wr_en_unfiltered; // // // assign M_bht_wr_data_filtered = M_bht_wr_data_unfiltered; // // // assign M_bht_ptr_filtered = M_bht_ptr_unfiltered; // //synthesis read_comments_as_HDL off endmodule
//Legal Notice: (C)2017 Altera Corporation. All rights reserved. Your //use of Altera Corporation's design tools, logic functions and other //software and tools, and its AMPP partner logic functions, and any //output files any of the foregoing (including device programming or //simulation files), and any associated documentation or information are //expressly subject to the terms and conditions of the Altera Program //License Subscription Agreement or other applicable license agreement, //including, without limitation, that your use is for the sole purpose //of programming logic devices manufactured by Altera and sold by Altera //or its authorized distributors. Please refer to the applicable //agreement for further details. // synthesis translate_off `timescale 1ns / 1ps // synthesis translate_on // turn off superfluous verilog processor warnings // altera message_level Level1 // altera message_off 10034 10035 10036 10037 10230 10240 10030 module soc_design_niosII_core_cpu_test_bench ( // inputs: A_cmp_result, A_ctrl_ld_non_bypass, A_en, A_exc_active_no_break_no_crst, A_exc_allowed, A_exc_any_active, A_exc_hbreak_pri1, A_exc_highest_pri_exc_id, A_exc_inst_fetch, A_exc_norm_intr_pri5, A_st_data, A_valid, A_wr_data_unfiltered, A_wr_dst_reg, E_add_br_to_taken_history_unfiltered, M_bht_ptr_unfiltered, M_bht_wr_data_unfiltered, M_bht_wr_en_unfiltered, M_mem_baddr, M_target_pcb, M_valid, W_badaddr_reg, W_bstatus_reg, W_dst_regnum, W_estatus_reg, W_exception_reg, W_iw, W_iw_op, W_iw_opx, W_pcb, W_status_reg, W_valid, W_vinst, W_wr_dst_reg, clk, d_address, d_byteenable, d_read, d_readdatavalid, d_write, i_address, i_read, i_readdatavalid, reset_n, // outputs: A_wr_data_filtered, E_add_br_to_taken_history_filtered, M_bht_ptr_filtered, M_bht_wr_data_filtered, M_bht_wr_en_filtered, test_has_ended ) ; output [ 31: 0] A_wr_data_filtered; output E_add_br_to_taken_history_filtered; output [ 7: 0] M_bht_ptr_filtered; output [ 1: 0] M_bht_wr_data_filtered; output M_bht_wr_en_filtered; output test_has_ended; input A_cmp_result; input A_ctrl_ld_non_bypass; input A_en; input A_exc_active_no_break_no_crst; input A_exc_allowed; input A_exc_any_active; input A_exc_hbreak_pri1; input [ 31: 0] A_exc_highest_pri_exc_id; input A_exc_inst_fetch; input A_exc_norm_intr_pri5; input [ 31: 0] A_st_data; input A_valid; input [ 31: 0] A_wr_data_unfiltered; input A_wr_dst_reg; input E_add_br_to_taken_history_unfiltered; input [ 7: 0] M_bht_ptr_unfiltered; input [ 1: 0] M_bht_wr_data_unfiltered; input M_bht_wr_en_unfiltered; input [ 26: 0] M_mem_baddr; input [ 26: 0] M_target_pcb; input M_valid; input [ 31: 0] W_badaddr_reg; input [ 31: 0] W_bstatus_reg; input [ 4: 0] W_dst_regnum; input [ 31: 0] W_estatus_reg; input [ 31: 0] W_exception_reg; input [ 31: 0] W_iw; input [ 5: 0] W_iw_op; input [ 5: 0] W_iw_opx; input [ 26: 0] W_pcb; input [ 31: 0] W_status_reg; input W_valid; input [ 71: 0] W_vinst; input W_wr_dst_reg; input clk; input [ 26: 0] d_address; input [ 3: 0] d_byteenable; input d_read; input d_readdatavalid; input d_write; input [ 26: 0] i_address; input i_read; input i_readdatavalid; input reset_n; wire A_iw_invalid; reg [ 26: 0] A_mem_baddr; reg [ 26: 0] A_target_pcb; wire [ 31: 0] A_wr_data_filtered; wire A_wr_data_unfiltered_0_is_x; wire A_wr_data_unfiltered_10_is_x; wire A_wr_data_unfiltered_11_is_x; wire A_wr_data_unfiltered_12_is_x; wire A_wr_data_unfiltered_13_is_x; wire A_wr_data_unfiltered_14_is_x; wire A_wr_data_unfiltered_15_is_x; wire A_wr_data_unfiltered_16_is_x; wire A_wr_data_unfiltered_17_is_x; wire A_wr_data_unfiltered_18_is_x; wire A_wr_data_unfiltered_19_is_x; wire A_wr_data_unfiltered_1_is_x; wire A_wr_data_unfiltered_20_is_x; wire A_wr_data_unfiltered_21_is_x; wire A_wr_data_unfiltered_22_is_x; wire A_wr_data_unfiltered_23_is_x; wire A_wr_data_unfiltered_24_is_x; wire A_wr_data_unfiltered_25_is_x; wire A_wr_data_unfiltered_26_is_x; wire A_wr_data_unfiltered_27_is_x; wire A_wr_data_unfiltered_28_is_x; wire A_wr_data_unfiltered_29_is_x; wire A_wr_data_unfiltered_2_is_x; wire A_wr_data_unfiltered_30_is_x; wire A_wr_data_unfiltered_31_is_x; wire A_wr_data_unfiltered_3_is_x; wire A_wr_data_unfiltered_4_is_x; wire A_wr_data_unfiltered_5_is_x; wire A_wr_data_unfiltered_6_is_x; wire A_wr_data_unfiltered_7_is_x; wire A_wr_data_unfiltered_8_is_x; wire A_wr_data_unfiltered_9_is_x; wire E_add_br_to_taken_history_filtered; wire E_add_br_to_taken_history_unfiltered_is_x; wire [ 7: 0] M_bht_ptr_filtered; wire M_bht_ptr_unfiltered_0_is_x; wire M_bht_ptr_unfiltered_1_is_x; wire M_bht_ptr_unfiltered_2_is_x; wire M_bht_ptr_unfiltered_3_is_x; wire M_bht_ptr_unfiltered_4_is_x; wire M_bht_ptr_unfiltered_5_is_x; wire M_bht_ptr_unfiltered_6_is_x; wire M_bht_ptr_unfiltered_7_is_x; wire [ 1: 0] M_bht_wr_data_filtered; wire M_bht_wr_data_unfiltered_0_is_x; wire M_bht_wr_data_unfiltered_1_is_x; wire M_bht_wr_en_filtered; wire M_bht_wr_en_unfiltered_is_x; reg W_cmp_result; reg W_exc_any_active; reg [ 31: 0] W_exc_highest_pri_exc_id; wire W_is_opx_inst; reg W_iw_invalid; wire W_op_add; wire W_op_addi; wire W_op_and; wire W_op_andhi; wire W_op_andi; wire W_op_beq; wire W_op_bge; wire W_op_bgeu; wire W_op_blt; wire W_op_bltu; wire W_op_bne; wire W_op_br; wire W_op_break; wire W_op_bret; wire W_op_call; wire W_op_callr; wire W_op_cmpeq; wire W_op_cmpeqi; wire W_op_cmpge; wire W_op_cmpgei; wire W_op_cmpgeu; wire W_op_cmpgeui; wire W_op_cmplt; wire W_op_cmplti; wire W_op_cmpltu; wire W_op_cmpltui; wire W_op_cmpne; wire W_op_cmpnei; wire W_op_crst; wire W_op_custom; wire W_op_div; wire W_op_divu; wire W_op_eret; wire W_op_flushd; wire W_op_flushda; wire W_op_flushi; wire W_op_flushp; wire W_op_hbreak; wire W_op_initd; wire W_op_initda; wire W_op_initi; wire W_op_intr; wire W_op_jmp; wire W_op_jmpi; wire W_op_ldb; wire W_op_ldbio; wire W_op_ldbu; wire W_op_ldbuio; wire W_op_ldh; wire W_op_ldhio; wire W_op_ldhu; wire W_op_ldhuio; wire W_op_ldl; wire W_op_ldw; wire W_op_ldwio; wire W_op_mul; wire W_op_muli; wire W_op_mulxss; wire W_op_mulxsu; wire W_op_mulxuu; wire W_op_nextpc; wire W_op_nor; wire W_op_op_rsv02; wire W_op_op_rsv09; wire W_op_op_rsv10; wire W_op_op_rsv17; wire W_op_op_rsv18; wire W_op_op_rsv25; wire W_op_op_rsv26; wire W_op_op_rsv33; wire W_op_op_rsv34; wire W_op_op_rsv41; wire W_op_op_rsv42; wire W_op_op_rsv49; wire W_op_op_rsv57; wire W_op_op_rsv61; wire W_op_op_rsv62; wire W_op_op_rsv63; wire W_op_opx_rsv00; wire W_op_opx_rsv10; wire W_op_opx_rsv15; wire W_op_opx_rsv17; wire W_op_opx_rsv21; wire W_op_opx_rsv25; wire W_op_opx_rsv33; wire W_op_opx_rsv34; wire W_op_opx_rsv35; wire W_op_opx_rsv42; wire W_op_opx_rsv43; wire W_op_opx_rsv44; wire W_op_opx_rsv47; wire W_op_opx_rsv50; wire W_op_opx_rsv51; wire W_op_opx_rsv55; wire W_op_opx_rsv56; wire W_op_opx_rsv60; wire W_op_opx_rsv63; wire W_op_or; wire W_op_orhi; wire W_op_ori; wire W_op_rdctl; wire W_op_rdprs; wire W_op_ret; wire W_op_rol; wire W_op_roli; wire W_op_ror; wire W_op_sll; wire W_op_slli; wire W_op_sra; wire W_op_srai; wire W_op_srl; wire W_op_srli; wire W_op_stb; wire W_op_stbio; wire W_op_stc; wire W_op_sth; wire W_op_sthio; wire W_op_stw; wire W_op_stwio; wire W_op_sub; wire W_op_sync; wire W_op_trap; wire W_op_wrctl; wire W_op_wrprs; wire W_op_xor; wire W_op_xorhi; wire W_op_xori; reg [ 31: 0] W_st_data; reg [ 26: 0] W_target_pcb; reg W_valid_crst; reg W_valid_hbreak; reg W_valid_intr; reg [ 31: 0] W_wr_data_filtered; wire test_has_ended; assign W_op_call = W_iw_op == 0; assign W_op_jmpi = W_iw_op == 1; assign W_op_op_rsv02 = W_iw_op == 2; assign W_op_ldbu = W_iw_op == 3; assign W_op_addi = W_iw_op == 4; assign W_op_stb = W_iw_op == 5; assign W_op_br = W_iw_op == 6; assign W_op_ldb = W_iw_op == 7; assign W_op_cmpgei = W_iw_op == 8; assign W_op_op_rsv09 = W_iw_op == 9; assign W_op_op_rsv10 = W_iw_op == 10; assign W_op_ldhu = W_iw_op == 11; assign W_op_andi = W_iw_op == 12; assign W_op_sth = W_iw_op == 13; assign W_op_bge = W_iw_op == 14; assign W_op_ldh = W_iw_op == 15; assign W_op_cmplti = W_iw_op == 16; assign W_op_op_rsv17 = W_iw_op == 17; assign W_op_op_rsv18 = W_iw_op == 18; assign W_op_initda = W_iw_op == 19; assign W_op_ori = W_iw_op == 20; assign W_op_stw = W_iw_op == 21; assign W_op_blt = W_iw_op == 22; assign W_op_ldw = W_iw_op == 23; assign W_op_cmpnei = W_iw_op == 24; assign W_op_op_rsv25 = W_iw_op == 25; assign W_op_op_rsv26 = W_iw_op == 26; assign W_op_flushda = W_iw_op == 27; assign W_op_xori = W_iw_op == 28; assign W_op_stc = W_iw_op == 29; assign W_op_bne = W_iw_op == 30; assign W_op_ldl = W_iw_op == 31; assign W_op_cmpeqi = W_iw_op == 32; assign W_op_op_rsv33 = W_iw_op == 33; assign W_op_op_rsv34 = W_iw_op == 34; assign W_op_ldbuio = W_iw_op == 35; assign W_op_muli = W_iw_op == 36; assign W_op_stbio = W_iw_op == 37; assign W_op_beq = W_iw_op == 38; assign W_op_ldbio = W_iw_op == 39; assign W_op_cmpgeui = W_iw_op == 40; assign W_op_op_rsv41 = W_iw_op == 41; assign W_op_op_rsv42 = W_iw_op == 42; assign W_op_ldhuio = W_iw_op == 43; assign W_op_andhi = W_iw_op == 44; assign W_op_sthio = W_iw_op == 45; assign W_op_bgeu = W_iw_op == 46; assign W_op_ldhio = W_iw_op == 47; assign W_op_cmpltui = W_iw_op == 48; assign W_op_op_rsv49 = W_iw_op == 49; assign W_op_custom = W_iw_op == 50; assign W_op_initd = W_iw_op == 51; assign W_op_orhi = W_iw_op == 52; assign W_op_stwio = W_iw_op == 53; assign W_op_bltu = W_iw_op == 54; assign W_op_ldwio = W_iw_op == 55; assign W_op_rdprs = W_iw_op == 56; assign W_op_op_rsv57 = W_iw_op == 57; assign W_op_flushd = W_iw_op == 59; assign W_op_xorhi = W_iw_op == 60; assign W_op_op_rsv61 = W_iw_op == 61; assign W_op_op_rsv62 = W_iw_op == 62; assign W_op_op_rsv63 = W_iw_op == 63; assign W_op_opx_rsv00 = (W_iw_opx == 0) & W_is_opx_inst; assign W_op_eret = (W_iw_opx == 1) & W_is_opx_inst; assign W_op_roli = (W_iw_opx == 2) & W_is_opx_inst; assign W_op_rol = (W_iw_opx == 3) & W_is_opx_inst; assign W_op_flushp = (W_iw_opx == 4) & W_is_opx_inst; assign W_op_ret = (W_iw_opx == 5) & W_is_opx_inst; assign W_op_nor = (W_iw_opx == 6) & W_is_opx_inst; assign W_op_mulxuu = (W_iw_opx == 7) & W_is_opx_inst; assign W_op_cmpge = (W_iw_opx == 8) & W_is_opx_inst; assign W_op_bret = (W_iw_opx == 9) & W_is_opx_inst; assign W_op_opx_rsv10 = (W_iw_opx == 10) & W_is_opx_inst; assign W_op_ror = (W_iw_opx == 11) & W_is_opx_inst; assign W_op_flushi = (W_iw_opx == 12) & W_is_opx_inst; assign W_op_jmp = (W_iw_opx == 13) & W_is_opx_inst; assign W_op_and = (W_iw_opx == 14) & W_is_opx_inst; assign W_op_opx_rsv15 = (W_iw_opx == 15) & W_is_opx_inst; assign W_op_cmplt = (W_iw_opx == 16) & W_is_opx_inst; assign W_op_opx_rsv17 = (W_iw_opx == 17) & W_is_opx_inst; assign W_op_slli = (W_iw_opx == 18) & W_is_opx_inst; assign W_op_sll = (W_iw_opx == 19) & W_is_opx_inst; assign W_op_wrprs = (W_iw_opx == 20) & W_is_opx_inst; assign W_op_opx_rsv21 = (W_iw_opx == 21) & W_is_opx_inst; assign W_op_or = (W_iw_opx == 22) & W_is_opx_inst; assign W_op_mulxsu = (W_iw_opx == 23) & W_is_opx_inst; assign W_op_cmpne = (W_iw_opx == 24) & W_is_opx_inst; assign W_op_opx_rsv25 = (W_iw_opx == 25) & W_is_opx_inst; assign W_op_srli = (W_iw_opx == 26) & W_is_opx_inst; assign W_op_srl = (W_iw_opx == 27) & W_is_opx_inst; assign W_op_nextpc = (W_iw_opx == 28) & W_is_opx_inst; assign W_op_callr = (W_iw_opx == 29) & W_is_opx_inst; assign W_op_xor = (W_iw_opx == 30) & W_is_opx_inst; assign W_op_mulxss = (W_iw_opx == 31) & W_is_opx_inst; assign W_op_cmpeq = (W_iw_opx == 32) & W_is_opx_inst; assign W_op_opx_rsv33 = (W_iw_opx == 33) & W_is_opx_inst; assign W_op_opx_rsv34 = (W_iw_opx == 34) & W_is_opx_inst; assign W_op_opx_rsv35 = (W_iw_opx == 35) & W_is_opx_inst; assign W_op_divu = (W_iw_opx == 36) & W_is_opx_inst; assign W_op_div = (W_iw_opx == 37) & W_is_opx_inst; assign W_op_rdctl = (W_iw_opx == 38) & W_is_opx_inst; assign W_op_mul = (W_iw_opx == 39) & W_is_opx_inst; assign W_op_cmpgeu = (W_iw_opx == 40) & W_is_opx_inst; assign W_op_initi = (W_iw_opx == 41) & W_is_opx_inst; assign W_op_opx_rsv42 = (W_iw_opx == 42) & W_is_opx_inst; assign W_op_opx_rsv43 = (W_iw_opx == 43) & W_is_opx_inst; assign W_op_opx_rsv44 = (W_iw_opx == 44) & W_is_opx_inst; assign W_op_trap = (W_iw_opx == 45) & W_is_opx_inst; assign W_op_wrctl = (W_iw_opx == 46) & W_is_opx_inst; assign W_op_opx_rsv47 = (W_iw_opx == 47) & W_is_opx_inst; assign W_op_cmpltu = (W_iw_opx == 48) & W_is_opx_inst; assign W_op_add = (W_iw_opx == 49) & W_is_opx_inst; assign W_op_opx_rsv50 = (W_iw_opx == 50) & W_is_opx_inst; assign W_op_opx_rsv51 = (W_iw_opx == 51) & W_is_opx_inst; assign W_op_break = (W_iw_opx == 52) & W_is_opx_inst; assign W_op_hbreak = (W_iw_opx == 53) & W_is_opx_inst; assign W_op_sync = (W_iw_opx == 54) & W_is_opx_inst; assign W_op_opx_rsv55 = (W_iw_opx == 55) & W_is_opx_inst; assign W_op_opx_rsv56 = (W_iw_opx == 56) & W_is_opx_inst; assign W_op_sub = (W_iw_opx == 57) & W_is_opx_inst; assign W_op_srai = (W_iw_opx == 58) & W_is_opx_inst; assign W_op_sra = (W_iw_opx == 59) & W_is_opx_inst; assign W_op_opx_rsv60 = (W_iw_opx == 60) & W_is_opx_inst; assign W_op_intr = (W_iw_opx == 61) & W_is_opx_inst; assign W_op_crst = (W_iw_opx == 62) & W_is_opx_inst; assign W_op_opx_rsv63 = (W_iw_opx == 63) & W_is_opx_inst; assign W_is_opx_inst = W_iw_op == 58; always @(posedge clk or negedge reset_n) begin if (reset_n == 0) A_target_pcb <= 0; else if (A_en) A_target_pcb <= M_target_pcb; end always @(posedge clk or negedge reset_n) begin if (reset_n == 0) A_mem_baddr <= 0; else if (A_en) A_mem_baddr <= M_mem_baddr; end always @(posedge clk or negedge reset_n) begin if (reset_n == 0) W_wr_data_filtered <= 0; else W_wr_data_filtered <= A_wr_data_filtered; end always @(posedge clk or negedge reset_n) begin if (reset_n == 0) W_st_data <= 0; else W_st_data <= A_st_data; end always @(posedge clk or negedge reset_n) begin if (reset_n == 0) W_cmp_result <= 0; else W_cmp_result <= A_cmp_result; end always @(posedge clk or negedge reset_n) begin if (reset_n == 0) W_target_pcb <= 0; else W_target_pcb <= A_target_pcb; end always @(posedge clk or negedge reset_n) begin if (reset_n == 0) W_valid_hbreak <= 0; else W_valid_hbreak <= A_exc_allowed & A_exc_hbreak_pri1; end always @(posedge clk or negedge reset_n) begin if (reset_n == 0) W_valid_crst <= 0; else W_valid_crst <= A_exc_allowed & 0; end always @(posedge clk or negedge reset_n) begin if (reset_n == 0) W_valid_intr <= 0; else W_valid_intr <= A_exc_allowed & A_exc_norm_intr_pri5; end always @(posedge clk or negedge reset_n) begin if (reset_n == 0) W_exc_any_active <= 0; else W_exc_any_active <= A_exc_any_active; end always @(posedge clk or negedge reset_n) begin if (reset_n == 0) W_exc_highest_pri_exc_id <= 0; else W_exc_highest_pri_exc_id <= A_exc_highest_pri_exc_id; end assign A_iw_invalid = A_exc_inst_fetch & A_exc_active_no_break_no_crst; always @(posedge clk or negedge reset_n) begin if (reset_n == 0) W_iw_invalid <= 0; else W_iw_invalid <= A_iw_invalid; end assign test_has_ended = 1'b0; //synthesis translate_off //////////////// SIMULATION-ONLY CONTENTS //Clearing 'X' data bits assign A_wr_data_unfiltered_0_is_x = ^(A_wr_data_unfiltered[0]) === 1'bx; assign A_wr_data_filtered[0] = (A_wr_data_unfiltered_0_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[0]; assign A_wr_data_unfiltered_1_is_x = ^(A_wr_data_unfiltered[1]) === 1'bx; assign A_wr_data_filtered[1] = (A_wr_data_unfiltered_1_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[1]; assign A_wr_data_unfiltered_2_is_x = ^(A_wr_data_unfiltered[2]) === 1'bx; assign A_wr_data_filtered[2] = (A_wr_data_unfiltered_2_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[2]; assign A_wr_data_unfiltered_3_is_x = ^(A_wr_data_unfiltered[3]) === 1'bx; assign A_wr_data_filtered[3] = (A_wr_data_unfiltered_3_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[3]; assign A_wr_data_unfiltered_4_is_x = ^(A_wr_data_unfiltered[4]) === 1'bx; assign A_wr_data_filtered[4] = (A_wr_data_unfiltered_4_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[4]; assign A_wr_data_unfiltered_5_is_x = ^(A_wr_data_unfiltered[5]) === 1'bx; assign A_wr_data_filtered[5] = (A_wr_data_unfiltered_5_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[5]; assign A_wr_data_unfiltered_6_is_x = ^(A_wr_data_unfiltered[6]) === 1'bx; assign A_wr_data_filtered[6] = (A_wr_data_unfiltered_6_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[6]; assign A_wr_data_unfiltered_7_is_x = ^(A_wr_data_unfiltered[7]) === 1'bx; assign A_wr_data_filtered[7] = (A_wr_data_unfiltered_7_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[7]; assign A_wr_data_unfiltered_8_is_x = ^(A_wr_data_unfiltered[8]) === 1'bx; assign A_wr_data_filtered[8] = (A_wr_data_unfiltered_8_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[8]; assign A_wr_data_unfiltered_9_is_x = ^(A_wr_data_unfiltered[9]) === 1'bx; assign A_wr_data_filtered[9] = (A_wr_data_unfiltered_9_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[9]; assign A_wr_data_unfiltered_10_is_x = ^(A_wr_data_unfiltered[10]) === 1'bx; assign A_wr_data_filtered[10] = (A_wr_data_unfiltered_10_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[10]; assign A_wr_data_unfiltered_11_is_x = ^(A_wr_data_unfiltered[11]) === 1'bx; assign A_wr_data_filtered[11] = (A_wr_data_unfiltered_11_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[11]; assign A_wr_data_unfiltered_12_is_x = ^(A_wr_data_unfiltered[12]) === 1'bx; assign A_wr_data_filtered[12] = (A_wr_data_unfiltered_12_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[12]; assign A_wr_data_unfiltered_13_is_x = ^(A_wr_data_unfiltered[13]) === 1'bx; assign A_wr_data_filtered[13] = (A_wr_data_unfiltered_13_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[13]; assign A_wr_data_unfiltered_14_is_x = ^(A_wr_data_unfiltered[14]) === 1'bx; assign A_wr_data_filtered[14] = (A_wr_data_unfiltered_14_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[14]; assign A_wr_data_unfiltered_15_is_x = ^(A_wr_data_unfiltered[15]) === 1'bx; assign A_wr_data_filtered[15] = (A_wr_data_unfiltered_15_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[15]; assign A_wr_data_unfiltered_16_is_x = ^(A_wr_data_unfiltered[16]) === 1'bx; assign A_wr_data_filtered[16] = (A_wr_data_unfiltered_16_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[16]; assign A_wr_data_unfiltered_17_is_x = ^(A_wr_data_unfiltered[17]) === 1'bx; assign A_wr_data_filtered[17] = (A_wr_data_unfiltered_17_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[17]; assign A_wr_data_unfiltered_18_is_x = ^(A_wr_data_unfiltered[18]) === 1'bx; assign A_wr_data_filtered[18] = (A_wr_data_unfiltered_18_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[18]; assign A_wr_data_unfiltered_19_is_x = ^(A_wr_data_unfiltered[19]) === 1'bx; assign A_wr_data_filtered[19] = (A_wr_data_unfiltered_19_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[19]; assign A_wr_data_unfiltered_20_is_x = ^(A_wr_data_unfiltered[20]) === 1'bx; assign A_wr_data_filtered[20] = (A_wr_data_unfiltered_20_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[20]; assign A_wr_data_unfiltered_21_is_x = ^(A_wr_data_unfiltered[21]) === 1'bx; assign A_wr_data_filtered[21] = (A_wr_data_unfiltered_21_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[21]; assign A_wr_data_unfiltered_22_is_x = ^(A_wr_data_unfiltered[22]) === 1'bx; assign A_wr_data_filtered[22] = (A_wr_data_unfiltered_22_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[22]; assign A_wr_data_unfiltered_23_is_x = ^(A_wr_data_unfiltered[23]) === 1'bx; assign A_wr_data_filtered[23] = (A_wr_data_unfiltered_23_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[23]; assign A_wr_data_unfiltered_24_is_x = ^(A_wr_data_unfiltered[24]) === 1'bx; assign A_wr_data_filtered[24] = (A_wr_data_unfiltered_24_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[24]; assign A_wr_data_unfiltered_25_is_x = ^(A_wr_data_unfiltered[25]) === 1'bx; assign A_wr_data_filtered[25] = (A_wr_data_unfiltered_25_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[25]; assign A_wr_data_unfiltered_26_is_x = ^(A_wr_data_unfiltered[26]) === 1'bx; assign A_wr_data_filtered[26] = (A_wr_data_unfiltered_26_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[26]; assign A_wr_data_unfiltered_27_is_x = ^(A_wr_data_unfiltered[27]) === 1'bx; assign A_wr_data_filtered[27] = (A_wr_data_unfiltered_27_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[27]; assign A_wr_data_unfiltered_28_is_x = ^(A_wr_data_unfiltered[28]) === 1'bx; assign A_wr_data_filtered[28] = (A_wr_data_unfiltered_28_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[28]; assign A_wr_data_unfiltered_29_is_x = ^(A_wr_data_unfiltered[29]) === 1'bx; assign A_wr_data_filtered[29] = (A_wr_data_unfiltered_29_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[29]; assign A_wr_data_unfiltered_30_is_x = ^(A_wr_data_unfiltered[30]) === 1'bx; assign A_wr_data_filtered[30] = (A_wr_data_unfiltered_30_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[30]; assign A_wr_data_unfiltered_31_is_x = ^(A_wr_data_unfiltered[31]) === 1'bx; assign A_wr_data_filtered[31] = (A_wr_data_unfiltered_31_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[31]; //Clearing 'X' data bits assign E_add_br_to_taken_history_unfiltered_is_x = ^(E_add_br_to_taken_history_unfiltered) === 1'bx; assign E_add_br_to_taken_history_filtered = E_add_br_to_taken_history_unfiltered_is_x ? 1'b0 : E_add_br_to_taken_history_unfiltered; //Clearing 'X' data bits assign M_bht_wr_en_unfiltered_is_x = ^(M_bht_wr_en_unfiltered) === 1'bx; assign M_bht_wr_en_filtered = M_bht_wr_en_unfiltered_is_x ? 1'b0 : M_bht_wr_en_unfiltered; //Clearing 'X' data bits assign M_bht_wr_data_unfiltered_0_is_x = ^(M_bht_wr_data_unfiltered[0]) === 1'bx; assign M_bht_wr_data_filtered[0] = M_bht_wr_data_unfiltered_0_is_x ? 1'b0 : M_bht_wr_data_unfiltered[0]; assign M_bht_wr_data_unfiltered_1_is_x = ^(M_bht_wr_data_unfiltered[1]) === 1'bx; assign M_bht_wr_data_filtered[1] = M_bht_wr_data_unfiltered_1_is_x ? 1'b0 : M_bht_wr_data_unfiltered[1]; //Clearing 'X' data bits assign M_bht_ptr_unfiltered_0_is_x = ^(M_bht_ptr_unfiltered[0]) === 1'bx; assign M_bht_ptr_filtered[0] = M_bht_ptr_unfiltered_0_is_x ? 1'b0 : M_bht_ptr_unfiltered[0]; assign M_bht_ptr_unfiltered_1_is_x = ^(M_bht_ptr_unfiltered[1]) === 1'bx; assign M_bht_ptr_filtered[1] = M_bht_ptr_unfiltered_1_is_x ? 1'b0 : M_bht_ptr_unfiltered[1]; assign M_bht_ptr_unfiltered_2_is_x = ^(M_bht_ptr_unfiltered[2]) === 1'bx; assign M_bht_ptr_filtered[2] = M_bht_ptr_unfiltered_2_is_x ? 1'b0 : M_bht_ptr_unfiltered[2]; assign M_bht_ptr_unfiltered_3_is_x = ^(M_bht_ptr_unfiltered[3]) === 1'bx; assign M_bht_ptr_filtered[3] = M_bht_ptr_unfiltered_3_is_x ? 1'b0 : M_bht_ptr_unfiltered[3]; assign M_bht_ptr_unfiltered_4_is_x = ^(M_bht_ptr_unfiltered[4]) === 1'bx; assign M_bht_ptr_filtered[4] = M_bht_ptr_unfiltered_4_is_x ? 1'b0 : M_bht_ptr_unfiltered[4]; assign M_bht_ptr_unfiltered_5_is_x = ^(M_bht_ptr_unfiltered[5]) === 1'bx; assign M_bht_ptr_filtered[5] = M_bht_ptr_unfiltered_5_is_x ? 1'b0 : M_bht_ptr_unfiltered[5]; assign M_bht_ptr_unfiltered_6_is_x = ^(M_bht_ptr_unfiltered[6]) === 1'bx; assign M_bht_ptr_filtered[6] = M_bht_ptr_unfiltered_6_is_x ? 1'b0 : M_bht_ptr_unfiltered[6]; assign M_bht_ptr_unfiltered_7_is_x = ^(M_bht_ptr_unfiltered[7]) === 1'bx; assign M_bht_ptr_filtered[7] = M_bht_ptr_unfiltered_7_is_x ? 1'b0 : M_bht_ptr_unfiltered[7]; always @(posedge clk) begin if (reset_n) if (^(W_wr_dst_reg) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/W_wr_dst_reg is 'x'\n", $time); $stop; end end always @(posedge clk or negedge reset_n) begin if (reset_n == 0) begin end else if (W_wr_dst_reg) if (^(W_dst_regnum) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/W_dst_regnum is 'x'\n", $time); $stop; end end always @(posedge clk) begin if (reset_n) if (^(W_valid) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/W_valid is 'x'\n", $time); $stop; end end always @(posedge clk or negedge reset_n) begin if (reset_n == 0) begin end else if (W_valid) if (^(W_pcb) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/W_pcb is 'x'\n", $time); $stop; end end always @(posedge clk or negedge reset_n) begin if (reset_n == 0) begin end else if (W_valid) if (^(W_iw) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/W_iw is 'x'\n", $time); $stop; end end always @(posedge clk) begin if (reset_n) if (^(A_en) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/A_en is 'x'\n", $time); $stop; end end always @(posedge clk) begin if (reset_n) if (^(M_valid) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/M_valid is 'x'\n", $time); $stop; end end always @(posedge clk) begin if (reset_n) if (^(A_valid) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/A_valid is 'x'\n", $time); $stop; end end always @(posedge clk or negedge reset_n) begin if (reset_n == 0) begin end else if (A_valid & A_en & A_wr_dst_reg) if (^(A_wr_data_unfiltered) === 1'bx) begin $write("%0d ns: WARNING: soc_design_niosII_core_cpu_test_bench/A_wr_data_unfiltered is 'x'\n", $time); end end always @(posedge clk) begin if (reset_n) if (^(W_status_reg) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/W_status_reg is 'x'\n", $time); $stop; end end always @(posedge clk) begin if (reset_n) if (^(W_estatus_reg) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/W_estatus_reg is 'x'\n", $time); $stop; end end always @(posedge clk) begin if (reset_n) if (^(W_bstatus_reg) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/W_bstatus_reg is 'x'\n", $time); $stop; end end always @(posedge clk) begin if (reset_n) if (^(W_exception_reg) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/W_exception_reg is 'x'\n", $time); $stop; end end always @(posedge clk) begin if (reset_n) if (^(W_badaddr_reg) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/W_badaddr_reg is 'x'\n", $time); $stop; end end always @(posedge clk) begin if (reset_n) if (^(A_exc_any_active) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/A_exc_any_active is 'x'\n", $time); $stop; end end always @(posedge clk) begin if (reset_n) if (^(i_read) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/i_read is 'x'\n", $time); $stop; end end always @(posedge clk or negedge reset_n) begin if (reset_n == 0) begin end else if (i_read) if (^(i_address) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/i_address is 'x'\n", $time); $stop; end end always @(posedge clk) begin if (reset_n) if (^(d_write) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/d_write is 'x'\n", $time); $stop; end end always @(posedge clk or negedge reset_n) begin if (reset_n == 0) begin end else if (d_write) if (^(d_byteenable) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/d_byteenable is 'x'\n", $time); $stop; end end always @(posedge clk or negedge reset_n) begin if (reset_n == 0) begin end else if (d_write | d_read) if (^(d_address) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/d_address is 'x'\n", $time); $stop; end end always @(posedge clk) begin if (reset_n) if (^(d_read) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/d_read is 'x'\n", $time); $stop; end end always @(posedge clk) begin if (reset_n) if (^(i_readdatavalid) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/i_readdatavalid is 'x'\n", $time); $stop; end end always @(posedge clk) begin if (reset_n) if (^(d_readdatavalid) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/d_readdatavalid is 'x'\n", $time); $stop; end end //////////////// END SIMULATION-ONLY CONTENTS //synthesis translate_on //synthesis read_comments_as_HDL on // // assign A_wr_data_filtered = A_wr_data_unfiltered; // // // assign E_add_br_to_taken_history_filtered = E_add_br_to_taken_history_unfiltered; // // // assign M_bht_wr_en_filtered = M_bht_wr_en_unfiltered; // // // assign M_bht_wr_data_filtered = M_bht_wr_data_unfiltered; // // // assign M_bht_ptr_filtered = M_bht_ptr_unfiltered; // //synthesis read_comments_as_HDL off endmodule
//Legal Notice: (C)2017 Altera Corporation. All rights reserved. Your //use of Altera Corporation's design tools, logic functions and other //software and tools, and its AMPP partner logic functions, and any //output files any of the foregoing (including device programming or //simulation files), and any associated documentation or information are //expressly subject to the terms and conditions of the Altera Program //License Subscription Agreement or other applicable license agreement, //including, without limitation, that your use is for the sole purpose //of programming logic devices manufactured by Altera and sold by Altera //or its authorized distributors. Please refer to the applicable //agreement for further details. // synthesis translate_off `timescale 1ns / 1ps // synthesis translate_on // turn off superfluous verilog processor warnings // altera message_level Level1 // altera message_off 10034 10035 10036 10037 10230 10240 10030 module soc_design_niosII_core_cpu_test_bench ( // inputs: A_cmp_result, A_ctrl_ld_non_bypass, A_en, A_exc_active_no_break_no_crst, A_exc_allowed, A_exc_any_active, A_exc_hbreak_pri1, A_exc_highest_pri_exc_id, A_exc_inst_fetch, A_exc_norm_intr_pri5, A_st_data, A_valid, A_wr_data_unfiltered, A_wr_dst_reg, E_add_br_to_taken_history_unfiltered, M_bht_ptr_unfiltered, M_bht_wr_data_unfiltered, M_bht_wr_en_unfiltered, M_mem_baddr, M_target_pcb, M_valid, W_badaddr_reg, W_bstatus_reg, W_dst_regnum, W_estatus_reg, W_exception_reg, W_iw, W_iw_op, W_iw_opx, W_pcb, W_status_reg, W_valid, W_vinst, W_wr_dst_reg, clk, d_address, d_byteenable, d_read, d_readdatavalid, d_write, i_address, i_read, i_readdatavalid, reset_n, // outputs: A_wr_data_filtered, E_add_br_to_taken_history_filtered, M_bht_ptr_filtered, M_bht_wr_data_filtered, M_bht_wr_en_filtered, test_has_ended ) ; output [ 31: 0] A_wr_data_filtered; output E_add_br_to_taken_history_filtered; output [ 7: 0] M_bht_ptr_filtered; output [ 1: 0] M_bht_wr_data_filtered; output M_bht_wr_en_filtered; output test_has_ended; input A_cmp_result; input A_ctrl_ld_non_bypass; input A_en; input A_exc_active_no_break_no_crst; input A_exc_allowed; input A_exc_any_active; input A_exc_hbreak_pri1; input [ 31: 0] A_exc_highest_pri_exc_id; input A_exc_inst_fetch; input A_exc_norm_intr_pri5; input [ 31: 0] A_st_data; input A_valid; input [ 31: 0] A_wr_data_unfiltered; input A_wr_dst_reg; input E_add_br_to_taken_history_unfiltered; input [ 7: 0] M_bht_ptr_unfiltered; input [ 1: 0] M_bht_wr_data_unfiltered; input M_bht_wr_en_unfiltered; input [ 26: 0] M_mem_baddr; input [ 26: 0] M_target_pcb; input M_valid; input [ 31: 0] W_badaddr_reg; input [ 31: 0] W_bstatus_reg; input [ 4: 0] W_dst_regnum; input [ 31: 0] W_estatus_reg; input [ 31: 0] W_exception_reg; input [ 31: 0] W_iw; input [ 5: 0] W_iw_op; input [ 5: 0] W_iw_opx; input [ 26: 0] W_pcb; input [ 31: 0] W_status_reg; input W_valid; input [ 71: 0] W_vinst; input W_wr_dst_reg; input clk; input [ 26: 0] d_address; input [ 3: 0] d_byteenable; input d_read; input d_readdatavalid; input d_write; input [ 26: 0] i_address; input i_read; input i_readdatavalid; input reset_n; wire A_iw_invalid; reg [ 26: 0] A_mem_baddr; reg [ 26: 0] A_target_pcb; wire [ 31: 0] A_wr_data_filtered; wire A_wr_data_unfiltered_0_is_x; wire A_wr_data_unfiltered_10_is_x; wire A_wr_data_unfiltered_11_is_x; wire A_wr_data_unfiltered_12_is_x; wire A_wr_data_unfiltered_13_is_x; wire A_wr_data_unfiltered_14_is_x; wire A_wr_data_unfiltered_15_is_x; wire A_wr_data_unfiltered_16_is_x; wire A_wr_data_unfiltered_17_is_x; wire A_wr_data_unfiltered_18_is_x; wire A_wr_data_unfiltered_19_is_x; wire A_wr_data_unfiltered_1_is_x; wire A_wr_data_unfiltered_20_is_x; wire A_wr_data_unfiltered_21_is_x; wire A_wr_data_unfiltered_22_is_x; wire A_wr_data_unfiltered_23_is_x; wire A_wr_data_unfiltered_24_is_x; wire A_wr_data_unfiltered_25_is_x; wire A_wr_data_unfiltered_26_is_x; wire A_wr_data_unfiltered_27_is_x; wire A_wr_data_unfiltered_28_is_x; wire A_wr_data_unfiltered_29_is_x; wire A_wr_data_unfiltered_2_is_x; wire A_wr_data_unfiltered_30_is_x; wire A_wr_data_unfiltered_31_is_x; wire A_wr_data_unfiltered_3_is_x; wire A_wr_data_unfiltered_4_is_x; wire A_wr_data_unfiltered_5_is_x; wire A_wr_data_unfiltered_6_is_x; wire A_wr_data_unfiltered_7_is_x; wire A_wr_data_unfiltered_8_is_x; wire A_wr_data_unfiltered_9_is_x; wire E_add_br_to_taken_history_filtered; wire E_add_br_to_taken_history_unfiltered_is_x; wire [ 7: 0] M_bht_ptr_filtered; wire M_bht_ptr_unfiltered_0_is_x; wire M_bht_ptr_unfiltered_1_is_x; wire M_bht_ptr_unfiltered_2_is_x; wire M_bht_ptr_unfiltered_3_is_x; wire M_bht_ptr_unfiltered_4_is_x; wire M_bht_ptr_unfiltered_5_is_x; wire M_bht_ptr_unfiltered_6_is_x; wire M_bht_ptr_unfiltered_7_is_x; wire [ 1: 0] M_bht_wr_data_filtered; wire M_bht_wr_data_unfiltered_0_is_x; wire M_bht_wr_data_unfiltered_1_is_x; wire M_bht_wr_en_filtered; wire M_bht_wr_en_unfiltered_is_x; reg W_cmp_result; reg W_exc_any_active; reg [ 31: 0] W_exc_highest_pri_exc_id; wire W_is_opx_inst; reg W_iw_invalid; wire W_op_add; wire W_op_addi; wire W_op_and; wire W_op_andhi; wire W_op_andi; wire W_op_beq; wire W_op_bge; wire W_op_bgeu; wire W_op_blt; wire W_op_bltu; wire W_op_bne; wire W_op_br; wire W_op_break; wire W_op_bret; wire W_op_call; wire W_op_callr; wire W_op_cmpeq; wire W_op_cmpeqi; wire W_op_cmpge; wire W_op_cmpgei; wire W_op_cmpgeu; wire W_op_cmpgeui; wire W_op_cmplt; wire W_op_cmplti; wire W_op_cmpltu; wire W_op_cmpltui; wire W_op_cmpne; wire W_op_cmpnei; wire W_op_crst; wire W_op_custom; wire W_op_div; wire W_op_divu; wire W_op_eret; wire W_op_flushd; wire W_op_flushda; wire W_op_flushi; wire W_op_flushp; wire W_op_hbreak; wire W_op_initd; wire W_op_initda; wire W_op_initi; wire W_op_intr; wire W_op_jmp; wire W_op_jmpi; wire W_op_ldb; wire W_op_ldbio; wire W_op_ldbu; wire W_op_ldbuio; wire W_op_ldh; wire W_op_ldhio; wire W_op_ldhu; wire W_op_ldhuio; wire W_op_ldl; wire W_op_ldw; wire W_op_ldwio; wire W_op_mul; wire W_op_muli; wire W_op_mulxss; wire W_op_mulxsu; wire W_op_mulxuu; wire W_op_nextpc; wire W_op_nor; wire W_op_op_rsv02; wire W_op_op_rsv09; wire W_op_op_rsv10; wire W_op_op_rsv17; wire W_op_op_rsv18; wire W_op_op_rsv25; wire W_op_op_rsv26; wire W_op_op_rsv33; wire W_op_op_rsv34; wire W_op_op_rsv41; wire W_op_op_rsv42; wire W_op_op_rsv49; wire W_op_op_rsv57; wire W_op_op_rsv61; wire W_op_op_rsv62; wire W_op_op_rsv63; wire W_op_opx_rsv00; wire W_op_opx_rsv10; wire W_op_opx_rsv15; wire W_op_opx_rsv17; wire W_op_opx_rsv21; wire W_op_opx_rsv25; wire W_op_opx_rsv33; wire W_op_opx_rsv34; wire W_op_opx_rsv35; wire W_op_opx_rsv42; wire W_op_opx_rsv43; wire W_op_opx_rsv44; wire W_op_opx_rsv47; wire W_op_opx_rsv50; wire W_op_opx_rsv51; wire W_op_opx_rsv55; wire W_op_opx_rsv56; wire W_op_opx_rsv60; wire W_op_opx_rsv63; wire W_op_or; wire W_op_orhi; wire W_op_ori; wire W_op_rdctl; wire W_op_rdprs; wire W_op_ret; wire W_op_rol; wire W_op_roli; wire W_op_ror; wire W_op_sll; wire W_op_slli; wire W_op_sra; wire W_op_srai; wire W_op_srl; wire W_op_srli; wire W_op_stb; wire W_op_stbio; wire W_op_stc; wire W_op_sth; wire W_op_sthio; wire W_op_stw; wire W_op_stwio; wire W_op_sub; wire W_op_sync; wire W_op_trap; wire W_op_wrctl; wire W_op_wrprs; wire W_op_xor; wire W_op_xorhi; wire W_op_xori; reg [ 31: 0] W_st_data; reg [ 26: 0] W_target_pcb; reg W_valid_crst; reg W_valid_hbreak; reg W_valid_intr; reg [ 31: 0] W_wr_data_filtered; wire test_has_ended; assign W_op_call = W_iw_op == 0; assign W_op_jmpi = W_iw_op == 1; assign W_op_op_rsv02 = W_iw_op == 2; assign W_op_ldbu = W_iw_op == 3; assign W_op_addi = W_iw_op == 4; assign W_op_stb = W_iw_op == 5; assign W_op_br = W_iw_op == 6; assign W_op_ldb = W_iw_op == 7; assign W_op_cmpgei = W_iw_op == 8; assign W_op_op_rsv09 = W_iw_op == 9; assign W_op_op_rsv10 = W_iw_op == 10; assign W_op_ldhu = W_iw_op == 11; assign W_op_andi = W_iw_op == 12; assign W_op_sth = W_iw_op == 13; assign W_op_bge = W_iw_op == 14; assign W_op_ldh = W_iw_op == 15; assign W_op_cmplti = W_iw_op == 16; assign W_op_op_rsv17 = W_iw_op == 17; assign W_op_op_rsv18 = W_iw_op == 18; assign W_op_initda = W_iw_op == 19; assign W_op_ori = W_iw_op == 20; assign W_op_stw = W_iw_op == 21; assign W_op_blt = W_iw_op == 22; assign W_op_ldw = W_iw_op == 23; assign W_op_cmpnei = W_iw_op == 24; assign W_op_op_rsv25 = W_iw_op == 25; assign W_op_op_rsv26 = W_iw_op == 26; assign W_op_flushda = W_iw_op == 27; assign W_op_xori = W_iw_op == 28; assign W_op_stc = W_iw_op == 29; assign W_op_bne = W_iw_op == 30; assign W_op_ldl = W_iw_op == 31; assign W_op_cmpeqi = W_iw_op == 32; assign W_op_op_rsv33 = W_iw_op == 33; assign W_op_op_rsv34 = W_iw_op == 34; assign W_op_ldbuio = W_iw_op == 35; assign W_op_muli = W_iw_op == 36; assign W_op_stbio = W_iw_op == 37; assign W_op_beq = W_iw_op == 38; assign W_op_ldbio = W_iw_op == 39; assign W_op_cmpgeui = W_iw_op == 40; assign W_op_op_rsv41 = W_iw_op == 41; assign W_op_op_rsv42 = W_iw_op == 42; assign W_op_ldhuio = W_iw_op == 43; assign W_op_andhi = W_iw_op == 44; assign W_op_sthio = W_iw_op == 45; assign W_op_bgeu = W_iw_op == 46; assign W_op_ldhio = W_iw_op == 47; assign W_op_cmpltui = W_iw_op == 48; assign W_op_op_rsv49 = W_iw_op == 49; assign W_op_custom = W_iw_op == 50; assign W_op_initd = W_iw_op == 51; assign W_op_orhi = W_iw_op == 52; assign W_op_stwio = W_iw_op == 53; assign W_op_bltu = W_iw_op == 54; assign W_op_ldwio = W_iw_op == 55; assign W_op_rdprs = W_iw_op == 56; assign W_op_op_rsv57 = W_iw_op == 57; assign W_op_flushd = W_iw_op == 59; assign W_op_xorhi = W_iw_op == 60; assign W_op_op_rsv61 = W_iw_op == 61; assign W_op_op_rsv62 = W_iw_op == 62; assign W_op_op_rsv63 = W_iw_op == 63; assign W_op_opx_rsv00 = (W_iw_opx == 0) & W_is_opx_inst; assign W_op_eret = (W_iw_opx == 1) & W_is_opx_inst; assign W_op_roli = (W_iw_opx == 2) & W_is_opx_inst; assign W_op_rol = (W_iw_opx == 3) & W_is_opx_inst; assign W_op_flushp = (W_iw_opx == 4) & W_is_opx_inst; assign W_op_ret = (W_iw_opx == 5) & W_is_opx_inst; assign W_op_nor = (W_iw_opx == 6) & W_is_opx_inst; assign W_op_mulxuu = (W_iw_opx == 7) & W_is_opx_inst; assign W_op_cmpge = (W_iw_opx == 8) & W_is_opx_inst; assign W_op_bret = (W_iw_opx == 9) & W_is_opx_inst; assign W_op_opx_rsv10 = (W_iw_opx == 10) & W_is_opx_inst; assign W_op_ror = (W_iw_opx == 11) & W_is_opx_inst; assign W_op_flushi = (W_iw_opx == 12) & W_is_opx_inst; assign W_op_jmp = (W_iw_opx == 13) & W_is_opx_inst; assign W_op_and = (W_iw_opx == 14) & W_is_opx_inst; assign W_op_opx_rsv15 = (W_iw_opx == 15) & W_is_opx_inst; assign W_op_cmplt = (W_iw_opx == 16) & W_is_opx_inst; assign W_op_opx_rsv17 = (W_iw_opx == 17) & W_is_opx_inst; assign W_op_slli = (W_iw_opx == 18) & W_is_opx_inst; assign W_op_sll = (W_iw_opx == 19) & W_is_opx_inst; assign W_op_wrprs = (W_iw_opx == 20) & W_is_opx_inst; assign W_op_opx_rsv21 = (W_iw_opx == 21) & W_is_opx_inst; assign W_op_or = (W_iw_opx == 22) & W_is_opx_inst; assign W_op_mulxsu = (W_iw_opx == 23) & W_is_opx_inst; assign W_op_cmpne = (W_iw_opx == 24) & W_is_opx_inst; assign W_op_opx_rsv25 = (W_iw_opx == 25) & W_is_opx_inst; assign W_op_srli = (W_iw_opx == 26) & W_is_opx_inst; assign W_op_srl = (W_iw_opx == 27) & W_is_opx_inst; assign W_op_nextpc = (W_iw_opx == 28) & W_is_opx_inst; assign W_op_callr = (W_iw_opx == 29) & W_is_opx_inst; assign W_op_xor = (W_iw_opx == 30) & W_is_opx_inst; assign W_op_mulxss = (W_iw_opx == 31) & W_is_opx_inst; assign W_op_cmpeq = (W_iw_opx == 32) & W_is_opx_inst; assign W_op_opx_rsv33 = (W_iw_opx == 33) & W_is_opx_inst; assign W_op_opx_rsv34 = (W_iw_opx == 34) & W_is_opx_inst; assign W_op_opx_rsv35 = (W_iw_opx == 35) & W_is_opx_inst; assign W_op_divu = (W_iw_opx == 36) & W_is_opx_inst; assign W_op_div = (W_iw_opx == 37) & W_is_opx_inst; assign W_op_rdctl = (W_iw_opx == 38) & W_is_opx_inst; assign W_op_mul = (W_iw_opx == 39) & W_is_opx_inst; assign W_op_cmpgeu = (W_iw_opx == 40) & W_is_opx_inst; assign W_op_initi = (W_iw_opx == 41) & W_is_opx_inst; assign W_op_opx_rsv42 = (W_iw_opx == 42) & W_is_opx_inst; assign W_op_opx_rsv43 = (W_iw_opx == 43) & W_is_opx_inst; assign W_op_opx_rsv44 = (W_iw_opx == 44) & W_is_opx_inst; assign W_op_trap = (W_iw_opx == 45) & W_is_opx_inst; assign W_op_wrctl = (W_iw_opx == 46) & W_is_opx_inst; assign W_op_opx_rsv47 = (W_iw_opx == 47) & W_is_opx_inst; assign W_op_cmpltu = (W_iw_opx == 48) & W_is_opx_inst; assign W_op_add = (W_iw_opx == 49) & W_is_opx_inst; assign W_op_opx_rsv50 = (W_iw_opx == 50) & W_is_opx_inst; assign W_op_opx_rsv51 = (W_iw_opx == 51) & W_is_opx_inst; assign W_op_break = (W_iw_opx == 52) & W_is_opx_inst; assign W_op_hbreak = (W_iw_opx == 53) & W_is_opx_inst; assign W_op_sync = (W_iw_opx == 54) & W_is_opx_inst; assign W_op_opx_rsv55 = (W_iw_opx == 55) & W_is_opx_inst; assign W_op_opx_rsv56 = (W_iw_opx == 56) & W_is_opx_inst; assign W_op_sub = (W_iw_opx == 57) & W_is_opx_inst; assign W_op_srai = (W_iw_opx == 58) & W_is_opx_inst; assign W_op_sra = (W_iw_opx == 59) & W_is_opx_inst; assign W_op_opx_rsv60 = (W_iw_opx == 60) & W_is_opx_inst; assign W_op_intr = (W_iw_opx == 61) & W_is_opx_inst; assign W_op_crst = (W_iw_opx == 62) & W_is_opx_inst; assign W_op_opx_rsv63 = (W_iw_opx == 63) & W_is_opx_inst; assign W_is_opx_inst = W_iw_op == 58; always @(posedge clk or negedge reset_n) begin if (reset_n == 0) A_target_pcb <= 0; else if (A_en) A_target_pcb <= M_target_pcb; end always @(posedge clk or negedge reset_n) begin if (reset_n == 0) A_mem_baddr <= 0; else if (A_en) A_mem_baddr <= M_mem_baddr; end always @(posedge clk or negedge reset_n) begin if (reset_n == 0) W_wr_data_filtered <= 0; else W_wr_data_filtered <= A_wr_data_filtered; end always @(posedge clk or negedge reset_n) begin if (reset_n == 0) W_st_data <= 0; else W_st_data <= A_st_data; end always @(posedge clk or negedge reset_n) begin if (reset_n == 0) W_cmp_result <= 0; else W_cmp_result <= A_cmp_result; end always @(posedge clk or negedge reset_n) begin if (reset_n == 0) W_target_pcb <= 0; else W_target_pcb <= A_target_pcb; end always @(posedge clk or negedge reset_n) begin if (reset_n == 0) W_valid_hbreak <= 0; else W_valid_hbreak <= A_exc_allowed & A_exc_hbreak_pri1; end always @(posedge clk or negedge reset_n) begin if (reset_n == 0) W_valid_crst <= 0; else W_valid_crst <= A_exc_allowed & 0; end always @(posedge clk or negedge reset_n) begin if (reset_n == 0) W_valid_intr <= 0; else W_valid_intr <= A_exc_allowed & A_exc_norm_intr_pri5; end always @(posedge clk or negedge reset_n) begin if (reset_n == 0) W_exc_any_active <= 0; else W_exc_any_active <= A_exc_any_active; end always @(posedge clk or negedge reset_n) begin if (reset_n == 0) W_exc_highest_pri_exc_id <= 0; else W_exc_highest_pri_exc_id <= A_exc_highest_pri_exc_id; end assign A_iw_invalid = A_exc_inst_fetch & A_exc_active_no_break_no_crst; always @(posedge clk or negedge reset_n) begin if (reset_n == 0) W_iw_invalid <= 0; else W_iw_invalid <= A_iw_invalid; end assign test_has_ended = 1'b0; //synthesis translate_off //////////////// SIMULATION-ONLY CONTENTS //Clearing 'X' data bits assign A_wr_data_unfiltered_0_is_x = ^(A_wr_data_unfiltered[0]) === 1'bx; assign A_wr_data_filtered[0] = (A_wr_data_unfiltered_0_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[0]; assign A_wr_data_unfiltered_1_is_x = ^(A_wr_data_unfiltered[1]) === 1'bx; assign A_wr_data_filtered[1] = (A_wr_data_unfiltered_1_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[1]; assign A_wr_data_unfiltered_2_is_x = ^(A_wr_data_unfiltered[2]) === 1'bx; assign A_wr_data_filtered[2] = (A_wr_data_unfiltered_2_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[2]; assign A_wr_data_unfiltered_3_is_x = ^(A_wr_data_unfiltered[3]) === 1'bx; assign A_wr_data_filtered[3] = (A_wr_data_unfiltered_3_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[3]; assign A_wr_data_unfiltered_4_is_x = ^(A_wr_data_unfiltered[4]) === 1'bx; assign A_wr_data_filtered[4] = (A_wr_data_unfiltered_4_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[4]; assign A_wr_data_unfiltered_5_is_x = ^(A_wr_data_unfiltered[5]) === 1'bx; assign A_wr_data_filtered[5] = (A_wr_data_unfiltered_5_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[5]; assign A_wr_data_unfiltered_6_is_x = ^(A_wr_data_unfiltered[6]) === 1'bx; assign A_wr_data_filtered[6] = (A_wr_data_unfiltered_6_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[6]; assign A_wr_data_unfiltered_7_is_x = ^(A_wr_data_unfiltered[7]) === 1'bx; assign A_wr_data_filtered[7] = (A_wr_data_unfiltered_7_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[7]; assign A_wr_data_unfiltered_8_is_x = ^(A_wr_data_unfiltered[8]) === 1'bx; assign A_wr_data_filtered[8] = (A_wr_data_unfiltered_8_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[8]; assign A_wr_data_unfiltered_9_is_x = ^(A_wr_data_unfiltered[9]) === 1'bx; assign A_wr_data_filtered[9] = (A_wr_data_unfiltered_9_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[9]; assign A_wr_data_unfiltered_10_is_x = ^(A_wr_data_unfiltered[10]) === 1'bx; assign A_wr_data_filtered[10] = (A_wr_data_unfiltered_10_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[10]; assign A_wr_data_unfiltered_11_is_x = ^(A_wr_data_unfiltered[11]) === 1'bx; assign A_wr_data_filtered[11] = (A_wr_data_unfiltered_11_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[11]; assign A_wr_data_unfiltered_12_is_x = ^(A_wr_data_unfiltered[12]) === 1'bx; assign A_wr_data_filtered[12] = (A_wr_data_unfiltered_12_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[12]; assign A_wr_data_unfiltered_13_is_x = ^(A_wr_data_unfiltered[13]) === 1'bx; assign A_wr_data_filtered[13] = (A_wr_data_unfiltered_13_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[13]; assign A_wr_data_unfiltered_14_is_x = ^(A_wr_data_unfiltered[14]) === 1'bx; assign A_wr_data_filtered[14] = (A_wr_data_unfiltered_14_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[14]; assign A_wr_data_unfiltered_15_is_x = ^(A_wr_data_unfiltered[15]) === 1'bx; assign A_wr_data_filtered[15] = (A_wr_data_unfiltered_15_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[15]; assign A_wr_data_unfiltered_16_is_x = ^(A_wr_data_unfiltered[16]) === 1'bx; assign A_wr_data_filtered[16] = (A_wr_data_unfiltered_16_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[16]; assign A_wr_data_unfiltered_17_is_x = ^(A_wr_data_unfiltered[17]) === 1'bx; assign A_wr_data_filtered[17] = (A_wr_data_unfiltered_17_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[17]; assign A_wr_data_unfiltered_18_is_x = ^(A_wr_data_unfiltered[18]) === 1'bx; assign A_wr_data_filtered[18] = (A_wr_data_unfiltered_18_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[18]; assign A_wr_data_unfiltered_19_is_x = ^(A_wr_data_unfiltered[19]) === 1'bx; assign A_wr_data_filtered[19] = (A_wr_data_unfiltered_19_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[19]; assign A_wr_data_unfiltered_20_is_x = ^(A_wr_data_unfiltered[20]) === 1'bx; assign A_wr_data_filtered[20] = (A_wr_data_unfiltered_20_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[20]; assign A_wr_data_unfiltered_21_is_x = ^(A_wr_data_unfiltered[21]) === 1'bx; assign A_wr_data_filtered[21] = (A_wr_data_unfiltered_21_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[21]; assign A_wr_data_unfiltered_22_is_x = ^(A_wr_data_unfiltered[22]) === 1'bx; assign A_wr_data_filtered[22] = (A_wr_data_unfiltered_22_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[22]; assign A_wr_data_unfiltered_23_is_x = ^(A_wr_data_unfiltered[23]) === 1'bx; assign A_wr_data_filtered[23] = (A_wr_data_unfiltered_23_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[23]; assign A_wr_data_unfiltered_24_is_x = ^(A_wr_data_unfiltered[24]) === 1'bx; assign A_wr_data_filtered[24] = (A_wr_data_unfiltered_24_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[24]; assign A_wr_data_unfiltered_25_is_x = ^(A_wr_data_unfiltered[25]) === 1'bx; assign A_wr_data_filtered[25] = (A_wr_data_unfiltered_25_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[25]; assign A_wr_data_unfiltered_26_is_x = ^(A_wr_data_unfiltered[26]) === 1'bx; assign A_wr_data_filtered[26] = (A_wr_data_unfiltered_26_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[26]; assign A_wr_data_unfiltered_27_is_x = ^(A_wr_data_unfiltered[27]) === 1'bx; assign A_wr_data_filtered[27] = (A_wr_data_unfiltered_27_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[27]; assign A_wr_data_unfiltered_28_is_x = ^(A_wr_data_unfiltered[28]) === 1'bx; assign A_wr_data_filtered[28] = (A_wr_data_unfiltered_28_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[28]; assign A_wr_data_unfiltered_29_is_x = ^(A_wr_data_unfiltered[29]) === 1'bx; assign A_wr_data_filtered[29] = (A_wr_data_unfiltered_29_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[29]; assign A_wr_data_unfiltered_30_is_x = ^(A_wr_data_unfiltered[30]) === 1'bx; assign A_wr_data_filtered[30] = (A_wr_data_unfiltered_30_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[30]; assign A_wr_data_unfiltered_31_is_x = ^(A_wr_data_unfiltered[31]) === 1'bx; assign A_wr_data_filtered[31] = (A_wr_data_unfiltered_31_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[31]; //Clearing 'X' data bits assign E_add_br_to_taken_history_unfiltered_is_x = ^(E_add_br_to_taken_history_unfiltered) === 1'bx; assign E_add_br_to_taken_history_filtered = E_add_br_to_taken_history_unfiltered_is_x ? 1'b0 : E_add_br_to_taken_history_unfiltered; //Clearing 'X' data bits assign M_bht_wr_en_unfiltered_is_x = ^(M_bht_wr_en_unfiltered) === 1'bx; assign M_bht_wr_en_filtered = M_bht_wr_en_unfiltered_is_x ? 1'b0 : M_bht_wr_en_unfiltered; //Clearing 'X' data bits assign M_bht_wr_data_unfiltered_0_is_x = ^(M_bht_wr_data_unfiltered[0]) === 1'bx; assign M_bht_wr_data_filtered[0] = M_bht_wr_data_unfiltered_0_is_x ? 1'b0 : M_bht_wr_data_unfiltered[0]; assign M_bht_wr_data_unfiltered_1_is_x = ^(M_bht_wr_data_unfiltered[1]) === 1'bx; assign M_bht_wr_data_filtered[1] = M_bht_wr_data_unfiltered_1_is_x ? 1'b0 : M_bht_wr_data_unfiltered[1]; //Clearing 'X' data bits assign M_bht_ptr_unfiltered_0_is_x = ^(M_bht_ptr_unfiltered[0]) === 1'bx; assign M_bht_ptr_filtered[0] = M_bht_ptr_unfiltered_0_is_x ? 1'b0 : M_bht_ptr_unfiltered[0]; assign M_bht_ptr_unfiltered_1_is_x = ^(M_bht_ptr_unfiltered[1]) === 1'bx; assign M_bht_ptr_filtered[1] = M_bht_ptr_unfiltered_1_is_x ? 1'b0 : M_bht_ptr_unfiltered[1]; assign M_bht_ptr_unfiltered_2_is_x = ^(M_bht_ptr_unfiltered[2]) === 1'bx; assign M_bht_ptr_filtered[2] = M_bht_ptr_unfiltered_2_is_x ? 1'b0 : M_bht_ptr_unfiltered[2]; assign M_bht_ptr_unfiltered_3_is_x = ^(M_bht_ptr_unfiltered[3]) === 1'bx; assign M_bht_ptr_filtered[3] = M_bht_ptr_unfiltered_3_is_x ? 1'b0 : M_bht_ptr_unfiltered[3]; assign M_bht_ptr_unfiltered_4_is_x = ^(M_bht_ptr_unfiltered[4]) === 1'bx; assign M_bht_ptr_filtered[4] = M_bht_ptr_unfiltered_4_is_x ? 1'b0 : M_bht_ptr_unfiltered[4]; assign M_bht_ptr_unfiltered_5_is_x = ^(M_bht_ptr_unfiltered[5]) === 1'bx; assign M_bht_ptr_filtered[5] = M_bht_ptr_unfiltered_5_is_x ? 1'b0 : M_bht_ptr_unfiltered[5]; assign M_bht_ptr_unfiltered_6_is_x = ^(M_bht_ptr_unfiltered[6]) === 1'bx; assign M_bht_ptr_filtered[6] = M_bht_ptr_unfiltered_6_is_x ? 1'b0 : M_bht_ptr_unfiltered[6]; assign M_bht_ptr_unfiltered_7_is_x = ^(M_bht_ptr_unfiltered[7]) === 1'bx; assign M_bht_ptr_filtered[7] = M_bht_ptr_unfiltered_7_is_x ? 1'b0 : M_bht_ptr_unfiltered[7]; always @(posedge clk) begin if (reset_n) if (^(W_wr_dst_reg) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/W_wr_dst_reg is 'x'\n", $time); $stop; end end always @(posedge clk or negedge reset_n) begin if (reset_n == 0) begin end else if (W_wr_dst_reg) if (^(W_dst_regnum) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/W_dst_regnum is 'x'\n", $time); $stop; end end always @(posedge clk) begin if (reset_n) if (^(W_valid) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/W_valid is 'x'\n", $time); $stop; end end always @(posedge clk or negedge reset_n) begin if (reset_n == 0) begin end else if (W_valid) if (^(W_pcb) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/W_pcb is 'x'\n", $time); $stop; end end always @(posedge clk or negedge reset_n) begin if (reset_n == 0) begin end else if (W_valid) if (^(W_iw) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/W_iw is 'x'\n", $time); $stop; end end always @(posedge clk) begin if (reset_n) if (^(A_en) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/A_en is 'x'\n", $time); $stop; end end always @(posedge clk) begin if (reset_n) if (^(M_valid) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/M_valid is 'x'\n", $time); $stop; end end always @(posedge clk) begin if (reset_n) if (^(A_valid) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/A_valid is 'x'\n", $time); $stop; end end always @(posedge clk or negedge reset_n) begin if (reset_n == 0) begin end else if (A_valid & A_en & A_wr_dst_reg) if (^(A_wr_data_unfiltered) === 1'bx) begin $write("%0d ns: WARNING: soc_design_niosII_core_cpu_test_bench/A_wr_data_unfiltered is 'x'\n", $time); end end always @(posedge clk) begin if (reset_n) if (^(W_status_reg) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/W_status_reg is 'x'\n", $time); $stop; end end always @(posedge clk) begin if (reset_n) if (^(W_estatus_reg) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/W_estatus_reg is 'x'\n", $time); $stop; end end always @(posedge clk) begin if (reset_n) if (^(W_bstatus_reg) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/W_bstatus_reg is 'x'\n", $time); $stop; end end always @(posedge clk) begin if (reset_n) if (^(W_exception_reg) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/W_exception_reg is 'x'\n", $time); $stop; end end always @(posedge clk) begin if (reset_n) if (^(W_badaddr_reg) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/W_badaddr_reg is 'x'\n", $time); $stop; end end always @(posedge clk) begin if (reset_n) if (^(A_exc_any_active) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/A_exc_any_active is 'x'\n", $time); $stop; end end always @(posedge clk) begin if (reset_n) if (^(i_read) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/i_read is 'x'\n", $time); $stop; end end always @(posedge clk or negedge reset_n) begin if (reset_n == 0) begin end else if (i_read) if (^(i_address) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/i_address is 'x'\n", $time); $stop; end end always @(posedge clk) begin if (reset_n) if (^(d_write) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/d_write is 'x'\n", $time); $stop; end end always @(posedge clk or negedge reset_n) begin if (reset_n == 0) begin end else if (d_write) if (^(d_byteenable) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/d_byteenable is 'x'\n", $time); $stop; end end always @(posedge clk or negedge reset_n) begin if (reset_n == 0) begin end else if (d_write | d_read) if (^(d_address) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/d_address is 'x'\n", $time); $stop; end end always @(posedge clk) begin if (reset_n) if (^(d_read) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/d_read is 'x'\n", $time); $stop; end end always @(posedge clk) begin if (reset_n) if (^(i_readdatavalid) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/i_readdatavalid is 'x'\n", $time); $stop; end end always @(posedge clk) begin if (reset_n) if (^(d_readdatavalid) === 1'bx) begin $write("%0d ns: ERROR: soc_design_niosII_core_cpu_test_bench/d_readdatavalid is 'x'\n", $time); $stop; end end //////////////// END SIMULATION-ONLY CONTENTS //synthesis translate_on //synthesis read_comments_as_HDL on // // assign A_wr_data_filtered = A_wr_data_unfiltered; // // // assign E_add_br_to_taken_history_filtered = E_add_br_to_taken_history_unfiltered; // // // assign M_bht_wr_en_filtered = M_bht_wr_en_unfiltered; // // // assign M_bht_wr_data_filtered = M_bht_wr_data_unfiltered; // // // assign M_bht_ptr_filtered = M_bht_ptr_unfiltered; // //synthesis read_comments_as_HDL off endmodule
`timescale 1ns / 1ps ////////////////////////////////////////////////////////////////////////////////// // Company: // Engineer: // // Create Date: 22:04:14 06/30/2012 // Design Name: // Module Name: MIO_BUS // Project Name: // Target Devices: // Tool versions: // Description: // // Dependencies: // // Revision: // Revision 0.01 - File Created // Additional Comments: // ////////////////////////////////////////////////////////////////////////////////// module MIO_BUS(input clk, input rst, input[3:0]BTN, input[15:0]SW, input mem_w, input[31:0]Cpu_data2bus, //data from CPU input[31:0]addr_bus, input[31:0]ram_data_out, input[15:0]led_out, input[31:0]counter_out, input counter0_out, input counter1_out, input counter2_out, output reg[31:0]Cpu_data4bus, //write to CPU output reg[31:0]ram_data_in, //from CPU write to Memory output reg[9:0]ram_addr, //Memory Address signals output reg data_ram_we, output reg GPIOf0000000_we, output reg GPIOe0000000_we, output reg counter_we, output reg[31:0]Peripheral_in ); endmodule
////////////////////////////////////////////////////////////////////////////////// // SharedKESTop.v for Cosmos OpenSSD // Copyright (c) 2015 Hanyang University ENC Lab. // Contributed by Jinwoo Jeong <[email protected]> // Ilyong Jung <[email protected]> // Yong Ho Song <[email protected]> // // This file is part of Cosmos OpenSSD. // // Cosmos OpenSSD is free software; you can redistribute it and/or modify // it under the terms of the GNU General Public License as published by // the Free Software Foundation; either version 3, or (at your option) // any later version. // // Cosmos OpenSSD is distributed in the hope that it will be useful, // but WITHOUT ANY WARRANTY; without even the implied warranty of // MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. // See the GNU General Public License for more details. // // You should have received a copy of the GNU General Public License // along with Cosmos OpenSSD; see the file COPYING. // If not, see <http://www.gnu.org/licenses/>. ////////////////////////////////////////////////////////////////////////////////// ////////////////////////////////////////////////////////////////////////////////// // Company: ENC Lab. <http://enc.hanyang.ac.kr> // Engineer: Jinwoo Jeong <[email protected]> // Ilyong Jung <[email protected]> // // Project Name: Cosmos OpenSSD // Design Name: BCH Page Decoder // Module Name: SharedKESTop // File Name: SharedKESTop.v // // Version: v1.0.0 // // Description: Shared KES top module // ////////////////////////////////////////////////////////////////////////////////// ////////////////////////////////////////////////////////////////////////////////// // Revision History: // // * v1.0.0 // - first draft ////////////////////////////////////////////////////////////////////////////////// `timescale 1ns / 1ps module SharedKESTop #( parameter Channel = 4, parameter Multi = 2, parameter GaloisFieldDegree = 12, parameter MaxErrorCountBits = 9, parameter Syndromes = 27, parameter ELPCoefficients = 15 ) ( iClock , iReset , oSharedKESReady_0 , iErrorDetectionEnd_0 , iDecodeNeeded_0 , iSyndromes_0 , iCSAvailable_0 , oIntraSharedKESEnd_0 , oErroredChunk_0 , oCorrectionFail_0 , oClusterErrorCount_0 , oELPCoefficients_0 , oSharedKESReady_1 , iErrorDetectionEnd_1 , iDecodeNeeded_1 , iSyndromes_1 , iCSAvailable_1 , oIntraSharedKESEnd_1 , oErroredChunk_1 , oCorrectionFail_1 , oClusterErrorCount_1 , oELPCoefficients_1 , oSharedKESReady_2 , iErrorDetectionEnd_2 , iDecodeNeeded_2 , iSyndromes_2 , iCSAvailable_2 , oIntraSharedKESEnd_2 , oErroredChunk_2 , oCorrectionFail_2 , oClusterErrorCount_2 , oELPCoefficients_2 , oSharedKESReady_3 , iErrorDetectionEnd_3 , iDecodeNeeded_3 , iSyndromes_3 , iCSAvailable_3 , oIntraSharedKESEnd_3 , oErroredChunk_3 , oCorrectionFail_3 , oClusterErrorCount_3 , oELPCoefficients_3 ); input iClock ; input iReset ; output oSharedKESReady_0 ; input [Multi - 1:0] iErrorDetectionEnd_0 ; input [Multi - 1:0] iDecodeNeeded_0 ; input [Multi*GaloisFieldDegree*Syndromes - 1:0] iSyndromes_0 ; input iCSAvailable_0 ; output oIntraSharedKESEnd_0 ; output [Multi - 1:0] oErroredChunk_0 ; output [Multi - 1:0] oCorrectionFail_0 ; output [Multi*MaxErrorCountBits - 1:0] oClusterErrorCount_0 ; output [Multi*GaloisFieldDegree*ELPCoefficients - 1:0] oELPCoefficients_0 ; output oSharedKESReady_1 ; input [Multi - 1:0] iErrorDetectionEnd_1 ; input [Multi - 1:0] iDecodeNeeded_1 ; input [Multi*GaloisFieldDegree*Syndromes - 1:0] iSyndromes_1 ; input iCSAvailable_1 ; output oIntraSharedKESEnd_1 ; output [Multi - 1:0] oErroredChunk_1 ; output [Multi - 1:0] oCorrectionFail_1 ; output [Multi*MaxErrorCountBits - 1:0] oClusterErrorCount_1 ; output [Multi*GaloisFieldDegree*ELPCoefficients - 1:0] oELPCoefficients_1 ; output oSharedKESReady_2 ; input [Multi - 1:0] iErrorDetectionEnd_2 ; input [Multi - 1:0] iDecodeNeeded_2 ; input [Multi*GaloisFieldDegree*Syndromes - 1:0] iSyndromes_2 ; input iCSAvailable_2 ; output oIntraSharedKESEnd_2 ; output [Multi - 1:0] oErroredChunk_2 ; output [Multi - 1:0] oCorrectionFail_2 ; output [Multi*MaxErrorCountBits - 1:0] oClusterErrorCount_2 ; output [Multi*GaloisFieldDegree*ELPCoefficients - 1:0] oELPCoefficients_2 ; output oSharedKESReady_3 ; input [Multi - 1:0] iErrorDetectionEnd_3 ; input [Multi - 1:0] iDecodeNeeded_3 ; input [Multi*GaloisFieldDegree*Syndromes - 1:0] iSyndromes_3 ; input iCSAvailable_3 ; output oIntraSharedKESEnd_3 ; output [Multi - 1:0] oErroredChunk_3 ; output [Multi - 1:0] oCorrectionFail_3 ; output [Multi*MaxErrorCountBits - 1:0] oClusterErrorCount_3 ; output [Multi*GaloisFieldDegree*ELPCoefficients - 1:0] oELPCoefficients_3 ; wire wKESAvailable ; wire wExecuteKES ; wire wErroredChunkNumber ; wire wDataFowarding ; wire wLastChunk ; wire wOutBufferReady ; wire wKESEnd ; wire wKESFail ; wire wCorrectedChunkNumber ; wire wClusterCorrectionEnd ; wire [3:0] wChunkErrorCount ; wire [Channel - 1:0] wChannelSelIn ; wire [Channel - 1:0] wChannelSelOut ; wire [GaloisFieldDegree*Syndromes - 1:0] wSyndromes ; wire [GaloisFieldDegree - 1:0] wELPCoefficient000 ; wire [GaloisFieldDegree - 1:0] wELPCoefficient001 ; wire [GaloisFieldDegree - 1:0] wELPCoefficient002 ; wire [GaloisFieldDegree - 1:0] wELPCoefficient003 ; wire [GaloisFieldDegree - 1:0] wELPCoefficient004 ; wire [GaloisFieldDegree - 1:0] wELPCoefficient005 ; wire [GaloisFieldDegree - 1:0] wELPCoefficient006 ; wire [GaloisFieldDegree - 1:0] wELPCoefficient007 ; wire [GaloisFieldDegree - 1:0] wELPCoefficient008 ; wire [GaloisFieldDegree - 1:0] wELPCoefficient009 ; wire [GaloisFieldDegree - 1:0] wELPCoefficient010 ; wire [GaloisFieldDegree - 1:0] wELPCoefficient011 ; wire [GaloisFieldDegree - 1:0] wELPCoefficient012 ; wire [GaloisFieldDegree - 1:0] wELPCoefficient013 ; wire [GaloisFieldDegree - 1:0] wELPCoefficient014 ; InterChannelSyndromeBuffer #( .Channel(4), .Multi(2), .GaloisFieldDegree(12), .Syndromes(27) ) PageDecoderSyndromeBuffer ( .iClock (iClock ), .iReset (iReset ), .iErrorDetectionEnd ({iErrorDetectionEnd_3, iErrorDetectionEnd_2, iErrorDetectionEnd_1, iErrorDetectionEnd_0} ), .iDecodeNeeded ({iDecodeNeeded_3, iDecodeNeeded_2, iDecodeNeeded_1, iDecodeNeeded_0} ), .iSyndromes ({iSyndromes_3, iSyndromes_2, iSyndromes_1, iSyndromes_0} ), .oSharedKESReady ({oSharedKESReady_3, oSharedKESReady_2, oSharedKESReady_1, oSharedKESReady_0} ), .iKESAvailable (wKESAvailable ), .oExecuteKES (wExecuteKES ), .oErroredChunkNumber (wErroredChunkNumber ), .oDataFowarding (wDataFowarding ), .oLastChunk (wLastChunk ), .oSyndromes (wSyndromes ), .oChannelSel (wChannelSelIn ) ); d_BCH_KES_top PageDecoderKES ( .i_clk (iClock), .i_RESET (iReset), .i_stop_dec (1'b0), .i_channel_sel (wChannelSelIn), .i_execute_kes (wExecuteKES), .i_data_fowarding (wDataFowarding), .i_buf_available (wOutBufferReady), .i_chunk_number (wErroredChunkNumber), .i_buf_sequence_end (wLastChunk), .o_kes_sequence_end (wKESEnd), .o_kes_fail (wKESFail), .o_kes_available (wKESAvailable), .o_chunk_number (wCorrectedChunkNumber), .o_buf_sequence_end (wClusterCorrectionEnd), .o_channel_sel (wChannelSelOut), .o_error_count (wChunkErrorCount), .i_syndromes (wSyndromes), .o_v_2i_000 (wELPCoefficient000), .o_v_2i_001 (wELPCoefficient001), .o_v_2i_002 (wELPCoefficient002), .o_v_2i_003 (wELPCoefficient003), .o_v_2i_004 (wELPCoefficient004), .o_v_2i_005 (wELPCoefficient005), .o_v_2i_006 (wELPCoefficient006), .o_v_2i_007 (wELPCoefficient007), .o_v_2i_008 (wELPCoefficient008), .o_v_2i_009 (wELPCoefficient009), .o_v_2i_010 (wELPCoefficient010), .o_v_2i_011 (wELPCoefficient011), .o_v_2i_012 (wELPCoefficient012), .o_v_2i_013 (wELPCoefficient013), .o_v_2i_014 (wELPCoefficient014) ); InterChannelELPBuffer #( .Channel(4), .Multi(2), .GaloisFieldDegree(12), .ELPCoefficients(15) ) PageDecoderELPBuffer ( .iClock (iClock ), .iReset (iReset ), .iChannelSel (wChannelSelOut ), .iKESEnd (wKESEnd ), .iKESFail (wKESFail ), .iClusterCorrectionEnd (wClusterCorrectionEnd ), .iCorrectedChunkNumber (wCorrectedChunkNumber ), .iChunkErrorCount (wChunkErrorCount ), .oBufferReady (wOutBufferReady ), .iELPCoefficient000 (wELPCoefficient000 ), .iELPCoefficient001 (wELPCoefficient001 ), .iELPCoefficient002 (wELPCoefficient002 ), .iELPCoefficient003 (wELPCoefficient003 ), .iELPCoefficient004 (wELPCoefficient004 ), .iELPCoefficient005 (wELPCoefficient005 ), .iELPCoefficient006 (wELPCoefficient006 ), .iELPCoefficient007 (wELPCoefficient007 ), .iELPCoefficient008 (wELPCoefficient008 ), .iELPCoefficient009 (wELPCoefficient009 ), .iELPCoefficient010 (wELPCoefficient010 ), .iELPCoefficient011 (wELPCoefficient011 ), .iELPCoefficient012 (wELPCoefficient012 ), .iELPCoefficient013 (wELPCoefficient013 ), .iELPCoefficient014 (wELPCoefficient014 ), .iCSAvailable ({iCSAvailable_3, iCSAvailable_2, iCSAvailable_1, iCSAvailable_0} ), .oIntraSharedKESEnd ({oIntraSharedKESEnd_3, oIntraSharedKESEnd_2, oIntraSharedKESEnd_1, oIntraSharedKESEnd_0} ), .oErroredChunk ({oErroredChunk_3, oErroredChunk_2, oErroredChunk_1, oErroredChunk_0} ), .oCorrectionFail ({oCorrectionFail_3, oCorrectionFail_2, oCorrectionFail_1, oCorrectionFail_0} ), .oClusterErrorCount ({oClusterErrorCount_3, oClusterErrorCount_2, oClusterErrorCount_1, oClusterErrorCount_0} ), .oELPCoefficients ({oELPCoefficients_3, oELPCoefficients_2, oELPCoefficients_1, oELPCoefficients_0} ) ); endmodule
(************************************************************************) (* v * The Coq Proof Assistant / The Coq Development Team *) (* <O___,, * INRIA - CNRS - LIX - LRI - PPS - Copyright 1999-2015 *) (* \VV/ **************************************************************) (* // * This file is distributed under the terms of the *) (* * GNU Lesser General Public License Version 2.1 *) (************************************************************************) Require Import ZAxioms ZMulOrder ZSgnAbs NZDiv. (** * Euclidean Division for integers (Floor convention) We use here the convention known as Floor, or Round-Toward-Bottom, where [a/b] is the closest integer below the exact fraction. It can be summarized by: [a = bq+r /\ 0 <= |r| < |b| /\ Sign(r) = Sign(b)] This is the convention followed historically by [Z.div] in Coq, and corresponds to convention "F" in the following paper: R. Boute, "The Euclidean definition of the functions div and mod", ACM Transactions on Programming Languages and Systems, Vol. 14, No.2, pp. 127-144, April 1992. See files [ZDivTrunc] and [ZDivEucl] for others conventions. *) Module Type ZDivProp (Import A : ZAxiomsSig') (Import B : ZMulOrderProp A) (Import C : ZSgnAbsProp A B). (** We benefit from what already exists for NZ *) Module Import Private_NZDiv := Nop <+ NZDivProp A A B. (** Another formulation of the main equation *) Lemma mod_eq : forall a b, b~=0 -> a mod b == a - b*(a/b). Proof. intros. rewrite <- add_move_l. symmetry. now apply div_mod. Qed. (** We have a general bound for absolute values *) Lemma mod_bound_abs : forall a b, b~=0 -> abs (a mod b) < abs b. Proof. intros. destruct (abs_spec b) as [(LE,EQ)|(LE,EQ)]; rewrite EQ. destruct (mod_pos_bound a b). order. now rewrite abs_eq. destruct (mod_neg_bound a b). order. rewrite abs_neq; trivial. now rewrite <- opp_lt_mono. Qed. (** Uniqueness theorems *) Theorem div_mod_unique : forall b q1 q2 r1 r2 : t, (0<=r1<b \/ b<r1<=0) -> (0<=r2<b \/ b<r2<=0) -> b*q1+r1 == b*q2+r2 -> q1 == q2 /\ r1 == r2. Proof. intros b q1 q2 r1 r2 Hr1 Hr2 EQ. destruct Hr1; destruct Hr2; try (intuition; order). apply div_mod_unique with b; trivial. rewrite <- (opp_inj_wd r1 r2). apply div_mod_unique with (-b); trivial. rewrite <- opp_lt_mono, opp_nonneg_nonpos; tauto. rewrite <- opp_lt_mono, opp_nonneg_nonpos; tauto. now rewrite 2 mul_opp_l, <- 2 opp_add_distr, opp_inj_wd. Qed. Theorem div_unique: forall a b q r, (0<=r<b \/ b<r<=0) -> a == b*q + r -> q == a/b. Proof. intros a b q r Hr EQ. assert (Hb : b~=0) by (destruct Hr; intuition; order). destruct (div_mod_unique b q (a/b) r (a mod b)); trivial. destruct Hr; [left; apply mod_pos_bound|right; apply mod_neg_bound]; intuition order. now rewrite <- div_mod. Qed. Theorem div_unique_pos: forall a b q r, 0<=r<b -> a == b*q + r -> q == a/b. Proof. intros; apply div_unique with r; auto. Qed. Theorem div_unique_neg: forall a b q r, b<r<=0 -> a == b*q + r -> q == a/b. Proof. intros; apply div_unique with r; auto. Qed. Theorem mod_unique: forall a b q r, (0<=r<b \/ b<r<=0) -> a == b*q + r -> r == a mod b. Proof. intros a b q r Hr EQ. assert (Hb : b~=0) by (destruct Hr; intuition; order). destruct (div_mod_unique b q (a/b) r (a mod b)); trivial. destruct Hr; [left; apply mod_pos_bound|right; apply mod_neg_bound]; intuition order. now rewrite <- div_mod. Qed. Theorem mod_unique_pos: forall a b q r, 0<=r<b -> a == b*q + r -> r == a mod b. Proof. intros; apply mod_unique with q; auto. Qed. Theorem mod_unique_neg: forall a b q r, b<r<=0 -> a == b*q + r -> r == a mod b. Proof. intros; apply mod_unique with q; auto. Qed. (** Sign rules *) Ltac pos_or_neg a := let LT := fresh "LT" in let LE := fresh "LE" in destruct (le_gt_cases 0 a) as [LE|LT]; [|rewrite <- opp_pos_neg in LT]. Fact mod_bound_or : forall a b, b~=0 -> 0<=a mod b<b \/ b<a mod b<=0. Proof. intros. destruct (lt_ge_cases 0 b); [left|right]. apply mod_pos_bound; trivial. apply mod_neg_bound; order. Qed. Fact opp_mod_bound_or : forall a b, b~=0 -> 0 <= -(a mod b) < -b \/ -b < -(a mod b) <= 0. Proof. intros. destruct (lt_ge_cases 0 b); [right|left]. rewrite <- opp_lt_mono, opp_nonpos_nonneg. destruct (mod_pos_bound a b); intuition; order. rewrite <- opp_lt_mono, opp_nonneg_nonpos. destruct (mod_neg_bound a b); intuition; order. Qed. Lemma div_opp_opp : forall a b, b~=0 -> -a/-b == a/b. Proof. intros. symmetry. apply div_unique with (- (a mod b)). now apply opp_mod_bound_or. rewrite mul_opp_l, <- opp_add_distr, <- div_mod; order. Qed. Lemma mod_opp_opp : forall a b, b~=0 -> (-a) mod (-b) == - (a mod b). Proof. intros. symmetry. apply mod_unique with (a/b). now apply opp_mod_bound_or. rewrite mul_opp_l, <- opp_add_distr, <- div_mod; order. Qed. (** With the current conventions, the other sign rules are rather complex. *) Lemma div_opp_l_z : forall a b, b~=0 -> a mod b == 0 -> (-a)/b == -(a/b). Proof. intros a b Hb H. symmetry. apply div_unique with 0. destruct (lt_ge_cases 0 b); [left|right]; intuition; order. rewrite <- opp_0, <- H. rewrite mul_opp_r, <- opp_add_distr, <- div_mod; order. Qed. Lemma div_opp_l_nz : forall a b, b~=0 -> a mod b ~= 0 -> (-a)/b == -(a/b)-1. Proof. intros a b Hb H. symmetry. apply div_unique with (b - a mod b). destruct (lt_ge_cases 0 b); [left|right]. rewrite le_0_sub. rewrite <- (sub_0_r b) at 5. rewrite <- sub_lt_mono_l. destruct (mod_pos_bound a b); intuition; order. rewrite le_sub_0. rewrite <- (sub_0_r b) at 1. rewrite <- sub_lt_mono_l. destruct (mod_neg_bound a b); intuition; order. rewrite <- (add_opp_r b), mul_sub_distr_l, mul_1_r, sub_add_simpl_r_l. rewrite mul_opp_r, <-opp_add_distr, <-div_mod; order. Qed. Lemma mod_opp_l_z : forall a b, b~=0 -> a mod b == 0 -> (-a) mod b == 0. Proof. intros a b Hb H. symmetry. apply mod_unique with (-(a/b)). destruct (lt_ge_cases 0 b); [left|right]; intuition; order. rewrite <- opp_0, <- H. rewrite mul_opp_r, <- opp_add_distr, <- div_mod; order. Qed. Lemma mod_opp_l_nz : forall a b, b~=0 -> a mod b ~= 0 -> (-a) mod b == b - a mod b. Proof. intros a b Hb H. symmetry. apply mod_unique with (-(a/b)-1). destruct (lt_ge_cases 0 b); [left|right]. rewrite le_0_sub. rewrite <- (sub_0_r b) at 5. rewrite <- sub_lt_mono_l. destruct (mod_pos_bound a b); intuition; order. rewrite le_sub_0. rewrite <- (sub_0_r b) at 1. rewrite <- sub_lt_mono_l. destruct (mod_neg_bound a b); intuition; order. rewrite <- (add_opp_r b), mul_sub_distr_l, mul_1_r, sub_add_simpl_r_l. rewrite mul_opp_r, <-opp_add_distr, <-div_mod; order. Qed. Lemma div_opp_r_z : forall a b, b~=0 -> a mod b == 0 -> a/(-b) == -(a/b). Proof. intros. rewrite <- (opp_involutive a) at 1. rewrite div_opp_opp; auto using div_opp_l_z. Qed. Lemma div_opp_r_nz : forall a b, b~=0 -> a mod b ~= 0 -> a/(-b) == -(a/b)-1. Proof. intros. rewrite <- (opp_involutive a) at 1. rewrite div_opp_opp; auto using div_opp_l_nz. Qed. Lemma mod_opp_r_z : forall a b, b~=0 -> a mod b == 0 -> a mod (-b) == 0. Proof. intros. rewrite <- (opp_involutive a) at 1. now rewrite mod_opp_opp, mod_opp_l_z, opp_0. Qed. Lemma mod_opp_r_nz : forall a b, b~=0 -> a mod b ~= 0 -> a mod (-b) == (a mod b) - b. Proof. intros. rewrite <- (opp_involutive a) at 1. rewrite mod_opp_opp, mod_opp_l_nz by trivial. now rewrite opp_sub_distr, add_comm, add_opp_r. Qed. (** The sign of [a mod b] is the one of [b] (when it isn't null) *) Lemma mod_sign_nz : forall a b, b~=0 -> a mod b ~= 0 -> sgn (a mod b) == sgn b. Proof. intros a b Hb H. destruct (lt_ge_cases 0 b) as [Hb'|Hb']. destruct (mod_pos_bound a b Hb'). rewrite 2 sgn_pos; order. destruct (mod_neg_bound a b). order. rewrite 2 sgn_neg; order. Qed. Lemma mod_sign : forall a b, b~=0 -> sgn (a mod b) ~= -sgn b. Proof. intros a b Hb H. destruct (eq_decidable (a mod b) 0) as [EQ|NEQ]. apply Hb, sgn_null_iff, opp_inj. now rewrite <- H, opp_0, EQ, sgn_0. apply Hb, sgn_null_iff. apply eq_mul_0_l with 2; try order'. nzsimpl'. apply add_move_0_l. rewrite <- H. symmetry. now apply mod_sign_nz. Qed. Lemma mod_sign_mul : forall a b, b~=0 -> 0 <= (a mod b) * b. Proof. intros. destruct (lt_ge_cases 0 b). apply mul_nonneg_nonneg; destruct (mod_pos_bound a b); order. apply mul_nonpos_nonpos; destruct (mod_neg_bound a b); order. Qed. (** A division by itself returns 1 *) Lemma div_same : forall a, a~=0 -> a/a == 1. Proof. intros. pos_or_neg a. apply div_same; order. rewrite <- div_opp_opp by trivial. now apply div_same. Qed. Lemma mod_same : forall a, a~=0 -> a mod a == 0. Proof. intros. rewrite mod_eq, div_same by trivial. nzsimpl. apply sub_diag. Qed. (** A division of a small number by a bigger one yields zero. *) Theorem div_small: forall a b, 0<=a<b -> a/b == 0. Proof. exact div_small. Qed. (** Same situation, in term of modulo: *) Theorem mod_small: forall a b, 0<=a<b -> a mod b == a. Proof. exact mod_small. Qed. (** * Basic values of divisions and modulo. *) Lemma div_0_l: forall a, a~=0 -> 0/a == 0. Proof. intros. pos_or_neg a. apply div_0_l; order. rewrite <- div_opp_opp, opp_0 by trivial. now apply div_0_l. Qed. Lemma mod_0_l: forall a, a~=0 -> 0 mod a == 0. Proof. intros; rewrite mod_eq, div_0_l; now nzsimpl. Qed. Lemma div_1_r: forall a, a/1 == a. Proof. intros. symmetry. apply div_unique with 0. left. split; order || apply lt_0_1. now nzsimpl. Qed. Lemma mod_1_r: forall a, a mod 1 == 0. Proof. intros. rewrite mod_eq, div_1_r; nzsimpl; auto using sub_diag. intro EQ; symmetry in EQ; revert EQ; apply lt_neq; apply lt_0_1. Qed. Lemma div_1_l: forall a, 1<a -> 1/a == 0. Proof. exact div_1_l. Qed. Lemma mod_1_l: forall a, 1<a -> 1 mod a == 1. Proof. exact mod_1_l. Qed. Lemma div_mul : forall a b, b~=0 -> (a*b)/b == a. Proof. intros. symmetry. apply div_unique with 0. destruct (lt_ge_cases 0 b); [left|right]; split; order. nzsimpl; apply mul_comm. Qed. Lemma mod_mul : forall a b, b~=0 -> (a*b) mod b == 0. Proof. intros. rewrite mod_eq, div_mul by trivial. rewrite mul_comm; apply sub_diag. Qed. Theorem div_unique_exact a b q: b~=0 -> a == b*q -> q == a/b. Proof. intros Hb H. rewrite H, mul_comm. symmetry. now apply div_mul. Qed. (** * Order results about mod and div *) (** A modulo cannot grow beyond its starting point. *) Theorem mod_le: forall a b, 0<=a -> 0<b -> a mod b <= a. Proof. exact mod_le. Qed. Theorem div_pos : forall a b, 0<=a -> 0<b -> 0<= a/b. Proof. exact div_pos. Qed. Lemma div_str_pos : forall a b, 0<b<=a -> 0 < a/b. Proof. exact div_str_pos. Qed. Lemma div_small_iff : forall a b, b~=0 -> (a/b==0 <-> 0<=a<b \/ b<a<=0). Proof. intros a b Hb. split. intros EQ. rewrite (div_mod a b Hb), EQ; nzsimpl. now apply mod_bound_or. destruct 1. now apply div_small. rewrite <- div_opp_opp by trivial. apply div_small; trivial. rewrite <- opp_lt_mono, opp_nonneg_nonpos; tauto. Qed. Lemma mod_small_iff : forall a b, b~=0 -> (a mod b == a <-> 0<=a<b \/ b<a<=0). Proof. intros. rewrite <- div_small_iff, mod_eq by trivial. rewrite sub_move_r, <- (add_0_r a) at 1. rewrite add_cancel_l. rewrite eq_sym_iff, eq_mul_0. tauto. Qed. (** As soon as the divisor is strictly greater than 1, the division is strictly decreasing. *) Lemma div_lt : forall a b, 0<a -> 1<b -> a/b < a. Proof. exact div_lt. Qed. (** [le] is compatible with a positive division. *) Lemma div_le_mono : forall a b c, 0<c -> a<=b -> a/c <= b/c. Proof. intros a b c Hc Hab. rewrite lt_eq_cases in Hab. destruct Hab as [LT|EQ]; [|rewrite EQ; order]. rewrite <- lt_succ_r. rewrite (mul_lt_mono_pos_l c) by order. nzsimpl. rewrite (add_lt_mono_r _ _ (a mod c)). rewrite <- div_mod by order. apply lt_le_trans with b; trivial. rewrite (div_mod b c) at 1 by order. rewrite <- add_assoc, <- add_le_mono_l. apply le_trans with (c+0). nzsimpl; destruct (mod_pos_bound b c); order. rewrite <- add_le_mono_l. destruct (mod_pos_bound a c); order. Qed. (** In this convention, [div] performs Rounding-Toward-Bottom. Since we cannot speak of rational values here, we express this fact by multiplying back by [b], and this leads to separates statements according to the sign of [b]. First, [a/b] is below the exact fraction ... *) Lemma mul_div_le : forall a b, 0<b -> b*(a/b) <= a. Proof. intros. rewrite (div_mod a b) at 2; try order. rewrite <- (add_0_r (b*(a/b))) at 1. rewrite <- add_le_mono_l. now destruct (mod_pos_bound a b). Qed. Lemma mul_div_ge : forall a b, b<0 -> a <= b*(a/b). Proof. intros. rewrite <- div_opp_opp, opp_le_mono, <-mul_opp_l by order. apply mul_div_le. now rewrite opp_pos_neg. Qed. (** ... and moreover it is the larger such integer, since [S(a/b)] is strictly above the exact fraction. *) Lemma mul_succ_div_gt: forall a b, 0<b -> a < b*(S (a/b)). Proof. intros. nzsimpl. rewrite (div_mod a b) at 1; try order. rewrite <- add_lt_mono_l. destruct (mod_pos_bound a b); order. Qed. Lemma mul_succ_div_lt: forall a b, b<0 -> b*(S (a/b)) < a. Proof. intros. rewrite <- div_opp_opp, opp_lt_mono, <-mul_opp_l by order. apply mul_succ_div_gt. now rewrite opp_pos_neg. Qed. (** NB: The four previous properties could be used as specifications for [div]. *) (** Inequality [mul_div_le] is exact iff the modulo is zero. *) Lemma div_exact : forall a b, b~=0 -> (a == b*(a/b) <-> a mod b == 0). Proof. intros. rewrite (div_mod a b) at 1; try order. rewrite <- (add_0_r (b*(a/b))) at 2. apply add_cancel_l. Qed. (** Some additionnal inequalities about div. *) Theorem div_lt_upper_bound: forall a b q, 0<b -> a < b*q -> a/b < q. Proof. intros. rewrite (mul_lt_mono_pos_l b) by trivial. apply le_lt_trans with a; trivial. now apply mul_div_le. Qed. Theorem div_le_upper_bound: forall a b q, 0<b -> a <= b*q -> a/b <= q. Proof. intros. rewrite <- (div_mul q b) by order. apply div_le_mono; trivial. now rewrite mul_comm. Qed. Theorem div_le_lower_bound: forall a b q, 0<b -> b*q <= a -> q <= a/b. Proof. intros. rewrite <- (div_mul q b) by order. apply div_le_mono; trivial. now rewrite mul_comm. Qed. (** A division respects opposite monotonicity for the divisor *) Lemma div_le_compat_l: forall p q r, 0<=p -> 0<q<=r -> p/r <= p/q. Proof. exact div_le_compat_l. Qed. (** * Relations between usual operations and mod and div *) Lemma mod_add : forall a b c, c~=0 -> (a + b * c) mod c == a mod c. Proof. intros. symmetry. apply mod_unique with (a/c+b); trivial. now apply mod_bound_or. rewrite mul_add_distr_l, add_shuffle0, <- div_mod by order. now rewrite mul_comm. Qed. Lemma div_add : forall a b c, c~=0 -> (a + b * c) / c == a / c + b. Proof. intros. apply (mul_cancel_l _ _ c); try order. apply (add_cancel_r _ _ ((a+b*c) mod c)). rewrite <- div_mod, mod_add by order. rewrite mul_add_distr_l, add_shuffle0, <- div_mod by order. now rewrite mul_comm. Qed. Lemma div_add_l: forall a b c, b~=0 -> (a * b + c) / b == a + c / b. Proof. intros a b c. rewrite (add_comm _ c), (add_comm a). now apply div_add. Qed. (** Cancellations. *) Lemma div_mul_cancel_r : forall a b c, b~=0 -> c~=0 -> (a*c)/(b*c) == a/b. Proof. intros. symmetry. apply div_unique with ((a mod b)*c). (* ineqs *) destruct (lt_ge_cases 0 c). rewrite <-(mul_0_l c), <-2mul_lt_mono_pos_r, <-2mul_le_mono_pos_r by trivial. now apply mod_bound_or. rewrite <-(mul_0_l c), <-2mul_lt_mono_neg_r, <-2mul_le_mono_neg_r by order. destruct (mod_bound_or a b); tauto. (* equation *) rewrite (div_mod a b) at 1 by order. rewrite mul_add_distr_r. rewrite add_cancel_r. rewrite <- 2 mul_assoc. now rewrite (mul_comm c). Qed. Lemma div_mul_cancel_l : forall a b c, b~=0 -> c~=0 -> (c*a)/(c*b) == a/b. Proof. intros. rewrite !(mul_comm c); now apply div_mul_cancel_r. Qed. Lemma mul_mod_distr_l: forall a b c, b~=0 -> c~=0 -> (c*a) mod (c*b) == c * (a mod b). Proof. intros. rewrite <- (add_cancel_l _ _ ((c*b)* ((c*a)/(c*b)))). rewrite <- div_mod. rewrite div_mul_cancel_l by trivial. rewrite <- mul_assoc, <- mul_add_distr_l, mul_cancel_l by order. apply div_mod; order. rewrite <- neq_mul_0; auto. Qed. Lemma mul_mod_distr_r: forall a b c, b~=0 -> c~=0 -> (a*c) mod (b*c) == (a mod b) * c. Proof. intros. rewrite !(mul_comm _ c); now rewrite mul_mod_distr_l. Qed. (** Operations modulo. *) Theorem mod_mod: forall a n, n~=0 -> (a mod n) mod n == a mod n. Proof. intros. rewrite mod_small_iff by trivial. now apply mod_bound_or. Qed. Lemma mul_mod_idemp_l : forall a b n, n~=0 -> ((a mod n)*b) mod n == (a*b) mod n. Proof. intros a b n Hn. symmetry. rewrite (div_mod a n) at 1 by order. rewrite add_comm, (mul_comm n), (mul_comm _ b). rewrite mul_add_distr_l, mul_assoc. intros. rewrite mod_add by trivial. now rewrite mul_comm. Qed. Lemma mul_mod_idemp_r : forall a b n, n~=0 -> (a*(b mod n)) mod n == (a*b) mod n. Proof. intros. rewrite !(mul_comm a). now apply mul_mod_idemp_l. Qed. Theorem mul_mod: forall a b n, n~=0 -> (a * b) mod n == ((a mod n) * (b mod n)) mod n. Proof. intros. now rewrite mul_mod_idemp_l, mul_mod_idemp_r. Qed. Lemma add_mod_idemp_l : forall a b n, n~=0 -> ((a mod n)+b) mod n == (a+b) mod n. Proof. intros a b n Hn. symmetry. rewrite (div_mod a n) at 1 by order. rewrite <- add_assoc, add_comm, mul_comm. intros. now rewrite mod_add. Qed. Lemma add_mod_idemp_r : forall a b n, n~=0 -> (a+(b mod n)) mod n == (a+b) mod n. Proof. intros. rewrite !(add_comm a). now apply add_mod_idemp_l. Qed. Theorem add_mod: forall a b n, n~=0 -> (a+b) mod n == (a mod n + b mod n) mod n. Proof. intros. now rewrite add_mod_idemp_l, add_mod_idemp_r. Qed. (** With the current convention, the following result isn't always true with a negative last divisor. For instance [ 3/(-2)/(-2) = 1 <> 0 = 3 / (-2*-2) ], or [ 5/2/(-2) = -1 <> -2 = 5 / (2*-2) ]. *) Lemma div_div : forall a b c, b~=0 -> 0<c -> (a/b)/c == a/(b*c). Proof. intros a b c Hb Hc. apply div_unique with (b*((a/b) mod c) + a mod b). (* begin 0<= ... <b*c \/ ... *) apply neg_pos_cases in Hb. destruct Hb as [Hb|Hb]. right. destruct (mod_pos_bound (a/b) c), (mod_neg_bound a b); trivial. split. apply le_lt_trans with (b*((a/b) mod c) + b). now rewrite <- mul_succ_r, <- mul_le_mono_neg_l, le_succ_l. now rewrite <- add_lt_mono_l. apply add_nonpos_nonpos; trivial. apply mul_nonpos_nonneg; order. left. destruct (mod_pos_bound (a/b) c), (mod_pos_bound a b); trivial. split. apply add_nonneg_nonneg; trivial. apply mul_nonneg_nonneg; order. apply lt_le_trans with (b*((a/b) mod c) + b). now rewrite <- add_lt_mono_l. now rewrite <- mul_succ_r, <- mul_le_mono_pos_l, le_succ_l. (* end 0<= ... < b*c \/ ... *) rewrite (div_mod a b) at 1 by order. rewrite add_assoc, add_cancel_r. rewrite <- mul_assoc, <- mul_add_distr_l, mul_cancel_l by order. apply div_mod; order. Qed. (** Similarly, the following result doesn't always hold when [c<0]. For instance [3 mod (-2*-2)) = 3] while [3 mod (-2) + (-2)*((3/-2) mod -2) = -1]. *) Lemma rem_mul_r : forall a b c, b~=0 -> 0<c -> a mod (b*c) == a mod b + b*((a/b) mod c). Proof. intros a b c Hb Hc. apply add_cancel_l with (b*c*(a/(b*c))). rewrite <- div_mod by (apply neq_mul_0; split; order). rewrite <- div_div by trivial. rewrite add_assoc, add_shuffle0, <- mul_assoc, <- mul_add_distr_l. rewrite <- div_mod by order. apply div_mod; order. Qed. (** A last inequality: *) Theorem div_mul_le: forall a b c, 0<=a -> 0<b -> 0<=c -> c*(a/b) <= (c*a)/b. Proof. exact div_mul_le. Qed. End ZDivProp.
(************************************************************************) (* v * The Coq Proof Assistant / The Coq Development Team *) (* <O___,, * INRIA - CNRS - LIX - LRI - PPS - Copyright 1999-2015 *) (* \VV/ **************************************************************) (* // * This file is distributed under the terms of the *) (* * GNU Lesser General Public License Version 2.1 *) (************************************************************************) Require Import ZAxioms ZMulOrder ZSgnAbs NZDiv. (** * Euclidean Division for integers (Floor convention) We use here the convention known as Floor, or Round-Toward-Bottom, where [a/b] is the closest integer below the exact fraction. It can be summarized by: [a = bq+r /\ 0 <= |r| < |b| /\ Sign(r) = Sign(b)] This is the convention followed historically by [Z.div] in Coq, and corresponds to convention "F" in the following paper: R. Boute, "The Euclidean definition of the functions div and mod", ACM Transactions on Programming Languages and Systems, Vol. 14, No.2, pp. 127-144, April 1992. See files [ZDivTrunc] and [ZDivEucl] for others conventions. *) Module Type ZDivProp (Import A : ZAxiomsSig') (Import B : ZMulOrderProp A) (Import C : ZSgnAbsProp A B). (** We benefit from what already exists for NZ *) Module Import Private_NZDiv := Nop <+ NZDivProp A A B. (** Another formulation of the main equation *) Lemma mod_eq : forall a b, b~=0 -> a mod b == a - b*(a/b). Proof. intros. rewrite <- add_move_l. symmetry. now apply div_mod. Qed. (** We have a general bound for absolute values *) Lemma mod_bound_abs : forall a b, b~=0 -> abs (a mod b) < abs b. Proof. intros. destruct (abs_spec b) as [(LE,EQ)|(LE,EQ)]; rewrite EQ. destruct (mod_pos_bound a b). order. now rewrite abs_eq. destruct (mod_neg_bound a b). order. rewrite abs_neq; trivial. now rewrite <- opp_lt_mono. Qed. (** Uniqueness theorems *) Theorem div_mod_unique : forall b q1 q2 r1 r2 : t, (0<=r1<b \/ b<r1<=0) -> (0<=r2<b \/ b<r2<=0) -> b*q1+r1 == b*q2+r2 -> q1 == q2 /\ r1 == r2. Proof. intros b q1 q2 r1 r2 Hr1 Hr2 EQ. destruct Hr1; destruct Hr2; try (intuition; order). apply div_mod_unique with b; trivial. rewrite <- (opp_inj_wd r1 r2). apply div_mod_unique with (-b); trivial. rewrite <- opp_lt_mono, opp_nonneg_nonpos; tauto. rewrite <- opp_lt_mono, opp_nonneg_nonpos; tauto. now rewrite 2 mul_opp_l, <- 2 opp_add_distr, opp_inj_wd. Qed. Theorem div_unique: forall a b q r, (0<=r<b \/ b<r<=0) -> a == b*q + r -> q == a/b. Proof. intros a b q r Hr EQ. assert (Hb : b~=0) by (destruct Hr; intuition; order). destruct (div_mod_unique b q (a/b) r (a mod b)); trivial. destruct Hr; [left; apply mod_pos_bound|right; apply mod_neg_bound]; intuition order. now rewrite <- div_mod. Qed. Theorem div_unique_pos: forall a b q r, 0<=r<b -> a == b*q + r -> q == a/b. Proof. intros; apply div_unique with r; auto. Qed. Theorem div_unique_neg: forall a b q r, b<r<=0 -> a == b*q + r -> q == a/b. Proof. intros; apply div_unique with r; auto. Qed. Theorem mod_unique: forall a b q r, (0<=r<b \/ b<r<=0) -> a == b*q + r -> r == a mod b. Proof. intros a b q r Hr EQ. assert (Hb : b~=0) by (destruct Hr; intuition; order). destruct (div_mod_unique b q (a/b) r (a mod b)); trivial. destruct Hr; [left; apply mod_pos_bound|right; apply mod_neg_bound]; intuition order. now rewrite <- div_mod. Qed. Theorem mod_unique_pos: forall a b q r, 0<=r<b -> a == b*q + r -> r == a mod b. Proof. intros; apply mod_unique with q; auto. Qed. Theorem mod_unique_neg: forall a b q r, b<r<=0 -> a == b*q + r -> r == a mod b. Proof. intros; apply mod_unique with q; auto. Qed. (** Sign rules *) Ltac pos_or_neg a := let LT := fresh "LT" in let LE := fresh "LE" in destruct (le_gt_cases 0 a) as [LE|LT]; [|rewrite <- opp_pos_neg in LT]. Fact mod_bound_or : forall a b, b~=0 -> 0<=a mod b<b \/ b<a mod b<=0. Proof. intros. destruct (lt_ge_cases 0 b); [left|right]. apply mod_pos_bound; trivial. apply mod_neg_bound; order. Qed. Fact opp_mod_bound_or : forall a b, b~=0 -> 0 <= -(a mod b) < -b \/ -b < -(a mod b) <= 0. Proof. intros. destruct (lt_ge_cases 0 b); [right|left]. rewrite <- opp_lt_mono, opp_nonpos_nonneg. destruct (mod_pos_bound a b); intuition; order. rewrite <- opp_lt_mono, opp_nonneg_nonpos. destruct (mod_neg_bound a b); intuition; order. Qed. Lemma div_opp_opp : forall a b, b~=0 -> -a/-b == a/b. Proof. intros. symmetry. apply div_unique with (- (a mod b)). now apply opp_mod_bound_or. rewrite mul_opp_l, <- opp_add_distr, <- div_mod; order. Qed. Lemma mod_opp_opp : forall a b, b~=0 -> (-a) mod (-b) == - (a mod b). Proof. intros. symmetry. apply mod_unique with (a/b). now apply opp_mod_bound_or. rewrite mul_opp_l, <- opp_add_distr, <- div_mod; order. Qed. (** With the current conventions, the other sign rules are rather complex. *) Lemma div_opp_l_z : forall a b, b~=0 -> a mod b == 0 -> (-a)/b == -(a/b). Proof. intros a b Hb H. symmetry. apply div_unique with 0. destruct (lt_ge_cases 0 b); [left|right]; intuition; order. rewrite <- opp_0, <- H. rewrite mul_opp_r, <- opp_add_distr, <- div_mod; order. Qed. Lemma div_opp_l_nz : forall a b, b~=0 -> a mod b ~= 0 -> (-a)/b == -(a/b)-1. Proof. intros a b Hb H. symmetry. apply div_unique with (b - a mod b). destruct (lt_ge_cases 0 b); [left|right]. rewrite le_0_sub. rewrite <- (sub_0_r b) at 5. rewrite <- sub_lt_mono_l. destruct (mod_pos_bound a b); intuition; order. rewrite le_sub_0. rewrite <- (sub_0_r b) at 1. rewrite <- sub_lt_mono_l. destruct (mod_neg_bound a b); intuition; order. rewrite <- (add_opp_r b), mul_sub_distr_l, mul_1_r, sub_add_simpl_r_l. rewrite mul_opp_r, <-opp_add_distr, <-div_mod; order. Qed. Lemma mod_opp_l_z : forall a b, b~=0 -> a mod b == 0 -> (-a) mod b == 0. Proof. intros a b Hb H. symmetry. apply mod_unique with (-(a/b)). destruct (lt_ge_cases 0 b); [left|right]; intuition; order. rewrite <- opp_0, <- H. rewrite mul_opp_r, <- opp_add_distr, <- div_mod; order. Qed. Lemma mod_opp_l_nz : forall a b, b~=0 -> a mod b ~= 0 -> (-a) mod b == b - a mod b. Proof. intros a b Hb H. symmetry. apply mod_unique with (-(a/b)-1). destruct (lt_ge_cases 0 b); [left|right]. rewrite le_0_sub. rewrite <- (sub_0_r b) at 5. rewrite <- sub_lt_mono_l. destruct (mod_pos_bound a b); intuition; order. rewrite le_sub_0. rewrite <- (sub_0_r b) at 1. rewrite <- sub_lt_mono_l. destruct (mod_neg_bound a b); intuition; order. rewrite <- (add_opp_r b), mul_sub_distr_l, mul_1_r, sub_add_simpl_r_l. rewrite mul_opp_r, <-opp_add_distr, <-div_mod; order. Qed. Lemma div_opp_r_z : forall a b, b~=0 -> a mod b == 0 -> a/(-b) == -(a/b). Proof. intros. rewrite <- (opp_involutive a) at 1. rewrite div_opp_opp; auto using div_opp_l_z. Qed. Lemma div_opp_r_nz : forall a b, b~=0 -> a mod b ~= 0 -> a/(-b) == -(a/b)-1. Proof. intros. rewrite <- (opp_involutive a) at 1. rewrite div_opp_opp; auto using div_opp_l_nz. Qed. Lemma mod_opp_r_z : forall a b, b~=0 -> a mod b == 0 -> a mod (-b) == 0. Proof. intros. rewrite <- (opp_involutive a) at 1. now rewrite mod_opp_opp, mod_opp_l_z, opp_0. Qed. Lemma mod_opp_r_nz : forall a b, b~=0 -> a mod b ~= 0 -> a mod (-b) == (a mod b) - b. Proof. intros. rewrite <- (opp_involutive a) at 1. rewrite mod_opp_opp, mod_opp_l_nz by trivial. now rewrite opp_sub_distr, add_comm, add_opp_r. Qed. (** The sign of [a mod b] is the one of [b] (when it isn't null) *) Lemma mod_sign_nz : forall a b, b~=0 -> a mod b ~= 0 -> sgn (a mod b) == sgn b. Proof. intros a b Hb H. destruct (lt_ge_cases 0 b) as [Hb'|Hb']. destruct (mod_pos_bound a b Hb'). rewrite 2 sgn_pos; order. destruct (mod_neg_bound a b). order. rewrite 2 sgn_neg; order. Qed. Lemma mod_sign : forall a b, b~=0 -> sgn (a mod b) ~= -sgn b. Proof. intros a b Hb H. destruct (eq_decidable (a mod b) 0) as [EQ|NEQ]. apply Hb, sgn_null_iff, opp_inj. now rewrite <- H, opp_0, EQ, sgn_0. apply Hb, sgn_null_iff. apply eq_mul_0_l with 2; try order'. nzsimpl'. apply add_move_0_l. rewrite <- H. symmetry. now apply mod_sign_nz. Qed. Lemma mod_sign_mul : forall a b, b~=0 -> 0 <= (a mod b) * b. Proof. intros. destruct (lt_ge_cases 0 b). apply mul_nonneg_nonneg; destruct (mod_pos_bound a b); order. apply mul_nonpos_nonpos; destruct (mod_neg_bound a b); order. Qed. (** A division by itself returns 1 *) Lemma div_same : forall a, a~=0 -> a/a == 1. Proof. intros. pos_or_neg a. apply div_same; order. rewrite <- div_opp_opp by trivial. now apply div_same. Qed. Lemma mod_same : forall a, a~=0 -> a mod a == 0. Proof. intros. rewrite mod_eq, div_same by trivial. nzsimpl. apply sub_diag. Qed. (** A division of a small number by a bigger one yields zero. *) Theorem div_small: forall a b, 0<=a<b -> a/b == 0. Proof. exact div_small. Qed. (** Same situation, in term of modulo: *) Theorem mod_small: forall a b, 0<=a<b -> a mod b == a. Proof. exact mod_small. Qed. (** * Basic values of divisions and modulo. *) Lemma div_0_l: forall a, a~=0 -> 0/a == 0. Proof. intros. pos_or_neg a. apply div_0_l; order. rewrite <- div_opp_opp, opp_0 by trivial. now apply div_0_l. Qed. Lemma mod_0_l: forall a, a~=0 -> 0 mod a == 0. Proof. intros; rewrite mod_eq, div_0_l; now nzsimpl. Qed. Lemma div_1_r: forall a, a/1 == a. Proof. intros. symmetry. apply div_unique with 0. left. split; order || apply lt_0_1. now nzsimpl. Qed. Lemma mod_1_r: forall a, a mod 1 == 0. Proof. intros. rewrite mod_eq, div_1_r; nzsimpl; auto using sub_diag. intro EQ; symmetry in EQ; revert EQ; apply lt_neq; apply lt_0_1. Qed. Lemma div_1_l: forall a, 1<a -> 1/a == 0. Proof. exact div_1_l. Qed. Lemma mod_1_l: forall a, 1<a -> 1 mod a == 1. Proof. exact mod_1_l. Qed. Lemma div_mul : forall a b, b~=0 -> (a*b)/b == a. Proof. intros. symmetry. apply div_unique with 0. destruct (lt_ge_cases 0 b); [left|right]; split; order. nzsimpl; apply mul_comm. Qed. Lemma mod_mul : forall a b, b~=0 -> (a*b) mod b == 0. Proof. intros. rewrite mod_eq, div_mul by trivial. rewrite mul_comm; apply sub_diag. Qed. Theorem div_unique_exact a b q: b~=0 -> a == b*q -> q == a/b. Proof. intros Hb H. rewrite H, mul_comm. symmetry. now apply div_mul. Qed. (** * Order results about mod and div *) (** A modulo cannot grow beyond its starting point. *) Theorem mod_le: forall a b, 0<=a -> 0<b -> a mod b <= a. Proof. exact mod_le. Qed. Theorem div_pos : forall a b, 0<=a -> 0<b -> 0<= a/b. Proof. exact div_pos. Qed. Lemma div_str_pos : forall a b, 0<b<=a -> 0 < a/b. Proof. exact div_str_pos. Qed. Lemma div_small_iff : forall a b, b~=0 -> (a/b==0 <-> 0<=a<b \/ b<a<=0). Proof. intros a b Hb. split. intros EQ. rewrite (div_mod a b Hb), EQ; nzsimpl. now apply mod_bound_or. destruct 1. now apply div_small. rewrite <- div_opp_opp by trivial. apply div_small; trivial. rewrite <- opp_lt_mono, opp_nonneg_nonpos; tauto. Qed. Lemma mod_small_iff : forall a b, b~=0 -> (a mod b == a <-> 0<=a<b \/ b<a<=0). Proof. intros. rewrite <- div_small_iff, mod_eq by trivial. rewrite sub_move_r, <- (add_0_r a) at 1. rewrite add_cancel_l. rewrite eq_sym_iff, eq_mul_0. tauto. Qed. (** As soon as the divisor is strictly greater than 1, the division is strictly decreasing. *) Lemma div_lt : forall a b, 0<a -> 1<b -> a/b < a. Proof. exact div_lt. Qed. (** [le] is compatible with a positive division. *) Lemma div_le_mono : forall a b c, 0<c -> a<=b -> a/c <= b/c. Proof. intros a b c Hc Hab. rewrite lt_eq_cases in Hab. destruct Hab as [LT|EQ]; [|rewrite EQ; order]. rewrite <- lt_succ_r. rewrite (mul_lt_mono_pos_l c) by order. nzsimpl. rewrite (add_lt_mono_r _ _ (a mod c)). rewrite <- div_mod by order. apply lt_le_trans with b; trivial. rewrite (div_mod b c) at 1 by order. rewrite <- add_assoc, <- add_le_mono_l. apply le_trans with (c+0). nzsimpl; destruct (mod_pos_bound b c); order. rewrite <- add_le_mono_l. destruct (mod_pos_bound a c); order. Qed. (** In this convention, [div] performs Rounding-Toward-Bottom. Since we cannot speak of rational values here, we express this fact by multiplying back by [b], and this leads to separates statements according to the sign of [b]. First, [a/b] is below the exact fraction ... *) Lemma mul_div_le : forall a b, 0<b -> b*(a/b) <= a. Proof. intros. rewrite (div_mod a b) at 2; try order. rewrite <- (add_0_r (b*(a/b))) at 1. rewrite <- add_le_mono_l. now destruct (mod_pos_bound a b). Qed. Lemma mul_div_ge : forall a b, b<0 -> a <= b*(a/b). Proof. intros. rewrite <- div_opp_opp, opp_le_mono, <-mul_opp_l by order. apply mul_div_le. now rewrite opp_pos_neg. Qed. (** ... and moreover it is the larger such integer, since [S(a/b)] is strictly above the exact fraction. *) Lemma mul_succ_div_gt: forall a b, 0<b -> a < b*(S (a/b)). Proof. intros. nzsimpl. rewrite (div_mod a b) at 1; try order. rewrite <- add_lt_mono_l. destruct (mod_pos_bound a b); order. Qed. Lemma mul_succ_div_lt: forall a b, b<0 -> b*(S (a/b)) < a. Proof. intros. rewrite <- div_opp_opp, opp_lt_mono, <-mul_opp_l by order. apply mul_succ_div_gt. now rewrite opp_pos_neg. Qed. (** NB: The four previous properties could be used as specifications for [div]. *) (** Inequality [mul_div_le] is exact iff the modulo is zero. *) Lemma div_exact : forall a b, b~=0 -> (a == b*(a/b) <-> a mod b == 0). Proof. intros. rewrite (div_mod a b) at 1; try order. rewrite <- (add_0_r (b*(a/b))) at 2. apply add_cancel_l. Qed. (** Some additionnal inequalities about div. *) Theorem div_lt_upper_bound: forall a b q, 0<b -> a < b*q -> a/b < q. Proof. intros. rewrite (mul_lt_mono_pos_l b) by trivial. apply le_lt_trans with a; trivial. now apply mul_div_le. Qed. Theorem div_le_upper_bound: forall a b q, 0<b -> a <= b*q -> a/b <= q. Proof. intros. rewrite <- (div_mul q b) by order. apply div_le_mono; trivial. now rewrite mul_comm. Qed. Theorem div_le_lower_bound: forall a b q, 0<b -> b*q <= a -> q <= a/b. Proof. intros. rewrite <- (div_mul q b) by order. apply div_le_mono; trivial. now rewrite mul_comm. Qed. (** A division respects opposite monotonicity for the divisor *) Lemma div_le_compat_l: forall p q r, 0<=p -> 0<q<=r -> p/r <= p/q. Proof. exact div_le_compat_l. Qed. (** * Relations between usual operations and mod and div *) Lemma mod_add : forall a b c, c~=0 -> (a + b * c) mod c == a mod c. Proof. intros. symmetry. apply mod_unique with (a/c+b); trivial. now apply mod_bound_or. rewrite mul_add_distr_l, add_shuffle0, <- div_mod by order. now rewrite mul_comm. Qed. Lemma div_add : forall a b c, c~=0 -> (a + b * c) / c == a / c + b. Proof. intros. apply (mul_cancel_l _ _ c); try order. apply (add_cancel_r _ _ ((a+b*c) mod c)). rewrite <- div_mod, mod_add by order. rewrite mul_add_distr_l, add_shuffle0, <- div_mod by order. now rewrite mul_comm. Qed. Lemma div_add_l: forall a b c, b~=0 -> (a * b + c) / b == a + c / b. Proof. intros a b c. rewrite (add_comm _ c), (add_comm a). now apply div_add. Qed. (** Cancellations. *) Lemma div_mul_cancel_r : forall a b c, b~=0 -> c~=0 -> (a*c)/(b*c) == a/b. Proof. intros. symmetry. apply div_unique with ((a mod b)*c). (* ineqs *) destruct (lt_ge_cases 0 c). rewrite <-(mul_0_l c), <-2mul_lt_mono_pos_r, <-2mul_le_mono_pos_r by trivial. now apply mod_bound_or. rewrite <-(mul_0_l c), <-2mul_lt_mono_neg_r, <-2mul_le_mono_neg_r by order. destruct (mod_bound_or a b); tauto. (* equation *) rewrite (div_mod a b) at 1 by order. rewrite mul_add_distr_r. rewrite add_cancel_r. rewrite <- 2 mul_assoc. now rewrite (mul_comm c). Qed. Lemma div_mul_cancel_l : forall a b c, b~=0 -> c~=0 -> (c*a)/(c*b) == a/b. Proof. intros. rewrite !(mul_comm c); now apply div_mul_cancel_r. Qed. Lemma mul_mod_distr_l: forall a b c, b~=0 -> c~=0 -> (c*a) mod (c*b) == c * (a mod b). Proof. intros. rewrite <- (add_cancel_l _ _ ((c*b)* ((c*a)/(c*b)))). rewrite <- div_mod. rewrite div_mul_cancel_l by trivial. rewrite <- mul_assoc, <- mul_add_distr_l, mul_cancel_l by order. apply div_mod; order. rewrite <- neq_mul_0; auto. Qed. Lemma mul_mod_distr_r: forall a b c, b~=0 -> c~=0 -> (a*c) mod (b*c) == (a mod b) * c. Proof. intros. rewrite !(mul_comm _ c); now rewrite mul_mod_distr_l. Qed. (** Operations modulo. *) Theorem mod_mod: forall a n, n~=0 -> (a mod n) mod n == a mod n. Proof. intros. rewrite mod_small_iff by trivial. now apply mod_bound_or. Qed. Lemma mul_mod_idemp_l : forall a b n, n~=0 -> ((a mod n)*b) mod n == (a*b) mod n. Proof. intros a b n Hn. symmetry. rewrite (div_mod a n) at 1 by order. rewrite add_comm, (mul_comm n), (mul_comm _ b). rewrite mul_add_distr_l, mul_assoc. intros. rewrite mod_add by trivial. now rewrite mul_comm. Qed. Lemma mul_mod_idemp_r : forall a b n, n~=0 -> (a*(b mod n)) mod n == (a*b) mod n. Proof. intros. rewrite !(mul_comm a). now apply mul_mod_idemp_l. Qed. Theorem mul_mod: forall a b n, n~=0 -> (a * b) mod n == ((a mod n) * (b mod n)) mod n. Proof. intros. now rewrite mul_mod_idemp_l, mul_mod_idemp_r. Qed. Lemma add_mod_idemp_l : forall a b n, n~=0 -> ((a mod n)+b) mod n == (a+b) mod n. Proof. intros a b n Hn. symmetry. rewrite (div_mod a n) at 1 by order. rewrite <- add_assoc, add_comm, mul_comm. intros. now rewrite mod_add. Qed. Lemma add_mod_idemp_r : forall a b n, n~=0 -> (a+(b mod n)) mod n == (a+b) mod n. Proof. intros. rewrite !(add_comm a). now apply add_mod_idemp_l. Qed. Theorem add_mod: forall a b n, n~=0 -> (a+b) mod n == (a mod n + b mod n) mod n. Proof. intros. now rewrite add_mod_idemp_l, add_mod_idemp_r. Qed. (** With the current convention, the following result isn't always true with a negative last divisor. For instance [ 3/(-2)/(-2) = 1 <> 0 = 3 / (-2*-2) ], or [ 5/2/(-2) = -1 <> -2 = 5 / (2*-2) ]. *) Lemma div_div : forall a b c, b~=0 -> 0<c -> (a/b)/c == a/(b*c). Proof. intros a b c Hb Hc. apply div_unique with (b*((a/b) mod c) + a mod b). (* begin 0<= ... <b*c \/ ... *) apply neg_pos_cases in Hb. destruct Hb as [Hb|Hb]. right. destruct (mod_pos_bound (a/b) c), (mod_neg_bound a b); trivial. split. apply le_lt_trans with (b*((a/b) mod c) + b). now rewrite <- mul_succ_r, <- mul_le_mono_neg_l, le_succ_l. now rewrite <- add_lt_mono_l. apply add_nonpos_nonpos; trivial. apply mul_nonpos_nonneg; order. left. destruct (mod_pos_bound (a/b) c), (mod_pos_bound a b); trivial. split. apply add_nonneg_nonneg; trivial. apply mul_nonneg_nonneg; order. apply lt_le_trans with (b*((a/b) mod c) + b). now rewrite <- add_lt_mono_l. now rewrite <- mul_succ_r, <- mul_le_mono_pos_l, le_succ_l. (* end 0<= ... < b*c \/ ... *) rewrite (div_mod a b) at 1 by order. rewrite add_assoc, add_cancel_r. rewrite <- mul_assoc, <- mul_add_distr_l, mul_cancel_l by order. apply div_mod; order. Qed. (** Similarly, the following result doesn't always hold when [c<0]. For instance [3 mod (-2*-2)) = 3] while [3 mod (-2) + (-2)*((3/-2) mod -2) = -1]. *) Lemma rem_mul_r : forall a b c, b~=0 -> 0<c -> a mod (b*c) == a mod b + b*((a/b) mod c). Proof. intros a b c Hb Hc. apply add_cancel_l with (b*c*(a/(b*c))). rewrite <- div_mod by (apply neq_mul_0; split; order). rewrite <- div_div by trivial. rewrite add_assoc, add_shuffle0, <- mul_assoc, <- mul_add_distr_l. rewrite <- div_mod by order. apply div_mod; order. Qed. (** A last inequality: *) Theorem div_mul_le: forall a b c, 0<=a -> 0<b -> 0<=c -> c*(a/b) <= (c*a)/b. Proof. exact div_mul_le. Qed. End ZDivProp.
////////////////////////////////////////////////////////////////////////////////// // d_KES_PE_DC_NMLodr.v for Cosmos OpenSSD // Copyright (c) 2015 Hanyang University ENC Lab. // Contributed by Jinwoo Jeong <[email protected]> // Ilyong Jung <[email protected]> // Yong Ho Song <[email protected]> // // This file is part of Cosmos OpenSSD. // // Cosmos OpenSSD is free software; you can redistribute it and/or modify // it under the terms of the GNU General Public License as published by // the Free Software Foundation; either version 3, or (at your option) // any later version. // // Cosmos OpenSSD is distributed in the hope that it will be useful, // but WITHOUT ANY WARRANTY; without even the implied warranty of // MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. // See the GNU General Public License for more details. // // You should have received a copy of the GNU General Public License // along with Cosmos OpenSSD; see the file COPYING. // If not, see <http://www.gnu.org/licenses/>. ////////////////////////////////////////////////////////////////////////////////// ////////////////////////////////////////////////////////////////////////////////// // Company: ENC Lab. <http://enc.hanyang.ac.kr> // Engineer: Jinwoo Jeong <[email protected]> // Ilyong Jung <[email protected]> // // Project Name: Cosmos OpenSSD // Design Name: BCH Page Decoder // Module Name: d_KES_PE_DC_NMLodr // File Name: d_KES_PE_DC_NMLodr.v // // Version: v1.1.1-256B_T14 // // Description: // - Processing Element: Discrepancy Computation module, normal order // - for binary version of inversion-less Berlekamp-Massey algorithm (iBM.b) // - for data area ////////////////////////////////////////////////////////////////////////////////// ////////////////////////////////////////////////////////////////////////////////// // Revision History: // // * v1.1.1 // - minor modification for releasing // // * v1.1.0 // - change state machine: divide states // - insert additional registers // - improve frequency characteristic // // * v1.0.0 // - first draft ////////////////////////////////////////////////////////////////////////////////// `include "d_KES_parameters.vh" `timescale 1ns / 1ps module d_KES_PE_DC_NMLodr // discrepancy computation module: normal order ( input wire i_clk, input wire i_RESET_KES, input wire i_stop_dec, input wire i_EXECUTE_PE_DC, input wire [`D_KES_GF_ORDER-1:0] i_S_in, input wire [`D_KES_GF_ORDER-1:0] i_v_2i_X, output wire [`D_KES_GF_ORDER-1:0] o_S_out, output wire [`D_KES_GF_ORDER-1:0] o_coef_2ip1 ); parameter [11:0] D_KES_VALUE_ZERO = 12'b0000_0000_0000; parameter [11:0] D_KES_VALUE_ONE = 12'b0000_0000_0001; // FSM parameters parameter PE_DC_RST = 2'b01; // reset parameter PE_DC_INP = 2'b10; // input capture // variable declaration reg [1:0] r_cur_state; reg [1:0] r_nxt_state; reg [`D_KES_GF_ORDER-1:0] r_S_in_b; reg [`D_KES_GF_ORDER-1:0] r_v_2i_X_b; wire [`D_KES_GF_ORDER-1:0] w_coef_term; // update current state to next state always @ (posedge i_clk) begin if ((i_RESET_KES) || (i_stop_dec)) begin r_cur_state <= PE_DC_RST; end else begin r_cur_state <= r_nxt_state; end end // decide next state always @ ( * ) begin case (r_cur_state) PE_DC_RST: begin r_nxt_state <= (i_EXECUTE_PE_DC)? (PE_DC_INP):(PE_DC_RST); end PE_DC_INP: begin r_nxt_state <= PE_DC_RST; end default: begin r_nxt_state <= PE_DC_RST; end endcase end // state behaviour always @ (posedge i_clk) begin if ((i_RESET_KES) || (i_stop_dec)) begin // initializing r_S_in_b <= 0; r_v_2i_X_b <= 0; end else begin case (r_nxt_state) PE_DC_RST: begin // hold original data r_S_in_b <= r_S_in_b; r_v_2i_X_b <= r_v_2i_X_b; end PE_DC_INP: begin // input capture only r_S_in_b <= i_S_in; r_v_2i_X_b <= i_v_2i_X; end default: begin r_S_in_b <= r_S_in_b; r_v_2i_X_b <= r_v_2i_X_b; end endcase end end d_parallel_FFM_gate_GF12 d_S_in_FFM_v_2i_X ( .i_poly_form_A (r_S_in_b[`D_KES_GF_ORDER-1:0]), .i_poly_form_B (r_v_2i_X_b[`D_KES_GF_ORDER-1:0]), .o_poly_form_result(w_coef_term[`D_KES_GF_ORDER-1:0])); assign o_S_out[`D_KES_GF_ORDER-1:0] = r_S_in_b[`D_KES_GF_ORDER-1:0]; assign o_coef_2ip1 = w_coef_term; endmodule
// ---------------------------------------------------------------------- // Copyright (c) 2016, The Regents of the University of California All // rights reserved. // // Redistribution and use in source and binary forms, with or without // modification, are permitted provided that the following conditions are // met: // // * Redistributions of source code must retain the above copyright // notice, this list of conditions and the following disclaimer. // // * Redistributions in binary form must reproduce the above // copyright notice, this list of conditions and the following // disclaimer in the documentation and/or other materials provided // with the distribution. // // * Neither the name of The Regents of the University of California // nor the names of its contributors may be used to endorse or // promote products derived from this software without specific // prior written permission. // // THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS // "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT // LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR // A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL REGENTS OF THE // UNIVERSITY OF CALIFORNIA BE LIABLE FOR ANY DIRECT, INDIRECT, // INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, // BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS // OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND // ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR // TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE // USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH // DAMAGE. // ---------------------------------------------------------------------- //---------------------------------------------------------------------------- // Filename: rotate.v // Version: 1.00 // Verilog Standard: Verilog-2001 // Description: A simple module to perform to rotate the input data // Author: Dustin Richmond (@darichmond) //----------------------------------------------------------------------------- `timescale 1ns/1ns `include "functions.vh" module rotate #( parameter C_DIRECTION = "LEFT", parameter C_WIDTH = 4 ) ( input [C_WIDTH-1:0] WR_DATA, input [clog2s(C_WIDTH)-1:0] WR_SHIFTAMT, output [C_WIDTH-1:0] RD_DATA ); wire [2*C_WIDTH-1:0] wPreShiftR; wire [2*C_WIDTH-1:0] wPreShiftL; wire [2*C_WIDTH-1:0] wShiftR; wire [2*C_WIDTH-1:0] wShiftL; assign wPreShiftL = {WR_DATA,WR_DATA}; assign wPreShiftR = {WR_DATA,WR_DATA}; assign wShiftL = wPreShiftL << WR_SHIFTAMT; assign wShiftR = wPreShiftR >> WR_SHIFTAMT; generate if(C_DIRECTION == "LEFT") begin assign RD_DATA = wShiftL[2*C_WIDTH-1:C_WIDTH]; end else if (C_DIRECTION == "RIGHT") begin assign RD_DATA = wShiftR[C_WIDTH-1:0]; end endgenerate endmodule
// ---------------------------------------------------------------------- // Copyright (c) 2016, The Regents of the University of California All // rights reserved. // // Redistribution and use in source and binary forms, with or without // modification, are permitted provided that the following conditions are // met: // // * Redistributions of source code must retain the above copyright // notice, this list of conditions and the following disclaimer. // // * Redistributions in binary form must reproduce the above // copyright notice, this list of conditions and the following // disclaimer in the documentation and/or other materials provided // with the distribution. // // * Neither the name of The Regents of the University of California // nor the names of its contributors may be used to endorse or // promote products derived from this software without specific // prior written permission. // // THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS // "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT // LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR // A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL REGENTS OF THE // UNIVERSITY OF CALIFORNIA BE LIABLE FOR ANY DIRECT, INDIRECT, // INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, // BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS // OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND // ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR // TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE // USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH // DAMAGE. // ---------------------------------------------------------------------- //---------------------------------------------------------------------------- // Filename: rotate.v // Version: 1.00 // Verilog Standard: Verilog-2001 // Description: A simple module to perform to rotate the input data // Author: Dustin Richmond (@darichmond) //----------------------------------------------------------------------------- `timescale 1ns/1ns `include "functions.vh" module rotate #( parameter C_DIRECTION = "LEFT", parameter C_WIDTH = 4 ) ( input [C_WIDTH-1:0] WR_DATA, input [clog2s(C_WIDTH)-1:0] WR_SHIFTAMT, output [C_WIDTH-1:0] RD_DATA ); wire [2*C_WIDTH-1:0] wPreShiftR; wire [2*C_WIDTH-1:0] wPreShiftL; wire [2*C_WIDTH-1:0] wShiftR; wire [2*C_WIDTH-1:0] wShiftL; assign wPreShiftL = {WR_DATA,WR_DATA}; assign wPreShiftR = {WR_DATA,WR_DATA}; assign wShiftL = wPreShiftL << WR_SHIFTAMT; assign wShiftR = wPreShiftR >> WR_SHIFTAMT; generate if(C_DIRECTION == "LEFT") begin assign RD_DATA = wShiftL[2*C_WIDTH-1:C_WIDTH]; end else if (C_DIRECTION == "RIGHT") begin assign RD_DATA = wShiftR[C_WIDTH-1:0]; end endgenerate endmodule
/* * This is a post-synthesis test for the blif01a.v test. Run this * simulation in these steps: * * $ iverilog -tblif -o foo.blif blif01a.v * $ abc * abc 01> read_blif foo.blif * abc 02> write_verilog foo.v * abc 03> quit * $ iverilog -g2009 -o foo.vvp blif02a_tb.v foo.v * $ vvp foo.vvp */ module main; parameter WID = 4; reg [WID-1:0] A, B; wire QE, QN, QGT, QGE; cmpN ucmp(.\A[3] (A[3]), .\A[2] (A[2]), .\A[1] (A[1]), .\A[0] (A[0]), .\B[3] (B[3]), .\B[2] (B[2]), .\B[1] (B[1]), .\B[0] (B[0]), .QE(QE), .QN(QN), .QGT(QGT), .QGE(QGE)); int adx; int bdx; initial begin for (bdx = 0 ; bdx[WID]==0 ; bdx = bdx+1) begin for (adx = 0 ; adx[WID]==0 ; adx = adx+1) begin A <= adx[WID-1:0]; B <= bdx[WID-1:0]; #1 ; if (QE !== (adx[WID-1:0]==bdx[WID-1:0])) begin $display("FAILED -- A=%b, B=%b, QE=%b", A, B, QE); $finish; end if (QN !== (adx[WID-1:0]!=bdx[WID-1:0])) begin $display("FAILED -- A=%b, B=%b, QN=%b", A, B, QN); $finish; end if (QGT !== (adx[WID-1:0] > bdx[WID-1:0])) begin $display("FAILED -- A=%b, B=%b, QGT=%b", A, B, QGT); $finish; end if (QGE !== (adx[WID-1:0] >= bdx[WID-1:0])) begin $display("FAILED -- A=%b, B=%b, QGE=%b", A, B, QGE); $finish; end end end $display("PASSED"); end endmodule // main
/* * This is a post-synthesis test for the blif01a.v test. Run this * simulation in these steps: * * $ iverilog -tblif -o foo.blif blif01a.v * $ abc * abc 01> read_blif foo.blif * abc 02> write_verilog foo.v * abc 03> quit * $ iverilog -g2009 -o foo.vvp blif02a_tb.v foo.v * $ vvp foo.vvp */ module main; parameter WID = 4; reg [WID-1:0] A, B; wire QE, QN, QGT, QGE; cmpN ucmp(.\A[3] (A[3]), .\A[2] (A[2]), .\A[1] (A[1]), .\A[0] (A[0]), .\B[3] (B[3]), .\B[2] (B[2]), .\B[1] (B[1]), .\B[0] (B[0]), .QE(QE), .QN(QN), .QGT(QGT), .QGE(QGE)); int adx; int bdx; initial begin for (bdx = 0 ; bdx[WID]==0 ; bdx = bdx+1) begin for (adx = 0 ; adx[WID]==0 ; adx = adx+1) begin A <= adx[WID-1:0]; B <= bdx[WID-1:0]; #1 ; if (QE !== (adx[WID-1:0]==bdx[WID-1:0])) begin $display("FAILED -- A=%b, B=%b, QE=%b", A, B, QE); $finish; end if (QN !== (adx[WID-1:0]!=bdx[WID-1:0])) begin $display("FAILED -- A=%b, B=%b, QN=%b", A, B, QN); $finish; end if (QGT !== (adx[WID-1:0] > bdx[WID-1:0])) begin $display("FAILED -- A=%b, B=%b, QGT=%b", A, B, QGT); $finish; end if (QGE !== (adx[WID-1:0] >= bdx[WID-1:0])) begin $display("FAILED -- A=%b, B=%b, QGE=%b", A, B, QGE); $finish; end end end $display("PASSED"); end endmodule // main
`timescale 1ns / 1ps ////////////////////////////////////////////////////////////////////////////////// // Company: // Engineer: // // Create Date: 04/23/2016 11:26:28 AM // Design Name: // Module Name: Sgf_Multiplication // Project Name: // Target Devices: // Tool Versions: // Description: // // Dependencies: // // Revision: // Revision 0.01 - File Created // Additional Comments: // ////////////////////////////////////////////////////////////////////////////////// module Sgf_Multiplication //#(parameter SW = 24) #(parameter SW = 54) ( input wire clk, input wire rst, input wire load_b_i, input wire [SW-1:0] Data_A_i, input wire [SW-1:0] Data_B_i, output wire [2*SW-1:0] sgf_result_o ); //wire [SW-1:0] Data_A_i; //wire [SW-1:0] Data_B_i; //wire [2*(SW/2)-1:0] result_left_mult; //wire [2*(SW/2+1)-1:0] result_right_mult; wire [SW/2+1:0] result_A_adder; //wire [SW/2+1:0] Q_result_A_adder; wire [SW/2+1:0] result_B_adder; //wire [SW/2+1:0] Q_result_B_adder; //wire [2*(SW/2+2)-1:0] result_middle_mult; wire [2*(SW/2)-1:0] Q_left; wire [2*(SW/2+1)-1:0] Q_right; wire [2*(SW/2+2)-1:0] Q_middle; wire [2*(SW/2+2)-1:0] S_A; wire [2*(SW/2+2)-1:0] S_B; wire [4*(SW/2)+2:0] Result; /////////////////////////////////////////////////////////// wire [1:0] zero1; wire [3:0] zero2; assign zero1 =2'b00; assign zero2 =4'b0000; /////////////////////////////////////////////////////////// wire [SW/2-1:0] rightside1; wire [SW/2:0] rightside2; wire [4*(SW/2)-1:0] sgf_r; assign rightside1 = (SW/2) *1'b0; assign rightside2 = (SW/2+1)*1'b0; localparam half = SW/2; //localparam level1=4; //localparam level2=5; //////////////////////////////////// generate case (SW%2) 0:begin //////////////////////////////////even////////////////////////////////// //Multiplier for left side and right side multiplier #(.W(SW/2)/*,.level(level1)*/) left( .clk(clk), .Data_A_i(Data_A_i[SW-1:SW-SW/2]), .Data_B_i(Data_B_i[SW-1:SW-SW/2]), .Data_S_o(/*result_left_mult*/Q_left) ); /*RegisterAdd #(.W(SW)) leftreg( //Data X input register .clk(clk), .rst(rst), .load(1'b1), .D(result_left_mult), .Q(Q_left) );//*/ multiplier #(.W(SW/2)/*,.level(level1)*/) right( .clk(clk), .Data_A_i(Data_A_i[SW-SW/2-1:0]), .Data_B_i(Data_B_i[SW-SW/2-1:0]), .Data_S_o(/*result_right_mult[2*(SW/2)-1:0]*/Q_right[2*(SW/2)-1:0]) ); /*RegisterAdd #(.W(SW)) rightreg( //Data X input register .clk(clk), .rst(rst), .load(1'b1), .D(result_right_mult[2*(SW/2)-1:0]), .Q(Q_right[2*(SW/2)-1:0]) );//*/ //Adders for middle adder #(.W(SW/2)) A_operation ( .Data_A_i(Data_A_i[SW-1:SW-SW/2]), .Data_B_i(Data_A_i[SW-SW/2-1:0]), .Data_S_o(result_A_adder[SW/2:0]) ); adder #(.W(SW/2)) B_operation ( .Data_A_i(Data_B_i[SW-1:SW-SW/2]), .Data_B_i(Data_B_i[SW-SW/2-1:0]), .Data_S_o(result_B_adder[SW/2:0]) ); //segmentation registers for 64 bits /*RegisterAdd #(.W(SW/2+1)) preAreg ( //Data X input register .clk(clk), .rst(rst), .load(1'b1), .D(result_A_adder[SW/2:0]), .Q(Q_result_A_adder[SW/2:0]) );// RegisterAdd #(.W(SW/2+1)) preBreg ( //Data X input register .clk(clk), .rst(rst), .load(1'b1), .D(result_B_adder[SW/2:0]), .Q(Q_result_B_adder[SW/2:0]) );//*/ //multiplication for middle multiplier #(.W(SW/2+1)/*,.level(level1)*/) middle ( .clk(clk), .Data_A_i(/*Q_result_A_adder[SW/2:0]*/result_A_adder[SW/2:0]), .Data_B_i(/*Q_result_B_adder[SW/2:0]*/result_B_adder[SW/2:0]), .Data_S_o(/*result_middle_mult[2*(SW/2)+1:0]*/Q_middle[2*(SW/2)+1:0]) ); //segmentation registers array /*RegisterAdd #(.W(SW+2)) midreg ( //Data X input register .clk(clk), .rst(rst), .load(1'b1), .D(result_middle_mult[2*(SW/2)+1:0]), .Q(Q_middle[2*(SW/2)+1:0]) );//*/ ///Subtractors for middle substractor #(.W(SW+2)) Subtr_1 ( .Data_A_i(/*result_middle_mult//*/Q_middle[2*(SW/2)+1:0]), .Data_B_i({zero1, /*result_left_mult//*/Q_left}), .Data_S_o(S_A[2*(SW/2)+1:0]) ); substractor #(.W(SW+2)) Subtr_2 ( .Data_A_i(S_A[2*(SW/2)+1:0]), .Data_B_i({zero1, /*result_right_mult//*/Q_right[2*(SW/2)-1:0]}), .Data_S_o(S_B[2*(SW/2)+1:0]) ); //Final adder adder #(.W(4*(SW/2))) Final( .Data_A_i({/*result_left_mult,result_right_mult*/Q_left,Q_right[2*(SW/2)-1:0]}), .Data_B_i({S_B[2*(SW/2)+1:0],rightside1}), .Data_S_o(Result[4*(SW/2):0]) ); //Final Register RegisterAdd #(.W(4*(SW/2))) finalreg ( //Data X input register .clk(clk), .rst(rst), .load(load_b_i), .D(Result[4*(SW/2)-1:0]), .Q({sgf_result_o}) ); end 1:begin //////////////////////////////////odd////////////////////////////////// //Multiplier for left side and right side multiplier #(.W(SW/2)/*,.level(level2)*/) left( .clk(clk), .Data_A_i(Data_A_i[SW-1:SW-SW/2]), .Data_B_i(Data_B_i[SW-1:SW-SW/2]), .Data_S_o(/*result_left_mult*/Q_left) ); /*RegisterAdd #(.W(2*(SW/2))) leftreg( //Data X input register .clk(clk), .rst(rst), .load(1'b1), .D(result_left_mult), .Q(Q_left) );//*/ multiplier #(.W((SW/2)+1)/*,.level(level2)*/) right( .clk(clk), .Data_A_i(Data_A_i[SW-SW/2-1:0]), .Data_B_i(Data_B_i[SW-SW/2-1:0]), .Data_S_o(/*result_right_mult*/Q_right) ); /*RegisterAdd #(.W(2*((SW/2)+1))) rightreg( //Data X input register .clk(clk), .rst(rst), .load(1'b1), .D(result_right_mult), .Q(Q_right) );//*/ //Adders for middle adder #(.W(SW/2+1)) A_operation ( .Data_A_i({1'b0,Data_A_i[SW-1:SW-SW/2]}), .Data_B_i(Data_A_i[SW-SW/2-1:0]), .Data_S_o(result_A_adder) ); adder #(.W(SW/2+1)) B_operation ( .Data_A_i({1'b0,Data_B_i[SW-1:SW-SW/2]}), .Data_B_i(Data_B_i[SW-SW/2-1:0]), .Data_S_o(result_B_adder) ); //segmentation registers for 64 bits /*RegisterAdd #(.W(SW/2+2)) preAreg ( //Data X input register .clk(clk), .rst(rst), .load(1'b1), .D(result_A_adder), .Q(Q_result_A_adder) );// RegisterAdd #(.W(SW/2+2)) preBreg ( //Data X input register .clk(clk), .rst(rst), .load(1'b1), .D(result_B_adder), .Q(Q_result_B_adder) );//*/ //multiplication for middle multiplier #(.W(SW/2+2)/*,.level(level2)*/) middle ( .clk(clk), .Data_A_i(/*Q_result_A_adder*/result_A_adder), .Data_B_i(/*Q_result_B_adder*/result_B_adder), .Data_S_o(/*result_middle_mult*/Q_middle) ); //segmentation registers array /*RegisterAdd #(.W(2*((SW/2)+2))) midreg ( //Data X input register .clk(clk), .rst(rst), .load(1'b1), .D(result_middle_mult), .Q(Q_middle) );//*/ ///Subtractors for middle substractor #(.W(2*(SW/2+2))) Subtr_1 ( .Data_A_i(/*result_middle_mult//*/Q_middle), .Data_B_i({zero2, /*result_left_mult//*/Q_left}), .Data_S_o(S_A) ); substractor #(.W(2*(SW/2+2))) Subtr_2 ( .Data_A_i(S_A), .Data_B_i({zero1, /*result_right_mult//*/Q_right}), .Data_S_o(S_B) ); //Final adder adder #(.W(4*(SW/2)+2)) Final( .Data_A_i({/*result_left_mult,result_right_mult*/Q_left,Q_right}), .Data_B_i({S_B,rightside2}), .Data_S_o(Result[4*(SW/2)+2:0]) ); //Final Register RegisterAdd #(.W(4*(SW/2)+2)) finalreg ( //Data X input register .clk(clk), .rst(rst), .load(load_b_i), .D(Result[2*SW-1:0]), .Q({sgf_result_o}) ); end endcase endgenerate endmodule
`timescale 1ns / 1ps ////////////////////////////////////////////////////////////////////////////////// // Company: // Engineer: // // Create Date: 04/23/2016 11:26:28 AM // Design Name: // Module Name: Sgf_Multiplication // Project Name: // Target Devices: // Tool Versions: // Description: // // Dependencies: // // Revision: // Revision 0.01 - File Created // Additional Comments: // ////////////////////////////////////////////////////////////////////////////////// module Sgf_Multiplication //#(parameter SW = 24) #(parameter SW = 54) ( input wire clk, input wire rst, input wire load_b_i, input wire [SW-1:0] Data_A_i, input wire [SW-1:0] Data_B_i, output wire [2*SW-1:0] sgf_result_o ); //wire [SW-1:0] Data_A_i; //wire [SW-1:0] Data_B_i; //wire [2*(SW/2)-1:0] result_left_mult; //wire [2*(SW/2+1)-1:0] result_right_mult; wire [SW/2+1:0] result_A_adder; //wire [SW/2+1:0] Q_result_A_adder; wire [SW/2+1:0] result_B_adder; //wire [SW/2+1:0] Q_result_B_adder; //wire [2*(SW/2+2)-1:0] result_middle_mult; wire [2*(SW/2)-1:0] Q_left; wire [2*(SW/2+1)-1:0] Q_right; wire [2*(SW/2+2)-1:0] Q_middle; wire [2*(SW/2+2)-1:0] S_A; wire [2*(SW/2+2)-1:0] S_B; wire [4*(SW/2)+2:0] Result; /////////////////////////////////////////////////////////// wire [1:0] zero1; wire [3:0] zero2; assign zero1 =2'b00; assign zero2 =4'b0000; /////////////////////////////////////////////////////////// wire [SW/2-1:0] rightside1; wire [SW/2:0] rightside2; wire [4*(SW/2)-1:0] sgf_r; assign rightside1 = (SW/2) *1'b0; assign rightside2 = (SW/2+1)*1'b0; localparam half = SW/2; //localparam level1=4; //localparam level2=5; //////////////////////////////////// generate case (SW%2) 0:begin //////////////////////////////////even////////////////////////////////// //Multiplier for left side and right side multiplier #(.W(SW/2)/*,.level(level1)*/) left( .clk(clk), .Data_A_i(Data_A_i[SW-1:SW-SW/2]), .Data_B_i(Data_B_i[SW-1:SW-SW/2]), .Data_S_o(/*result_left_mult*/Q_left) ); /*RegisterAdd #(.W(SW)) leftreg( //Data X input register .clk(clk), .rst(rst), .load(1'b1), .D(result_left_mult), .Q(Q_left) );//*/ multiplier #(.W(SW/2)/*,.level(level1)*/) right( .clk(clk), .Data_A_i(Data_A_i[SW-SW/2-1:0]), .Data_B_i(Data_B_i[SW-SW/2-1:0]), .Data_S_o(/*result_right_mult[2*(SW/2)-1:0]*/Q_right[2*(SW/2)-1:0]) ); /*RegisterAdd #(.W(SW)) rightreg( //Data X input register .clk(clk), .rst(rst), .load(1'b1), .D(result_right_mult[2*(SW/2)-1:0]), .Q(Q_right[2*(SW/2)-1:0]) );//*/ //Adders for middle adder #(.W(SW/2)) A_operation ( .Data_A_i(Data_A_i[SW-1:SW-SW/2]), .Data_B_i(Data_A_i[SW-SW/2-1:0]), .Data_S_o(result_A_adder[SW/2:0]) ); adder #(.W(SW/2)) B_operation ( .Data_A_i(Data_B_i[SW-1:SW-SW/2]), .Data_B_i(Data_B_i[SW-SW/2-1:0]), .Data_S_o(result_B_adder[SW/2:0]) ); //segmentation registers for 64 bits /*RegisterAdd #(.W(SW/2+1)) preAreg ( //Data X input register .clk(clk), .rst(rst), .load(1'b1), .D(result_A_adder[SW/2:0]), .Q(Q_result_A_adder[SW/2:0]) );// RegisterAdd #(.W(SW/2+1)) preBreg ( //Data X input register .clk(clk), .rst(rst), .load(1'b1), .D(result_B_adder[SW/2:0]), .Q(Q_result_B_adder[SW/2:0]) );//*/ //multiplication for middle multiplier #(.W(SW/2+1)/*,.level(level1)*/) middle ( .clk(clk), .Data_A_i(/*Q_result_A_adder[SW/2:0]*/result_A_adder[SW/2:0]), .Data_B_i(/*Q_result_B_adder[SW/2:0]*/result_B_adder[SW/2:0]), .Data_S_o(/*result_middle_mult[2*(SW/2)+1:0]*/Q_middle[2*(SW/2)+1:0]) ); //segmentation registers array /*RegisterAdd #(.W(SW+2)) midreg ( //Data X input register .clk(clk), .rst(rst), .load(1'b1), .D(result_middle_mult[2*(SW/2)+1:0]), .Q(Q_middle[2*(SW/2)+1:0]) );//*/ ///Subtractors for middle substractor #(.W(SW+2)) Subtr_1 ( .Data_A_i(/*result_middle_mult//*/Q_middle[2*(SW/2)+1:0]), .Data_B_i({zero1, /*result_left_mult//*/Q_left}), .Data_S_o(S_A[2*(SW/2)+1:0]) ); substractor #(.W(SW+2)) Subtr_2 ( .Data_A_i(S_A[2*(SW/2)+1:0]), .Data_B_i({zero1, /*result_right_mult//*/Q_right[2*(SW/2)-1:0]}), .Data_S_o(S_B[2*(SW/2)+1:0]) ); //Final adder adder #(.W(4*(SW/2))) Final( .Data_A_i({/*result_left_mult,result_right_mult*/Q_left,Q_right[2*(SW/2)-1:0]}), .Data_B_i({S_B[2*(SW/2)+1:0],rightside1}), .Data_S_o(Result[4*(SW/2):0]) ); //Final Register RegisterAdd #(.W(4*(SW/2))) finalreg ( //Data X input register .clk(clk), .rst(rst), .load(load_b_i), .D(Result[4*(SW/2)-1:0]), .Q({sgf_result_o}) ); end 1:begin //////////////////////////////////odd////////////////////////////////// //Multiplier for left side and right side multiplier #(.W(SW/2)/*,.level(level2)*/) left( .clk(clk), .Data_A_i(Data_A_i[SW-1:SW-SW/2]), .Data_B_i(Data_B_i[SW-1:SW-SW/2]), .Data_S_o(/*result_left_mult*/Q_left) ); /*RegisterAdd #(.W(2*(SW/2))) leftreg( //Data X input register .clk(clk), .rst(rst), .load(1'b1), .D(result_left_mult), .Q(Q_left) );//*/ multiplier #(.W((SW/2)+1)/*,.level(level2)*/) right( .clk(clk), .Data_A_i(Data_A_i[SW-SW/2-1:0]), .Data_B_i(Data_B_i[SW-SW/2-1:0]), .Data_S_o(/*result_right_mult*/Q_right) ); /*RegisterAdd #(.W(2*((SW/2)+1))) rightreg( //Data X input register .clk(clk), .rst(rst), .load(1'b1), .D(result_right_mult), .Q(Q_right) );//*/ //Adders for middle adder #(.W(SW/2+1)) A_operation ( .Data_A_i({1'b0,Data_A_i[SW-1:SW-SW/2]}), .Data_B_i(Data_A_i[SW-SW/2-1:0]), .Data_S_o(result_A_adder) ); adder #(.W(SW/2+1)) B_operation ( .Data_A_i({1'b0,Data_B_i[SW-1:SW-SW/2]}), .Data_B_i(Data_B_i[SW-SW/2-1:0]), .Data_S_o(result_B_adder) ); //segmentation registers for 64 bits /*RegisterAdd #(.W(SW/2+2)) preAreg ( //Data X input register .clk(clk), .rst(rst), .load(1'b1), .D(result_A_adder), .Q(Q_result_A_adder) );// RegisterAdd #(.W(SW/2+2)) preBreg ( //Data X input register .clk(clk), .rst(rst), .load(1'b1), .D(result_B_adder), .Q(Q_result_B_adder) );//*/ //multiplication for middle multiplier #(.W(SW/2+2)/*,.level(level2)*/) middle ( .clk(clk), .Data_A_i(/*Q_result_A_adder*/result_A_adder), .Data_B_i(/*Q_result_B_adder*/result_B_adder), .Data_S_o(/*result_middle_mult*/Q_middle) ); //segmentation registers array /*RegisterAdd #(.W(2*((SW/2)+2))) midreg ( //Data X input register .clk(clk), .rst(rst), .load(1'b1), .D(result_middle_mult), .Q(Q_middle) );//*/ ///Subtractors for middle substractor #(.W(2*(SW/2+2))) Subtr_1 ( .Data_A_i(/*result_middle_mult//*/Q_middle), .Data_B_i({zero2, /*result_left_mult//*/Q_left}), .Data_S_o(S_A) ); substractor #(.W(2*(SW/2+2))) Subtr_2 ( .Data_A_i(S_A), .Data_B_i({zero1, /*result_right_mult//*/Q_right}), .Data_S_o(S_B) ); //Final adder adder #(.W(4*(SW/2)+2)) Final( .Data_A_i({/*result_left_mult,result_right_mult*/Q_left,Q_right}), .Data_B_i({S_B,rightside2}), .Data_S_o(Result[4*(SW/2)+2:0]) ); //Final Register RegisterAdd #(.W(4*(SW/2)+2)) finalreg ( //Data X input register .clk(clk), .rst(rst), .load(load_b_i), .D(Result[2*SW-1:0]), .Q({sgf_result_o}) ); end endcase endgenerate endmodule
// ---------------------------------------------------------------------- // Copyright (c) 2016, The Regents of the University of California All // rights reserved. // // Redistribution and use in source and binary forms, with or without // modification, are permitted provided that the following conditions are // met: // // * Redistributions of source code must retain the above copyright // notice, this list of conditions and the following disclaimer. // // * Redistributions in binary form must reproduce the above // copyright notice, this list of conditions and the following // disclaimer in the documentation and/or other materials provided // with the distribution. // // * Neither the name of The Regents of the University of California // nor the names of its contributors may be used to endorse or // promote products derived from this software without specific // prior written permission. // // THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS // "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT // LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR // A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL REGENTS OF THE // UNIVERSITY OF CALIFORNIA BE LIABLE FOR ANY DIRECT, INDIRECT, // INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, // BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS // OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND // ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR // TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE // USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH // DAMAGE. // ---------------------------------------------------------------------- //----------------------------------------------------------------------------- // Filename: tx_data_pipeline.v // Version: 1.0 // Verilog Standard: Verilog-2001 // // Description: The tx_data_fifo takes 0-bit aligned packet data and // puts each DW into one of N FIFOs where N = (C_DATA_WIDTH/32). // // The data interface (TX_DATA) is an interface for N 32-bit FIFOs, where N = // (C_DATA_WIDTH/32). The START_FLAG signal indicates that the first dword of // a packet is in FIFO 0 (TX_DATA[31:0]). Each FIFO interface also contains an // END_FLAG signal in the END_FLAGS bus. When a bit in END_FLAGS bus is asserted, // its corresponding fifo contains the last dword of data for the current // packet. START_FLAG, END_FLAG and DATA are all qualified by the VALID signal, // and read by the READY signal. // // The write interface (WR_TX) differs slightly from the read interface because it // produces a READY signal and consumes a VALID signal. VALID is asserted when an // entire packet has been packed into a FIFO. // // TODO: // - Make sure that the synthesis tool is removing the other three start // flag wires (and modifying the width of the FIFOs) // // Author: Dustin Richmond (@darichmond) //----------------------------------------------------------------------------- `timescale 1ns/1ns `include "trellis.vh" // Defines the user-facing signal widths. module tx_data_fifo #(parameter C_DEPTH_PACKETS = 10, parameter C_DATA_WIDTH = 128, parameter C_PIPELINE_INPUT = 1, parameter C_MAX_PAYLOAD_DWORDS = 256) (// Interface: Clocks input CLK, // Interface: Reset input RST_IN, // Interface: WR TX DATA input [C_DATA_WIDTH-1:0] WR_TX_DATA, input WR_TX_DATA_VALID, input WR_TX_DATA_START_FLAG, input [(C_DATA_WIDTH/32)-1:0] WR_TX_DATA_WORD_VALID, input [(C_DATA_WIDTH/32)-1:0] WR_TX_DATA_END_FLAGS, output WR_TX_DATA_READY, // Interface: RD TX DATA input [(C_DATA_WIDTH/32)-1:0] RD_TX_DATA_WORD_READY, output [C_DATA_WIDTH-1:0] RD_TX_DATA, output RD_TX_DATA_START_FLAG, output [(C_DATA_WIDTH/32)-1:0] RD_TX_DATA_END_FLAGS, output [(C_DATA_WIDTH/32)-1:0] RD_TX_DATA_WORD_VALID, output RD_TX_DATA_PACKET_VALID); localparam C_FIFO_OUTPUT_DEPTH = 1; localparam C_INPUT_DEPTH = C_PIPELINE_INPUT != 0 ? 1 : 0; localparam C_PAYLOAD_DEPTH = (C_MAX_PAYLOAD_DWORDS*32)/C_DATA_WIDTH; localparam C_FIFO_DEPTH = C_PAYLOAD_DEPTH*C_DEPTH_PACKETS; localparam C_FIFO_DATA_WIDTH = 32; // 1 DW, End Flag, Start Flag localparam C_FIFO_WIDTH = 32 + 2; // 1 DW, End Flag, Start Flag localparam C_INOUT_REG_WIDTH = C_FIFO_WIDTH; localparam C_NUM_FIFOS = (C_DATA_WIDTH/32); genvar i; wire RST; wire [C_FIFO_DATA_WIDTH-1:0] wWrTxData[C_NUM_FIFOS-1:0]; wire [C_NUM_FIFOS-1:0] wWrTxDataValid; wire [C_NUM_FIFOS-1:0] wWrTxDataReady, _wWrTxDataReady; wire [C_NUM_FIFOS-1:0] wWrTxDataStartFlags; wire [C_NUM_FIFOS-1:0] wWrTxDataEndFlags; wire [C_NUM_FIFOS-1:0] _wRdTxDataStartFlags; wire [C_FIFO_DATA_WIDTH-1:0] wRdTxData[C_NUM_FIFOS-1:0]; wire [C_NUM_FIFOS-1:0] wRdTxDataValid; wire [C_NUM_FIFOS-1:0] wRdTxDataReady; wire [C_NUM_FIFOS-1:0] wRdTxDataStartFlags; wire [C_NUM_FIFOS-1:0] wRdTxDataEndFlags; wire wRdTxDataPacketValid; wire wWrTxEndFlagValid; wire wWrTxEndFlagReady; wire wRdTxEndFlagValid; wire wRdTxEndFlagReady; wire wPacketDecrement; wire wPacketIncrement; //reg [clog2(C_DEPTH_PACKETS+1)-1:0] rPacketCounter,_rPacketCounter; wire [clog2(C_DEPTH_PACKETS+1)-1:0] wPacketCounter; wire [C_NUM_FIFOS-1:0] wEFDecrement; wire [C_NUM_FIFOS-1:0] wEFIncrement; wire [clog2(C_DEPTH_PACKETS+1)-1:0] wEFCounter [C_NUM_FIFOS-1:0]; wire [C_NUM_FIFOS-1:0] wEFValid; /*AUTOINPUT*/ /*AUTOWIRE*/ ///*AUTOOUTPUT*/ assign RST = RST_IN; assign wWrTxEndFlagValid = (wWrTxDataEndFlags & wWrTxDataValid) != {C_NUM_FIFOS{1'b0}}; assign wWrTxEndFlagReady = wPacketCounter != C_DEPTH_PACKETS;// Designed a small bit of latency here to help timing... assign wPacketIncrement = wWrTxEndFlagValid & wWrTxEndFlagReady; assign wPacketDecrement = wRdTxEndFlagValid & wRdTxEndFlagReady; assign WR_TX_DATA_READY = _wWrTxDataReady[0]; assign wRdTxEndFlagValid = wPacketCounter != 0; assign wRdTxEndFlagReady = (wRdTxDataReady & wRdTxDataEndFlags & wRdTxDataValid) != {C_NUM_FIFOS{1'b0}}; assign wRdTxDataPacketValid = wPacketCounter != 0; assign RD_TX_DATA_START_FLAG = _wRdTxDataStartFlags[0]; counter_v2 #(// Parameters .C_MAX_VALUE (C_DEPTH_PACKETS), .C_SAT_VALUE (C_DEPTH_PACKETS + 1), // Never saturate .C_RST_VALUE (0), .C_FLR_VALUE (0) /*AUTOINSTPARAM*/) packet_ctr_inst (// Outputs .VALUE (wPacketCounter), // Inputs .INC (wPacketIncrement), .DEC (wPacketDecrement), /*AUTOINST*/ // Inputs .CLK (CLK), .RST_IN (RST_IN)); generate for( i = 0 ; i < C_NUM_FIFOS ; i = i + 1 ) begin : gen_regs_fifos pipeline #( .C_DEPTH (C_INPUT_DEPTH), .C_USE_MEMORY (0), .C_WIDTH (C_INOUT_REG_WIDTH) /*AUTOINSTPARAM*/) input_pipeline_inst_ ( // Outputs .WR_DATA_READY (_wWrTxDataReady[i]), .RD_DATA ({wWrTxData[i], wWrTxDataEndFlags[i],wWrTxDataStartFlags[i]}), .RD_DATA_VALID (wWrTxDataValid[i]), // Inputs .CLK (CLK), .RST_IN (RST_IN), .WR_DATA ({WR_TX_DATA[C_FIFO_DATA_WIDTH*i +: C_FIFO_DATA_WIDTH], WR_TX_DATA_END_FLAGS[i], (i == 0) ? WR_TX_DATA_START_FLAG: 1'b0}), .WR_DATA_VALID (WR_TX_DATA_VALID & WR_TX_DATA_WORD_VALID[i]), .RD_DATA_READY (wWrTxDataReady[i])); fifo #( // Parameters .C_WIDTH (C_FIFO_WIDTH), .C_DEPTH (C_FIFO_DEPTH), .C_DELAY (0) /*AUTOINSTPARAM*/) fifo_inst_ ( // Outputs .RD_DATA ({wRdTxData[i], wRdTxDataStartFlags[i], wRdTxDataEndFlags[i]}), .WR_READY (wWrTxDataReady[i]), .RD_VALID (wRdTxDataValid[i]), // Inputs .WR_DATA ({wWrTxData[i], wWrTxDataStartFlags[i], wWrTxDataEndFlags[i]}), .WR_VALID (wWrTxDataValid[i]), .RD_READY (wRdTxDataReady[i]), /*AUTOINST*/ // Inputs .CLK (CLK), .RST (RST)); pipeline #( .C_DEPTH (C_FIFO_OUTPUT_DEPTH), .C_USE_MEMORY (0), .C_WIDTH (C_FIFO_WIDTH) /*AUTOINSTPARAM*/) fifo_pipeline_inst_ ( // Outputs .WR_DATA_READY (wRdTxDataReady[i]), .RD_DATA ({RD_TX_DATA[i*32 +: 32], _wRdTxDataStartFlags[i], RD_TX_DATA_END_FLAGS[i]}), .RD_DATA_VALID (RD_TX_DATA_WORD_VALID[i]), // Inputs .WR_DATA ({wRdTxData[i], wRdTxDataStartFlags[i], wRdTxDataEndFlags[i]}), .WR_DATA_VALID (wRdTxDataValid[i]), .RD_DATA_READY (RD_TX_DATA_WORD_READY[i]), /*AUTOINST*/ // Inputs .CLK (CLK), .RST_IN (RST_IN)); assign wEFIncrement[i] = wWrTxDataEndFlags[i] & wWrTxDataValid[i]; assign wEFDecrement[i] = wRdTxDataEndFlags[i] & wRdTxDataReady[i]; assign wEFValid[i] = (wEFCounter[i] != 0); counter_v2 #(// Parameters .C_MAX_VALUE (C_DEPTH_PACKETS), .C_SAT_VALUE (C_DEPTH_PACKETS + 1), // Never saturate .C_RST_VALUE (0), .C_FLR_VALUE (0) /*AUTOINSTPARAM*/) perfifo_ctr_inst (// Outputs .VALUE (wEFCounter[i]), // Inputs .INC (wEFIncrement[i]), .DEC (wEFDecrement[i]), /*AUTOINST*/ // Inputs .CLK (CLK), .RST_IN (RST_IN)); end // for ( i = 0 ; i < C_NUM_FIFOS ; i = i + 1 ) pipeline #(.C_DEPTH (C_FIFO_OUTPUT_DEPTH), .C_USE_MEMORY (0), .C_WIDTH (1) /*AUTOINSTPARAM*/) packet_valid_reg (// Outputs .WR_DATA_READY (), .RD_DATA (), .RD_DATA_VALID (RD_TX_DATA_PACKET_VALID), // Inputs .WR_DATA (), .WR_DATA_VALID (wEFValid != 0), .RD_DATA_READY ((RD_TX_DATA_WORD_READY & RD_TX_DATA_END_FLAGS) != 0), /*AUTOINST*/ // Inputs .CLK (CLK), .RST_IN (RST_IN)); endgenerate endmodule // Local Variables: // verilog-library-directories:("." "../../common/") // End: module counter_v2 #(parameter C_MAX_VALUE = 10, parameter C_SAT_VALUE = 10, parameter C_FLR_VALUE = 0, parameter C_RST_VALUE = 0) (input CLK, input RST_IN, input INC, input DEC, output [clog2s(C_MAX_VALUE+1)-1:0] VALUE); wire wInc; wire wDec; reg [clog2s(C_MAX_VALUE+1)-1:0] wCtrValue; reg [clog2s(C_MAX_VALUE+1)-1:0] rCtrValue; /* verilator lint_off WIDTH */ assign wInc = INC & (C_SAT_VALUE > rCtrValue); assign wDec = DEC & (C_FLR_VALUE < rCtrValue); /* verilator lint_on WIDTH */ assign VALUE = rCtrValue; always @(posedge CLK) begin if(RST_IN) begin rCtrValue <= C_RST_VALUE[clog2s(C_MAX_VALUE+1)-1:0]; end else if(wInc & wDec) begin rCtrValue <= rCtrValue + 0; end else if(wInc) begin rCtrValue <= rCtrValue + 1; end else if(wDec) begin rCtrValue <= rCtrValue - 1; end end endmodule
// ---------------------------------------------------------------------- // Copyright (c) 2016, The Regents of the University of California All // rights reserved. // // Redistribution and use in source and binary forms, with or without // modification, are permitted provided that the following conditions are // met: // // * Redistributions of source code must retain the above copyright // notice, this list of conditions and the following disclaimer. // // * Redistributions in binary form must reproduce the above // copyright notice, this list of conditions and the following // disclaimer in the documentation and/or other materials provided // with the distribution. // // * Neither the name of The Regents of the University of California // nor the names of its contributors may be used to endorse or // promote products derived from this software without specific // prior written permission. // // THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS // "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT // LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR // A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL REGENTS OF THE // UNIVERSITY OF CALIFORNIA BE LIABLE FOR ANY DIRECT, INDIRECT, // INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, // BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS // OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND // ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR // TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE // USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH // DAMAGE. // ---------------------------------------------------------------------- //----------------------------------------------------------------------------- // Filename: tx_data_pipeline.v // Version: 1.0 // Verilog Standard: Verilog-2001 // // Description: The tx_data_fifo takes 0-bit aligned packet data and // puts each DW into one of N FIFOs where N = (C_DATA_WIDTH/32). // // The data interface (TX_DATA) is an interface for N 32-bit FIFOs, where N = // (C_DATA_WIDTH/32). The START_FLAG signal indicates that the first dword of // a packet is in FIFO 0 (TX_DATA[31:0]). Each FIFO interface also contains an // END_FLAG signal in the END_FLAGS bus. When a bit in END_FLAGS bus is asserted, // its corresponding fifo contains the last dword of data for the current // packet. START_FLAG, END_FLAG and DATA are all qualified by the VALID signal, // and read by the READY signal. // // The write interface (WR_TX) differs slightly from the read interface because it // produces a READY signal and consumes a VALID signal. VALID is asserted when an // entire packet has been packed into a FIFO. // // TODO: // - Make sure that the synthesis tool is removing the other three start // flag wires (and modifying the width of the FIFOs) // // Author: Dustin Richmond (@darichmond) //----------------------------------------------------------------------------- `timescale 1ns/1ns `include "trellis.vh" // Defines the user-facing signal widths. module tx_data_fifo #(parameter C_DEPTH_PACKETS = 10, parameter C_DATA_WIDTH = 128, parameter C_PIPELINE_INPUT = 1, parameter C_MAX_PAYLOAD_DWORDS = 256) (// Interface: Clocks input CLK, // Interface: Reset input RST_IN, // Interface: WR TX DATA input [C_DATA_WIDTH-1:0] WR_TX_DATA, input WR_TX_DATA_VALID, input WR_TX_DATA_START_FLAG, input [(C_DATA_WIDTH/32)-1:0] WR_TX_DATA_WORD_VALID, input [(C_DATA_WIDTH/32)-1:0] WR_TX_DATA_END_FLAGS, output WR_TX_DATA_READY, // Interface: RD TX DATA input [(C_DATA_WIDTH/32)-1:0] RD_TX_DATA_WORD_READY, output [C_DATA_WIDTH-1:0] RD_TX_DATA, output RD_TX_DATA_START_FLAG, output [(C_DATA_WIDTH/32)-1:0] RD_TX_DATA_END_FLAGS, output [(C_DATA_WIDTH/32)-1:0] RD_TX_DATA_WORD_VALID, output RD_TX_DATA_PACKET_VALID); localparam C_FIFO_OUTPUT_DEPTH = 1; localparam C_INPUT_DEPTH = C_PIPELINE_INPUT != 0 ? 1 : 0; localparam C_PAYLOAD_DEPTH = (C_MAX_PAYLOAD_DWORDS*32)/C_DATA_WIDTH; localparam C_FIFO_DEPTH = C_PAYLOAD_DEPTH*C_DEPTH_PACKETS; localparam C_FIFO_DATA_WIDTH = 32; // 1 DW, End Flag, Start Flag localparam C_FIFO_WIDTH = 32 + 2; // 1 DW, End Flag, Start Flag localparam C_INOUT_REG_WIDTH = C_FIFO_WIDTH; localparam C_NUM_FIFOS = (C_DATA_WIDTH/32); genvar i; wire RST; wire [C_FIFO_DATA_WIDTH-1:0] wWrTxData[C_NUM_FIFOS-1:0]; wire [C_NUM_FIFOS-1:0] wWrTxDataValid; wire [C_NUM_FIFOS-1:0] wWrTxDataReady, _wWrTxDataReady; wire [C_NUM_FIFOS-1:0] wWrTxDataStartFlags; wire [C_NUM_FIFOS-1:0] wWrTxDataEndFlags; wire [C_NUM_FIFOS-1:0] _wRdTxDataStartFlags; wire [C_FIFO_DATA_WIDTH-1:0] wRdTxData[C_NUM_FIFOS-1:0]; wire [C_NUM_FIFOS-1:0] wRdTxDataValid; wire [C_NUM_FIFOS-1:0] wRdTxDataReady; wire [C_NUM_FIFOS-1:0] wRdTxDataStartFlags; wire [C_NUM_FIFOS-1:0] wRdTxDataEndFlags; wire wRdTxDataPacketValid; wire wWrTxEndFlagValid; wire wWrTxEndFlagReady; wire wRdTxEndFlagValid; wire wRdTxEndFlagReady; wire wPacketDecrement; wire wPacketIncrement; //reg [clog2(C_DEPTH_PACKETS+1)-1:0] rPacketCounter,_rPacketCounter; wire [clog2(C_DEPTH_PACKETS+1)-1:0] wPacketCounter; wire [C_NUM_FIFOS-1:0] wEFDecrement; wire [C_NUM_FIFOS-1:0] wEFIncrement; wire [clog2(C_DEPTH_PACKETS+1)-1:0] wEFCounter [C_NUM_FIFOS-1:0]; wire [C_NUM_FIFOS-1:0] wEFValid; /*AUTOINPUT*/ /*AUTOWIRE*/ ///*AUTOOUTPUT*/ assign RST = RST_IN; assign wWrTxEndFlagValid = (wWrTxDataEndFlags & wWrTxDataValid) != {C_NUM_FIFOS{1'b0}}; assign wWrTxEndFlagReady = wPacketCounter != C_DEPTH_PACKETS;// Designed a small bit of latency here to help timing... assign wPacketIncrement = wWrTxEndFlagValid & wWrTxEndFlagReady; assign wPacketDecrement = wRdTxEndFlagValid & wRdTxEndFlagReady; assign WR_TX_DATA_READY = _wWrTxDataReady[0]; assign wRdTxEndFlagValid = wPacketCounter != 0; assign wRdTxEndFlagReady = (wRdTxDataReady & wRdTxDataEndFlags & wRdTxDataValid) != {C_NUM_FIFOS{1'b0}}; assign wRdTxDataPacketValid = wPacketCounter != 0; assign RD_TX_DATA_START_FLAG = _wRdTxDataStartFlags[0]; counter_v2 #(// Parameters .C_MAX_VALUE (C_DEPTH_PACKETS), .C_SAT_VALUE (C_DEPTH_PACKETS + 1), // Never saturate .C_RST_VALUE (0), .C_FLR_VALUE (0) /*AUTOINSTPARAM*/) packet_ctr_inst (// Outputs .VALUE (wPacketCounter), // Inputs .INC (wPacketIncrement), .DEC (wPacketDecrement), /*AUTOINST*/ // Inputs .CLK (CLK), .RST_IN (RST_IN)); generate for( i = 0 ; i < C_NUM_FIFOS ; i = i + 1 ) begin : gen_regs_fifos pipeline #( .C_DEPTH (C_INPUT_DEPTH), .C_USE_MEMORY (0), .C_WIDTH (C_INOUT_REG_WIDTH) /*AUTOINSTPARAM*/) input_pipeline_inst_ ( // Outputs .WR_DATA_READY (_wWrTxDataReady[i]), .RD_DATA ({wWrTxData[i], wWrTxDataEndFlags[i],wWrTxDataStartFlags[i]}), .RD_DATA_VALID (wWrTxDataValid[i]), // Inputs .CLK (CLK), .RST_IN (RST_IN), .WR_DATA ({WR_TX_DATA[C_FIFO_DATA_WIDTH*i +: C_FIFO_DATA_WIDTH], WR_TX_DATA_END_FLAGS[i], (i == 0) ? WR_TX_DATA_START_FLAG: 1'b0}), .WR_DATA_VALID (WR_TX_DATA_VALID & WR_TX_DATA_WORD_VALID[i]), .RD_DATA_READY (wWrTxDataReady[i])); fifo #( // Parameters .C_WIDTH (C_FIFO_WIDTH), .C_DEPTH (C_FIFO_DEPTH), .C_DELAY (0) /*AUTOINSTPARAM*/) fifo_inst_ ( // Outputs .RD_DATA ({wRdTxData[i], wRdTxDataStartFlags[i], wRdTxDataEndFlags[i]}), .WR_READY (wWrTxDataReady[i]), .RD_VALID (wRdTxDataValid[i]), // Inputs .WR_DATA ({wWrTxData[i], wWrTxDataStartFlags[i], wWrTxDataEndFlags[i]}), .WR_VALID (wWrTxDataValid[i]), .RD_READY (wRdTxDataReady[i]), /*AUTOINST*/ // Inputs .CLK (CLK), .RST (RST)); pipeline #( .C_DEPTH (C_FIFO_OUTPUT_DEPTH), .C_USE_MEMORY (0), .C_WIDTH (C_FIFO_WIDTH) /*AUTOINSTPARAM*/) fifo_pipeline_inst_ ( // Outputs .WR_DATA_READY (wRdTxDataReady[i]), .RD_DATA ({RD_TX_DATA[i*32 +: 32], _wRdTxDataStartFlags[i], RD_TX_DATA_END_FLAGS[i]}), .RD_DATA_VALID (RD_TX_DATA_WORD_VALID[i]), // Inputs .WR_DATA ({wRdTxData[i], wRdTxDataStartFlags[i], wRdTxDataEndFlags[i]}), .WR_DATA_VALID (wRdTxDataValid[i]), .RD_DATA_READY (RD_TX_DATA_WORD_READY[i]), /*AUTOINST*/ // Inputs .CLK (CLK), .RST_IN (RST_IN)); assign wEFIncrement[i] = wWrTxDataEndFlags[i] & wWrTxDataValid[i]; assign wEFDecrement[i] = wRdTxDataEndFlags[i] & wRdTxDataReady[i]; assign wEFValid[i] = (wEFCounter[i] != 0); counter_v2 #(// Parameters .C_MAX_VALUE (C_DEPTH_PACKETS), .C_SAT_VALUE (C_DEPTH_PACKETS + 1), // Never saturate .C_RST_VALUE (0), .C_FLR_VALUE (0) /*AUTOINSTPARAM*/) perfifo_ctr_inst (// Outputs .VALUE (wEFCounter[i]), // Inputs .INC (wEFIncrement[i]), .DEC (wEFDecrement[i]), /*AUTOINST*/ // Inputs .CLK (CLK), .RST_IN (RST_IN)); end // for ( i = 0 ; i < C_NUM_FIFOS ; i = i + 1 ) pipeline #(.C_DEPTH (C_FIFO_OUTPUT_DEPTH), .C_USE_MEMORY (0), .C_WIDTH (1) /*AUTOINSTPARAM*/) packet_valid_reg (// Outputs .WR_DATA_READY (), .RD_DATA (), .RD_DATA_VALID (RD_TX_DATA_PACKET_VALID), // Inputs .WR_DATA (), .WR_DATA_VALID (wEFValid != 0), .RD_DATA_READY ((RD_TX_DATA_WORD_READY & RD_TX_DATA_END_FLAGS) != 0), /*AUTOINST*/ // Inputs .CLK (CLK), .RST_IN (RST_IN)); endgenerate endmodule // Local Variables: // verilog-library-directories:("." "../../common/") // End: module counter_v2 #(parameter C_MAX_VALUE = 10, parameter C_SAT_VALUE = 10, parameter C_FLR_VALUE = 0, parameter C_RST_VALUE = 0) (input CLK, input RST_IN, input INC, input DEC, output [clog2s(C_MAX_VALUE+1)-1:0] VALUE); wire wInc; wire wDec; reg [clog2s(C_MAX_VALUE+1)-1:0] wCtrValue; reg [clog2s(C_MAX_VALUE+1)-1:0] rCtrValue; /* verilator lint_off WIDTH */ assign wInc = INC & (C_SAT_VALUE > rCtrValue); assign wDec = DEC & (C_FLR_VALUE < rCtrValue); /* verilator lint_on WIDTH */ assign VALUE = rCtrValue; always @(posedge CLK) begin if(RST_IN) begin rCtrValue <= C_RST_VALUE[clog2s(C_MAX_VALUE+1)-1:0]; end else if(wInc & wDec) begin rCtrValue <= rCtrValue + 0; end else if(wInc) begin rCtrValue <= rCtrValue + 1; end else if(wDec) begin rCtrValue <= rCtrValue - 1; end end endmodule
// ---------------------------------------------------------------------- // Copyright (c) 2016, The Regents of the University of California All // rights reserved. // // Redistribution and use in source and binary forms, with or without // modification, are permitted provided that the following conditions are // met: // // * Redistributions of source code must retain the above copyright // notice, this list of conditions and the following disclaimer. // // * Redistributions in binary form must reproduce the above // copyright notice, this list of conditions and the following // disclaimer in the documentation and/or other materials provided // with the distribution. // // * Neither the name of The Regents of the University of California // nor the names of its contributors may be used to endorse or // promote products derived from this software without specific // prior written permission. // // THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS // "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT // LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR // A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL REGENTS OF THE // UNIVERSITY OF CALIFORNIA BE LIABLE FOR ANY DIRECT, INDIRECT, // INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, // BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS // OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND // ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR // TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE // USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH // DAMAGE. // ---------------------------------------------------------------------- // ---------------------------------------------------------------------- // Filename: Filename: tx_multiplexer_64.v // Version: Version: 1.0 // Verilog Standard: Verilog-2005 // Description: the TX Multiplexer services read and write requests from // RIFFA channels in round robin order. // Author: Dustin Richmond (@darichmond) // ---------------------------------------------------------------------- `define FMT_TXENGUPR64_WR32 7'b10_00000 `define FMT_TXENGUPR64_RD32 7'b00_00000 `define FMT_TXENGUPR64_WR64 7'b11_00000 `define FMT_TXENGUPR64_RD64 7'b01_00000 `define S_TXENGUPR64_MAIN_IDLE 4'b0001 `define S_TXENGUPR64_MAIN_RD 4'b0010 `define S_TXENGUPR64_MAIN_WR 4'b0100 `define S_TXENGUPR64_MAIN_WAIT 4'b1000 `define S_TXENGUPR64_CAP_RD_WR 4'b0001 `define S_TXENGUPR64_CAP_WR_RD 4'b0010 `define S_TXENGUPR64_CAP_CAP 4'b0100 `define S_TXENGUPR64_CAP_REL 4'b1000 `include "trellis.vh" `timescale 1ns/1ns module tx_multiplexer_64 #( parameter C_PCI_DATA_WIDTH = 128, parameter C_NUM_CHNL = 12, parameter C_TAG_WIDTH = 5, // Number of outstanding requests parameter C_VENDOR = "ALTERA" ) ( input CLK, input RST_IN, input [C_NUM_CHNL-1:0] WR_REQ, // Write request input [(C_NUM_CHNL*`SIG_ADDR_W)-1:0] WR_ADDR, // Write address input [(C_NUM_CHNL*`SIG_LEN_W)-1:0] WR_LEN, // Write data length input [(C_NUM_CHNL*C_PCI_DATA_WIDTH)-1:0] WR_DATA, // Write data output [C_NUM_CHNL-1:0] WR_DATA_REN, // Write data read enable output [C_NUM_CHNL-1:0] WR_ACK, // Write request has been accepted input [C_NUM_CHNL-1:0] RD_REQ, // Read request input [(C_NUM_CHNL*2)-1:0] RD_SG_CHNL, // Read request channel for scatter gather lists input [(C_NUM_CHNL*`SIG_ADDR_W)-1:0] RD_ADDR, // Read request address input [(C_NUM_CHNL*`SIG_LEN_W)-1:0] RD_LEN, // Read request length output [C_NUM_CHNL-1:0] RD_ACK, // Read request has been accepted output [5:0] INT_TAG, // Internal tag to exchange with external output INT_TAG_VALID, // High to signal tag exchange input [C_TAG_WIDTH-1:0] EXT_TAG, // External tag to provide in exchange for internal tag input EXT_TAG_VALID, // High to signal external tag is valid output TX_ENG_RD_REQ_SENT, // Read completion request issued input RXBUF_SPACE_AVAIL, // Interface: TXR Engine output TXR_DATA_VALID, output [C_PCI_DATA_WIDTH-1:0] TXR_DATA, output TXR_DATA_START_FLAG, output [clog2s(C_PCI_DATA_WIDTH/32)-1:0] TXR_DATA_START_OFFSET, output TXR_DATA_END_FLAG, output [clog2s(C_PCI_DATA_WIDTH/32)-1:0] TXR_DATA_END_OFFSET, input TXR_DATA_READY, output TXR_META_VALID, output [`SIG_FBE_W-1:0] TXR_META_FDWBE, output [`SIG_LBE_W-1:0] TXR_META_LDWBE, output [`SIG_ADDR_W-1:0] TXR_META_ADDR, output [`SIG_LEN_W-1:0] TXR_META_LENGTH, output [`SIG_TAG_W-1:0] TXR_META_TAG, output [`SIG_TC_W-1:0] TXR_META_TC, output [`SIG_ATTR_W-1:0] TXR_META_ATTR, output [`SIG_TYPE_W-1:0] TXR_META_TYPE, output TXR_META_EP, input TXR_META_READY); localparam C_DATA_DELAY = 6'd6; // Delays read/write params to accommodate tx_port_buffer delay and tx_engine_formatter delay. (* syn_encoding = "user" *) (* fsm_encoding = "user" *) reg [3:0] rMainState=`S_TXENGUPR64_MAIN_IDLE, _rMainState=`S_TXENGUPR64_MAIN_IDLE; reg rCountIsWr=0, _rCountIsWr=0; reg [3:0] rCountChnl=0, _rCountChnl=0; reg [C_TAG_WIDTH-1:0] rCountTag=0, _rCountTag=0; reg [9:0] rCount=0, _rCount=0; reg rCountDone=0, _rCountDone=0; reg rCountValid=0,_rCountValid=0; reg rCountStart=0, _rCountStart=0; reg rCountOdd32=0, _rCountOdd32=0; reg [9:0] rCountLen=0, _rCountLen=0; reg [C_NUM_CHNL-1:0] rWrDataRen=0, _rWrDataRen=0; reg rTxEngRdReqAck, _rTxEngRdReqAck; wire wRdReq; wire [3:0] wRdReqChnl; wire wWrReq; wire [3:0] wWrReqChnl; wire wRdAck; wire [3:0] wCountChnl; wire [11:0] wCountChnlShiftDW = (wCountChnl*C_PCI_DATA_WIDTH); // Mult can exceed 9 bits, so make this a wire wire [63:0] wRdAddr; wire [9:0] wRdLen; wire [1:0] wRdSgChnl; wire [63:0] wWrAddr; wire [9:0] wWrLen; wire [C_PCI_DATA_WIDTH-1:0] wWrData; reg [3:0] rRdChnl=0, _rRdChnl=0; reg [61:0] rRdAddr=62'd0, _rRdAddr=62'd0; reg [9:0] rRdLen=0, _rRdLen=0; reg [1:0] rRdSgChnl=0, _rRdSgChnl=0; reg [3:0] rWrChnl=0, _rWrChnl=0; reg [61:0] rWrAddr=62'd0, _rWrAddr=62'd0; reg [9:0] rWrLen=0, _rWrLen=0; reg [C_PCI_DATA_WIDTH-1:0] rWrData={C_PCI_DATA_WIDTH{1'd0}}, _rWrData={C_PCI_DATA_WIDTH{1'd0}}; assign wRdAddr = RD_ADDR[wRdReqChnl * `SIG_ADDR_W +: `SIG_ADDR_W]; assign wRdLen = RD_LEN[wRdReqChnl * `SIG_LEN_W +: `SIG_LEN_W]; assign wRdSgChnl = RD_SG_CHNL[wRdReqChnl * 2 +: 2]; assign wWrAddr = WR_ADDR[wWrReqChnl * `SIG_ADDR_W +: `SIG_ADDR_W]; assign wWrLen = WR_LEN[wWrReqChnl * `SIG_LEN_W +: `SIG_LEN_W]; assign wWrData = WR_DATA[wCountChnl * C_PCI_DATA_WIDTH +: C_PCI_DATA_WIDTH]; (* syn_encoding = "user" *) (* fsm_encoding = "user" *) reg [3:0] rCapState=`S_TXENGUPR64_CAP_RD_WR, _rCapState=`S_TXENGUPR64_CAP_RD_WR; reg [C_NUM_CHNL-1:0] rRdAck=0, _rRdAck=0; reg [C_NUM_CHNL-1:0] rWrAck=0, _rWrAck=0; reg rIsWr=0, _rIsWr=0; reg [5:0] rCapChnl=0, _rCapChnl=0; reg [61:0] rCapAddr=62'd0, _rCapAddr=62'd0; reg rCapAddr64=0, _rCapAddr64=0; reg [9:0] rCapLen=0, _rCapLen=0; reg rCapIsWr=0, _rCapIsWr=0; reg rExtTagReq=0, _rExtTagReq=0; reg [C_TAG_WIDTH-1:0] rExtTag=0, _rExtTag=0; reg [C_DATA_DELAY-1:0] rWnR=0, _rWnR=0; reg [(C_DATA_DELAY*4)-1:0] rChnl=0, _rChnl=0; reg [(C_DATA_DELAY*8)-1:0] rTag=0, _rTag=0; reg [(C_DATA_DELAY*62)-1:0] rAddr=0, _rAddr=0; reg [((C_DATA_DELAY+1)*10)-1:0] rLen=0, _rLen=0; reg [C_DATA_DELAY-1:0] rValid=0, _rValid=0; reg [C_DATA_DELAY-1:0] rDone=0, _rDone=0; reg [C_DATA_DELAY-1:0] rStart=0, _rStart=0; assign WR_DATA_REN = rWrDataRen; assign WR_ACK = rWrAck; assign RD_ACK = rRdAck; assign INT_TAG = {rRdSgChnl, rRdChnl}; assign INT_TAG_VALID = rExtTagReq; assign TX_ENG_RD_REQ_SENT = rTxEngRdReqAck; assign wRdAck = (wRdReq & EXT_TAG_VALID & RXBUF_SPACE_AVAIL); // Search for the next request so that we can move onto it immediately after // the current channel has released its request. tx_engine_selector #(.C_NUM_CHNL(C_NUM_CHNL)) selRd (.RST(RST_IN), .CLK(CLK), .REQ_ALL(RD_REQ), .REQ(wRdReq), .CHNL(wRdReqChnl)); tx_engine_selector #(.C_NUM_CHNL(C_NUM_CHNL)) selWr (.RST(RST_IN), .CLK(CLK), .REQ_ALL(WR_REQ), .REQ(wWrReq), .CHNL(wWrReqChnl)); // Buffer shift-selected channel request signals and FIFO data. always @ (posedge CLK) begin rRdChnl <= #1 _rRdChnl; rRdAddr <= #1 _rRdAddr; rRdLen <= #1 _rRdLen; rRdSgChnl <= #1 _rRdSgChnl; rWrChnl <= #1 _rWrChnl; rWrAddr <= #1 _rWrAddr; rWrLen <= #1 _rWrLen; rWrData <= #1 _rWrData; end always @ (*) begin _rRdChnl = wRdReqChnl; _rRdAddr = wRdAddr[63:2]; _rRdLen = wRdLen; _rRdSgChnl = wRdSgChnl; _rWrChnl = wWrReqChnl; _rWrAddr = wWrAddr[63:2]; _rWrLen = wWrLen; _rWrData = wWrData; end // Accept requests when the selector indicates. Capture the buffered // request parameters for hand-off to the formatting pipeline. Then // acknowledge the receipt to the channel so it can deassert the // request, and let the selector choose another channel. always @ (posedge CLK) begin rCapState <= #1 (RST_IN ? `S_TXENGUPR64_CAP_RD_WR : _rCapState); rRdAck <= #1 (RST_IN ? {C_NUM_CHNL{1'd0}} : _rRdAck); rWrAck <= #1 (RST_IN ? {C_NUM_CHNL{1'd0}} : _rWrAck); rIsWr <= #1 _rIsWr; rCapChnl <= #1 _rCapChnl; rCapAddr <= #1 _rCapAddr; rCapAddr64 <= #1 _rCapAddr64; rCapLen <= #1 _rCapLen; rCapIsWr <= #1 _rCapIsWr; rExtTagReq <= #1 _rExtTagReq; rExtTag <= #1 _rExtTag; rTxEngRdReqAck <= #1 _rTxEngRdReqAck; end always @ (*) begin _rCapState = rCapState; _rRdAck = rRdAck; _rWrAck = rWrAck; _rIsWr = rIsWr; _rCapChnl = rCapChnl; _rCapAddr = rCapAddr; _rCapAddr64 = (rCapAddr[61:30] != 0); _rCapLen = rCapLen; _rCapIsWr = rCapIsWr; _rExtTagReq = rExtTagReq; _rExtTag = rExtTag; _rTxEngRdReqAck = rTxEngRdReqAck; case (rCapState) `S_TXENGUPR64_CAP_RD_WR : begin _rIsWr = !wRdReq; _rRdAck = (wRdAck<<wRdReqChnl); _rTxEngRdReqAck = wRdAck; _rExtTagReq = wRdAck; _rCapState = (wRdAck ? `S_TXENGUPR64_CAP_CAP : `S_TXENGUPR64_CAP_WR_RD); end `S_TXENGUPR64_CAP_WR_RD : begin _rIsWr = wWrReq; _rWrAck = (wWrReq<<wWrReqChnl); _rCapState = (wWrReq ? `S_TXENGUPR64_CAP_CAP : `S_TXENGUPR64_CAP_RD_WR); end `S_TXENGUPR64_CAP_CAP : begin _rTxEngRdReqAck = 0; _rRdAck = 0; _rWrAck = 0; _rCapIsWr = rIsWr; _rExtTagReq = 0; _rExtTag = EXT_TAG; if (rIsWr) begin _rCapChnl = {2'd0, rWrChnl}; _rCapAddr = rWrAddr; _rCapLen = rWrLen; end else begin _rCapChnl = {rRdSgChnl, rRdChnl}; _rCapAddr = rRdAddr; _rCapLen = rRdLen; end _rCapState = `S_TXENGUPR64_CAP_REL; end `S_TXENGUPR64_CAP_REL : begin // Push into the formatting pipeline when ready if (TXR_META_READY & rMainState[0]) // S_TXENGUPR64_MAIN_IDLE _rCapState = (`S_TXENGUPR64_CAP_WR_RD>>(rCapIsWr)); // Changes to S_TXENGUPR64_CAP_RD_WR end default : begin _rCapState = `S_TXENGUPR64_CAP_RD_WR; end endcase end // Start the read/write when space is available in the output FIFO and when // request parameters have been captured (i.e. a pending request). always @ (posedge CLK) begin rMainState <= #1 (RST_IN ? `S_TXENGUPR64_MAIN_IDLE : _rMainState); rCountIsWr <= #1 _rCountIsWr; rCountLen <= #1 _rCountLen; rCount <= #1 _rCount; rCountDone <= #1 _rCountDone; rCountStart <= #1 _rCountStart; rCountChnl <= #1 _rCountChnl; rCountTag <= #1 _rCountTag; rCountOdd32 <= #1 _rCountOdd32; rWrDataRen <= #1 _rWrDataRen; rCountValid <= #1 RST_IN ? 0 : _rCountValid; end always @ (*) begin _rMainState = rMainState; _rCountIsWr = rCountIsWr; _rCount = rCount; _rCountLen = rCountLen; _rCountDone = rCountDone; _rCountStart = rCountStart; _rCountChnl = rCountChnl; _rCountTag = rCountTag; _rCountOdd32 = rCountOdd32; _rWrDataRen = rWrDataRen; _rCountStart = 0; _rCountValid = rCountValid; case (rMainState) `S_TXENGUPR64_MAIN_IDLE : begin _rCountIsWr = rCapIsWr; _rCountLen = rCapLen; _rCount = rCapLen; _rCountDone = (rCapLen <= 2'd2); _rCountChnl = rCapChnl[3:0]; _rCountTag = rExtTag; _rCountOdd32 = (rCapLen[0] & ((rCapAddr[61:30] == 0))); _rWrDataRen = ((TXR_META_READY & rCapState[3] & rCapIsWr)<<(rCapChnl[3:0])); // S_TXENGUPR64_CAP_REL _rCountStart = (TXR_META_READY & rCapState[3]); _rCountValid = TXR_META_READY & rCapState[3]; if (TXR_META_READY & rCapState[3]) // S_TXENGUPR64_CAP_REL _rMainState = (`S_TXENGUPR64_MAIN_RD<<(rCapIsWr)); // Change to S_TXENGUPR64_MAIN_WR; end `S_TXENGUPR64_MAIN_RD : begin _rMainState = `S_TXENGUPR64_MAIN_IDLE; end `S_TXENGUPR64_MAIN_WR : begin _rCount = rCount - 2'd2; _rCountDone = (rCount <= 3'd4); if (rCountDone) begin _rWrDataRen = 0; _rCountValid = 0; _rMainState = (rCountOdd32 ? `S_TXENGUPR64_MAIN_IDLE : `S_TXENGUPR64_MAIN_WAIT); end end `S_TXENGUPR64_MAIN_WAIT : begin // Signals request FIFO ren _rMainState = `S_TXENGUPR64_MAIN_IDLE; end default : begin _rMainState = `S_TXENGUPR64_MAIN_IDLE; end endcase end // Shift in the captured parameters and valid signal every cycle. // This pipeline will keep the formatter busy. assign wCountChnl = rChnl[(C_DATA_DELAY-2)*4 +:4]; always @ (posedge CLK) begin rWnR <= #1 _rWnR; rChnl <= #1 _rChnl; rTag <= #1 _rTag; rAddr <= #1 _rAddr; rLen <= #1 _rLen; rValid <= #1 _rValid; rDone <= #1 _rDone; rStart <= #1 _rStart; end always @ (*) begin _rWnR = {rWnR[((C_DATA_DELAY-1)*1)-1:0], rCapIsWr}; _rAddr = {rAddr[((C_DATA_DELAY-1)*62)-1:0], rCapAddr}; _rLen = {rLen[((C_DATA_DELAY-1)*10)-1:0], rCountLen}; _rChnl = {rChnl[((C_DATA_DELAY-1)*4)-1:0], rCountChnl}; _rTag = {rTag[((C_DATA_DELAY-1)*8)-1:0], (8'd0 | rCountTag)}; _rValid = {rValid[((C_DATA_DELAY-1)*1)-1:0], rCountValid & rCountIsWr}; // S_TXENGUPR64_MAIN_RD | S_TXENGUPR64_MAIN_WR _rDone = {rDone[((C_DATA_DELAY-1)*1)-1:0], rCountDone}; _rStart = {rStart[((C_DATA_DELAY-1)*1)-1:0], rCountStart}; end assign TXR_DATA = rWrData; assign TXR_DATA_VALID = rValid[(C_DATA_DELAY-1)*1 +:1]; assign TXR_DATA_START_FLAG = rStart[(C_DATA_DELAY-1)*1 +:1]; assign TXR_DATA_START_OFFSET = 0; assign TXR_DATA_END_FLAG = rDone[(C_DATA_DELAY-1)*1 +:1]; assign TXR_DATA_END_OFFSET = rLen[(C_DATA_DELAY-1)*10 +:`SIG_OFFSET_W] - 1; assign TXR_META_VALID = rCountStart; assign TXR_META_TYPE = rCapIsWr ? `TRLS_REQ_WR : `TRLS_REQ_RD; assign TXR_META_ADDR = {rCapAddr,2'b00}; assign TXR_META_LENGTH = rCapLen; assign TXR_META_LDWBE = rCapLen == 10'd1 ? 0 : 4'b1111; // TODO: This should be retimed assign TXR_META_FDWBE = 4'b1111; assign TXR_META_TAG = rCountTag; assign TXR_META_EP = 1'b0; assign TXR_META_ATTR = 3'b110; assign TXR_META_TC = 0; endmodule
// ---------------------------------------------------------------------- // Copyright (c) 2016, The Regents of the University of California All // rights reserved. // // Redistribution and use in source and binary forms, with or without // modification, are permitted provided that the following conditions are // met: // // * Redistributions of source code must retain the above copyright // notice, this list of conditions and the following disclaimer. // // * Redistributions in binary form must reproduce the above // copyright notice, this list of conditions and the following // disclaimer in the documentation and/or other materials provided // with the distribution. // // * Neither the name of The Regents of the University of California // nor the names of its contributors may be used to endorse or // promote products derived from this software without specific // prior written permission. // // THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS // "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT // LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR // A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL REGENTS OF THE // UNIVERSITY OF CALIFORNIA BE LIABLE FOR ANY DIRECT, INDIRECT, // INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, // BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS // OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND // ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR // TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE // USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH // DAMAGE. // ---------------------------------------------------------------------- // ---------------------------------------------------------------------- // Filename: Filename: tx_multiplexer_64.v // Version: Version: 1.0 // Verilog Standard: Verilog-2005 // Description: the TX Multiplexer services read and write requests from // RIFFA channels in round robin order. // Author: Dustin Richmond (@darichmond) // ---------------------------------------------------------------------- `define FMT_TXENGUPR64_WR32 7'b10_00000 `define FMT_TXENGUPR64_RD32 7'b00_00000 `define FMT_TXENGUPR64_WR64 7'b11_00000 `define FMT_TXENGUPR64_RD64 7'b01_00000 `define S_TXENGUPR64_MAIN_IDLE 4'b0001 `define S_TXENGUPR64_MAIN_RD 4'b0010 `define S_TXENGUPR64_MAIN_WR 4'b0100 `define S_TXENGUPR64_MAIN_WAIT 4'b1000 `define S_TXENGUPR64_CAP_RD_WR 4'b0001 `define S_TXENGUPR64_CAP_WR_RD 4'b0010 `define S_TXENGUPR64_CAP_CAP 4'b0100 `define S_TXENGUPR64_CAP_REL 4'b1000 `include "trellis.vh" `timescale 1ns/1ns module tx_multiplexer_64 #( parameter C_PCI_DATA_WIDTH = 128, parameter C_NUM_CHNL = 12, parameter C_TAG_WIDTH = 5, // Number of outstanding requests parameter C_VENDOR = "ALTERA" ) ( input CLK, input RST_IN, input [C_NUM_CHNL-1:0] WR_REQ, // Write request input [(C_NUM_CHNL*`SIG_ADDR_W)-1:0] WR_ADDR, // Write address input [(C_NUM_CHNL*`SIG_LEN_W)-1:0] WR_LEN, // Write data length input [(C_NUM_CHNL*C_PCI_DATA_WIDTH)-1:0] WR_DATA, // Write data output [C_NUM_CHNL-1:0] WR_DATA_REN, // Write data read enable output [C_NUM_CHNL-1:0] WR_ACK, // Write request has been accepted input [C_NUM_CHNL-1:0] RD_REQ, // Read request input [(C_NUM_CHNL*2)-1:0] RD_SG_CHNL, // Read request channel for scatter gather lists input [(C_NUM_CHNL*`SIG_ADDR_W)-1:0] RD_ADDR, // Read request address input [(C_NUM_CHNL*`SIG_LEN_W)-1:0] RD_LEN, // Read request length output [C_NUM_CHNL-1:0] RD_ACK, // Read request has been accepted output [5:0] INT_TAG, // Internal tag to exchange with external output INT_TAG_VALID, // High to signal tag exchange input [C_TAG_WIDTH-1:0] EXT_TAG, // External tag to provide in exchange for internal tag input EXT_TAG_VALID, // High to signal external tag is valid output TX_ENG_RD_REQ_SENT, // Read completion request issued input RXBUF_SPACE_AVAIL, // Interface: TXR Engine output TXR_DATA_VALID, output [C_PCI_DATA_WIDTH-1:0] TXR_DATA, output TXR_DATA_START_FLAG, output [clog2s(C_PCI_DATA_WIDTH/32)-1:0] TXR_DATA_START_OFFSET, output TXR_DATA_END_FLAG, output [clog2s(C_PCI_DATA_WIDTH/32)-1:0] TXR_DATA_END_OFFSET, input TXR_DATA_READY, output TXR_META_VALID, output [`SIG_FBE_W-1:0] TXR_META_FDWBE, output [`SIG_LBE_W-1:0] TXR_META_LDWBE, output [`SIG_ADDR_W-1:0] TXR_META_ADDR, output [`SIG_LEN_W-1:0] TXR_META_LENGTH, output [`SIG_TAG_W-1:0] TXR_META_TAG, output [`SIG_TC_W-1:0] TXR_META_TC, output [`SIG_ATTR_W-1:0] TXR_META_ATTR, output [`SIG_TYPE_W-1:0] TXR_META_TYPE, output TXR_META_EP, input TXR_META_READY); localparam C_DATA_DELAY = 6'd6; // Delays read/write params to accommodate tx_port_buffer delay and tx_engine_formatter delay. (* syn_encoding = "user" *) (* fsm_encoding = "user" *) reg [3:0] rMainState=`S_TXENGUPR64_MAIN_IDLE, _rMainState=`S_TXENGUPR64_MAIN_IDLE; reg rCountIsWr=0, _rCountIsWr=0; reg [3:0] rCountChnl=0, _rCountChnl=0; reg [C_TAG_WIDTH-1:0] rCountTag=0, _rCountTag=0; reg [9:0] rCount=0, _rCount=0; reg rCountDone=0, _rCountDone=0; reg rCountValid=0,_rCountValid=0; reg rCountStart=0, _rCountStart=0; reg rCountOdd32=0, _rCountOdd32=0; reg [9:0] rCountLen=0, _rCountLen=0; reg [C_NUM_CHNL-1:0] rWrDataRen=0, _rWrDataRen=0; reg rTxEngRdReqAck, _rTxEngRdReqAck; wire wRdReq; wire [3:0] wRdReqChnl; wire wWrReq; wire [3:0] wWrReqChnl; wire wRdAck; wire [3:0] wCountChnl; wire [11:0] wCountChnlShiftDW = (wCountChnl*C_PCI_DATA_WIDTH); // Mult can exceed 9 bits, so make this a wire wire [63:0] wRdAddr; wire [9:0] wRdLen; wire [1:0] wRdSgChnl; wire [63:0] wWrAddr; wire [9:0] wWrLen; wire [C_PCI_DATA_WIDTH-1:0] wWrData; reg [3:0] rRdChnl=0, _rRdChnl=0; reg [61:0] rRdAddr=62'd0, _rRdAddr=62'd0; reg [9:0] rRdLen=0, _rRdLen=0; reg [1:0] rRdSgChnl=0, _rRdSgChnl=0; reg [3:0] rWrChnl=0, _rWrChnl=0; reg [61:0] rWrAddr=62'd0, _rWrAddr=62'd0; reg [9:0] rWrLen=0, _rWrLen=0; reg [C_PCI_DATA_WIDTH-1:0] rWrData={C_PCI_DATA_WIDTH{1'd0}}, _rWrData={C_PCI_DATA_WIDTH{1'd0}}; assign wRdAddr = RD_ADDR[wRdReqChnl * `SIG_ADDR_W +: `SIG_ADDR_W]; assign wRdLen = RD_LEN[wRdReqChnl * `SIG_LEN_W +: `SIG_LEN_W]; assign wRdSgChnl = RD_SG_CHNL[wRdReqChnl * 2 +: 2]; assign wWrAddr = WR_ADDR[wWrReqChnl * `SIG_ADDR_W +: `SIG_ADDR_W]; assign wWrLen = WR_LEN[wWrReqChnl * `SIG_LEN_W +: `SIG_LEN_W]; assign wWrData = WR_DATA[wCountChnl * C_PCI_DATA_WIDTH +: C_PCI_DATA_WIDTH]; (* syn_encoding = "user" *) (* fsm_encoding = "user" *) reg [3:0] rCapState=`S_TXENGUPR64_CAP_RD_WR, _rCapState=`S_TXENGUPR64_CAP_RD_WR; reg [C_NUM_CHNL-1:0] rRdAck=0, _rRdAck=0; reg [C_NUM_CHNL-1:0] rWrAck=0, _rWrAck=0; reg rIsWr=0, _rIsWr=0; reg [5:0] rCapChnl=0, _rCapChnl=0; reg [61:0] rCapAddr=62'd0, _rCapAddr=62'd0; reg rCapAddr64=0, _rCapAddr64=0; reg [9:0] rCapLen=0, _rCapLen=0; reg rCapIsWr=0, _rCapIsWr=0; reg rExtTagReq=0, _rExtTagReq=0; reg [C_TAG_WIDTH-1:0] rExtTag=0, _rExtTag=0; reg [C_DATA_DELAY-1:0] rWnR=0, _rWnR=0; reg [(C_DATA_DELAY*4)-1:0] rChnl=0, _rChnl=0; reg [(C_DATA_DELAY*8)-1:0] rTag=0, _rTag=0; reg [(C_DATA_DELAY*62)-1:0] rAddr=0, _rAddr=0; reg [((C_DATA_DELAY+1)*10)-1:0] rLen=0, _rLen=0; reg [C_DATA_DELAY-1:0] rValid=0, _rValid=0; reg [C_DATA_DELAY-1:0] rDone=0, _rDone=0; reg [C_DATA_DELAY-1:0] rStart=0, _rStart=0; assign WR_DATA_REN = rWrDataRen; assign WR_ACK = rWrAck; assign RD_ACK = rRdAck; assign INT_TAG = {rRdSgChnl, rRdChnl}; assign INT_TAG_VALID = rExtTagReq; assign TX_ENG_RD_REQ_SENT = rTxEngRdReqAck; assign wRdAck = (wRdReq & EXT_TAG_VALID & RXBUF_SPACE_AVAIL); // Search for the next request so that we can move onto it immediately after // the current channel has released its request. tx_engine_selector #(.C_NUM_CHNL(C_NUM_CHNL)) selRd (.RST(RST_IN), .CLK(CLK), .REQ_ALL(RD_REQ), .REQ(wRdReq), .CHNL(wRdReqChnl)); tx_engine_selector #(.C_NUM_CHNL(C_NUM_CHNL)) selWr (.RST(RST_IN), .CLK(CLK), .REQ_ALL(WR_REQ), .REQ(wWrReq), .CHNL(wWrReqChnl)); // Buffer shift-selected channel request signals and FIFO data. always @ (posedge CLK) begin rRdChnl <= #1 _rRdChnl; rRdAddr <= #1 _rRdAddr; rRdLen <= #1 _rRdLen; rRdSgChnl <= #1 _rRdSgChnl; rWrChnl <= #1 _rWrChnl; rWrAddr <= #1 _rWrAddr; rWrLen <= #1 _rWrLen; rWrData <= #1 _rWrData; end always @ (*) begin _rRdChnl = wRdReqChnl; _rRdAddr = wRdAddr[63:2]; _rRdLen = wRdLen; _rRdSgChnl = wRdSgChnl; _rWrChnl = wWrReqChnl; _rWrAddr = wWrAddr[63:2]; _rWrLen = wWrLen; _rWrData = wWrData; end // Accept requests when the selector indicates. Capture the buffered // request parameters for hand-off to the formatting pipeline. Then // acknowledge the receipt to the channel so it can deassert the // request, and let the selector choose another channel. always @ (posedge CLK) begin rCapState <= #1 (RST_IN ? `S_TXENGUPR64_CAP_RD_WR : _rCapState); rRdAck <= #1 (RST_IN ? {C_NUM_CHNL{1'd0}} : _rRdAck); rWrAck <= #1 (RST_IN ? {C_NUM_CHNL{1'd0}} : _rWrAck); rIsWr <= #1 _rIsWr; rCapChnl <= #1 _rCapChnl; rCapAddr <= #1 _rCapAddr; rCapAddr64 <= #1 _rCapAddr64; rCapLen <= #1 _rCapLen; rCapIsWr <= #1 _rCapIsWr; rExtTagReq <= #1 _rExtTagReq; rExtTag <= #1 _rExtTag; rTxEngRdReqAck <= #1 _rTxEngRdReqAck; end always @ (*) begin _rCapState = rCapState; _rRdAck = rRdAck; _rWrAck = rWrAck; _rIsWr = rIsWr; _rCapChnl = rCapChnl; _rCapAddr = rCapAddr; _rCapAddr64 = (rCapAddr[61:30] != 0); _rCapLen = rCapLen; _rCapIsWr = rCapIsWr; _rExtTagReq = rExtTagReq; _rExtTag = rExtTag; _rTxEngRdReqAck = rTxEngRdReqAck; case (rCapState) `S_TXENGUPR64_CAP_RD_WR : begin _rIsWr = !wRdReq; _rRdAck = (wRdAck<<wRdReqChnl); _rTxEngRdReqAck = wRdAck; _rExtTagReq = wRdAck; _rCapState = (wRdAck ? `S_TXENGUPR64_CAP_CAP : `S_TXENGUPR64_CAP_WR_RD); end `S_TXENGUPR64_CAP_WR_RD : begin _rIsWr = wWrReq; _rWrAck = (wWrReq<<wWrReqChnl); _rCapState = (wWrReq ? `S_TXENGUPR64_CAP_CAP : `S_TXENGUPR64_CAP_RD_WR); end `S_TXENGUPR64_CAP_CAP : begin _rTxEngRdReqAck = 0; _rRdAck = 0; _rWrAck = 0; _rCapIsWr = rIsWr; _rExtTagReq = 0; _rExtTag = EXT_TAG; if (rIsWr) begin _rCapChnl = {2'd0, rWrChnl}; _rCapAddr = rWrAddr; _rCapLen = rWrLen; end else begin _rCapChnl = {rRdSgChnl, rRdChnl}; _rCapAddr = rRdAddr; _rCapLen = rRdLen; end _rCapState = `S_TXENGUPR64_CAP_REL; end `S_TXENGUPR64_CAP_REL : begin // Push into the formatting pipeline when ready if (TXR_META_READY & rMainState[0]) // S_TXENGUPR64_MAIN_IDLE _rCapState = (`S_TXENGUPR64_CAP_WR_RD>>(rCapIsWr)); // Changes to S_TXENGUPR64_CAP_RD_WR end default : begin _rCapState = `S_TXENGUPR64_CAP_RD_WR; end endcase end // Start the read/write when space is available in the output FIFO and when // request parameters have been captured (i.e. a pending request). always @ (posedge CLK) begin rMainState <= #1 (RST_IN ? `S_TXENGUPR64_MAIN_IDLE : _rMainState); rCountIsWr <= #1 _rCountIsWr; rCountLen <= #1 _rCountLen; rCount <= #1 _rCount; rCountDone <= #1 _rCountDone; rCountStart <= #1 _rCountStart; rCountChnl <= #1 _rCountChnl; rCountTag <= #1 _rCountTag; rCountOdd32 <= #1 _rCountOdd32; rWrDataRen <= #1 _rWrDataRen; rCountValid <= #1 RST_IN ? 0 : _rCountValid; end always @ (*) begin _rMainState = rMainState; _rCountIsWr = rCountIsWr; _rCount = rCount; _rCountLen = rCountLen; _rCountDone = rCountDone; _rCountStart = rCountStart; _rCountChnl = rCountChnl; _rCountTag = rCountTag; _rCountOdd32 = rCountOdd32; _rWrDataRen = rWrDataRen; _rCountStart = 0; _rCountValid = rCountValid; case (rMainState) `S_TXENGUPR64_MAIN_IDLE : begin _rCountIsWr = rCapIsWr; _rCountLen = rCapLen; _rCount = rCapLen; _rCountDone = (rCapLen <= 2'd2); _rCountChnl = rCapChnl[3:0]; _rCountTag = rExtTag; _rCountOdd32 = (rCapLen[0] & ((rCapAddr[61:30] == 0))); _rWrDataRen = ((TXR_META_READY & rCapState[3] & rCapIsWr)<<(rCapChnl[3:0])); // S_TXENGUPR64_CAP_REL _rCountStart = (TXR_META_READY & rCapState[3]); _rCountValid = TXR_META_READY & rCapState[3]; if (TXR_META_READY & rCapState[3]) // S_TXENGUPR64_CAP_REL _rMainState = (`S_TXENGUPR64_MAIN_RD<<(rCapIsWr)); // Change to S_TXENGUPR64_MAIN_WR; end `S_TXENGUPR64_MAIN_RD : begin _rMainState = `S_TXENGUPR64_MAIN_IDLE; end `S_TXENGUPR64_MAIN_WR : begin _rCount = rCount - 2'd2; _rCountDone = (rCount <= 3'd4); if (rCountDone) begin _rWrDataRen = 0; _rCountValid = 0; _rMainState = (rCountOdd32 ? `S_TXENGUPR64_MAIN_IDLE : `S_TXENGUPR64_MAIN_WAIT); end end `S_TXENGUPR64_MAIN_WAIT : begin // Signals request FIFO ren _rMainState = `S_TXENGUPR64_MAIN_IDLE; end default : begin _rMainState = `S_TXENGUPR64_MAIN_IDLE; end endcase end // Shift in the captured parameters and valid signal every cycle. // This pipeline will keep the formatter busy. assign wCountChnl = rChnl[(C_DATA_DELAY-2)*4 +:4]; always @ (posedge CLK) begin rWnR <= #1 _rWnR; rChnl <= #1 _rChnl; rTag <= #1 _rTag; rAddr <= #1 _rAddr; rLen <= #1 _rLen; rValid <= #1 _rValid; rDone <= #1 _rDone; rStart <= #1 _rStart; end always @ (*) begin _rWnR = {rWnR[((C_DATA_DELAY-1)*1)-1:0], rCapIsWr}; _rAddr = {rAddr[((C_DATA_DELAY-1)*62)-1:0], rCapAddr}; _rLen = {rLen[((C_DATA_DELAY-1)*10)-1:0], rCountLen}; _rChnl = {rChnl[((C_DATA_DELAY-1)*4)-1:0], rCountChnl}; _rTag = {rTag[((C_DATA_DELAY-1)*8)-1:0], (8'd0 | rCountTag)}; _rValid = {rValid[((C_DATA_DELAY-1)*1)-1:0], rCountValid & rCountIsWr}; // S_TXENGUPR64_MAIN_RD | S_TXENGUPR64_MAIN_WR _rDone = {rDone[((C_DATA_DELAY-1)*1)-1:0], rCountDone}; _rStart = {rStart[((C_DATA_DELAY-1)*1)-1:0], rCountStart}; end assign TXR_DATA = rWrData; assign TXR_DATA_VALID = rValid[(C_DATA_DELAY-1)*1 +:1]; assign TXR_DATA_START_FLAG = rStart[(C_DATA_DELAY-1)*1 +:1]; assign TXR_DATA_START_OFFSET = 0; assign TXR_DATA_END_FLAG = rDone[(C_DATA_DELAY-1)*1 +:1]; assign TXR_DATA_END_OFFSET = rLen[(C_DATA_DELAY-1)*10 +:`SIG_OFFSET_W] - 1; assign TXR_META_VALID = rCountStart; assign TXR_META_TYPE = rCapIsWr ? `TRLS_REQ_WR : `TRLS_REQ_RD; assign TXR_META_ADDR = {rCapAddr,2'b00}; assign TXR_META_LENGTH = rCapLen; assign TXR_META_LDWBE = rCapLen == 10'd1 ? 0 : 4'b1111; // TODO: This should be retimed assign TXR_META_FDWBE = 4'b1111; assign TXR_META_TAG = rCountTag; assign TXR_META_EP = 1'b0; assign TXR_META_ATTR = 3'b110; assign TXR_META_TC = 0; endmodule
// ---------------------------------------------------------------------- // Copyright (c) 2016, The Regents of the University of California All // rights reserved. // // Redistribution and use in source and binary forms, with or without // modification, are permitted provided that the following conditions are // met: // // * Redistributions of source code must retain the above copyright // notice, this list of conditions and the following disclaimer. // // * Redistributions in binary form must reproduce the above // copyright notice, this list of conditions and the following // disclaimer in the documentation and/or other materials provided // with the distribution. // // * Neither the name of The Regents of the University of California // nor the names of its contributors may be used to endorse or // promote products derived from this software without specific // prior written permission. // // THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS // "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT // LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR // A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL REGENTS OF THE // UNIVERSITY OF CALIFORNIA BE LIABLE FOR ANY DIRECT, INDIRECT, // INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, // BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS // OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND // ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR // TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE // USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH // DAMAGE. // ---------------------------------------------------------------------- // ---------------------------------------------------------------------- // Filename: Filename: tx_multiplexer_64.v // Version: Version: 1.0 // Verilog Standard: Verilog-2005 // Description: the TX Multiplexer services read and write requests from // RIFFA channels in round robin order. // Author: Dustin Richmond (@darichmond) // ---------------------------------------------------------------------- `define FMT_TXENGUPR64_WR32 7'b10_00000 `define FMT_TXENGUPR64_RD32 7'b00_00000 `define FMT_TXENGUPR64_WR64 7'b11_00000 `define FMT_TXENGUPR64_RD64 7'b01_00000 `define S_TXENGUPR64_MAIN_IDLE 4'b0001 `define S_TXENGUPR64_MAIN_RD 4'b0010 `define S_TXENGUPR64_MAIN_WR 4'b0100 `define S_TXENGUPR64_MAIN_WAIT 4'b1000 `define S_TXENGUPR64_CAP_RD_WR 4'b0001 `define S_TXENGUPR64_CAP_WR_RD 4'b0010 `define S_TXENGUPR64_CAP_CAP 4'b0100 `define S_TXENGUPR64_CAP_REL 4'b1000 `include "trellis.vh" `timescale 1ns/1ns module tx_multiplexer_64 #( parameter C_PCI_DATA_WIDTH = 128, parameter C_NUM_CHNL = 12, parameter C_TAG_WIDTH = 5, // Number of outstanding requests parameter C_VENDOR = "ALTERA" ) ( input CLK, input RST_IN, input [C_NUM_CHNL-1:0] WR_REQ, // Write request input [(C_NUM_CHNL*`SIG_ADDR_W)-1:0] WR_ADDR, // Write address input [(C_NUM_CHNL*`SIG_LEN_W)-1:0] WR_LEN, // Write data length input [(C_NUM_CHNL*C_PCI_DATA_WIDTH)-1:0] WR_DATA, // Write data output [C_NUM_CHNL-1:0] WR_DATA_REN, // Write data read enable output [C_NUM_CHNL-1:0] WR_ACK, // Write request has been accepted input [C_NUM_CHNL-1:0] RD_REQ, // Read request input [(C_NUM_CHNL*2)-1:0] RD_SG_CHNL, // Read request channel for scatter gather lists input [(C_NUM_CHNL*`SIG_ADDR_W)-1:0] RD_ADDR, // Read request address input [(C_NUM_CHNL*`SIG_LEN_W)-1:0] RD_LEN, // Read request length output [C_NUM_CHNL-1:0] RD_ACK, // Read request has been accepted output [5:0] INT_TAG, // Internal tag to exchange with external output INT_TAG_VALID, // High to signal tag exchange input [C_TAG_WIDTH-1:0] EXT_TAG, // External tag to provide in exchange for internal tag input EXT_TAG_VALID, // High to signal external tag is valid output TX_ENG_RD_REQ_SENT, // Read completion request issued input RXBUF_SPACE_AVAIL, // Interface: TXR Engine output TXR_DATA_VALID, output [C_PCI_DATA_WIDTH-1:0] TXR_DATA, output TXR_DATA_START_FLAG, output [clog2s(C_PCI_DATA_WIDTH/32)-1:0] TXR_DATA_START_OFFSET, output TXR_DATA_END_FLAG, output [clog2s(C_PCI_DATA_WIDTH/32)-1:0] TXR_DATA_END_OFFSET, input TXR_DATA_READY, output TXR_META_VALID, output [`SIG_FBE_W-1:0] TXR_META_FDWBE, output [`SIG_LBE_W-1:0] TXR_META_LDWBE, output [`SIG_ADDR_W-1:0] TXR_META_ADDR, output [`SIG_LEN_W-1:0] TXR_META_LENGTH, output [`SIG_TAG_W-1:0] TXR_META_TAG, output [`SIG_TC_W-1:0] TXR_META_TC, output [`SIG_ATTR_W-1:0] TXR_META_ATTR, output [`SIG_TYPE_W-1:0] TXR_META_TYPE, output TXR_META_EP, input TXR_META_READY); localparam C_DATA_DELAY = 6'd6; // Delays read/write params to accommodate tx_port_buffer delay and tx_engine_formatter delay. (* syn_encoding = "user" *) (* fsm_encoding = "user" *) reg [3:0] rMainState=`S_TXENGUPR64_MAIN_IDLE, _rMainState=`S_TXENGUPR64_MAIN_IDLE; reg rCountIsWr=0, _rCountIsWr=0; reg [3:0] rCountChnl=0, _rCountChnl=0; reg [C_TAG_WIDTH-1:0] rCountTag=0, _rCountTag=0; reg [9:0] rCount=0, _rCount=0; reg rCountDone=0, _rCountDone=0; reg rCountValid=0,_rCountValid=0; reg rCountStart=0, _rCountStart=0; reg rCountOdd32=0, _rCountOdd32=0; reg [9:0] rCountLen=0, _rCountLen=0; reg [C_NUM_CHNL-1:0] rWrDataRen=0, _rWrDataRen=0; reg rTxEngRdReqAck, _rTxEngRdReqAck; wire wRdReq; wire [3:0] wRdReqChnl; wire wWrReq; wire [3:0] wWrReqChnl; wire wRdAck; wire [3:0] wCountChnl; wire [11:0] wCountChnlShiftDW = (wCountChnl*C_PCI_DATA_WIDTH); // Mult can exceed 9 bits, so make this a wire wire [63:0] wRdAddr; wire [9:0] wRdLen; wire [1:0] wRdSgChnl; wire [63:0] wWrAddr; wire [9:0] wWrLen; wire [C_PCI_DATA_WIDTH-1:0] wWrData; reg [3:0] rRdChnl=0, _rRdChnl=0; reg [61:0] rRdAddr=62'd0, _rRdAddr=62'd0; reg [9:0] rRdLen=0, _rRdLen=0; reg [1:0] rRdSgChnl=0, _rRdSgChnl=0; reg [3:0] rWrChnl=0, _rWrChnl=0; reg [61:0] rWrAddr=62'd0, _rWrAddr=62'd0; reg [9:0] rWrLen=0, _rWrLen=0; reg [C_PCI_DATA_WIDTH-1:0] rWrData={C_PCI_DATA_WIDTH{1'd0}}, _rWrData={C_PCI_DATA_WIDTH{1'd0}}; assign wRdAddr = RD_ADDR[wRdReqChnl * `SIG_ADDR_W +: `SIG_ADDR_W]; assign wRdLen = RD_LEN[wRdReqChnl * `SIG_LEN_W +: `SIG_LEN_W]; assign wRdSgChnl = RD_SG_CHNL[wRdReqChnl * 2 +: 2]; assign wWrAddr = WR_ADDR[wWrReqChnl * `SIG_ADDR_W +: `SIG_ADDR_W]; assign wWrLen = WR_LEN[wWrReqChnl * `SIG_LEN_W +: `SIG_LEN_W]; assign wWrData = WR_DATA[wCountChnl * C_PCI_DATA_WIDTH +: C_PCI_DATA_WIDTH]; (* syn_encoding = "user" *) (* fsm_encoding = "user" *) reg [3:0] rCapState=`S_TXENGUPR64_CAP_RD_WR, _rCapState=`S_TXENGUPR64_CAP_RD_WR; reg [C_NUM_CHNL-1:0] rRdAck=0, _rRdAck=0; reg [C_NUM_CHNL-1:0] rWrAck=0, _rWrAck=0; reg rIsWr=0, _rIsWr=0; reg [5:0] rCapChnl=0, _rCapChnl=0; reg [61:0] rCapAddr=62'd0, _rCapAddr=62'd0; reg rCapAddr64=0, _rCapAddr64=0; reg [9:0] rCapLen=0, _rCapLen=0; reg rCapIsWr=0, _rCapIsWr=0; reg rExtTagReq=0, _rExtTagReq=0; reg [C_TAG_WIDTH-1:0] rExtTag=0, _rExtTag=0; reg [C_DATA_DELAY-1:0] rWnR=0, _rWnR=0; reg [(C_DATA_DELAY*4)-1:0] rChnl=0, _rChnl=0; reg [(C_DATA_DELAY*8)-1:0] rTag=0, _rTag=0; reg [(C_DATA_DELAY*62)-1:0] rAddr=0, _rAddr=0; reg [((C_DATA_DELAY+1)*10)-1:0] rLen=0, _rLen=0; reg [C_DATA_DELAY-1:0] rValid=0, _rValid=0; reg [C_DATA_DELAY-1:0] rDone=0, _rDone=0; reg [C_DATA_DELAY-1:0] rStart=0, _rStart=0; assign WR_DATA_REN = rWrDataRen; assign WR_ACK = rWrAck; assign RD_ACK = rRdAck; assign INT_TAG = {rRdSgChnl, rRdChnl}; assign INT_TAG_VALID = rExtTagReq; assign TX_ENG_RD_REQ_SENT = rTxEngRdReqAck; assign wRdAck = (wRdReq & EXT_TAG_VALID & RXBUF_SPACE_AVAIL); // Search for the next request so that we can move onto it immediately after // the current channel has released its request. tx_engine_selector #(.C_NUM_CHNL(C_NUM_CHNL)) selRd (.RST(RST_IN), .CLK(CLK), .REQ_ALL(RD_REQ), .REQ(wRdReq), .CHNL(wRdReqChnl)); tx_engine_selector #(.C_NUM_CHNL(C_NUM_CHNL)) selWr (.RST(RST_IN), .CLK(CLK), .REQ_ALL(WR_REQ), .REQ(wWrReq), .CHNL(wWrReqChnl)); // Buffer shift-selected channel request signals and FIFO data. always @ (posedge CLK) begin rRdChnl <= #1 _rRdChnl; rRdAddr <= #1 _rRdAddr; rRdLen <= #1 _rRdLen; rRdSgChnl <= #1 _rRdSgChnl; rWrChnl <= #1 _rWrChnl; rWrAddr <= #1 _rWrAddr; rWrLen <= #1 _rWrLen; rWrData <= #1 _rWrData; end always @ (*) begin _rRdChnl = wRdReqChnl; _rRdAddr = wRdAddr[63:2]; _rRdLen = wRdLen; _rRdSgChnl = wRdSgChnl; _rWrChnl = wWrReqChnl; _rWrAddr = wWrAddr[63:2]; _rWrLen = wWrLen; _rWrData = wWrData; end // Accept requests when the selector indicates. Capture the buffered // request parameters for hand-off to the formatting pipeline. Then // acknowledge the receipt to the channel so it can deassert the // request, and let the selector choose another channel. always @ (posedge CLK) begin rCapState <= #1 (RST_IN ? `S_TXENGUPR64_CAP_RD_WR : _rCapState); rRdAck <= #1 (RST_IN ? {C_NUM_CHNL{1'd0}} : _rRdAck); rWrAck <= #1 (RST_IN ? {C_NUM_CHNL{1'd0}} : _rWrAck); rIsWr <= #1 _rIsWr; rCapChnl <= #1 _rCapChnl; rCapAddr <= #1 _rCapAddr; rCapAddr64 <= #1 _rCapAddr64; rCapLen <= #1 _rCapLen; rCapIsWr <= #1 _rCapIsWr; rExtTagReq <= #1 _rExtTagReq; rExtTag <= #1 _rExtTag; rTxEngRdReqAck <= #1 _rTxEngRdReqAck; end always @ (*) begin _rCapState = rCapState; _rRdAck = rRdAck; _rWrAck = rWrAck; _rIsWr = rIsWr; _rCapChnl = rCapChnl; _rCapAddr = rCapAddr; _rCapAddr64 = (rCapAddr[61:30] != 0); _rCapLen = rCapLen; _rCapIsWr = rCapIsWr; _rExtTagReq = rExtTagReq; _rExtTag = rExtTag; _rTxEngRdReqAck = rTxEngRdReqAck; case (rCapState) `S_TXENGUPR64_CAP_RD_WR : begin _rIsWr = !wRdReq; _rRdAck = (wRdAck<<wRdReqChnl); _rTxEngRdReqAck = wRdAck; _rExtTagReq = wRdAck; _rCapState = (wRdAck ? `S_TXENGUPR64_CAP_CAP : `S_TXENGUPR64_CAP_WR_RD); end `S_TXENGUPR64_CAP_WR_RD : begin _rIsWr = wWrReq; _rWrAck = (wWrReq<<wWrReqChnl); _rCapState = (wWrReq ? `S_TXENGUPR64_CAP_CAP : `S_TXENGUPR64_CAP_RD_WR); end `S_TXENGUPR64_CAP_CAP : begin _rTxEngRdReqAck = 0; _rRdAck = 0; _rWrAck = 0; _rCapIsWr = rIsWr; _rExtTagReq = 0; _rExtTag = EXT_TAG; if (rIsWr) begin _rCapChnl = {2'd0, rWrChnl}; _rCapAddr = rWrAddr; _rCapLen = rWrLen; end else begin _rCapChnl = {rRdSgChnl, rRdChnl}; _rCapAddr = rRdAddr; _rCapLen = rRdLen; end _rCapState = `S_TXENGUPR64_CAP_REL; end `S_TXENGUPR64_CAP_REL : begin // Push into the formatting pipeline when ready if (TXR_META_READY & rMainState[0]) // S_TXENGUPR64_MAIN_IDLE _rCapState = (`S_TXENGUPR64_CAP_WR_RD>>(rCapIsWr)); // Changes to S_TXENGUPR64_CAP_RD_WR end default : begin _rCapState = `S_TXENGUPR64_CAP_RD_WR; end endcase end // Start the read/write when space is available in the output FIFO and when // request parameters have been captured (i.e. a pending request). always @ (posedge CLK) begin rMainState <= #1 (RST_IN ? `S_TXENGUPR64_MAIN_IDLE : _rMainState); rCountIsWr <= #1 _rCountIsWr; rCountLen <= #1 _rCountLen; rCount <= #1 _rCount; rCountDone <= #1 _rCountDone; rCountStart <= #1 _rCountStart; rCountChnl <= #1 _rCountChnl; rCountTag <= #1 _rCountTag; rCountOdd32 <= #1 _rCountOdd32; rWrDataRen <= #1 _rWrDataRen; rCountValid <= #1 RST_IN ? 0 : _rCountValid; end always @ (*) begin _rMainState = rMainState; _rCountIsWr = rCountIsWr; _rCount = rCount; _rCountLen = rCountLen; _rCountDone = rCountDone; _rCountStart = rCountStart; _rCountChnl = rCountChnl; _rCountTag = rCountTag; _rCountOdd32 = rCountOdd32; _rWrDataRen = rWrDataRen; _rCountStart = 0; _rCountValid = rCountValid; case (rMainState) `S_TXENGUPR64_MAIN_IDLE : begin _rCountIsWr = rCapIsWr; _rCountLen = rCapLen; _rCount = rCapLen; _rCountDone = (rCapLen <= 2'd2); _rCountChnl = rCapChnl[3:0]; _rCountTag = rExtTag; _rCountOdd32 = (rCapLen[0] & ((rCapAddr[61:30] == 0))); _rWrDataRen = ((TXR_META_READY & rCapState[3] & rCapIsWr)<<(rCapChnl[3:0])); // S_TXENGUPR64_CAP_REL _rCountStart = (TXR_META_READY & rCapState[3]); _rCountValid = TXR_META_READY & rCapState[3]; if (TXR_META_READY & rCapState[3]) // S_TXENGUPR64_CAP_REL _rMainState = (`S_TXENGUPR64_MAIN_RD<<(rCapIsWr)); // Change to S_TXENGUPR64_MAIN_WR; end `S_TXENGUPR64_MAIN_RD : begin _rMainState = `S_TXENGUPR64_MAIN_IDLE; end `S_TXENGUPR64_MAIN_WR : begin _rCount = rCount - 2'd2; _rCountDone = (rCount <= 3'd4); if (rCountDone) begin _rWrDataRen = 0; _rCountValid = 0; _rMainState = (rCountOdd32 ? `S_TXENGUPR64_MAIN_IDLE : `S_TXENGUPR64_MAIN_WAIT); end end `S_TXENGUPR64_MAIN_WAIT : begin // Signals request FIFO ren _rMainState = `S_TXENGUPR64_MAIN_IDLE; end default : begin _rMainState = `S_TXENGUPR64_MAIN_IDLE; end endcase end // Shift in the captured parameters and valid signal every cycle. // This pipeline will keep the formatter busy. assign wCountChnl = rChnl[(C_DATA_DELAY-2)*4 +:4]; always @ (posedge CLK) begin rWnR <= #1 _rWnR; rChnl <= #1 _rChnl; rTag <= #1 _rTag; rAddr <= #1 _rAddr; rLen <= #1 _rLen; rValid <= #1 _rValid; rDone <= #1 _rDone; rStart <= #1 _rStart; end always @ (*) begin _rWnR = {rWnR[((C_DATA_DELAY-1)*1)-1:0], rCapIsWr}; _rAddr = {rAddr[((C_DATA_DELAY-1)*62)-1:0], rCapAddr}; _rLen = {rLen[((C_DATA_DELAY-1)*10)-1:0], rCountLen}; _rChnl = {rChnl[((C_DATA_DELAY-1)*4)-1:0], rCountChnl}; _rTag = {rTag[((C_DATA_DELAY-1)*8)-1:0], (8'd0 | rCountTag)}; _rValid = {rValid[((C_DATA_DELAY-1)*1)-1:0], rCountValid & rCountIsWr}; // S_TXENGUPR64_MAIN_RD | S_TXENGUPR64_MAIN_WR _rDone = {rDone[((C_DATA_DELAY-1)*1)-1:0], rCountDone}; _rStart = {rStart[((C_DATA_DELAY-1)*1)-1:0], rCountStart}; end assign TXR_DATA = rWrData; assign TXR_DATA_VALID = rValid[(C_DATA_DELAY-1)*1 +:1]; assign TXR_DATA_START_FLAG = rStart[(C_DATA_DELAY-1)*1 +:1]; assign TXR_DATA_START_OFFSET = 0; assign TXR_DATA_END_FLAG = rDone[(C_DATA_DELAY-1)*1 +:1]; assign TXR_DATA_END_OFFSET = rLen[(C_DATA_DELAY-1)*10 +:`SIG_OFFSET_W] - 1; assign TXR_META_VALID = rCountStart; assign TXR_META_TYPE = rCapIsWr ? `TRLS_REQ_WR : `TRLS_REQ_RD; assign TXR_META_ADDR = {rCapAddr,2'b00}; assign TXR_META_LENGTH = rCapLen; assign TXR_META_LDWBE = rCapLen == 10'd1 ? 0 : 4'b1111; // TODO: This should be retimed assign TXR_META_FDWBE = 4'b1111; assign TXR_META_TAG = rCountTag; assign TXR_META_EP = 1'b0; assign TXR_META_ATTR = 3'b110; assign TXR_META_TC = 0; endmodule
// ---------------------------------------------------------------------- // Copyright (c) 2016, The Regents of the University of California All // rights reserved. // // Redistribution and use in source and binary forms, with or without // modification, are permitted provided that the following conditions are // met: // // * Redistributions of source code must retain the above copyright // notice, this list of conditions and the following disclaimer. // // * Redistributions in binary form must reproduce the above // copyright notice, this list of conditions and the following // disclaimer in the documentation and/or other materials provided // with the distribution. // // * Neither the name of The Regents of the University of California // nor the names of its contributors may be used to endorse or // promote products derived from this software without specific // prior written permission. // // THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS // "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT // LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR // A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL REGENTS OF THE // UNIVERSITY OF CALIFORNIA BE LIABLE FOR ANY DIRECT, INDIRECT, // INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, // BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS // OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND // ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR // TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE // USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH // DAMAGE. // ---------------------------------------------------------------------- // ---------------------------------------------------------------------- // Filename: Filename: tx_multiplexer_64.v // Version: Version: 1.0 // Verilog Standard: Verilog-2005 // Description: the TX Multiplexer services read and write requests from // RIFFA channels in round robin order. // Author: Dustin Richmond (@darichmond) // ---------------------------------------------------------------------- `define FMT_TXENGUPR64_WR32 7'b10_00000 `define FMT_TXENGUPR64_RD32 7'b00_00000 `define FMT_TXENGUPR64_WR64 7'b11_00000 `define FMT_TXENGUPR64_RD64 7'b01_00000 `define S_TXENGUPR64_MAIN_IDLE 4'b0001 `define S_TXENGUPR64_MAIN_RD 4'b0010 `define S_TXENGUPR64_MAIN_WR 4'b0100 `define S_TXENGUPR64_MAIN_WAIT 4'b1000 `define S_TXENGUPR64_CAP_RD_WR 4'b0001 `define S_TXENGUPR64_CAP_WR_RD 4'b0010 `define S_TXENGUPR64_CAP_CAP 4'b0100 `define S_TXENGUPR64_CAP_REL 4'b1000 `include "trellis.vh" `timescale 1ns/1ns module tx_multiplexer_64 #( parameter C_PCI_DATA_WIDTH = 128, parameter C_NUM_CHNL = 12, parameter C_TAG_WIDTH = 5, // Number of outstanding requests parameter C_VENDOR = "ALTERA" ) ( input CLK, input RST_IN, input [C_NUM_CHNL-1:0] WR_REQ, // Write request input [(C_NUM_CHNL*`SIG_ADDR_W)-1:0] WR_ADDR, // Write address input [(C_NUM_CHNL*`SIG_LEN_W)-1:0] WR_LEN, // Write data length input [(C_NUM_CHNL*C_PCI_DATA_WIDTH)-1:0] WR_DATA, // Write data output [C_NUM_CHNL-1:0] WR_DATA_REN, // Write data read enable output [C_NUM_CHNL-1:0] WR_ACK, // Write request has been accepted input [C_NUM_CHNL-1:0] RD_REQ, // Read request input [(C_NUM_CHNL*2)-1:0] RD_SG_CHNL, // Read request channel for scatter gather lists input [(C_NUM_CHNL*`SIG_ADDR_W)-1:0] RD_ADDR, // Read request address input [(C_NUM_CHNL*`SIG_LEN_W)-1:0] RD_LEN, // Read request length output [C_NUM_CHNL-1:0] RD_ACK, // Read request has been accepted output [5:0] INT_TAG, // Internal tag to exchange with external output INT_TAG_VALID, // High to signal tag exchange input [C_TAG_WIDTH-1:0] EXT_TAG, // External tag to provide in exchange for internal tag input EXT_TAG_VALID, // High to signal external tag is valid output TX_ENG_RD_REQ_SENT, // Read completion request issued input RXBUF_SPACE_AVAIL, // Interface: TXR Engine output TXR_DATA_VALID, output [C_PCI_DATA_WIDTH-1:0] TXR_DATA, output TXR_DATA_START_FLAG, output [clog2s(C_PCI_DATA_WIDTH/32)-1:0] TXR_DATA_START_OFFSET, output TXR_DATA_END_FLAG, output [clog2s(C_PCI_DATA_WIDTH/32)-1:0] TXR_DATA_END_OFFSET, input TXR_DATA_READY, output TXR_META_VALID, output [`SIG_FBE_W-1:0] TXR_META_FDWBE, output [`SIG_LBE_W-1:0] TXR_META_LDWBE, output [`SIG_ADDR_W-1:0] TXR_META_ADDR, output [`SIG_LEN_W-1:0] TXR_META_LENGTH, output [`SIG_TAG_W-1:0] TXR_META_TAG, output [`SIG_TC_W-1:0] TXR_META_TC, output [`SIG_ATTR_W-1:0] TXR_META_ATTR, output [`SIG_TYPE_W-1:0] TXR_META_TYPE, output TXR_META_EP, input TXR_META_READY); localparam C_DATA_DELAY = 6'd6; // Delays read/write params to accommodate tx_port_buffer delay and tx_engine_formatter delay. (* syn_encoding = "user" *) (* fsm_encoding = "user" *) reg [3:0] rMainState=`S_TXENGUPR64_MAIN_IDLE, _rMainState=`S_TXENGUPR64_MAIN_IDLE; reg rCountIsWr=0, _rCountIsWr=0; reg [3:0] rCountChnl=0, _rCountChnl=0; reg [C_TAG_WIDTH-1:0] rCountTag=0, _rCountTag=0; reg [9:0] rCount=0, _rCount=0; reg rCountDone=0, _rCountDone=0; reg rCountValid=0,_rCountValid=0; reg rCountStart=0, _rCountStart=0; reg rCountOdd32=0, _rCountOdd32=0; reg [9:0] rCountLen=0, _rCountLen=0; reg [C_NUM_CHNL-1:0] rWrDataRen=0, _rWrDataRen=0; reg rTxEngRdReqAck, _rTxEngRdReqAck; wire wRdReq; wire [3:0] wRdReqChnl; wire wWrReq; wire [3:0] wWrReqChnl; wire wRdAck; wire [3:0] wCountChnl; wire [11:0] wCountChnlShiftDW = (wCountChnl*C_PCI_DATA_WIDTH); // Mult can exceed 9 bits, so make this a wire wire [63:0] wRdAddr; wire [9:0] wRdLen; wire [1:0] wRdSgChnl; wire [63:0] wWrAddr; wire [9:0] wWrLen; wire [C_PCI_DATA_WIDTH-1:0] wWrData; reg [3:0] rRdChnl=0, _rRdChnl=0; reg [61:0] rRdAddr=62'd0, _rRdAddr=62'd0; reg [9:0] rRdLen=0, _rRdLen=0; reg [1:0] rRdSgChnl=0, _rRdSgChnl=0; reg [3:0] rWrChnl=0, _rWrChnl=0; reg [61:0] rWrAddr=62'd0, _rWrAddr=62'd0; reg [9:0] rWrLen=0, _rWrLen=0; reg [C_PCI_DATA_WIDTH-1:0] rWrData={C_PCI_DATA_WIDTH{1'd0}}, _rWrData={C_PCI_DATA_WIDTH{1'd0}}; assign wRdAddr = RD_ADDR[wRdReqChnl * `SIG_ADDR_W +: `SIG_ADDR_W]; assign wRdLen = RD_LEN[wRdReqChnl * `SIG_LEN_W +: `SIG_LEN_W]; assign wRdSgChnl = RD_SG_CHNL[wRdReqChnl * 2 +: 2]; assign wWrAddr = WR_ADDR[wWrReqChnl * `SIG_ADDR_W +: `SIG_ADDR_W]; assign wWrLen = WR_LEN[wWrReqChnl * `SIG_LEN_W +: `SIG_LEN_W]; assign wWrData = WR_DATA[wCountChnl * C_PCI_DATA_WIDTH +: C_PCI_DATA_WIDTH]; (* syn_encoding = "user" *) (* fsm_encoding = "user" *) reg [3:0] rCapState=`S_TXENGUPR64_CAP_RD_WR, _rCapState=`S_TXENGUPR64_CAP_RD_WR; reg [C_NUM_CHNL-1:0] rRdAck=0, _rRdAck=0; reg [C_NUM_CHNL-1:0] rWrAck=0, _rWrAck=0; reg rIsWr=0, _rIsWr=0; reg [5:0] rCapChnl=0, _rCapChnl=0; reg [61:0] rCapAddr=62'd0, _rCapAddr=62'd0; reg rCapAddr64=0, _rCapAddr64=0; reg [9:0] rCapLen=0, _rCapLen=0; reg rCapIsWr=0, _rCapIsWr=0; reg rExtTagReq=0, _rExtTagReq=0; reg [C_TAG_WIDTH-1:0] rExtTag=0, _rExtTag=0; reg [C_DATA_DELAY-1:0] rWnR=0, _rWnR=0; reg [(C_DATA_DELAY*4)-1:0] rChnl=0, _rChnl=0; reg [(C_DATA_DELAY*8)-1:0] rTag=0, _rTag=0; reg [(C_DATA_DELAY*62)-1:0] rAddr=0, _rAddr=0; reg [((C_DATA_DELAY+1)*10)-1:0] rLen=0, _rLen=0; reg [C_DATA_DELAY-1:0] rValid=0, _rValid=0; reg [C_DATA_DELAY-1:0] rDone=0, _rDone=0; reg [C_DATA_DELAY-1:0] rStart=0, _rStart=0; assign WR_DATA_REN = rWrDataRen; assign WR_ACK = rWrAck; assign RD_ACK = rRdAck; assign INT_TAG = {rRdSgChnl, rRdChnl}; assign INT_TAG_VALID = rExtTagReq; assign TX_ENG_RD_REQ_SENT = rTxEngRdReqAck; assign wRdAck = (wRdReq & EXT_TAG_VALID & RXBUF_SPACE_AVAIL); // Search for the next request so that we can move onto it immediately after // the current channel has released its request. tx_engine_selector #(.C_NUM_CHNL(C_NUM_CHNL)) selRd (.RST(RST_IN), .CLK(CLK), .REQ_ALL(RD_REQ), .REQ(wRdReq), .CHNL(wRdReqChnl)); tx_engine_selector #(.C_NUM_CHNL(C_NUM_CHNL)) selWr (.RST(RST_IN), .CLK(CLK), .REQ_ALL(WR_REQ), .REQ(wWrReq), .CHNL(wWrReqChnl)); // Buffer shift-selected channel request signals and FIFO data. always @ (posedge CLK) begin rRdChnl <= #1 _rRdChnl; rRdAddr <= #1 _rRdAddr; rRdLen <= #1 _rRdLen; rRdSgChnl <= #1 _rRdSgChnl; rWrChnl <= #1 _rWrChnl; rWrAddr <= #1 _rWrAddr; rWrLen <= #1 _rWrLen; rWrData <= #1 _rWrData; end always @ (*) begin _rRdChnl = wRdReqChnl; _rRdAddr = wRdAddr[63:2]; _rRdLen = wRdLen; _rRdSgChnl = wRdSgChnl; _rWrChnl = wWrReqChnl; _rWrAddr = wWrAddr[63:2]; _rWrLen = wWrLen; _rWrData = wWrData; end // Accept requests when the selector indicates. Capture the buffered // request parameters for hand-off to the formatting pipeline. Then // acknowledge the receipt to the channel so it can deassert the // request, and let the selector choose another channel. always @ (posedge CLK) begin rCapState <= #1 (RST_IN ? `S_TXENGUPR64_CAP_RD_WR : _rCapState); rRdAck <= #1 (RST_IN ? {C_NUM_CHNL{1'd0}} : _rRdAck); rWrAck <= #1 (RST_IN ? {C_NUM_CHNL{1'd0}} : _rWrAck); rIsWr <= #1 _rIsWr; rCapChnl <= #1 _rCapChnl; rCapAddr <= #1 _rCapAddr; rCapAddr64 <= #1 _rCapAddr64; rCapLen <= #1 _rCapLen; rCapIsWr <= #1 _rCapIsWr; rExtTagReq <= #1 _rExtTagReq; rExtTag <= #1 _rExtTag; rTxEngRdReqAck <= #1 _rTxEngRdReqAck; end always @ (*) begin _rCapState = rCapState; _rRdAck = rRdAck; _rWrAck = rWrAck; _rIsWr = rIsWr; _rCapChnl = rCapChnl; _rCapAddr = rCapAddr; _rCapAddr64 = (rCapAddr[61:30] != 0); _rCapLen = rCapLen; _rCapIsWr = rCapIsWr; _rExtTagReq = rExtTagReq; _rExtTag = rExtTag; _rTxEngRdReqAck = rTxEngRdReqAck; case (rCapState) `S_TXENGUPR64_CAP_RD_WR : begin _rIsWr = !wRdReq; _rRdAck = (wRdAck<<wRdReqChnl); _rTxEngRdReqAck = wRdAck; _rExtTagReq = wRdAck; _rCapState = (wRdAck ? `S_TXENGUPR64_CAP_CAP : `S_TXENGUPR64_CAP_WR_RD); end `S_TXENGUPR64_CAP_WR_RD : begin _rIsWr = wWrReq; _rWrAck = (wWrReq<<wWrReqChnl); _rCapState = (wWrReq ? `S_TXENGUPR64_CAP_CAP : `S_TXENGUPR64_CAP_RD_WR); end `S_TXENGUPR64_CAP_CAP : begin _rTxEngRdReqAck = 0; _rRdAck = 0; _rWrAck = 0; _rCapIsWr = rIsWr; _rExtTagReq = 0; _rExtTag = EXT_TAG; if (rIsWr) begin _rCapChnl = {2'd0, rWrChnl}; _rCapAddr = rWrAddr; _rCapLen = rWrLen; end else begin _rCapChnl = {rRdSgChnl, rRdChnl}; _rCapAddr = rRdAddr; _rCapLen = rRdLen; end _rCapState = `S_TXENGUPR64_CAP_REL; end `S_TXENGUPR64_CAP_REL : begin // Push into the formatting pipeline when ready if (TXR_META_READY & rMainState[0]) // S_TXENGUPR64_MAIN_IDLE _rCapState = (`S_TXENGUPR64_CAP_WR_RD>>(rCapIsWr)); // Changes to S_TXENGUPR64_CAP_RD_WR end default : begin _rCapState = `S_TXENGUPR64_CAP_RD_WR; end endcase end // Start the read/write when space is available in the output FIFO and when // request parameters have been captured (i.e. a pending request). always @ (posedge CLK) begin rMainState <= #1 (RST_IN ? `S_TXENGUPR64_MAIN_IDLE : _rMainState); rCountIsWr <= #1 _rCountIsWr; rCountLen <= #1 _rCountLen; rCount <= #1 _rCount; rCountDone <= #1 _rCountDone; rCountStart <= #1 _rCountStart; rCountChnl <= #1 _rCountChnl; rCountTag <= #1 _rCountTag; rCountOdd32 <= #1 _rCountOdd32; rWrDataRen <= #1 _rWrDataRen; rCountValid <= #1 RST_IN ? 0 : _rCountValid; end always @ (*) begin _rMainState = rMainState; _rCountIsWr = rCountIsWr; _rCount = rCount; _rCountLen = rCountLen; _rCountDone = rCountDone; _rCountStart = rCountStart; _rCountChnl = rCountChnl; _rCountTag = rCountTag; _rCountOdd32 = rCountOdd32; _rWrDataRen = rWrDataRen; _rCountStart = 0; _rCountValid = rCountValid; case (rMainState) `S_TXENGUPR64_MAIN_IDLE : begin _rCountIsWr = rCapIsWr; _rCountLen = rCapLen; _rCount = rCapLen; _rCountDone = (rCapLen <= 2'd2); _rCountChnl = rCapChnl[3:0]; _rCountTag = rExtTag; _rCountOdd32 = (rCapLen[0] & ((rCapAddr[61:30] == 0))); _rWrDataRen = ((TXR_META_READY & rCapState[3] & rCapIsWr)<<(rCapChnl[3:0])); // S_TXENGUPR64_CAP_REL _rCountStart = (TXR_META_READY & rCapState[3]); _rCountValid = TXR_META_READY & rCapState[3]; if (TXR_META_READY & rCapState[3]) // S_TXENGUPR64_CAP_REL _rMainState = (`S_TXENGUPR64_MAIN_RD<<(rCapIsWr)); // Change to S_TXENGUPR64_MAIN_WR; end `S_TXENGUPR64_MAIN_RD : begin _rMainState = `S_TXENGUPR64_MAIN_IDLE; end `S_TXENGUPR64_MAIN_WR : begin _rCount = rCount - 2'd2; _rCountDone = (rCount <= 3'd4); if (rCountDone) begin _rWrDataRen = 0; _rCountValid = 0; _rMainState = (rCountOdd32 ? `S_TXENGUPR64_MAIN_IDLE : `S_TXENGUPR64_MAIN_WAIT); end end `S_TXENGUPR64_MAIN_WAIT : begin // Signals request FIFO ren _rMainState = `S_TXENGUPR64_MAIN_IDLE; end default : begin _rMainState = `S_TXENGUPR64_MAIN_IDLE; end endcase end // Shift in the captured parameters and valid signal every cycle. // This pipeline will keep the formatter busy. assign wCountChnl = rChnl[(C_DATA_DELAY-2)*4 +:4]; always @ (posedge CLK) begin rWnR <= #1 _rWnR; rChnl <= #1 _rChnl; rTag <= #1 _rTag; rAddr <= #1 _rAddr; rLen <= #1 _rLen; rValid <= #1 _rValid; rDone <= #1 _rDone; rStart <= #1 _rStart; end always @ (*) begin _rWnR = {rWnR[((C_DATA_DELAY-1)*1)-1:0], rCapIsWr}; _rAddr = {rAddr[((C_DATA_DELAY-1)*62)-1:0], rCapAddr}; _rLen = {rLen[((C_DATA_DELAY-1)*10)-1:0], rCountLen}; _rChnl = {rChnl[((C_DATA_DELAY-1)*4)-1:0], rCountChnl}; _rTag = {rTag[((C_DATA_DELAY-1)*8)-1:0], (8'd0 | rCountTag)}; _rValid = {rValid[((C_DATA_DELAY-1)*1)-1:0], rCountValid & rCountIsWr}; // S_TXENGUPR64_MAIN_RD | S_TXENGUPR64_MAIN_WR _rDone = {rDone[((C_DATA_DELAY-1)*1)-1:0], rCountDone}; _rStart = {rStart[((C_DATA_DELAY-1)*1)-1:0], rCountStart}; end assign TXR_DATA = rWrData; assign TXR_DATA_VALID = rValid[(C_DATA_DELAY-1)*1 +:1]; assign TXR_DATA_START_FLAG = rStart[(C_DATA_DELAY-1)*1 +:1]; assign TXR_DATA_START_OFFSET = 0; assign TXR_DATA_END_FLAG = rDone[(C_DATA_DELAY-1)*1 +:1]; assign TXR_DATA_END_OFFSET = rLen[(C_DATA_DELAY-1)*10 +:`SIG_OFFSET_W] - 1; assign TXR_META_VALID = rCountStart; assign TXR_META_TYPE = rCapIsWr ? `TRLS_REQ_WR : `TRLS_REQ_RD; assign TXR_META_ADDR = {rCapAddr,2'b00}; assign TXR_META_LENGTH = rCapLen; assign TXR_META_LDWBE = rCapLen == 10'd1 ? 0 : 4'b1111; // TODO: This should be retimed assign TXR_META_FDWBE = 4'b1111; assign TXR_META_TAG = rCountTag; assign TXR_META_EP = 1'b0; assign TXR_META_ATTR = 3'b110; assign TXR_META_TC = 0; endmodule
(** Extraction : tests of optimizations of pattern matching *) (** First, a few basic tests *) Definition test1 b := match b with | true => true | false => false end. Extraction test1. (** should be seen as the identity *) Definition test2 b := match b with | true => false | false => false end. Extraction test2. (** should be seen a the always-false constant function *) Inductive hole (A:Set) : Set := Hole | Hole2. Definition wrong_id (A B : Set) (x:hole A) : hole B := match x with | Hole => @Hole _ | Hole2 => @Hole2 _ end. Extraction wrong_id. (** should _not_ be optimized as an identity *) Definition test3 (A:Type)(o : option A) := match o with | Some x => Some x | None => None end. Extraction test3. (** Even with type parameters, should be seen as identity *) Inductive indu : Type := A : nat -> indu | B | C. Definition test4 n := match n with | A m => A (S m) | B => B | C => C end. Extraction test4. (** should merge branchs B C into a x->x *) Definition test5 n := match n with | A m => A (S m) | B => B | C => B end. Extraction test5. (** should merge branches B C into _->B *) Inductive indu' : Type := A' : nat -> indu' | B' | C' | D' | E' | F'. Definition test6 n := match n with | A' m => A' (S m) | B' => C' | C' => C' | D' => C' | E' => B' | F' => B' end. Extraction test6. (** should merge some branches into a _->C' *) (** NB : In Coq, "| a => a" corresponds to n, hence some "| _ -> n" are extracted *) Definition test7 n := match n with | A m => Some m | B => None | C => None end. Extraction test7. (** should merge branches B,C into a _->None *) (** Script from bug #2413 *) Set Implicit Arguments. Section S. Definition message := nat. Definition word := nat. Definition mode := nat. Definition opcode := nat. Variable condition : word -> option opcode. Section decoder_result. Variable inst : Type. Inductive decoder_result : Type := | DecUndefined : decoder_result | DecUnpredictable : decoder_result | DecInst : inst -> decoder_result | DecError : message -> decoder_result. End decoder_result. Definition decode_cond_mode (mode : Type) (f : word -> decoder_result mode) (w : word) (inst : Type) (g : mode -> opcode -> inst) : decoder_result inst := match condition w with | Some oc => match f w with | DecInst i => DecInst (g i oc) | DecError m => @DecError inst m | DecUndefined => @DecUndefined inst | DecUnpredictable => @DecUnpredictable inst end | None => @DecUndefined inst end. End S. Extraction decode_cond_mode. (** inner match should not be factorized with a partial x->x (different type) *)
// ---------------------------------------------------------------------- // Copyright (c) 2016, The Regents of the University of California All // rights reserved. // // Redistribution and use in source and binary forms, with or without // modification, are permitted provided that the following conditions are // met: // // * Redistributions of source code must retain the above copyright // notice, this list of conditions and the following disclaimer. // // * Redistributions in binary form must reproduce the above // copyright notice, this list of conditions and the following // disclaimer in the documentation and/or other materials provided // with the distribution. // // * Neither the name of The Regents of the University of California // nor the names of its contributors may be used to endorse or // promote products derived from this software without specific // prior written permission. // // THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS // "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT // LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR // A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL REGENTS OF THE // UNIVERSITY OF CALIFORNIA BE LIABLE FOR ANY DIRECT, INDIRECT, // INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, // BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS // OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND // ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR // TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE // USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH // DAMAGE. // ---------------------------------------------------------------------- //---------------------------------------------------------------------------- // Filename: mux.v // Version: 1.00.a // Verilog Standard: Verilog-2001 // Description: A simple multiplexer // Author: Dustin Richmond (@darichmond) // TODO: Remove C_CLOG_NUM_INPUTS //----------------------------------------------------------------------------- `timescale 1ns/1ns `include "functions.vh" module mux #( parameter C_NUM_INPUTS = 4, parameter C_CLOG_NUM_INPUTS = 2, parameter C_WIDTH = 32, parameter C_MUX_TYPE = "SELECT" ) ( input [(C_NUM_INPUTS)*C_WIDTH-1:0] MUX_INPUTS, input [C_CLOG_NUM_INPUTS-1:0] MUX_SELECT, output [C_WIDTH-1:0] MUX_OUTPUT ); generate if(C_MUX_TYPE == "SELECT") begin mux_select #(/*AUTOINSTPARAM*/ // Parameters .C_NUM_INPUTS (C_NUM_INPUTS), .C_CLOG_NUM_INPUTS (C_CLOG_NUM_INPUTS), .C_WIDTH (C_WIDTH)) mux_select_inst (/*AUTOINST*/ // Outputs .MUX_OUTPUT (MUX_OUTPUT[C_WIDTH-1:0]), // Inputs .MUX_INPUTS (MUX_INPUTS[(C_NUM_INPUTS)*C_WIDTH-1:0]), .MUX_SELECT (MUX_SELECT[C_CLOG_NUM_INPUTS-1:0])); end else if (C_MUX_TYPE == "SHIFT") begin mux_shift #(/*AUTOINSTPARAM*/ // Parameters .C_NUM_INPUTS (C_NUM_INPUTS), .C_CLOG_NUM_INPUTS (C_CLOG_NUM_INPUTS), .C_WIDTH (C_WIDTH)) mux_shift_inst (/*AUTOINST*/ // Outputs .MUX_OUTPUT (MUX_OUTPUT[C_WIDTH-1:0]), // Inputs .MUX_INPUTS (MUX_INPUTS[(C_NUM_INPUTS)*C_WIDTH-1:0]), .MUX_SELECT (MUX_SELECT[C_CLOG_NUM_INPUTS-1:0])); end endgenerate endmodule module mux_select #( parameter C_NUM_INPUTS = 4, parameter C_CLOG_NUM_INPUTS = 2, parameter C_WIDTH = 32 ) ( input [(C_NUM_INPUTS)*C_WIDTH-1:0] MUX_INPUTS, input [C_CLOG_NUM_INPUTS-1:0] MUX_SELECT, output [C_WIDTH-1:0] MUX_OUTPUT ); genvar i; wire [C_WIDTH-1:0] wMuxInputs[C_NUM_INPUTS-1:0]; assign MUX_OUTPUT = wMuxInputs[MUX_SELECT]; generate for (i = 0; i < C_NUM_INPUTS ; i = i + 1) begin : gen_muxInputs_array assign wMuxInputs[i] = MUX_INPUTS[i*C_WIDTH +: C_WIDTH]; end endgenerate endmodule module mux_shift #( parameter C_NUM_INPUTS = 4, parameter C_CLOG_NUM_INPUTS = 2, parameter C_WIDTH = 32 ) ( input [(C_NUM_INPUTS)*C_WIDTH-1:0] MUX_INPUTS, input [C_CLOG_NUM_INPUTS-1:0] MUX_SELECT, output [C_WIDTH-1:0] MUX_OUTPUT ); genvar i; wire [C_WIDTH*C_NUM_INPUTS-1:0] wMuxInputs; assign wMuxInputs = MUX_INPUTS >> MUX_SELECT; assign MUX_OUTPUT = wMuxInputs[C_WIDTH-1:0]; endmodule
// ---------------------------------------------------------------------- // Copyright (c) 2016, The Regents of the University of California All // rights reserved. // // Redistribution and use in source and binary forms, with or without // modification, are permitted provided that the following conditions are // met: // // * Redistributions of source code must retain the above copyright // notice, this list of conditions and the following disclaimer. // // * Redistributions in binary form must reproduce the above // copyright notice, this list of conditions and the following // disclaimer in the documentation and/or other materials provided // with the distribution. // // * Neither the name of The Regents of the University of California // nor the names of its contributors may be used to endorse or // promote products derived from this software without specific // prior written permission. // // THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS // "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT // LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR // A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL REGENTS OF THE // UNIVERSITY OF CALIFORNIA BE LIABLE FOR ANY DIRECT, INDIRECT, // INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, // BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS // OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND // ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR // TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE // USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH // DAMAGE. // ---------------------------------------------------------------------- //---------------------------------------------------------------------------- // Filename: tx_port_monitor_128.v // Version: 1.00.a // Verilog Standard: Verilog-2001 // Description: Detects transaction open/close events from the stream // of data from the tx_port_channel_gate. Filters out events and passes data // onto the tx_port_buffer. // Author: Matt Jacobsen // History: @mattj: Version 2.0 //----------------------------------------------------------------------------- `define S_TXPORTMON128_NEXT 6'b00_0001 `define S_TXPORTMON128_EVT_2 6'b00_0010 `define S_TXPORTMON128_TXN 6'b00_0100 `define S_TXPORTMON128_READ 6'b00_1000 `define S_TXPORTMON128_END_0 6'b01_0000 `define S_TXPORTMON128_END_1 6'b10_0000 `timescale 1ns/1ns module tx_port_monitor_128 #( parameter C_DATA_WIDTH = 9'd128, parameter C_FIFO_DEPTH = 512, // Local parameters parameter C_FIFO_DEPTH_THRESH = (C_FIFO_DEPTH - 4), parameter C_FIFO_DEPTH_WIDTH = clog2((2**clog2(C_FIFO_DEPTH))+1), parameter C_VALID_HIST = 1 ) ( input RST, input CLK, input [C_DATA_WIDTH:0] EVT_DATA, // Event data from tx_port_channel_gate input EVT_DATA_EMPTY, // Event data FIFO is empty output EVT_DATA_RD_EN, // Event data FIFO read enable output [C_DATA_WIDTH-1:0] WR_DATA, // Output data output WR_EN, // Write enable for output data input [C_FIFO_DEPTH_WIDTH-1:0] WR_COUNT, // Output FIFO count output TXN, // Transaction parameters are valid input ACK, // Transaction parameter read, continue output LAST, // Channel last write output [31:0] LEN, // Channel write length (in 32 bit words) output [30:0] OFF, // Channel write offset output [31:0] WORDS_RECVD, // Count of data words received in transaction output DONE, // Transaction is closed input TX_ERR // Transaction encountered an error ); `include "functions.vh" (* syn_encoding = "user" *) (* fsm_encoding = "user" *) reg [5:0] rState=`S_TXPORTMON128_NEXT, _rState=`S_TXPORTMON128_NEXT; reg rRead=0, _rRead=0; reg [C_VALID_HIST-1:0] rDataValid={C_VALID_HIST{1'd0}}, _rDataValid={C_VALID_HIST{1'd0}}; reg rEvent=0, _rEvent=0; reg [63:0] rReadData=64'd0, _rReadData=64'd0; reg [31:0] rWordsRecvd=0, _rWordsRecvd=0; reg [31:0] rWordsRecvdAdv=0, _rWordsRecvdAdv=0; reg rAlmostAllRecvd=0, _rAlmostAllRecvd=0; reg rAlmostFull=0, _rAlmostFull=0; reg rLenEQ0Hi=0, _rLenEQ0Hi=0; reg rLenEQ0Lo=0, _rLenEQ0Lo=0; reg rLenLE4Lo=0, _rLenLE4Lo=0; reg rTxErr=0, _rTxErr=0; wire wEventData = (rDataValid[0] & EVT_DATA[C_DATA_WIDTH]); wire wPayloadData = (rDataValid[0] & !EVT_DATA[C_DATA_WIDTH] & rState[3]); // S_TXPORTMON128_READ wire wAllWordsRecvd = ((rAlmostAllRecvd | (rLenEQ0Hi & rLenLE4Lo)) & wPayloadData); assign EVT_DATA_RD_EN = rRead; assign WR_DATA = EVT_DATA[C_DATA_WIDTH-1:0]; assign WR_EN = wPayloadData; // S_TXPORTMON128_READ assign TXN = rState[2]; // S_TXPORTMON128_TXN assign LAST = rReadData[0]; assign OFF = rReadData[31:1]; assign LEN = rReadData[63:32]; assign WORDS_RECVD = rWordsRecvd; assign DONE = !rState[3]; // !S_TXPORTMON128_READ // Buffer the input signals that come from outside the tx_port. always @ (posedge CLK) begin rTxErr <= #1 (RST ? 1'd0 : _rTxErr); end always @ (*) begin _rTxErr = TX_ERR; end // Transaction monitoring FSM. always @ (posedge CLK) begin rState <= #1 (RST ? `S_TXPORTMON128_NEXT : _rState); end always @ (*) begin _rState = rState; case (rState) `S_TXPORTMON128_NEXT: begin // Read, wait for start of transaction event if (rEvent) _rState = `S_TXPORTMON128_EVT_2; end `S_TXPORTMON128_EVT_2: begin // Read, wait for start of transaction event if (rEvent) _rState = `S_TXPORTMON128_TXN; end `S_TXPORTMON128_TXN: begin // Don't read, wait until transaction has been acknowledged if (ACK) _rState = ((rLenEQ0Hi && rLenEQ0Lo) ? `S_TXPORTMON128_END_0 : `S_TXPORTMON128_READ); end `S_TXPORTMON128_READ: begin // Continue reading, wait for end of transaction event or all expected data if (rEvent) _rState = `S_TXPORTMON128_END_1; else if (wAllWordsRecvd | rTxErr) _rState = `S_TXPORTMON128_END_0; end `S_TXPORTMON128_END_0: begin // Continue reading, wait for first end of transaction event if (rEvent) _rState = `S_TXPORTMON128_END_1; end `S_TXPORTMON128_END_1: begin // Continue reading, wait for second end of transaction event if (rEvent) _rState = `S_TXPORTMON128_NEXT; end default: begin _rState = `S_TXPORTMON128_NEXT; end endcase end // Manage reading from the FIFO and tracking amounts read. always @ (posedge CLK) begin rRead <= #1 (RST ? 1'd0 : _rRead); rDataValid <= #1 (RST ? {C_VALID_HIST{1'd0}} : _rDataValid); rEvent <= #1 (RST ? 1'd0 : _rEvent); rReadData <= #1 _rReadData; rWordsRecvd <= #1 _rWordsRecvd; rWordsRecvdAdv <= #1 _rWordsRecvdAdv; rAlmostAllRecvd <= #1 _rAlmostAllRecvd; rAlmostFull <= #1 _rAlmostFull; rLenEQ0Hi <= #1 _rLenEQ0Hi; rLenEQ0Lo <= #1 _rLenEQ0Lo; rLenLE4Lo <= #1 _rLenLE4Lo; end always @ (*) begin // Don't get to the full point in the output FIFO _rAlmostFull = (WR_COUNT >= C_FIFO_DEPTH_THRESH); // Track read history so we know when data is valid _rDataValid = ((rDataValid<<1) | (rRead & !EVT_DATA_EMPTY)); // Read until we get a (valid) event _rRead = (!rState[2] & !(rState[1] & rEvent) & !wEventData & !rAlmostFull); // !S_TXPORTMON128_TXN // Track detected events _rEvent = wEventData; // Save event data when valid if (wEventData) _rReadData = EVT_DATA[63:0]; else _rReadData = rReadData; // If LEN == 0, we don't want to send any data to the output _rLenEQ0Hi = (LEN[31:16] == 16'd0); _rLenEQ0Lo = (LEN[15:0] == 16'd0); // If LEN <= 4, we want to trigger the almost all received flag _rLenLE4Lo = (LEN[15:0] <= 16'd4); // Count received non-event data _rWordsRecvd = (ACK ? 0 : rWordsRecvd + (wPayloadData<<2)); _rWordsRecvdAdv = (ACK ? 2*(C_DATA_WIDTH/32) : rWordsRecvdAdv + (wPayloadData<<2)); _rAlmostAllRecvd = ((rWordsRecvdAdv >= LEN) && wPayloadData); end /* wire [35:0] wControl0; chipscope_icon_1 cs_icon( .CONTROL0(wControl0) ); chipscope_ila_t8_512 a0( .CLK(CLK), .CONTROL(wControl0), .TRIG0({TXN, wPayloadData, wEventData, rState}), .DATA({201'd0, rWordsRecvd, // 32 WR_COUNT, // 10 wPayloadData, // 1 EVT_DATA_RD_EN, // 1 RST, // 1 rTxErr, // 1 wEventData, // 1 rReadData, // 64 OFF, // 31 LEN, // 32 LAST, // 1 TXN, // 1 EVT_DATA_EMPTY, // 1 EVT_DATA, // 129 rState}) // 5 ); */ endmodule
//Legal Notice: (C)2013 Altera Corporation. All rights reserved. Your //use of Altera Corporation's design tools, logic functions and other //software and tools, and its AMPP partner logic functions, and any //output files any of the foregoing (including device programming or //simulation files), and any associated documentation or information are //expressly subject to the terms and conditions of the Altera Program //License Subscription Agreement or other applicable license agreement, //including, without limitation, that your use is for the sole purpose //of programming logic devices manufactured by Altera and sold by Altera //or its authorized distributors. Please refer to the applicable //agreement for further details. module intr_capturer #( parameter NUM_INTR = 32 // active high level interrupt is expected for the input of this capturer module )( input clk, input rst_n, input [NUM_INTR-1:0] interrupt_in, //input [31:0] wrdata, input addr, input read, output [31:0] rddata ); reg [NUM_INTR-1:0] interrupt_reg; reg [31:0] readdata_with_waitstate; wire [31:0] act_readdata; wire [31:0] readdata_lower_intr; wire [31:0] readdata_higher_intr; wire access_lower_32; wire access_higher_32; always @(posedge clk or negedge rst_n) begin if (!rst_n) interrupt_reg <= 'b0; else interrupt_reg <= interrupt_in; end generate if (NUM_INTR>32) begin : two_intr_reg_needed assign access_higher_32 = read & (addr == 1); assign readdata_lower_intr = interrupt_reg[31:0] & {(32){access_lower_32}}; assign readdata_higher_intr = interrupt_reg[NUM_INTR-1:32] & {(NUM_INTR-32){access_higher_32}}; end else begin : only_1_reg assign readdata_lower_intr = interrupt_reg & {(NUM_INTR){access_lower_32}}; assign readdata_higher_intr = {32{1'b0}}; end endgenerate assign access_lower_32 = read & (addr == 0); assign act_readdata = readdata_lower_intr | readdata_higher_intr; assign rddata = readdata_with_waitstate; always @(posedge clk or negedge rst_n) begin if (!rst_n) readdata_with_waitstate <= 32'b0; else readdata_with_waitstate <= act_readdata; end endmodule
//Legal Notice: (C)2013 Altera Corporation. All rights reserved. Your //use of Altera Corporation's design tools, logic functions and other //software and tools, and its AMPP partner logic functions, and any //output files any of the foregoing (including device programming or //simulation files), and any associated documentation or information are //expressly subject to the terms and conditions of the Altera Program //License Subscription Agreement or other applicable license agreement, //including, without limitation, that your use is for the sole purpose //of programming logic devices manufactured by Altera and sold by Altera //or its authorized distributors. Please refer to the applicable //agreement for further details. module intr_capturer #( parameter NUM_INTR = 32 // active high level interrupt is expected for the input of this capturer module )( input clk, input rst_n, input [NUM_INTR-1:0] interrupt_in, //input [31:0] wrdata, input addr, input read, output [31:0] rddata ); reg [NUM_INTR-1:0] interrupt_reg; reg [31:0] readdata_with_waitstate; wire [31:0] act_readdata; wire [31:0] readdata_lower_intr; wire [31:0] readdata_higher_intr; wire access_lower_32; wire access_higher_32; always @(posedge clk or negedge rst_n) begin if (!rst_n) interrupt_reg <= 'b0; else interrupt_reg <= interrupt_in; end generate if (NUM_INTR>32) begin : two_intr_reg_needed assign access_higher_32 = read & (addr == 1); assign readdata_lower_intr = interrupt_reg[31:0] & {(32){access_lower_32}}; assign readdata_higher_intr = interrupt_reg[NUM_INTR-1:32] & {(NUM_INTR-32){access_higher_32}}; end else begin : only_1_reg assign readdata_lower_intr = interrupt_reg & {(NUM_INTR){access_lower_32}}; assign readdata_higher_intr = {32{1'b0}}; end endgenerate assign access_lower_32 = read & (addr == 0); assign act_readdata = readdata_lower_intr | readdata_higher_intr; assign rddata = readdata_with_waitstate; always @(posedge clk or negedge rst_n) begin if (!rst_n) readdata_with_waitstate <= 32'b0; else readdata_with_waitstate <= act_readdata; end endmodule
// ---------------------------------------------------------------------- // Copyright (c) 2016, The Regents of the University of California All // rights reserved. // // Redistribution and use in source and binary forms, with or without // modification, are permitted provided that the following conditions are // met: // // * Redistributions of source code must retain the above copyright // notice, this list of conditions and the following disclaimer. // // * Redistributions in binary form must reproduce the above // copyright notice, this list of conditions and the following // disclaimer in the documentation and/or other materials provided // with the distribution. // // * Neither the name of The Regents of the University of California // nor the names of its contributors may be used to endorse or // promote products derived from this software without specific // prior written permission. // // THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS // "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT // LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR // A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL REGENTS OF THE // UNIVERSITY OF CALIFORNIA BE LIABLE FOR ANY DIRECT, INDIRECT, // INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, // BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS // OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND // ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR // TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE // USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH // DAMAGE. // ---------------------------------------------------------------------- //---------------------------------------------------------------------------- // Filename: offset_flag_to_one_hot.v // Version: 1.0 // Verilog Standard: Verilog-2001 // Description: The offset_flag_to_one_hot module takes a data offset, // and offset_enable and computes the 1-hot encoding of the offset when enabled // Author: Dustin Richmond (@darichmond) //----------------------------------------------------------------------------- `timescale 1ns/1ns `include "trellis.vh" module offset_flag_to_one_hot #( parameter C_WIDTH = 4 ) ( input [clog2s(C_WIDTH)-1:0] WR_OFFSET, input WR_FLAG, output [C_WIDTH-1:0] RD_ONE_HOT ); assign RD_ONE_HOT = {{(C_WIDTH-1){1'b0}},WR_FLAG} << WR_OFFSET; endmodule
(************************************************************************) (* v * The Coq Proof Assistant / The Coq Development Team *) (* <O___,, * INRIA - CNRS - LIX - LRI - PPS - Copyright 1999-2015 *) (* \VV/ **************************************************************) (* // * This file is distributed under the terms of the *) (* * GNU Lesser General Public License Version 2.1 *) (************************************************************************) Require Import ZAxioms ZMulOrder ZSgnAbs NZDiv. (** * Euclidean Division for integers, Euclid convention We use here the "usual" formulation of the Euclid Theorem [forall a b, b<>0 -> exists b q, a = b*q+r /\ 0 < r < |b| ] The outcome of the modulo function is hence always positive. This corresponds to convention "E" in the following paper: R. Boute, "The Euclidean definition of the functions div and mod", ACM Transactions on Programming Languages and Systems, Vol. 14, No.2, pp. 127-144, April 1992. See files [ZDivTrunc] and [ZDivFloor] for others conventions. We simply extend NZDiv with a bound for modulo that holds regardless of the sign of a and b. This new specification subsume mod_bound_pos, which nonetheless stays there for subtyping. Note also that ZAxiomSig now already contain a div and a modulo (that follow the Floor convention). We just ignore them here. *) Module Type EuclidSpec (Import A : ZAxiomsSig')(Import B : DivMod' A). Axiom mod_always_pos : forall a b, b ~= 0 -> 0 <= a mod b < abs b. End EuclidSpec. Module Type ZEuclid (Z:ZAxiomsSig) := NZDiv.NZDiv Z <+ EuclidSpec Z. Module Type ZEuclid' (Z:ZAxiomsSig) := NZDiv.NZDiv' Z <+ EuclidSpec Z. Module ZEuclidProp (Import A : ZAxiomsSig') (Import B : ZMulOrderProp A) (Import C : ZSgnAbsProp A B) (Import D : ZEuclid' A). Module Import Private_NZDiv := Nop <+ NZDivProp A D B. (** Another formulation of the main equation *) Lemma mod_eq : forall a b, b~=0 -> a mod b == a - b*(a/b). Proof. intros. rewrite <- add_move_l. symmetry. now apply div_mod. Qed. Ltac pos_or_neg a := let LT := fresh "LT" in let LE := fresh "LE" in destruct (le_gt_cases 0 a) as [LE|LT]; [|rewrite <- opp_pos_neg in LT]. (** Uniqueness theorems *) Theorem div_mod_unique : forall b q1 q2 r1 r2 : t, 0<=r1<abs b -> 0<=r2<abs b -> b*q1+r1 == b*q2+r2 -> q1 == q2 /\ r1 == r2. Proof. intros b q1 q2 r1 r2 Hr1 Hr2 EQ. pos_or_neg b. rewrite abs_eq in * by trivial. apply div_mod_unique with b; trivial. rewrite abs_neq' in * by auto using lt_le_incl. rewrite eq_sym_iff. apply div_mod_unique with (-b); trivial. rewrite 2 mul_opp_l. rewrite add_move_l, sub_opp_r. rewrite <-add_assoc. symmetry. rewrite add_move_l, sub_opp_r. now rewrite (add_comm r2), (add_comm r1). Qed. Theorem div_unique: forall a b q r, 0<=r<abs b -> a == b*q + r -> q == a/b. Proof. intros a b q r Hr EQ. assert (Hb : b~=0). pos_or_neg b. rewrite abs_eq in Hr; intuition; order. rewrite <- opp_0, eq_opp_r. rewrite abs_neq' in Hr; intuition; order. destruct (div_mod_unique b q (a/b) r (a mod b)); trivial. now apply mod_always_pos. now rewrite <- div_mod. Qed. Theorem mod_unique: forall a b q r, 0<=r<abs b -> a == b*q + r -> r == a mod b. Proof. intros a b q r Hr EQ. assert (Hb : b~=0). pos_or_neg b. rewrite abs_eq in Hr; intuition; order. rewrite <- opp_0, eq_opp_r. rewrite abs_neq' in Hr; intuition; order. destruct (div_mod_unique b q (a/b) r (a mod b)); trivial. now apply mod_always_pos. now rewrite <- div_mod. Qed. (** Sign rules *) Lemma div_opp_r : forall a b, b~=0 -> a/(-b) == -(a/b). Proof. intros. symmetry. apply div_unique with (a mod b). rewrite abs_opp; now apply mod_always_pos. rewrite mul_opp_opp; now apply div_mod. Qed. Lemma mod_opp_r : forall a b, b~=0 -> a mod (-b) == a mod b. Proof. intros. symmetry. apply mod_unique with (-(a/b)). rewrite abs_opp; now apply mod_always_pos. rewrite mul_opp_opp; now apply div_mod. Qed. Lemma div_opp_l_z : forall a b, b~=0 -> a mod b == 0 -> (-a)/b == -(a/b). Proof. intros a b Hb Hab. symmetry. apply div_unique with (-(a mod b)). rewrite Hab, opp_0. split; [order|]. pos_or_neg b; [rewrite abs_eq | rewrite abs_neq']; order. now rewrite mul_opp_r, <-opp_add_distr, <-div_mod. Qed. Lemma div_opp_l_nz : forall a b, b~=0 -> a mod b ~= 0 -> (-a)/b == -(a/b)-sgn b. Proof. intros a b Hb Hab. symmetry. apply div_unique with (abs b -(a mod b)). rewrite lt_sub_lt_add_l. rewrite <- le_add_le_sub_l. nzsimpl. rewrite <- (add_0_l (abs b)) at 2. rewrite <- add_lt_mono_r. destruct (mod_always_pos a b); intuition order. rewrite <- 2 add_opp_r, mul_add_distr_l, 2 mul_opp_r. rewrite sgn_abs. rewrite add_shuffle2, add_opp_diag_l; nzsimpl. rewrite <-opp_add_distr, <-div_mod; order. Qed. Lemma mod_opp_l_z : forall a b, b~=0 -> a mod b == 0 -> (-a) mod b == 0. Proof. intros a b Hb Hab. symmetry. apply mod_unique with (-(a/b)). split; [order|now rewrite abs_pos]. now rewrite <-opp_0, <-Hab, mul_opp_r, <-opp_add_distr, <-div_mod. Qed. Lemma mod_opp_l_nz : forall a b, b~=0 -> a mod b ~= 0 -> (-a) mod b == abs b - (a mod b). Proof. intros a b Hb Hab. symmetry. apply mod_unique with (-(a/b)-sgn b). rewrite lt_sub_lt_add_l. rewrite <- le_add_le_sub_l. nzsimpl. rewrite <- (add_0_l (abs b)) at 2. rewrite <- add_lt_mono_r. destruct (mod_always_pos a b); intuition order. rewrite <- 2 add_opp_r, mul_add_distr_l, 2 mul_opp_r. rewrite sgn_abs. rewrite add_shuffle2, add_opp_diag_l; nzsimpl. rewrite <-opp_add_distr, <-div_mod; order. Qed. Lemma div_opp_opp_z : forall a b, b~=0 -> a mod b == 0 -> (-a)/(-b) == a/b. Proof. intros. now rewrite div_opp_r, div_opp_l_z, opp_involutive. Qed. Lemma div_opp_opp_nz : forall a b, b~=0 -> a mod b ~= 0 -> (-a)/(-b) == a/b + sgn(b). Proof. intros. rewrite div_opp_r, div_opp_l_nz by trivial. now rewrite opp_sub_distr, opp_involutive. Qed. Lemma mod_opp_opp_z : forall a b, b~=0 -> a mod b == 0 -> (-a) mod (-b) == 0. Proof. intros. now rewrite mod_opp_r, mod_opp_l_z. Qed. Lemma mod_opp_opp_nz : forall a b, b~=0 -> a mod b ~= 0 -> (-a) mod (-b) == abs b - a mod b. Proof. intros. now rewrite mod_opp_r, mod_opp_l_nz. Qed. (** A division by itself returns 1 *) Lemma div_same : forall a, a~=0 -> a/a == 1. Proof. intros. symmetry. apply div_unique with 0. split; [order|now rewrite abs_pos]. now nzsimpl. Qed. Lemma mod_same : forall a, a~=0 -> a mod a == 0. Proof. intros. rewrite mod_eq, div_same by trivial. nzsimpl. apply sub_diag. Qed. (** A division of a small number by a bigger one yields zero. *) Theorem div_small: forall a b, 0<=a<b -> a/b == 0. Proof. exact div_small. Qed. (** Same situation, in term of modulo: *) Theorem mod_small: forall a b, 0<=a<b -> a mod b == a. Proof. exact mod_small. Qed. (** * Basic values of divisions and modulo. *) Lemma div_0_l: forall a, a~=0 -> 0/a == 0. Proof. intros. pos_or_neg a. apply div_0_l; order. apply opp_inj. rewrite <- div_opp_r, opp_0 by trivial. now apply div_0_l. Qed. Lemma mod_0_l: forall a, a~=0 -> 0 mod a == 0. Proof. intros; rewrite mod_eq, div_0_l; now nzsimpl. Qed. Lemma div_1_r: forall a, a/1 == a. Proof. intros. symmetry. apply div_unique with 0. assert (H:=lt_0_1); rewrite abs_pos; intuition; order. now nzsimpl. Qed. Lemma mod_1_r: forall a, a mod 1 == 0. Proof. intros. rewrite mod_eq, div_1_r; nzsimpl; auto using sub_diag. apply neq_sym, lt_neq; apply lt_0_1. Qed. Lemma div_1_l: forall a, 1<a -> 1/a == 0. Proof. exact div_1_l. Qed. Lemma mod_1_l: forall a, 1<a -> 1 mod a == 1. Proof. exact mod_1_l. Qed. Lemma div_mul : forall a b, b~=0 -> (a*b)/b == a. Proof. intros. symmetry. apply div_unique with 0. split; [order|now rewrite abs_pos]. nzsimpl; apply mul_comm. Qed. Lemma mod_mul : forall a b, b~=0 -> (a*b) mod b == 0. Proof. intros. rewrite mod_eq, div_mul by trivial. rewrite mul_comm; apply sub_diag. Qed. Theorem div_unique_exact a b q: b~=0 -> a == b*q -> q == a/b. Proof. intros Hb H. rewrite H, mul_comm. symmetry. now apply div_mul. Qed. (** * Order results about mod and div *) (** A modulo cannot grow beyond its starting point. *) Theorem mod_le: forall a b, 0<=a -> b~=0 -> a mod b <= a. Proof. intros. pos_or_neg b. apply mod_le; order. rewrite <- mod_opp_r by trivial. apply mod_le; order. Qed. Theorem div_pos : forall a b, 0<=a -> 0<b -> 0<= a/b. Proof. exact div_pos. Qed. Lemma div_str_pos : forall a b, 0<b<=a -> 0 < a/b. Proof. exact div_str_pos. Qed. Lemma div_small_iff : forall a b, b~=0 -> (a/b==0 <-> 0<=a<abs b). Proof. intros a b Hb. split. intros EQ. rewrite (div_mod a b Hb), EQ; nzsimpl. now apply mod_always_pos. intros. pos_or_neg b. apply div_small. now rewrite <- (abs_eq b). apply opp_inj; rewrite opp_0, <- div_opp_r by trivial. apply div_small. rewrite <- (abs_neq' b) by order. trivial. Qed. Lemma mod_small_iff : forall a b, b~=0 -> (a mod b == a <-> 0<=a<abs b). Proof. intros. rewrite <- div_small_iff, mod_eq by trivial. rewrite sub_move_r, <- (add_0_r a) at 1. rewrite add_cancel_l. rewrite eq_sym_iff, eq_mul_0. tauto. Qed. (** As soon as the divisor is strictly greater than 1, the division is strictly decreasing. *) Lemma div_lt : forall a b, 0<a -> 1<b -> a/b < a. Proof. exact div_lt. Qed. (** [le] is compatible with a positive division. *) Lemma div_le_mono : forall a b c, 0<c -> a<=b -> a/c <= b/c. Proof. intros a b c Hc Hab. rewrite lt_eq_cases in Hab. destruct Hab as [LT|EQ]; [|rewrite EQ; order]. rewrite <- lt_succ_r. rewrite (mul_lt_mono_pos_l c) by order. nzsimpl. rewrite (add_lt_mono_r _ _ (a mod c)). rewrite <- div_mod by order. apply lt_le_trans with b; trivial. rewrite (div_mod b c) at 1 by order. rewrite <- add_assoc, <- add_le_mono_l. apply le_trans with (c+0). nzsimpl; destruct (mod_always_pos b c); try order. rewrite abs_eq in *; order. rewrite <- add_le_mono_l. destruct (mod_always_pos a c); order. Qed. (** In this convention, [div] performs Rounding-Toward-Bottom when divisor is positive, and Rounding-Toward-Top otherwise. Since we cannot speak of rational values here, we express this fact by multiplying back by [b], and this leads to a nice unique statement. *) Lemma mul_div_le : forall a b, b~=0 -> b*(a/b) <= a. Proof. intros. rewrite (div_mod a b) at 2; trivial. rewrite <- (add_0_r (b*(a/b))) at 1. rewrite <- add_le_mono_l. now destruct (mod_always_pos a b). Qed. (** Giving a reversed bound is slightly more complex *) Lemma mul_succ_div_gt: forall a b, 0<b -> a < b*(S (a/b)). Proof. intros. nzsimpl. rewrite (div_mod a b) at 1; try order. rewrite <- add_lt_mono_l. destruct (mod_always_pos a b). order. rewrite abs_eq in *; order. Qed. Lemma mul_pred_div_gt: forall a b, b<0 -> a < b*(P (a/b)). Proof. intros a b Hb. rewrite mul_pred_r, <- add_opp_r. rewrite (div_mod a b) at 1; try order. rewrite <- add_lt_mono_l. destruct (mod_always_pos a b). order. rewrite <- opp_pos_neg in Hb. rewrite abs_neq' in *; order. Qed. (** NB: The three previous properties could be used as specifications for [div]. *) (** Inequality [mul_div_le] is exact iff the modulo is zero. *) Lemma div_exact : forall a b, b~=0 -> (a == b*(a/b) <-> a mod b == 0). Proof. intros. rewrite (div_mod a b) at 1; try order. rewrite <- (add_0_r (b*(a/b))) at 2. apply add_cancel_l. Qed. (** Some additionnal inequalities about div. *) Theorem div_lt_upper_bound: forall a b q, 0<b -> a < b*q -> a/b < q. Proof. intros. rewrite (mul_lt_mono_pos_l b) by trivial. apply le_lt_trans with a; trivial. apply mul_div_le; order. Qed. Theorem div_le_upper_bound: forall a b q, 0<b -> a <= b*q -> a/b <= q. Proof. intros. rewrite <- (div_mul q b) by order. apply div_le_mono; trivial. now rewrite mul_comm. Qed. Theorem div_le_lower_bound: forall a b q, 0<b -> b*q <= a -> q <= a/b. Proof. intros. rewrite <- (div_mul q b) by order. apply div_le_mono; trivial. now rewrite mul_comm. Qed. (** A division respects opposite monotonicity for the divisor *) Lemma div_le_compat_l: forall p q r, 0<=p -> 0<q<=r -> p/r <= p/q. Proof. exact div_le_compat_l. Qed. (** * Relations between usual operations and mod and div *) Lemma mod_add : forall a b c, c~=0 -> (a + b * c) mod c == a mod c. Proof. intros. symmetry. apply mod_unique with (a/c+b); trivial. now apply mod_always_pos. rewrite mul_add_distr_l, add_shuffle0, <- div_mod by order. now rewrite mul_comm. Qed. Lemma div_add : forall a b c, c~=0 -> (a + b * c) / c == a / c + b. Proof. intros. apply (mul_cancel_l _ _ c); try order. apply (add_cancel_r _ _ ((a+b*c) mod c)). rewrite <- div_mod, mod_add by order. rewrite mul_add_distr_l, add_shuffle0, <- div_mod by order. now rewrite mul_comm. Qed. Lemma div_add_l: forall a b c, b~=0 -> (a * b + c) / b == a + c / b. Proof. intros a b c. rewrite (add_comm _ c), (add_comm a). now apply div_add. Qed. (** Cancellations. *) (** With the current convention, the following isn't always true when [c<0]: [-3*-1 / -2*-1 = 3/2 = 1] while [-3/-2 = 2] *) Lemma div_mul_cancel_r : forall a b c, b~=0 -> 0<c -> (a*c)/(b*c) == a/b. Proof. intros. symmetry. apply div_unique with ((a mod b)*c). (* ineqs *) rewrite abs_mul, (abs_eq c) by order. rewrite <-(mul_0_l c), <-mul_lt_mono_pos_r, <-mul_le_mono_pos_r by trivial. now apply mod_always_pos. (* equation *) rewrite (div_mod a b) at 1 by order. rewrite mul_add_distr_r. rewrite add_cancel_r. rewrite <- 2 mul_assoc. now rewrite (mul_comm c). Qed. Lemma div_mul_cancel_l : forall a b c, b~=0 -> 0<c -> (c*a)/(c*b) == a/b. Proof. intros. rewrite !(mul_comm c); now apply div_mul_cancel_r. Qed. Lemma mul_mod_distr_l: forall a b c, b~=0 -> 0<c -> (c*a) mod (c*b) == c * (a mod b). Proof. intros. rewrite <- (add_cancel_l _ _ ((c*b)* ((c*a)/(c*b)))). rewrite <- div_mod. rewrite div_mul_cancel_l by trivial. rewrite <- mul_assoc, <- mul_add_distr_l, mul_cancel_l by order. apply div_mod; order. rewrite <- neq_mul_0; intuition; order. Qed. Lemma mul_mod_distr_r: forall a b c, b~=0 -> 0<c -> (a*c) mod (b*c) == (a mod b) * c. Proof. intros. rewrite !(mul_comm _ c); now rewrite mul_mod_distr_l. Qed. (** Operations modulo. *) Theorem mod_mod: forall a n, n~=0 -> (a mod n) mod n == a mod n. Proof. intros. rewrite mod_small_iff by trivial. now apply mod_always_pos. Qed. Lemma mul_mod_idemp_l : forall a b n, n~=0 -> ((a mod n)*b) mod n == (a*b) mod n. Proof. intros a b n Hn. symmetry. rewrite (div_mod a n) at 1 by order. rewrite add_comm, (mul_comm n), (mul_comm _ b). rewrite mul_add_distr_l, mul_assoc. rewrite mod_add by trivial. now rewrite mul_comm. Qed. Lemma mul_mod_idemp_r : forall a b n, n~=0 -> (a*(b mod n)) mod n == (a*b) mod n. Proof. intros. rewrite !(mul_comm a). now apply mul_mod_idemp_l. Qed. Theorem mul_mod: forall a b n, n~=0 -> (a * b) mod n == ((a mod n) * (b mod n)) mod n. Proof. intros. now rewrite mul_mod_idemp_l, mul_mod_idemp_r. Qed. Lemma add_mod_idemp_l : forall a b n, n~=0 -> ((a mod n)+b) mod n == (a+b) mod n. Proof. intros a b n Hn. symmetry. rewrite (div_mod a n) at 1 by order. rewrite <- add_assoc, add_comm, mul_comm. now rewrite mod_add. Qed. Lemma add_mod_idemp_r : forall a b n, n~=0 -> (a+(b mod n)) mod n == (a+b) mod n. Proof. intros. rewrite !(add_comm a). now apply add_mod_idemp_l. Qed. Theorem add_mod: forall a b n, n~=0 -> (a+b) mod n == (a mod n + b mod n) mod n. Proof. intros. now rewrite add_mod_idemp_l, add_mod_idemp_r. Qed. (** With the current convention, the following result isn't always true with a negative intermediate divisor. For instance [ 3/(-2)/(-2) = 1 <> 0 = 3 / (-2*-2) ] and [ 3/(-2)/2 = -1 <> 0 = 3 / (-2*2) ]. *) Lemma div_div : forall a b c, 0<b -> c~=0 -> (a/b)/c == a/(b*c). Proof. intros a b c Hb Hc. apply div_unique with (b*((a/b) mod c) + a mod b). (* begin 0<= ... <abs(b*c) *) rewrite abs_mul. destruct (mod_always_pos (a/b) c), (mod_always_pos a b); try order. split. apply add_nonneg_nonneg; trivial. apply mul_nonneg_nonneg; order. apply lt_le_trans with (b*((a/b) mod c) + abs b). now rewrite <- add_lt_mono_l. rewrite (abs_eq b) by order. now rewrite <- mul_succ_r, <- mul_le_mono_pos_l, le_succ_l. (* end 0<= ... < abs(b*c) *) rewrite (div_mod a b) at 1 by order. rewrite add_assoc, add_cancel_r. rewrite <- mul_assoc, <- mul_add_distr_l, mul_cancel_l by order. apply div_mod; order. Qed. (** Similarly, the following result doesn't always hold when [b<0]. For instance [3 mod (-2*-2)) = 3] while [3 mod (-2) + (-2)*((3/-2) mod -2) = -1]. *) Lemma mod_mul_r : forall a b c, 0<b -> c~=0 -> a mod (b*c) == a mod b + b*((a/b) mod c). Proof. intros a b c Hb Hc. apply add_cancel_l with (b*c*(a/(b*c))). rewrite <- div_mod by (apply neq_mul_0; split; order). rewrite <- div_div by trivial. rewrite add_assoc, add_shuffle0, <- mul_assoc, <- mul_add_distr_l. rewrite <- div_mod by order. apply div_mod; order. Qed. (** A last inequality: *) Theorem div_mul_le: forall a b c, 0<=a -> 0<b -> 0<=c -> c*(a/b) <= (c*a)/b. Proof. exact div_mul_le. Qed. (** mod is related to divisibility *) Lemma mod_divides : forall a b, b~=0 -> (a mod b == 0 <-> (b|a)). Proof. intros a b Hb. split. intros Hab. exists (a/b). rewrite mul_comm. rewrite (div_mod a b Hb) at 1. rewrite Hab; now nzsimpl. intros (c,Hc). rewrite Hc. now apply mod_mul. Qed. End ZEuclidProp.
/* :Project FPGA-Imaging-Library :Design FrameController :Function For controlling a BlockRAM from xilinx. Give the first output after ram_read_latency cycles while the input enable. :Module Main module :Version 1.0 :Modified 2015-05-12 Copyright (C) 2015 Tianyu Dai (dtysky) <[email protected]> This library is free software; you can redistribute it and/or modify it under the terms of the GNU Lesser General Public License as published by the Free Software Foundation; either version 2.1 of the License, or (at your option) any later version. This library is distributed in the hope that it will be useful, but WITHOUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU Lesser General Public License for more details. You should have received a copy of the GNU Lesser General Public License along with this library; if not, write to the Free Software Foundation, Inc., 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA Homepage for this project: http://fil.dtysky.moe Sources for this project: https://github.com/dtysky/FPGA-Imaging-Library My e-mail: [email protected] My blog: http://dtysky.moe */ `timescale 1ns / 1ps module FrameController( clk, rst_n, in_enable, in_data, out_ready, out_data, ram_addr); /* ::description This module's working mode. ::range 0 for Pipline, 1 for Req-ack */ parameter work_mode = 0; /* ::description This module's WR mode. ::range 0 for Write, 1 for Read */ parameter wr_mode = 0; /* ::description Data bit width. */ parameter data_width = 8; /* ::description Width of image. ::range 1 - 4096 */ parameter im_width = 320; /* ::description Height of image. ::range 1 - 4096 */ parameter im_height = 240; /* ::description Address bit width of a ram for storing this image. ::range Depend on im_width and im_height. */ parameter addr_width = 17; /* ::description RL of RAM, in xilinx 7-series device, it is 2. ::range 0 - 15, Depend on your using ram. */ parameter ram_read_latency = 2; /* ::description The first row you want to storing, used for eliminating offset. ::range Depend on your input offset. */ parameter row_init = 0; /* ::description Clock. */ input clk; /* ::description Reset, active low. */ input rst_n; /* ::description Input data enable, in pipeline mode, it works as another rst_n, in req-ack mode, only it is high will in_data can be really changes. */ input in_enable; /* ::description Input data, it must be synchronous with in_enable. */ input [data_width - 1 : 0] in_data; /* ::description Output data ready, in both two mode, it will be high while the out_data can be read. */ output out_ready; /* ::description Output data, it will be synchronous with out_ready. */ output[data_width - 1 : 0] out_data; /* ::description Address for ram. */ output[addr_width - 1 : 0] ram_addr; reg[addr_width - 1 : 0] reg_ram_addr; reg[3 : 0] con_ready; assign ram_addr = reg_ram_addr; assign out_data = out_ready ? in_data : 0; generate if(wr_mode == 0) begin if(work_mode == 0) begin always @(posedge clk or negedge rst_n or negedge in_enable) begin if(~rst_n || ~in_enable) reg_ram_addr <= row_init * im_width; else if(reg_ram_addr == im_width * im_height - 1) reg_ram_addr <= 0; else reg_ram_addr <= reg_ram_addr + 1; end end else begin always @(posedge in_enable or negedge rst_n) begin if(~rst_n) reg_ram_addr <= row_init * im_width - 1; else if(reg_ram_addr == im_width * im_height - 1) reg_ram_addr <= 0; else reg_ram_addr <= reg_ram_addr + 1; end end assign out_ready = ~rst_n || ~in_enable ? 0 : 1; end else begin if(work_mode == 0) begin always @(posedge clk or negedge rst_n or negedge in_enable) begin if(~rst_n || ~in_enable) reg_ram_addr <= 0; else if(reg_ram_addr == im_width * im_height - 1) reg_ram_addr <= 0; else reg_ram_addr <= reg_ram_addr + 1; end end else begin always @(posedge in_enable or negedge rst_n) begin if(~rst_n) reg_ram_addr <= 0 - 1; else if(reg_ram_addr == im_width * im_height - 1) reg_ram_addr <= 0; else reg_ram_addr <= reg_ram_addr + 1; end end always @(posedge clk or negedge rst_n or negedge in_enable) begin if(~rst_n || ~in_enable) con_ready <= 0; else if (con_ready == ram_read_latency) con_ready <= con_ready; else con_ready <= con_ready + 1; end assign out_ready = con_ready == ram_read_latency ? 1 : 0; end endgenerate endmodule
// ---------------------------------------------------------------------- // Copyright (c) 2016, The Regents of the University of California All // rights reserved. // // Redistribution and use in source and binary forms, with or without // modification, are permitted provided that the following conditions are // met: // // * Redistributions of source code must retain the above copyright // notice, this list of conditions and the following disclaimer. // // * Redistributions in binary form must reproduce the above // copyright notice, this list of conditions and the following // disclaimer in the documentation and/or other materials provided // with the distribution. // // * Neither the name of The Regents of the University of California // nor the names of its contributors may be used to endorse or // promote products derived from this software without specific // prior written permission. // // THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS // "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT // LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR // A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL REGENTS OF THE // UNIVERSITY OF CALIFORNIA BE LIABLE FOR ANY DIRECT, INDIRECT, // INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, // BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS // OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND // ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR // TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE // USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH // DAMAGE. // ---------------------------------------------------------------------- //---------------------------------------------------------------------------- // Filename: tx_engine.v // Version: 1.0 // Verilog Standard: Verilog-2001 // Description: The tx_engine module takes a formatted header, number of alignment // blanks and a payloa and concatenates all three (in that order) to form a // packet. These packets must meet max-request, max-payload, and payload // termination requirements (see Read Completion Boundary). The tx_engine does // not check these requirements during operation, but may do so during simulation. // This Engine is capable of operating at "line rate". // Author: Dustin Richmond (@darichmond) //----------------------------------------------------------------------------- `timescale 1ns/1ns `include "trellis.vh" // Defines the user-facing signal widths. module tx_engine #(parameter C_DATA_WIDTH = 128, parameter C_DEPTH_PACKETS = 10, parameter C_PIPELINE_INPUT = 1, parameter C_PIPELINE_OUTPUT = 0, parameter C_FORMATTER_DELAY = 1, parameter C_MAX_HDR_WIDTH = 128, parameter C_MAX_PAYLOAD_DWORDS = 64, parameter C_VENDOR = "ALTERA" ) ( // Interface: Clocks input CLK, // Interface: Reset input RST_IN, // Interface: TX HDR input TX_HDR_VALID, input [C_MAX_HDR_WIDTH-1:0] TX_HDR, input [`SIG_LEN_W-1:0] TX_HDR_PAYLOAD_LEN, input [`SIG_NONPAY_W-1:0] TX_HDR_NONPAY_LEN, input [`SIG_PACKETLEN_W-1:0] TX_HDR_PACKET_LEN, input TX_HDR_NOPAYLOAD, output TX_HDR_READY, // Interface: TX_DATA input TX_DATA_VALID, input [C_DATA_WIDTH-1:0] TX_DATA, input TX_DATA_START_FLAG, input [clog2s(C_DATA_WIDTH/32)-1:0] TX_DATA_START_OFFSET, input TX_DATA_END_FLAG, input [clog2s(C_DATA_WIDTH/32)-1:0] TX_DATA_END_OFFSET, output TX_DATA_READY, // Interface: TX_PKT input TX_PKT_READY, output [C_DATA_WIDTH-1:0] TX_PKT, output TX_PKT_START_FLAG, output [clog2s(C_DATA_WIDTH/32)-1:0] TX_PKT_START_OFFSET, output TX_PKT_END_FLAG, output [clog2s(C_DATA_WIDTH/32)-1:0] TX_PKT_END_OFFSET, output TX_PKT_VALID ); localparam C_PIPELINE_HDR_FIFO_INPUT = C_PIPELINE_INPUT; localparam C_PIPELINE_HDR_FIFO_OUTPUT = C_PIPELINE_OUTPUT; localparam C_PIPELINE_HDR_INPUT = C_PIPELINE_INPUT; localparam C_ACTUAL_HDR_FIFO_DEPTH = (1<<clog2s(C_DEPTH_PACKETS)); localparam C_USE_COMPUTE_REG = 1; localparam C_USE_READY_REG = 1; localparam C_USE_FWFT_HDR_FIFO = 1; localparam C_DATA_FIFO_DEPTH = C_ACTUAL_HDR_FIFO_DEPTH + C_FORMATTER_DELAY + C_PIPELINE_HDR_FIFO_INPUT + C_PIPELINE_HDR_FIFO_OUTPUT + C_USE_FWFT_HDR_FIFO + // Header Fifo C_PIPELINE_HDR_INPUT + C_USE_COMPUTE_REG + C_USE_READY_REG + C_PIPELINE_OUTPUT; wire wTxHdrReady; wire wTxHdrValid; wire [C_MAX_HDR_WIDTH-1:0] wTxHdr; wire [`SIG_NONPAY_W-1:0] wTxHdrNonpayLen; wire [`SIG_PACKETLEN_W-1:0] wTxHdrPacketLen; wire [`SIG_LEN_W-1:0] wTxHdrPayloadLen; wire wTxHdrNoPayload; wire wTxDataReady; wire [C_DATA_WIDTH-1:0] wTxData; wire [clog2s(C_DATA_WIDTH/32)-1:0] wTxDataEndOffset; wire wTxDataStartFlag; wire wTxDataPacketValid; wire [(C_DATA_WIDTH/32)-1:0] wTxDataEndFlags; wire [(C_DATA_WIDTH/32)-1:0] wTxDataWordValid; wire [(C_DATA_WIDTH/32)-1:0] wTxDataWordReady; tx_data_pipeline #(.C_DEPTH_PACKETS (C_DATA_FIFO_DEPTH), /*AUTOINSTPARAM*/ // Parameters .C_DATA_WIDTH (C_DATA_WIDTH), .C_PIPELINE_INPUT (C_PIPELINE_INPUT), .C_PIPELINE_OUTPUT (C_PIPELINE_OUTPUT), .C_MAX_PAYLOAD_DWORDS (C_MAX_PAYLOAD_DWORDS), .C_VENDOR (C_VENDOR)) tx_data_pipeline_inst (// Outputs .RD_TX_DATA (wTxData[C_DATA_WIDTH-1:0]), .RD_TX_DATA_WORD_VALID (wTxDataWordValid[(C_DATA_WIDTH/32)-1:0]), .RD_TX_DATA_START_FLAG (wTxDataStartFlag), .RD_TX_DATA_END_FLAGS (wTxDataEndFlags[(C_DATA_WIDTH/32)-1:0]), .RD_TX_DATA_PACKET_VALID (wTxDataPacketValid), .WR_TX_DATA_READY (TX_DATA_READY), // Inputs .RD_TX_DATA_WORD_READY (wTxDataWordReady[(C_DATA_WIDTH/32)-1:0]), .WR_TX_DATA (TX_DATA), .WR_TX_DATA_VALID (TX_DATA_VALID), .WR_TX_DATA_START_FLAG (TX_DATA_START_FLAG), .WR_TX_DATA_START_OFFSET (TX_DATA_START_OFFSET[clog2s(C_DATA_WIDTH/32)-1:0]), .WR_TX_DATA_END_FLAG (TX_DATA_END_FLAG), .WR_TX_DATA_END_OFFSET (TX_DATA_END_OFFSET[clog2s(C_DATA_WIDTH/32)-1:0]), /*AUTOINST*/ // Inputs .CLK (CLK), .RST_IN (RST_IN)); // TX Header Fifo tx_hdr_fifo #(.C_PIPELINE_OUTPUT (C_PIPELINE_HDR_FIFO_OUTPUT), .C_PIPELINE_INPUT (C_PIPELINE_HDR_FIFO_INPUT), /*AUTOINSTPARAM*/ // Parameters .C_DEPTH_PACKETS (C_DEPTH_PACKETS), .C_MAX_HDR_WIDTH (C_MAX_HDR_WIDTH), .C_VENDOR (C_VENDOR)) txhf_inst (// Outputs .WR_TX_HDR_READY (TX_HDR_READY), .RD_TX_HDR (wTxHdr[C_MAX_HDR_WIDTH-1:0]), .RD_TX_HDR_VALID (wTxHdrValid), .RD_TX_HDR_NOPAYLOAD (wTxHdrNoPayload), .RD_TX_HDR_PAYLOAD_LEN (wTxHdrPayloadLen[`SIG_LEN_W-1:0]), .RD_TX_HDR_NONPAY_LEN (wTxHdrNonpayLen[`SIG_NONPAY_W-1:0]), .RD_TX_HDR_PACKET_LEN (wTxHdrPacketLen[`SIG_PACKETLEN_W-1:0]), // Inputs .WR_TX_HDR (TX_HDR[C_MAX_HDR_WIDTH-1:0]), .WR_TX_HDR_VALID (TX_HDR_VALID), .WR_TX_HDR_NOPAYLOAD (TX_HDR_NOPAYLOAD), .WR_TX_HDR_PAYLOAD_LEN (TX_HDR_PAYLOAD_LEN[`SIG_LEN_W-1:0]), .WR_TX_HDR_NONPAY_LEN (TX_HDR_NONPAY_LEN[`SIG_NONPAY_W-1:0]), .WR_TX_HDR_PACKET_LEN (TX_HDR_PACKET_LEN[`SIG_PACKETLEN_W-1:0]), .RD_TX_HDR_READY (wTxHdrReady), /*AUTOINST*/ // Inputs .CLK (CLK), .RST_IN (RST_IN)); // TX Header Fifo tx_alignment_pipeline #(// Parameters .C_PIPELINE_OUTPUT (1), .C_PIPELINE_DATA_INPUT (1), .C_PIPELINE_HDR_INPUT (C_PIPELINE_HDR_INPUT), .C_DATA_WIDTH (C_DATA_WIDTH), // Parameters /*AUTOINSTPARAM*/ // Parameters .C_USE_COMPUTE_REG (C_USE_COMPUTE_REG), .C_USE_READY_REG (C_USE_READY_REG), .C_MAX_HDR_WIDTH (C_MAX_HDR_WIDTH), .C_VENDOR (C_VENDOR)) tx_alignment_inst (// Outputs .TX_DATA_WORD_READY (wTxDataWordReady[(C_DATA_WIDTH/32)-1:0]), .TX_HDR_READY (wTxHdrReady), // Inputs .TX_DATA_START_FLAG (wTxDataStartFlag), .TX_DATA_END_FLAGS (wTxDataEndFlags), .TX_DATA_WORD_VALID (wTxDataWordValid[(C_DATA_WIDTH/32)-1:0]), .TX_DATA_PACKET_VALID (wTxDataPacketValid), .TX_DATA (wTxData[C_DATA_WIDTH-1:0]), .TX_HDR (wTxHdr[C_MAX_HDR_WIDTH-1:0]), .TX_HDR_VALID (wTxHdrValid), .TX_HDR_NOPAYLOAD (wTxHdrNoPayload), .TX_HDR_PAYLOAD_LEN (wTxHdrPayloadLen[`SIG_LEN_W-1:0]), .TX_HDR_NONPAY_LEN (wTxHdrNonpayLen[`SIG_NONPAY_W-1:0]), .TX_HDR_PACKET_LEN (wTxHdrPacketLen[`SIG_PACKETLEN_W-1:0]), /*AUTOINST*/ // Outputs .TX_PKT (TX_PKT[C_DATA_WIDTH-1:0]), .TX_PKT_START_FLAG (TX_PKT_START_FLAG), .TX_PKT_START_OFFSET (TX_PKT_START_OFFSET[clog2s(C_DATA_WIDTH/32)-1:0]), .TX_PKT_END_FLAG (TX_PKT_END_FLAG), .TX_PKT_END_OFFSET (TX_PKT_END_OFFSET[clog2s(C_DATA_WIDTH/32)-1:0]), .TX_PKT_VALID (TX_PKT_VALID), // Inputs .CLK (CLK), .RST_IN (RST_IN), .TX_PKT_READY (TX_PKT_READY)); endmodule
(************************************************************************) (* v * The Coq Proof Assistant / The Coq Development Team *) (* <O___,, * INRIA - CNRS - LIX - LRI - PPS - Copyright 1999-2015 *) (* \VV/ **************************************************************) (* // * This file is distributed under the terms of the *) (* * GNU Lesser General Public License Version 2.1 *) (************************************************************************) Require Import ZAxioms ZMulOrder ZSgnAbs NZDiv. (** * Euclidean Division for integers (Trunc convention) We use here the convention known as Trunc, or Round-Toward-Zero, where [a/b] is the integer with the largest absolute value to be between zero and the exact fraction. It can be summarized by: [a = bq+r /\ 0 <= |r| < |b| /\ Sign(r) = Sign(a)] This is the convention of Ocaml and many other systems (C, ASM, ...). This convention is named "T" in the following paper: R. Boute, "The Euclidean definition of the functions div and mod", ACM Transactions on Programming Languages and Systems, Vol. 14, No.2, pp. 127-144, April 1992. See files [ZDivFloor] and [ZDivEucl] for others conventions. *) Module Type ZQuotProp (Import A : ZAxiomsSig') (Import B : ZMulOrderProp A) (Import C : ZSgnAbsProp A B). (** We benefit from what already exists for NZ *) Module Import Private_Div. Module Quot2Div <: NZDiv A. Definition div := quot. Definition modulo := A.rem. Definition div_wd := quot_wd. Definition mod_wd := rem_wd. Definition div_mod := quot_rem. Definition mod_bound_pos := rem_bound_pos. End Quot2Div. Module NZQuot := Nop <+ NZDivProp A Quot2Div B. End Private_Div. Ltac pos_or_neg a := let LT := fresh "LT" in let LE := fresh "LE" in destruct (le_gt_cases 0 a) as [LE|LT]; [|rewrite <- opp_pos_neg in LT]. (** Another formulation of the main equation *) Lemma rem_eq : forall a b, b~=0 -> a rem b == a - b*(a÷b). Proof. intros. rewrite <- add_move_l. symmetry. now apply quot_rem. Qed. (** A few sign rules (simple ones) *) Lemma rem_opp_opp : forall a b, b ~= 0 -> (-a) rem (-b) == - (a rem b). Proof. intros. now rewrite rem_opp_r, rem_opp_l. Qed. Lemma quot_opp_l : forall a b, b ~= 0 -> (-a)÷b == -(a÷b). Proof. intros. rewrite <- (mul_cancel_l _ _ b) by trivial. rewrite <- (add_cancel_r _ _ ((-a) rem b)). now rewrite <- quot_rem, rem_opp_l, mul_opp_r, <- opp_add_distr, <- quot_rem. Qed. Lemma quot_opp_r : forall a b, b ~= 0 -> a÷(-b) == -(a÷b). Proof. intros. assert (-b ~= 0) by (now rewrite eq_opp_l, opp_0). rewrite <- (mul_cancel_l _ _ (-b)) by trivial. rewrite <- (add_cancel_r _ _ (a rem (-b))). now rewrite <- quot_rem, rem_opp_r, mul_opp_opp, <- quot_rem. Qed. Lemma quot_opp_opp : forall a b, b ~= 0 -> (-a)÷(-b) == a÷b. Proof. intros. now rewrite quot_opp_r, quot_opp_l, opp_involutive. Qed. (** Uniqueness theorems *) Theorem quot_rem_unique : forall b q1 q2 r1 r2 : t, (0<=r1<b \/ b<r1<=0) -> (0<=r2<b \/ b<r2<=0) -> b*q1+r1 == b*q2+r2 -> q1 == q2 /\ r1 == r2. Proof. intros b q1 q2 r1 r2 Hr1 Hr2 EQ. destruct Hr1; destruct Hr2; try (intuition; order). apply NZQuot.div_mod_unique with b; trivial. rewrite <- (opp_inj_wd r1 r2). apply NZQuot.div_mod_unique with (-b); trivial. rewrite <- opp_lt_mono, opp_nonneg_nonpos; tauto. rewrite <- opp_lt_mono, opp_nonneg_nonpos; tauto. now rewrite 2 mul_opp_l, <- 2 opp_add_distr, opp_inj_wd. Qed. Theorem quot_unique: forall a b q r, 0<=a -> 0<=r<b -> a == b*q + r -> q == a÷b. Proof. intros; now apply NZQuot.div_unique with r. Qed. Theorem rem_unique: forall a b q r, 0<=a -> 0<=r<b -> a == b*q + r -> r == a rem b. Proof. intros; now apply NZQuot.mod_unique with q. Qed. (** A division by itself returns 1 *) Lemma quot_same : forall a, a~=0 -> a÷a == 1. Proof. intros. pos_or_neg a. apply NZQuot.div_same; order. rewrite <- quot_opp_opp by trivial. now apply NZQuot.div_same. Qed. Lemma rem_same : forall a, a~=0 -> a rem a == 0. Proof. intros. rewrite rem_eq, quot_same by trivial. nzsimpl. apply sub_diag. Qed. (** A division of a small number by a bigger one yields zero. *) Theorem quot_small: forall a b, 0<=a<b -> a÷b == 0. Proof. exact NZQuot.div_small. Qed. (** Same situation, in term of remulo: *) Theorem rem_small: forall a b, 0<=a<b -> a rem b == a. Proof. exact NZQuot.mod_small. Qed. (** * Basic values of divisions and modulo. *) Lemma quot_0_l: forall a, a~=0 -> 0÷a == 0. Proof. intros. pos_or_neg a. apply NZQuot.div_0_l; order. rewrite <- quot_opp_opp, opp_0 by trivial. now apply NZQuot.div_0_l. Qed. Lemma rem_0_l: forall a, a~=0 -> 0 rem a == 0. Proof. intros; rewrite rem_eq, quot_0_l; now nzsimpl. Qed. Lemma quot_1_r: forall a, a÷1 == a. Proof. intros. pos_or_neg a. now apply NZQuot.div_1_r. apply opp_inj. rewrite <- quot_opp_l. apply NZQuot.div_1_r; order. intro EQ; symmetry in EQ; revert EQ; apply lt_neq, lt_0_1. Qed. Lemma rem_1_r: forall a, a rem 1 == 0. Proof. intros. rewrite rem_eq, quot_1_r; nzsimpl; auto using sub_diag. intro EQ; symmetry in EQ; revert EQ; apply lt_neq; apply lt_0_1. Qed. Lemma quot_1_l: forall a, 1<a -> 1÷a == 0. Proof. exact NZQuot.div_1_l. Qed. Lemma rem_1_l: forall a, 1<a -> 1 rem a == 1. Proof. exact NZQuot.mod_1_l. Qed. Lemma quot_mul : forall a b, b~=0 -> (a*b)÷b == a. Proof. intros. pos_or_neg a; pos_or_neg b. apply NZQuot.div_mul; order. rewrite <- quot_opp_opp, <- mul_opp_r by order. apply NZQuot.div_mul; order. rewrite <- opp_inj_wd, <- quot_opp_l, <- mul_opp_l by order. apply NZQuot.div_mul; order. rewrite <- opp_inj_wd, <- quot_opp_r, <- mul_opp_opp by order. apply NZQuot.div_mul; order. Qed. Lemma rem_mul : forall a b, b~=0 -> (a*b) rem b == 0. Proof. intros. rewrite rem_eq, quot_mul by trivial. rewrite mul_comm; apply sub_diag. Qed. Theorem quot_unique_exact a b q: b~=0 -> a == b*q -> q == a÷b. Proof. intros Hb H. rewrite H, mul_comm. symmetry. now apply quot_mul. Qed. (** The sign of [a rem b] is the one of [a] (when it's not null) *) Lemma rem_nonneg : forall a b, b~=0 -> 0 <= a -> 0 <= a rem b. Proof. intros. pos_or_neg b. destruct (rem_bound_pos a b); order. rewrite <- rem_opp_r; trivial. destruct (rem_bound_pos a (-b)); trivial. Qed. Lemma rem_nonpos : forall a b, b~=0 -> a <= 0 -> a rem b <= 0. Proof. intros a b Hb Ha. apply opp_nonneg_nonpos. apply opp_nonneg_nonpos in Ha. rewrite <- rem_opp_l by trivial. now apply rem_nonneg. Qed. Lemma rem_sign_mul : forall a b, b~=0 -> 0 <= (a rem b) * a. Proof. intros a b Hb. destruct (le_ge_cases 0 a). apply mul_nonneg_nonneg; trivial. now apply rem_nonneg. apply mul_nonpos_nonpos; trivial. now apply rem_nonpos. Qed. Lemma rem_sign_nz : forall a b, b~=0 -> a rem b ~= 0 -> sgn (a rem b) == sgn a. Proof. intros a b Hb H. destruct (lt_trichotomy 0 a) as [LT|[EQ|LT]]. rewrite 2 sgn_pos; try easy. generalize (rem_nonneg a b Hb (lt_le_incl _ _ LT)). order. now rewrite <- EQ, rem_0_l, sgn_0. rewrite 2 sgn_neg; try easy. generalize (rem_nonpos a b Hb (lt_le_incl _ _ LT)). order. Qed. Lemma rem_sign : forall a b, a~=0 -> b~=0 -> sgn (a rem b) ~= -sgn a. Proof. intros a b Ha Hb H. destruct (eq_decidable (a rem b) 0) as [EQ|NEQ]. apply Ha, sgn_null_iff, opp_inj. now rewrite <- H, opp_0, EQ, sgn_0. apply Ha, sgn_null_iff. apply eq_mul_0_l with 2; try order'. nzsimpl'. apply add_move_0_l. rewrite <- H. symmetry. now apply rem_sign_nz. Qed. (** Operations and absolute value *) Lemma rem_abs_l : forall a b, b ~= 0 -> (abs a) rem b == abs (a rem b). Proof. intros a b Hb. destruct (le_ge_cases 0 a) as [LE|LE]. rewrite 2 abs_eq; try easy. now apply rem_nonneg. rewrite 2 abs_neq, rem_opp_l; try easy. now apply rem_nonpos. Qed. Lemma rem_abs_r : forall a b, b ~= 0 -> a rem (abs b) == a rem b. Proof. intros a b Hb. destruct (le_ge_cases 0 b). now rewrite abs_eq. now rewrite abs_neq, ?rem_opp_r. Qed. Lemma rem_abs : forall a b, b ~= 0 -> (abs a) rem (abs b) == abs (a rem b). Proof. intros. now rewrite rem_abs_r, rem_abs_l. Qed. Lemma quot_abs_l : forall a b, b ~= 0 -> (abs a)÷b == (sgn a)*(a÷b). Proof. intros a b Hb. destruct (lt_trichotomy 0 a) as [LT|[EQ|LT]]. rewrite abs_eq, sgn_pos by order. now nzsimpl. rewrite <- EQ, abs_0, quot_0_l; trivial. now nzsimpl. rewrite abs_neq, quot_opp_l, sgn_neg by order. rewrite mul_opp_l. now nzsimpl. Qed. Lemma quot_abs_r : forall a b, b ~= 0 -> a÷(abs b) == (sgn b)*(a÷b). Proof. intros a b Hb. destruct (lt_trichotomy 0 b) as [LT|[EQ|LT]]. rewrite abs_eq, sgn_pos by order. now nzsimpl. order. rewrite abs_neq, quot_opp_r, sgn_neg by order. rewrite mul_opp_l. now nzsimpl. Qed. Lemma quot_abs : forall a b, b ~= 0 -> (abs a)÷(abs b) == abs (a÷b). Proof. intros a b Hb. pos_or_neg a; [rewrite (abs_eq a)|rewrite (abs_neq a)]; try apply opp_nonneg_nonpos; try order. pos_or_neg b; [rewrite (abs_eq b)|rewrite (abs_neq b)]; try apply opp_nonneg_nonpos; try order. rewrite abs_eq; try easy. apply NZQuot.div_pos; order. rewrite <- abs_opp, <- quot_opp_r, abs_eq; try easy. apply NZQuot.div_pos; order. pos_or_neg b; [rewrite (abs_eq b)|rewrite (abs_neq b)]; try apply opp_nonneg_nonpos; try order. rewrite <- (abs_opp (_÷_)), <- quot_opp_l, abs_eq; try easy. apply NZQuot.div_pos; order. rewrite <- (quot_opp_opp a b), abs_eq; try easy. apply NZQuot.div_pos; order. Qed. (** We have a general bound for absolute values *) Lemma rem_bound_abs : forall a b, b~=0 -> abs (a rem b) < abs b. Proof. intros. rewrite <- rem_abs; trivial. apply rem_bound_pos. apply abs_nonneg. now apply abs_pos. Qed. (** * Order results about rem and quot *) (** A modulo cannot grow beyond its starting point. *) Theorem rem_le: forall a b, 0<=a -> 0<b -> a rem b <= a. Proof. exact NZQuot.mod_le. Qed. Theorem quot_pos : forall a b, 0<=a -> 0<b -> 0<= a÷b. Proof. exact NZQuot.div_pos. Qed. Lemma quot_str_pos : forall a b, 0<b<=a -> 0 < a÷b. Proof. exact NZQuot.div_str_pos. Qed. Lemma quot_small_iff : forall a b, b~=0 -> (a÷b==0 <-> abs a < abs b). Proof. intros. pos_or_neg a; pos_or_neg b. rewrite NZQuot.div_small_iff; try order. rewrite 2 abs_eq; intuition; order. rewrite <- opp_inj_wd, opp_0, <- quot_opp_r, NZQuot.div_small_iff by order. rewrite (abs_eq a), (abs_neq' b); intuition; order. rewrite <- opp_inj_wd, opp_0, <- quot_opp_l, NZQuot.div_small_iff by order. rewrite (abs_neq' a), (abs_eq b); intuition; order. rewrite <- quot_opp_opp, NZQuot.div_small_iff by order. rewrite (abs_neq' a), (abs_neq' b); intuition; order. Qed. Lemma rem_small_iff : forall a b, b~=0 -> (a rem b == a <-> abs a < abs b). Proof. intros. rewrite rem_eq, <- quot_small_iff by order. rewrite sub_move_r, <- (add_0_r a) at 1. rewrite add_cancel_l. rewrite eq_sym_iff, eq_mul_0. tauto. Qed. (** As soon as the divisor is strictly greater than 1, the division is strictly decreasing. *) Lemma quot_lt : forall a b, 0<a -> 1<b -> a÷b < a. Proof. exact NZQuot.div_lt. Qed. (** [le] is compatible with a positive division. *) Lemma quot_le_mono : forall a b c, 0<c -> a<=b -> a÷c <= b÷c. Proof. intros. pos_or_neg a. apply NZQuot.div_le_mono; auto. pos_or_neg b. apply le_trans with 0. rewrite <- opp_nonneg_nonpos, <- quot_opp_l by order. apply quot_pos; order. apply quot_pos; order. rewrite opp_le_mono in *. rewrite <- 2 quot_opp_l by order. apply NZQuot.div_le_mono; intuition; order. Qed. (** With this choice of division, rounding of quot is always done toward zero: *) Lemma mul_quot_le : forall a b, 0<=a -> b~=0 -> 0 <= b*(a÷b) <= a. Proof. intros. pos_or_neg b. split. apply mul_nonneg_nonneg; [|apply quot_pos]; order. apply NZQuot.mul_div_le; order. rewrite <- mul_opp_opp, <- quot_opp_r by order. split. apply mul_nonneg_nonneg; [|apply quot_pos]; order. apply NZQuot.mul_div_le; order. Qed. Lemma mul_quot_ge : forall a b, a<=0 -> b~=0 -> a <= b*(a÷b) <= 0. Proof. intros. rewrite <- opp_nonneg_nonpos, opp_le_mono, <-mul_opp_r, <-quot_opp_l by order. rewrite <- opp_nonneg_nonpos in *. destruct (mul_quot_le (-a) b); tauto. Qed. (** For positive numbers, considering [S (a÷b)] leads to an upper bound for [a] *) Lemma mul_succ_quot_gt: forall a b, 0<=a -> 0<b -> a < b*(S (a÷b)). Proof. exact NZQuot.mul_succ_div_gt. Qed. (** Similar results with negative numbers *) Lemma mul_pred_quot_lt: forall a b, a<=0 -> 0<b -> b*(P (a÷b)) < a. Proof. intros. rewrite opp_lt_mono, <- mul_opp_r, opp_pred, <- quot_opp_l by order. rewrite <- opp_nonneg_nonpos in *. now apply mul_succ_quot_gt. Qed. Lemma mul_pred_quot_gt: forall a b, 0<=a -> b<0 -> a < b*(P (a÷b)). Proof. intros. rewrite <- mul_opp_opp, opp_pred, <- quot_opp_r by order. rewrite <- opp_pos_neg in *. now apply mul_succ_quot_gt. Qed. Lemma mul_succ_quot_lt: forall a b, a<=0 -> b<0 -> b*(S (a÷b)) < a. Proof. intros. rewrite opp_lt_mono, <- mul_opp_l, <- quot_opp_opp by order. rewrite <- opp_nonneg_nonpos, <- opp_pos_neg in *. now apply mul_succ_quot_gt. Qed. (** Inequality [mul_quot_le] is exact iff the modulo is zero. *) Lemma quot_exact : forall a b, b~=0 -> (a == b*(a÷b) <-> a rem b == 0). Proof. intros. rewrite rem_eq by order. rewrite sub_move_r; nzsimpl; tauto. Qed. (** Some additionnal inequalities about quot. *) Theorem quot_lt_upper_bound: forall a b q, 0<=a -> 0<b -> a < b*q -> a÷b < q. Proof. exact NZQuot.div_lt_upper_bound. Qed. Theorem quot_le_upper_bound: forall a b q, 0<b -> a <= b*q -> a÷b <= q. Proof. intros. rewrite <- (quot_mul q b) by order. apply quot_le_mono; trivial. now rewrite mul_comm. Qed. Theorem quot_le_lower_bound: forall a b q, 0<b -> b*q <= a -> q <= a÷b. Proof. intros. rewrite <- (quot_mul q b) by order. apply quot_le_mono; trivial. now rewrite mul_comm. Qed. (** A division respects opposite monotonicity for the divisor *) Lemma quot_le_compat_l: forall p q r, 0<=p -> 0<q<=r -> p÷r <= p÷q. Proof. exact NZQuot.div_le_compat_l. Qed. (** * Relations between usual operations and rem and quot *) (** Unlike with other division conventions, some results here aren't always valid, and need to be restricted. For instance [(a+b*c) rem c <> a rem c] for [a=9,b=-5,c=2] *) Lemma rem_add : forall a b c, c~=0 -> 0 <= (a+b*c)*a -> (a + b * c) rem c == a rem c. Proof. assert (forall a b c, c~=0 -> 0<=a -> 0<=a+b*c -> (a+b*c) rem c == a rem c). intros. pos_or_neg c. apply NZQuot.mod_add; order. rewrite <- (rem_opp_r a), <- (rem_opp_r (a+b*c)) by order. rewrite <- mul_opp_opp in *. apply NZQuot.mod_add; order. intros a b c Hc Habc. destruct (le_0_mul _ _ Habc) as [(Habc',Ha)|(Habc',Ha)]. auto. apply opp_inj. revert Ha Habc'. rewrite <- 2 opp_nonneg_nonpos. rewrite <- 2 rem_opp_l, opp_add_distr, <- mul_opp_l by order. auto. Qed. Lemma quot_add : forall a b c, c~=0 -> 0 <= (a+b*c)*a -> (a + b * c) ÷ c == a ÷ c + b. Proof. intros. rewrite <- (mul_cancel_l _ _ c) by trivial. rewrite <- (add_cancel_r _ _ ((a+b*c) rem c)). rewrite <- quot_rem, rem_add by trivial. now rewrite mul_add_distr_l, add_shuffle0, <-quot_rem, mul_comm. Qed. Lemma quot_add_l: forall a b c, b~=0 -> 0 <= (a*b+c)*c -> (a * b + c) ÷ b == a + c ÷ b. Proof. intros a b c. rewrite add_comm, (add_comm a). now apply quot_add. Qed. (** Cancellations. *) Lemma quot_mul_cancel_r : forall a b c, b~=0 -> c~=0 -> (a*c)÷(b*c) == a÷b. Proof. assert (Aux1 : forall a b c, 0<=a -> 0<b -> c~=0 -> (a*c)÷(b*c) == a÷b). intros. pos_or_neg c. apply NZQuot.div_mul_cancel_r; order. rewrite <- quot_opp_opp, <- 2 mul_opp_r. apply NZQuot.div_mul_cancel_r; order. rewrite <- neq_mul_0; intuition order. assert (Aux2 : forall a b c, 0<=a -> b~=0 -> c~=0 -> (a*c)÷(b*c) == a÷b). intros. pos_or_neg b. apply Aux1; order. apply opp_inj. rewrite <- 2 quot_opp_r, <- mul_opp_l; try order. apply Aux1; order. rewrite <- neq_mul_0; intuition order. intros. pos_or_neg a. apply Aux2; order. apply opp_inj. rewrite <- 2 quot_opp_l, <- mul_opp_l; try order. apply Aux2; order. rewrite <- neq_mul_0; intuition order. Qed. Lemma quot_mul_cancel_l : forall a b c, b~=0 -> c~=0 -> (c*a)÷(c*b) == a÷b. Proof. intros. rewrite !(mul_comm c); now apply quot_mul_cancel_r. Qed. Lemma mul_rem_distr_r: forall a b c, b~=0 -> c~=0 -> (a*c) rem (b*c) == (a rem b) * c. Proof. intros. assert (b*c ~= 0) by (rewrite <- neq_mul_0; tauto). rewrite ! rem_eq by trivial. rewrite quot_mul_cancel_r by order. now rewrite mul_sub_distr_r, <- !mul_assoc, (mul_comm (a÷b) c). Qed. Lemma mul_rem_distr_l: forall a b c, b~=0 -> c~=0 -> (c*a) rem (c*b) == c * (a rem b). Proof. intros; rewrite !(mul_comm c); now apply mul_rem_distr_r. Qed. (** Operations modulo. *) Theorem rem_rem: forall a n, n~=0 -> (a rem n) rem n == a rem n. Proof. intros. pos_or_neg a; pos_or_neg n. apply NZQuot.mod_mod; order. rewrite <- ! (rem_opp_r _ n) by trivial. apply NZQuot.mod_mod; order. apply opp_inj. rewrite <- !rem_opp_l by order. apply NZQuot.mod_mod; order. apply opp_inj. rewrite <- !rem_opp_opp by order. apply NZQuot.mod_mod; order. Qed. Lemma mul_rem_idemp_l : forall a b n, n~=0 -> ((a rem n)*b) rem n == (a*b) rem n. Proof. assert (Aux1 : forall a b n, 0<=a -> 0<=b -> n~=0 -> ((a rem n)*b) rem n == (a*b) rem n). intros. pos_or_neg n. apply NZQuot.mul_mod_idemp_l; order. rewrite <- ! (rem_opp_r _ n) by order. apply NZQuot.mul_mod_idemp_l; order. assert (Aux2 : forall a b n, 0<=a -> n~=0 -> ((a rem n)*b) rem n == (a*b) rem n). intros. pos_or_neg b. now apply Aux1. apply opp_inj. rewrite <-2 rem_opp_l, <-2 mul_opp_r by order. apply Aux1; order. intros a b n Hn. pos_or_neg a. now apply Aux2. apply opp_inj. rewrite <-2 rem_opp_l, <-2 mul_opp_l, <-rem_opp_l by order. apply Aux2; order. Qed. Lemma mul_rem_idemp_r : forall a b n, n~=0 -> (a*(b rem n)) rem n == (a*b) rem n. Proof. intros. rewrite !(mul_comm a). now apply mul_rem_idemp_l. Qed. Theorem mul_rem: forall a b n, n~=0 -> (a * b) rem n == ((a rem n) * (b rem n)) rem n. Proof. intros. now rewrite mul_rem_idemp_l, mul_rem_idemp_r. Qed. (** addition and modulo Generally speaking, unlike with other conventions, we don't have [(a+b) rem n = (a rem n + b rem n) rem n] for any a and b. For instance, take (8 + (-10)) rem 3 = -2 whereas (8 rem 3 + (-10 rem 3)) rem 3 = 1. *) Lemma add_rem_idemp_l : forall a b n, n~=0 -> 0 <= a*b -> ((a rem n)+b) rem n == (a+b) rem n. Proof. assert (Aux : forall a b n, 0<=a -> 0<=b -> n~=0 -> ((a rem n)+b) rem n == (a+b) rem n). intros. pos_or_neg n. apply NZQuot.add_mod_idemp_l; order. rewrite <- ! (rem_opp_r _ n) by order. apply NZQuot.add_mod_idemp_l; order. intros a b n Hn Hab. destruct (le_0_mul _ _ Hab) as [(Ha,Hb)|(Ha,Hb)]. now apply Aux. apply opp_inj. rewrite <-2 rem_opp_l, 2 opp_add_distr, <-rem_opp_l by order. rewrite <- opp_nonneg_nonpos in *. now apply Aux. Qed. Lemma add_rem_idemp_r : forall a b n, n~=0 -> 0 <= a*b -> (a+(b rem n)) rem n == (a+b) rem n. Proof. intros. rewrite !(add_comm a). apply add_rem_idemp_l; trivial. now rewrite mul_comm. Qed. Theorem add_rem: forall a b n, n~=0 -> 0 <= a*b -> (a+b) rem n == (a rem n + b rem n) rem n. Proof. intros a b n Hn Hab. rewrite add_rem_idemp_l, add_rem_idemp_r; trivial. reflexivity. destruct (le_0_mul _ _ Hab) as [(Ha,Hb)|(Ha,Hb)]; destruct (le_0_mul _ _ (rem_sign_mul b n Hn)) as [(Hb',Hm)|(Hb',Hm)]; auto using mul_nonneg_nonneg, mul_nonpos_nonpos. setoid_replace b with 0 by order. rewrite rem_0_l by order. nzsimpl; order. setoid_replace b with 0 by order. rewrite rem_0_l by order. nzsimpl; order. Qed. (** Conversely, the following results need less restrictions here. *) Lemma quot_quot : forall a b c, b~=0 -> c~=0 -> (a÷b)÷c == a÷(b*c). Proof. assert (Aux1 : forall a b c, 0<=a -> 0<b -> c~=0 -> (a÷b)÷c == a÷(b*c)). intros. pos_or_neg c. apply NZQuot.div_div; order. apply opp_inj. rewrite <- 2 quot_opp_r, <- mul_opp_r; trivial. apply NZQuot.div_div; order. rewrite <- neq_mul_0; intuition order. assert (Aux2 : forall a b c, 0<=a -> b~=0 -> c~=0 -> (a÷b)÷c == a÷(b*c)). intros. pos_or_neg b. apply Aux1; order. apply opp_inj. rewrite <- quot_opp_l, <- 2 quot_opp_r, <- mul_opp_l; trivial. apply Aux1; trivial. rewrite <- neq_mul_0; intuition order. intros. pos_or_neg a. apply Aux2; order. apply opp_inj. rewrite <- 3 quot_opp_l; try order. apply Aux2; order. rewrite <- neq_mul_0. tauto. Qed. Lemma mod_mul_r : forall a b c, b~=0 -> c~=0 -> a rem (b*c) == a rem b + b*((a÷b) rem c). Proof. intros a b c Hb Hc. apply add_cancel_l with (b*c*(a÷(b*c))). rewrite <- quot_rem by (apply neq_mul_0; split; order). rewrite <- quot_quot by trivial. rewrite add_assoc, add_shuffle0, <- mul_assoc, <- mul_add_distr_l. rewrite <- quot_rem by order. apply quot_rem; order. Qed. (** A last inequality: *) Theorem quot_mul_le: forall a b c, 0<=a -> 0<b -> 0<=c -> c*(a÷b) <= (c*a)÷b. Proof. exact NZQuot.div_mul_le. Qed. End ZQuotProp.
(** Extraction : tests of optimizations of pattern matching *) Require Coq.extraction.Extraction. (** First, a few basic tests *) Definition test1 b := match b with | true => true | false => false end. Extraction test1. (** should be seen as the identity *) Definition test2 b := match b with | true => false | false => false end. Extraction test2. (** should be seen a the always-false constant function *) Inductive hole (A:Set) : Set := Hole | Hole2. Definition wrong_id (A B : Set) (x:hole A) : hole B := match x with | Hole _ => @Hole _ | Hole2 _ => @Hole2 _ end. Extraction wrong_id. (** should _not_ be optimized as an identity *) Definition test3 (A:Type)(o : option A) := match o with | Some x => Some x | None => None end. Extraction test3. (** Even with type parameters, should be seen as identity *) Inductive indu : Type := A : nat -> indu | B | C. Definition test4 n := match n with | A m => A (S m) | B => B | C => C end. Extraction test4. (** should merge branchs B C into a x->x *) Definition test5 n := match n with | A m => A (S m) | B => B | C => B end. Extraction test5. (** should merge branches B C into _->B *) Inductive indu' : Type := A' : nat -> indu' | B' | C' | D' | E' | F'. Definition test6 n := match n with | A' m => A' (S m) | B' => C' | C' => C' | D' => C' | E' => B' | F' => B' end. Extraction test6. (** should merge some branches into a _->C' *) (** NB : In Coq, "| a => a" corresponds to n, hence some "| _ -> n" are extracted *) Definition test7 n := match n with | A m => Some m | B => None | C => None end. Extraction test7. (** should merge branches B,C into a _->None *) (** Script from bug #2413 *) Set Implicit Arguments. Section S. Definition message := nat. Definition word := nat. Definition mode := nat. Definition opcode := nat. Variable condition : word -> option opcode. Section decoder_result. Variable inst : Type. Inductive decoder_result : Type := | DecUndefined : decoder_result | DecUnpredictable : decoder_result | DecInst : inst -> decoder_result | DecError : message -> decoder_result. End decoder_result. Definition decode_cond_mode (mode : Type) (f : word -> decoder_result mode) (w : word) (inst : Type) (g : mode -> opcode -> inst) : decoder_result inst := match condition w with | Some oc => match f w with | DecInst i => DecInst (g i oc) | DecError _ m => @DecError inst m | DecUndefined _ => @DecUndefined inst | DecUnpredictable _ => @DecUnpredictable inst end | None => @DecUndefined inst end. End S. Extraction decode_cond_mode. (** inner match should not be factorized with a partial x->x (different type) *)
// ---------------------------------------------------------------------- // Copyright (c) 2016, The Regents of the University of California All // rights reserved. // // Redistribution and use in source and binary forms, with or without // modification, are permitted provided that the following conditions are // met: // // * Redistributions of source code must retain the above copyright // notice, this list of conditions and the following disclaimer. // // * Redistributions in binary form must reproduce the above // copyright notice, this list of conditions and the following // disclaimer in the documentation and/or other materials provided // with the distribution. // // * Neither the name of The Regents of the University of California // nor the names of its contributors may be used to endorse or // promote products derived from this software without specific // prior written permission. // // THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS // "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT // LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR // A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL REGENTS OF THE // UNIVERSITY OF CALIFORNIA BE LIABLE FOR ANY DIRECT, INDIRECT, // INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, // BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS // OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND // ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR // TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE // USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH // DAMAGE. // ---------------------------------------------------------------------- //---------------------------------------------------------------------------- // Filename: tx_port_channel_gate_64.v // Version: 1.00.a // Verilog Standard: Verilog-2001 // Description: Captures transaction open/close events as well as data // and passes it to the RD_CLK domain through the async_fifo. CHNL_TX_DATA_REN can // only be high after CHNL_TX goes high and after the CHNL_TX_ACK pulse. When // CHNL_TX drops, the channel closes (until the next transaction -- signaled by // CHNL_TX going up again). // Author: Matt Jacobsen // History: @mattj: Version 2.0 //----------------------------------------------------------------------------- `define S_TXPORTGATE64_IDLE 2'b00 `define S_TXPORTGATE64_OPENING 2'b01 `define S_TXPORTGATE64_OPEN 2'b10 `define S_TXPORTGATE64_CLOSED 2'b11 `timescale 1ns/1ns module tx_port_channel_gate_64 #( parameter C_DATA_WIDTH = 9'd64, // Local parameters parameter C_FIFO_DEPTH = 8, parameter C_FIFO_DATA_WIDTH = C_DATA_WIDTH+1 ) ( input RST, input RD_CLK, // FIFO read clock output [C_FIFO_DATA_WIDTH-1:0] RD_DATA, // FIFO read data output RD_EMPTY, // FIFO is empty input RD_EN, // FIFO read enable input CHNL_CLK, // Channel write clock input CHNL_TX, // Channel write receive signal output CHNL_TX_ACK, // Channel write acknowledgement signal input CHNL_TX_LAST, // Channel last write input [31:0] CHNL_TX_LEN, // Channel write length (in 32 bit words) input [30:0] CHNL_TX_OFF, // Channel write offset input [C_DATA_WIDTH-1:0] CHNL_TX_DATA, // Channel write data input CHNL_TX_DATA_VALID, // Channel write data valid output CHNL_TX_DATA_REN // Channel write data has been recieved ); (* syn_encoding = "user" *) (* fsm_encoding = "user" *) reg [1:0] rState=`S_TXPORTGATE64_IDLE, _rState=`S_TXPORTGATE64_IDLE; reg rFifoWen=0, _rFifoWen=0; reg [C_FIFO_DATA_WIDTH-1:0] rFifoData=0, _rFifoData=0; wire wFifoFull; reg rChnlTx=0, _rChnlTx=0; reg rChnlLast=0, _rChnlLast=0; reg [31:0] rChnlLen=0, _rChnlLen=0; reg [30:0] rChnlOff=0, _rChnlOff=0; reg rAck=0, _rAck=0; reg rPause=0, _rPause=0; reg rClosed=0, _rClosed=0; assign CHNL_TX_ACK = rAck; assign CHNL_TX_DATA_REN = (rState[1] & !rState[0] & !wFifoFull); // S_TXPORTGATE64_OPEN // Buffer the input signals that come from outside the tx_port. always @ (posedge CHNL_CLK) begin rChnlTx <= #1 (RST ? 1'd0 : _rChnlTx); rChnlLast <= #1 _rChnlLast; rChnlLen <= #1 _rChnlLen; rChnlOff <= #1 _rChnlOff; end always @ (*) begin _rChnlTx = CHNL_TX; _rChnlLast = CHNL_TX_LAST; _rChnlLen = CHNL_TX_LEN; _rChnlOff = CHNL_TX_OFF; end // FIFO for temporarily storing data from the channel. (* RAM_STYLE="DISTRIBUTED" *) async_fifo #(.C_WIDTH(C_FIFO_DATA_WIDTH), .C_DEPTH(C_FIFO_DEPTH)) fifo ( .WR_CLK(CHNL_CLK), .WR_RST(RST), .WR_EN(rFifoWen), .WR_DATA(rFifoData), .WR_FULL(wFifoFull), .RD_CLK(RD_CLK), .RD_RST(RST), .RD_EN(RD_EN), .RD_DATA(RD_DATA), .RD_EMPTY(RD_EMPTY) ); // Pass the transaction open event, transaction data, and the transaction // close event through to the RD_CLK domain via the async_fifo. always @ (posedge CHNL_CLK) begin rState <= #1 (RST ? `S_TXPORTGATE64_IDLE : _rState); rFifoWen <= #1 (RST ? 1'd0 : _rFifoWen); rFifoData <= #1 _rFifoData; rAck <= #1 (RST ? 1'd0 : _rAck); rPause <= #1 (RST ? 1'd0 : _rPause); rClosed <= #1 (RST ? 1'd0 : _rClosed); end always @ (*) begin _rState = rState; _rFifoWen = rFifoWen; _rFifoData = rFifoData; _rPause = rPause; _rAck = rAck; _rClosed = rClosed; case (rState) `S_TXPORTGATE64_IDLE: begin // Write the len, off, last _rPause = 0; _rClosed = 0; if (!wFifoFull) begin _rAck = rChnlTx; _rFifoWen = rChnlTx; _rFifoData = {1'd1, rChnlLen, rChnlOff, rChnlLast}; if (rChnlTx) _rState = `S_TXPORTGATE64_OPENING; end end `S_TXPORTGATE64_OPENING: begin // Write the len, off, last (again) _rAck = 0; _rClosed = (rClosed | !rChnlTx); if (!wFifoFull) begin if (rClosed | !rChnlTx) _rState = `S_TXPORTGATE64_CLOSED; else _rState = `S_TXPORTGATE64_OPEN; end end `S_TXPORTGATE64_OPEN: begin // Copy channel data into the FIFO if (!wFifoFull) begin _rFifoWen = CHNL_TX_DATA_VALID; // CHNL_TX_DATA_VALID & CHNL_TX_DATA should really be buffered _rFifoData = {1'd0, CHNL_TX_DATA}; // but the VALID+REN model seem to make this difficult. end if (!rChnlTx) _rState = `S_TXPORTGATE64_CLOSED; end `S_TXPORTGATE64_CLOSED: begin // Write the end marker (twice) if (!wFifoFull) begin _rPause = 1; _rFifoWen = 1; _rFifoData = {1'd1, {C_DATA_WIDTH{1'd0}}}; if (rPause) _rState = `S_TXPORTGATE64_IDLE; end end endcase end /* wire [35:0] wControl0; chipscope_icon_1 cs_icon( .CONTROL0(wControl0) ); chipscope_ila_t8_512 a0( .CLK(CHNL_CLK), .CONTROL(wControl0), .TRIG0({4'd0, wFifoFull, CHNL_TX, rState}), .DATA({313'd0, rChnlOff, // 31 rChnlLen, // 32 rChnlLast, // 1 rChnlTx, // 1 CHNL_TX_OFF, // 31 CHNL_TX_LEN, // 32 CHNL_TX_LAST, // 1 CHNL_TX, // 1 wFifoFull, // 1 rFifoData, // 65 rFifoWen, // 1 rState}) // 2 ); */ endmodule
// ---------------------------------------------------------------------- // Copyright (c) 2016, The Regents of the University of California All // rights reserved. // // Redistribution and use in source and binary forms, with or without // modification, are permitted provided that the following conditions are // met: // // * Redistributions of source code must retain the above copyright // notice, this list of conditions and the following disclaimer. // // * Redistributions in binary form must reproduce the above // copyright notice, this list of conditions and the following // disclaimer in the documentation and/or other materials provided // with the distribution. // // * Neither the name of The Regents of the University of California // nor the names of its contributors may be used to endorse or // promote products derived from this software without specific // prior written permission. // // THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS // "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT // LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR // A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL REGENTS OF THE // UNIVERSITY OF CALIFORNIA BE LIABLE FOR ANY DIRECT, INDIRECT, // INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, // BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS // OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND // ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR // TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE // USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH // DAMAGE. // ---------------------------------------------------------------------- //---------------------------------------------------------------------------- // Filename: tx_port_channel_gate_64.v // Version: 1.00.a // Verilog Standard: Verilog-2001 // Description: Captures transaction open/close events as well as data // and passes it to the RD_CLK domain through the async_fifo. CHNL_TX_DATA_REN can // only be high after CHNL_TX goes high and after the CHNL_TX_ACK pulse. When // CHNL_TX drops, the channel closes (until the next transaction -- signaled by // CHNL_TX going up again). // Author: Matt Jacobsen // History: @mattj: Version 2.0 //----------------------------------------------------------------------------- `define S_TXPORTGATE64_IDLE 2'b00 `define S_TXPORTGATE64_OPENING 2'b01 `define S_TXPORTGATE64_OPEN 2'b10 `define S_TXPORTGATE64_CLOSED 2'b11 `timescale 1ns/1ns module tx_port_channel_gate_64 #( parameter C_DATA_WIDTH = 9'd64, // Local parameters parameter C_FIFO_DEPTH = 8, parameter C_FIFO_DATA_WIDTH = C_DATA_WIDTH+1 ) ( input RST, input RD_CLK, // FIFO read clock output [C_FIFO_DATA_WIDTH-1:0] RD_DATA, // FIFO read data output RD_EMPTY, // FIFO is empty input RD_EN, // FIFO read enable input CHNL_CLK, // Channel write clock input CHNL_TX, // Channel write receive signal output CHNL_TX_ACK, // Channel write acknowledgement signal input CHNL_TX_LAST, // Channel last write input [31:0] CHNL_TX_LEN, // Channel write length (in 32 bit words) input [30:0] CHNL_TX_OFF, // Channel write offset input [C_DATA_WIDTH-1:0] CHNL_TX_DATA, // Channel write data input CHNL_TX_DATA_VALID, // Channel write data valid output CHNL_TX_DATA_REN // Channel write data has been recieved ); (* syn_encoding = "user" *) (* fsm_encoding = "user" *) reg [1:0] rState=`S_TXPORTGATE64_IDLE, _rState=`S_TXPORTGATE64_IDLE; reg rFifoWen=0, _rFifoWen=0; reg [C_FIFO_DATA_WIDTH-1:0] rFifoData=0, _rFifoData=0; wire wFifoFull; reg rChnlTx=0, _rChnlTx=0; reg rChnlLast=0, _rChnlLast=0; reg [31:0] rChnlLen=0, _rChnlLen=0; reg [30:0] rChnlOff=0, _rChnlOff=0; reg rAck=0, _rAck=0; reg rPause=0, _rPause=0; reg rClosed=0, _rClosed=0; assign CHNL_TX_ACK = rAck; assign CHNL_TX_DATA_REN = (rState[1] & !rState[0] & !wFifoFull); // S_TXPORTGATE64_OPEN // Buffer the input signals that come from outside the tx_port. always @ (posedge CHNL_CLK) begin rChnlTx <= #1 (RST ? 1'd0 : _rChnlTx); rChnlLast <= #1 _rChnlLast; rChnlLen <= #1 _rChnlLen; rChnlOff <= #1 _rChnlOff; end always @ (*) begin _rChnlTx = CHNL_TX; _rChnlLast = CHNL_TX_LAST; _rChnlLen = CHNL_TX_LEN; _rChnlOff = CHNL_TX_OFF; end // FIFO for temporarily storing data from the channel. (* RAM_STYLE="DISTRIBUTED" *) async_fifo #(.C_WIDTH(C_FIFO_DATA_WIDTH), .C_DEPTH(C_FIFO_DEPTH)) fifo ( .WR_CLK(CHNL_CLK), .WR_RST(RST), .WR_EN(rFifoWen), .WR_DATA(rFifoData), .WR_FULL(wFifoFull), .RD_CLK(RD_CLK), .RD_RST(RST), .RD_EN(RD_EN), .RD_DATA(RD_DATA), .RD_EMPTY(RD_EMPTY) ); // Pass the transaction open event, transaction data, and the transaction // close event through to the RD_CLK domain via the async_fifo. always @ (posedge CHNL_CLK) begin rState <= #1 (RST ? `S_TXPORTGATE64_IDLE : _rState); rFifoWen <= #1 (RST ? 1'd0 : _rFifoWen); rFifoData <= #1 _rFifoData; rAck <= #1 (RST ? 1'd0 : _rAck); rPause <= #1 (RST ? 1'd0 : _rPause); rClosed <= #1 (RST ? 1'd0 : _rClosed); end always @ (*) begin _rState = rState; _rFifoWen = rFifoWen; _rFifoData = rFifoData; _rPause = rPause; _rAck = rAck; _rClosed = rClosed; case (rState) `S_TXPORTGATE64_IDLE: begin // Write the len, off, last _rPause = 0; _rClosed = 0; if (!wFifoFull) begin _rAck = rChnlTx; _rFifoWen = rChnlTx; _rFifoData = {1'd1, rChnlLen, rChnlOff, rChnlLast}; if (rChnlTx) _rState = `S_TXPORTGATE64_OPENING; end end `S_TXPORTGATE64_OPENING: begin // Write the len, off, last (again) _rAck = 0; _rClosed = (rClosed | !rChnlTx); if (!wFifoFull) begin if (rClosed | !rChnlTx) _rState = `S_TXPORTGATE64_CLOSED; else _rState = `S_TXPORTGATE64_OPEN; end end `S_TXPORTGATE64_OPEN: begin // Copy channel data into the FIFO if (!wFifoFull) begin _rFifoWen = CHNL_TX_DATA_VALID; // CHNL_TX_DATA_VALID & CHNL_TX_DATA should really be buffered _rFifoData = {1'd0, CHNL_TX_DATA}; // but the VALID+REN model seem to make this difficult. end if (!rChnlTx) _rState = `S_TXPORTGATE64_CLOSED; end `S_TXPORTGATE64_CLOSED: begin // Write the end marker (twice) if (!wFifoFull) begin _rPause = 1; _rFifoWen = 1; _rFifoData = {1'd1, {C_DATA_WIDTH{1'd0}}}; if (rPause) _rState = `S_TXPORTGATE64_IDLE; end end endcase end /* wire [35:0] wControl0; chipscope_icon_1 cs_icon( .CONTROL0(wControl0) ); chipscope_ila_t8_512 a0( .CLK(CHNL_CLK), .CONTROL(wControl0), .TRIG0({4'd0, wFifoFull, CHNL_TX, rState}), .DATA({313'd0, rChnlOff, // 31 rChnlLen, // 32 rChnlLast, // 1 rChnlTx, // 1 CHNL_TX_OFF, // 31 CHNL_TX_LEN, // 32 CHNL_TX_LAST, // 1 CHNL_TX, // 1 wFifoFull, // 1 rFifoData, // 65 rFifoWen, // 1 rState}) // 2 ); */ endmodule
////////////////////////////////////////////////////////////////////////////////// // InterChannelSyndromeBuffer.v for Cosmos OpenSSD // Copyright (c) 2015 Hanyang University ENC Lab. // Contributed by Jinwoo Jeong <[email protected]> // Ilyong Jung <[email protected]> // Yong Ho Song <[email protected]> // // This file is part of Cosmos OpenSSD. // // Cosmos OpenSSD is free software; you can redistribute it and/or modify // it under the terms of the GNU General Public License as published by // the Free Software Foundation; either version 3, or (at your option) // any later version. // // Cosmos OpenSSD is distributed in the hope that it will be useful, // but WITHOUT ANY WARRANTY; without even the implied warranty of // MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. // See the GNU General Public License for more details. // // You should have received a copy of the GNU General Public License // along with Cosmos OpenSSD; see the file COPYING. // If not, see <http://www.gnu.org/licenses/>. ////////////////////////////////////////////////////////////////////////////////// ////////////////////////////////////////////////////////////////////////////////// // Company: ENC Lab. <http://enc.hanyang.ac.kr> // Engineer: Jinwoo Jeong <[email protected]> // Ilyong Jung <[email protected]> // // Project Name: Cosmos OpenSSD // Design Name: BCH Page Decoder // Module Name: InterChannelSyndromeBuffer // File Name: InterChannelSyndromeBuffer.v // // Version: v1.0.0 // // Description: Syndrome buffer array // ////////////////////////////////////////////////////////////////////////////////// ////////////////////////////////////////////////////////////////////////////////// // Revision History: // // * v1.0.0 // - first draft ////////////////////////////////////////////////////////////////////////////////// `timescale 1ns / 1ps module InterChannelSyndromeBuffer #( parameter Channel = 4, parameter Multi = 2, parameter GaloisFieldDegree = 12, parameter Syndromes = 27 ) ( iClock , iReset , iErrorDetectionEnd , iDecodeNeeded , iSyndromes , oSharedKESReady , iKESAvailable , oExecuteKES , oErroredChunkNumber , oDataFowarding , oLastChunk , oSyndromes , oChannelSel ); input iClock ; input iReset ; input [Channel*Multi - 1:0] iErrorDetectionEnd ; input [Channel*Multi - 1:0] iDecodeNeeded ; input [Channel*Multi*GaloisFieldDegree*Syndromes - 1:0] iSyndromes ; output [Channel - 1:0] oSharedKESReady ; input iKESAvailable ; output oExecuteKES ; output oErroredChunkNumber ; output oDataFowarding ; output oLastChunk ; output [GaloisFieldDegree*Syndromes - 1:0] oSyndromes ; output [3:0] oChannelSel ; wire [Channel - 1:0] wKESAvailable ; wire [3:0] wChannelSel ; reg [3:0] rChannelSel ; wire [1:0] wChannelNum ; wire [Channel - 1:0] wExecuteKES ; wire [Channel - 1:0] wErroredChunkNumber ; wire [Channel - 1:0] wDataFowarding ; wire [Channel - 1:0] wLastChunk ; wire [Channel*GaloisFieldDegree*Syndromes - 1:0] wSyndromes ; always @ (posedge iClock) if (iReset) rChannelSel <= 4'b0000; else rChannelSel <= wChannelSel; genvar c; generate for (c = 0; c < Channel; c = c + 1) d_SC_KES_buffer # ( .Multi(2), .GF(12) ) PageDecoderSyndromeBuffer ( .i_clk (iClock), .i_RESET (iReset), .i_stop_dec (1'b0), .i_kes_available (wChannelSel[c]), .i_exe_buf (|iErrorDetectionEnd[ (c+1)*Multi - 1 : (c)*Multi ]), .i_ELP_search_needed(iErrorDetectionEnd[ (c+1)*Multi - 1 : (c)*Multi] & iDecodeNeeded[ (c+1)*Multi - 1 : (c)*Multi ]), .i_syndromes (iSyndromes[(c+1)*Multi*GaloisFieldDegree*Syndromes - 1 : (c)*Multi*GaloisFieldDegree*Syndromes ]), .o_buf_available (oSharedKESReady[c]), .o_exe_kes (wExecuteKES[c]), .o_chunk_number (wErroredChunkNumber[c]), .o_data_fowarding (wDataFowarding[c]), .o_buf_sequence_end (wLastChunk[c]), .o_syndromes (wSyndromes[ (c+1)*GaloisFieldDegree*Syndromes - 1: (c)*GaloisFieldDegree*Syndromes ]) ); endgenerate ChannelArbiter Inst_ChannelSelector ( .iClock (iClock), .iReset (iReset), .iRequestChannel(~oSharedKESReady), .iLastChunk (wLastChunk), .oKESAvail (wChannelSel), .oChannelNumber (wChannelNum), .iKESAvail (iKESAvailable) ); assign oChannelSel = rChannelSel; assign oExecuteKES = (wChannelNum == 1) ? wExecuteKES[1] : (wChannelNum == 2) ? wExecuteKES[2] : (wChannelNum == 3) ? wExecuteKES[3] : wExecuteKES[0] ; assign oDataFowarding = (wChannelNum == 1) ? wDataFowarding[1] : (wChannelNum == 2) ? wDataFowarding[2] : (wChannelNum == 3) ? wDataFowarding[3] : wDataFowarding[0] ; assign oErroredChunkNumber = (wChannelNum == 1) ? wErroredChunkNumber[1] : (wChannelNum == 2) ? wErroredChunkNumber[2] : (wChannelNum == 3) ? wErroredChunkNumber[3] : wErroredChunkNumber[0] ; assign oLastChunk = (wChannelNum == 1) ? wLastChunk[1] : (wChannelNum == 2) ? wLastChunk[2] : (wChannelNum == 3) ? wLastChunk[3] : wLastChunk[0] ; assign oSyndromes = (wChannelNum == 1) ? wSyndromes[2*GaloisFieldDegree*Syndromes - 1: 1*GaloisFieldDegree*Syndromes] : (wChannelNum == 2) ? wSyndromes[3*GaloisFieldDegree*Syndromes - 1: 2*GaloisFieldDegree*Syndromes] : (wChannelNum == 3) ? wSyndromes[4*GaloisFieldDegree*Syndromes - 1: 3*GaloisFieldDegree*Syndromes] : wSyndromes[GaloisFieldDegree*Syndromes - 1:0] ; endmodule
////////////////////////////////////////////////////////////////////////////////// // d_KES_PE_ELU_MINodr.v for Cosmos OpenSSD // Copyright (c) 2015 Hanyang University ENC Lab. // Contributed by Jinwoo Jeong <[email protected]> // Ilyong Jung <[email protected]> // Yong Ho Song <[email protected]> // // This file is part of Cosmos OpenSSD. // // Cosmos OpenSSD is free software; you can redistribute it and/or modify // it under the terms of the GNU General Public License as published by // the Free Software Foundation; either version 3, or (at your option) // any later version. // // Cosmos OpenSSD is distributed in the hope that it will be useful, // but WITHOUT ANY WARRANTY; without even the implied warranty of // MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. // See the GNU General Public License for more details. // // You should have received a copy of the GNU General Public License // along with Cosmos OpenSSD; see the file COPYING. // If not, see <http://www.gnu.org/licenses/>. ////////////////////////////////////////////////////////////////////////////////// ////////////////////////////////////////////////////////////////////////////////// // Company: ENC Lab. <http://enc.hanyang.ac.kr> // Engineer: Jinwoo Jeong <[email protected]> // Ilyong Jung <[email protected]> // // Project Name: Cosmos OpenSSD // Design Name: BCH Page Decoder // Module Name: d_KES_PE_ELU_MINodr // File Name: d_KES_PE_ELU_MINodr.v // // Version: v1.1.1-256B_T14 // // Description: // - Processing Element: Error Locator Update module, minimum order // - for binary version of inversion-less Berlekamp-Massey algorithm (iBM.b) // - for data area ////////////////////////////////////////////////////////////////////////////////// ////////////////////////////////////////////////////////////////////////////////// // Revision History: // // * v1.1.1 // - minor modification for releasing // // * v1.1.0 // - change state machine: divide states // - insert additional registers // - improve frequency characteristic // // * v1.0.0 // - first draft ////////////////////////////////////////////////////////////////////////////////// `include "d_KES_parameters.vh" `timescale 1ns / 1ps module d_KES_PE_ELU_MINodr // error locate update module: minimum order ( input wire i_clk, input wire i_RESET_KES, input wire i_stop_dec, input wire i_EXECUTE_PE_ELU, input wire [`D_KES_GF_ORDER-1:0] i_delta_2im2, output reg [`D_KES_GF_ORDER-1:0] o_v_2i_X, output reg o_v_2i_X_deg_chk_bit, output reg [`D_KES_GF_ORDER-1:0] o_k_2i_X ); parameter [11:0] D_KES_VALUE_ZERO = 12'b0000_0000_0000; parameter [11:0] D_KES_VALUE_ONE = 12'b0000_0000_0001; // FSM parameters parameter PE_ELU_RST = 2'b01; // reset parameter PE_ELU_OUT = 2'b10; // output buffer update // variable declaration reg [1:0] r_cur_state; reg [1:0] r_nxt_state; wire [`D_KES_GF_ORDER-1:0] w_v_2ip2_X_term_A; wire [`D_KES_GF_ORDER-1:0] w_v_2ip2_X; wire [`D_KES_GF_ORDER-1:0] w_k_2ip2_X; // update current state to next state always @ (posedge i_clk) begin if ((i_RESET_KES) || (i_stop_dec)) begin r_cur_state <= PE_ELU_RST; end else begin r_cur_state <= r_nxt_state; end end // decide next state always @ ( * ) begin case (r_cur_state) PE_ELU_RST: begin r_nxt_state <= (i_EXECUTE_PE_ELU)? (PE_ELU_OUT):(PE_ELU_RST); end PE_ELU_OUT: begin r_nxt_state <= PE_ELU_RST; end default: begin r_nxt_state <= PE_ELU_RST; end endcase end // state behaviour always @ (posedge i_clk) begin if ((i_RESET_KES) || (i_stop_dec)) begin // initializing o_v_2i_X[`D_KES_GF_ORDER-1:0] <= D_KES_VALUE_ONE[`D_KES_GF_ORDER-1:0]; o_v_2i_X_deg_chk_bit <= 1; o_k_2i_X[`D_KES_GF_ORDER-1:0] <= D_KES_VALUE_ONE[`D_KES_GF_ORDER-1:0]; end else begin case (r_nxt_state) PE_ELU_RST: begin // hold original data o_v_2i_X[`D_KES_GF_ORDER-1:0] <= o_v_2i_X[`D_KES_GF_ORDER-1:0]; o_v_2i_X_deg_chk_bit <= o_v_2i_X_deg_chk_bit; o_k_2i_X[`D_KES_GF_ORDER-1:0] <= o_k_2i_X[`D_KES_GF_ORDER-1:0]; end PE_ELU_OUT: begin // output update only o_v_2i_X[`D_KES_GF_ORDER-1:0] <= w_v_2ip2_X[`D_KES_GF_ORDER-1:0]; o_v_2i_X_deg_chk_bit <= |(w_v_2ip2_X[`D_KES_GF_ORDER-1:0]); o_k_2i_X[`D_KES_GF_ORDER-1:0] <= w_k_2ip2_X[`D_KES_GF_ORDER-1:0]; end default: begin o_v_2i_X[`D_KES_GF_ORDER-1:0] <= o_v_2i_X[`D_KES_GF_ORDER-1:0]; o_v_2i_X_deg_chk_bit <= o_v_2i_X_deg_chk_bit; o_k_2i_X[`D_KES_GF_ORDER-1:0] <= o_k_2i_X[`D_KES_GF_ORDER-1:0]; end endcase end end d_parallel_FFM_gate_GF12 d_delta_2im2_FFM_v_2i_X ( .i_poly_form_A (i_delta_2im2[`D_KES_GF_ORDER-1:0]), .i_poly_form_B (o_v_2i_X[`D_KES_GF_ORDER-1:0]), .o_poly_form_result(w_v_2ip2_X_term_A[`D_KES_GF_ORDER-1:0])); assign w_v_2ip2_X[`D_KES_GF_ORDER-1:0] = w_v_2ip2_X_term_A[`D_KES_GF_ORDER-1:0]; assign w_k_2ip2_X[`D_KES_GF_ORDER-1:0] = D_KES_VALUE_ZERO[`D_KES_GF_ORDER-1:0]; endmodule
////////////////////////////////////////////////////////////////////////////////// // d_KES_PE_ELU_MINodr.v for Cosmos OpenSSD // Copyright (c) 2015 Hanyang University ENC Lab. // Contributed by Jinwoo Jeong <[email protected]> // Ilyong Jung <[email protected]> // Yong Ho Song <[email protected]> // // This file is part of Cosmos OpenSSD. // // Cosmos OpenSSD is free software; you can redistribute it and/or modify // it under the terms of the GNU General Public License as published by // the Free Software Foundation; either version 3, or (at your option) // any later version. // // Cosmos OpenSSD is distributed in the hope that it will be useful, // but WITHOUT ANY WARRANTY; without even the implied warranty of // MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. // See the GNU General Public License for more details. // // You should have received a copy of the GNU General Public License // along with Cosmos OpenSSD; see the file COPYING. // If not, see <http://www.gnu.org/licenses/>. ////////////////////////////////////////////////////////////////////////////////// ////////////////////////////////////////////////////////////////////////////////// // Company: ENC Lab. <http://enc.hanyang.ac.kr> // Engineer: Jinwoo Jeong <[email protected]> // Ilyong Jung <[email protected]> // // Project Name: Cosmos OpenSSD // Design Name: BCH Page Decoder // Module Name: d_KES_PE_ELU_MINodr // File Name: d_KES_PE_ELU_MINodr.v // // Version: v1.1.1-256B_T14 // // Description: // - Processing Element: Error Locator Update module, minimum order // - for binary version of inversion-less Berlekamp-Massey algorithm (iBM.b) // - for data area ////////////////////////////////////////////////////////////////////////////////// ////////////////////////////////////////////////////////////////////////////////// // Revision History: // // * v1.1.1 // - minor modification for releasing // // * v1.1.0 // - change state machine: divide states // - insert additional registers // - improve frequency characteristic // // * v1.0.0 // - first draft ////////////////////////////////////////////////////////////////////////////////// `include "d_KES_parameters.vh" `timescale 1ns / 1ps module d_KES_PE_ELU_MINodr // error locate update module: minimum order ( input wire i_clk, input wire i_RESET_KES, input wire i_stop_dec, input wire i_EXECUTE_PE_ELU, input wire [`D_KES_GF_ORDER-1:0] i_delta_2im2, output reg [`D_KES_GF_ORDER-1:0] o_v_2i_X, output reg o_v_2i_X_deg_chk_bit, output reg [`D_KES_GF_ORDER-1:0] o_k_2i_X ); parameter [11:0] D_KES_VALUE_ZERO = 12'b0000_0000_0000; parameter [11:0] D_KES_VALUE_ONE = 12'b0000_0000_0001; // FSM parameters parameter PE_ELU_RST = 2'b01; // reset parameter PE_ELU_OUT = 2'b10; // output buffer update // variable declaration reg [1:0] r_cur_state; reg [1:0] r_nxt_state; wire [`D_KES_GF_ORDER-1:0] w_v_2ip2_X_term_A; wire [`D_KES_GF_ORDER-1:0] w_v_2ip2_X; wire [`D_KES_GF_ORDER-1:0] w_k_2ip2_X; // update current state to next state always @ (posedge i_clk) begin if ((i_RESET_KES) || (i_stop_dec)) begin r_cur_state <= PE_ELU_RST; end else begin r_cur_state <= r_nxt_state; end end // decide next state always @ ( * ) begin case (r_cur_state) PE_ELU_RST: begin r_nxt_state <= (i_EXECUTE_PE_ELU)? (PE_ELU_OUT):(PE_ELU_RST); end PE_ELU_OUT: begin r_nxt_state <= PE_ELU_RST; end default: begin r_nxt_state <= PE_ELU_RST; end endcase end // state behaviour always @ (posedge i_clk) begin if ((i_RESET_KES) || (i_stop_dec)) begin // initializing o_v_2i_X[`D_KES_GF_ORDER-1:0] <= D_KES_VALUE_ONE[`D_KES_GF_ORDER-1:0]; o_v_2i_X_deg_chk_bit <= 1; o_k_2i_X[`D_KES_GF_ORDER-1:0] <= D_KES_VALUE_ONE[`D_KES_GF_ORDER-1:0]; end else begin case (r_nxt_state) PE_ELU_RST: begin // hold original data o_v_2i_X[`D_KES_GF_ORDER-1:0] <= o_v_2i_X[`D_KES_GF_ORDER-1:0]; o_v_2i_X_deg_chk_bit <= o_v_2i_X_deg_chk_bit; o_k_2i_X[`D_KES_GF_ORDER-1:0] <= o_k_2i_X[`D_KES_GF_ORDER-1:0]; end PE_ELU_OUT: begin // output update only o_v_2i_X[`D_KES_GF_ORDER-1:0] <= w_v_2ip2_X[`D_KES_GF_ORDER-1:0]; o_v_2i_X_deg_chk_bit <= |(w_v_2ip2_X[`D_KES_GF_ORDER-1:0]); o_k_2i_X[`D_KES_GF_ORDER-1:0] <= w_k_2ip2_X[`D_KES_GF_ORDER-1:0]; end default: begin o_v_2i_X[`D_KES_GF_ORDER-1:0] <= o_v_2i_X[`D_KES_GF_ORDER-1:0]; o_v_2i_X_deg_chk_bit <= o_v_2i_X_deg_chk_bit; o_k_2i_X[`D_KES_GF_ORDER-1:0] <= o_k_2i_X[`D_KES_GF_ORDER-1:0]; end endcase end end d_parallel_FFM_gate_GF12 d_delta_2im2_FFM_v_2i_X ( .i_poly_form_A (i_delta_2im2[`D_KES_GF_ORDER-1:0]), .i_poly_form_B (o_v_2i_X[`D_KES_GF_ORDER-1:0]), .o_poly_form_result(w_v_2ip2_X_term_A[`D_KES_GF_ORDER-1:0])); assign w_v_2ip2_X[`D_KES_GF_ORDER-1:0] = w_v_2ip2_X_term_A[`D_KES_GF_ORDER-1:0]; assign w_k_2ip2_X[`D_KES_GF_ORDER-1:0] = D_KES_VALUE_ZERO[`D_KES_GF_ORDER-1:0]; endmodule
////////////////////////////////////////////////////////////////////////////////// // d_KES_PE_ELU_MINodr.v for Cosmos OpenSSD // Copyright (c) 2015 Hanyang University ENC Lab. // Contributed by Jinwoo Jeong <[email protected]> // Ilyong Jung <[email protected]> // Yong Ho Song <[email protected]> // // This file is part of Cosmos OpenSSD. // // Cosmos OpenSSD is free software; you can redistribute it and/or modify // it under the terms of the GNU General Public License as published by // the Free Software Foundation; either version 3, or (at your option) // any later version. // // Cosmos OpenSSD is distributed in the hope that it will be useful, // but WITHOUT ANY WARRANTY; without even the implied warranty of // MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. // See the GNU General Public License for more details. // // You should have received a copy of the GNU General Public License // along with Cosmos OpenSSD; see the file COPYING. // If not, see <http://www.gnu.org/licenses/>. ////////////////////////////////////////////////////////////////////////////////// ////////////////////////////////////////////////////////////////////////////////// // Company: ENC Lab. <http://enc.hanyang.ac.kr> // Engineer: Jinwoo Jeong <[email protected]> // Ilyong Jung <[email protected]> // // Project Name: Cosmos OpenSSD // Design Name: BCH Page Decoder // Module Name: d_KES_PE_ELU_MINodr // File Name: d_KES_PE_ELU_MINodr.v // // Version: v1.1.1-256B_T14 // // Description: // - Processing Element: Error Locator Update module, minimum order // - for binary version of inversion-less Berlekamp-Massey algorithm (iBM.b) // - for data area ////////////////////////////////////////////////////////////////////////////////// ////////////////////////////////////////////////////////////////////////////////// // Revision History: // // * v1.1.1 // - minor modification for releasing // // * v1.1.0 // - change state machine: divide states // - insert additional registers // - improve frequency characteristic // // * v1.0.0 // - first draft ////////////////////////////////////////////////////////////////////////////////// `include "d_KES_parameters.vh" `timescale 1ns / 1ps module d_KES_PE_ELU_MINodr // error locate update module: minimum order ( input wire i_clk, input wire i_RESET_KES, input wire i_stop_dec, input wire i_EXECUTE_PE_ELU, input wire [`D_KES_GF_ORDER-1:0] i_delta_2im2, output reg [`D_KES_GF_ORDER-1:0] o_v_2i_X, output reg o_v_2i_X_deg_chk_bit, output reg [`D_KES_GF_ORDER-1:0] o_k_2i_X ); parameter [11:0] D_KES_VALUE_ZERO = 12'b0000_0000_0000; parameter [11:0] D_KES_VALUE_ONE = 12'b0000_0000_0001; // FSM parameters parameter PE_ELU_RST = 2'b01; // reset parameter PE_ELU_OUT = 2'b10; // output buffer update // variable declaration reg [1:0] r_cur_state; reg [1:0] r_nxt_state; wire [`D_KES_GF_ORDER-1:0] w_v_2ip2_X_term_A; wire [`D_KES_GF_ORDER-1:0] w_v_2ip2_X; wire [`D_KES_GF_ORDER-1:0] w_k_2ip2_X; // update current state to next state always @ (posedge i_clk) begin if ((i_RESET_KES) || (i_stop_dec)) begin r_cur_state <= PE_ELU_RST; end else begin r_cur_state <= r_nxt_state; end end // decide next state always @ ( * ) begin case (r_cur_state) PE_ELU_RST: begin r_nxt_state <= (i_EXECUTE_PE_ELU)? (PE_ELU_OUT):(PE_ELU_RST); end PE_ELU_OUT: begin r_nxt_state <= PE_ELU_RST; end default: begin r_nxt_state <= PE_ELU_RST; end endcase end // state behaviour always @ (posedge i_clk) begin if ((i_RESET_KES) || (i_stop_dec)) begin // initializing o_v_2i_X[`D_KES_GF_ORDER-1:0] <= D_KES_VALUE_ONE[`D_KES_GF_ORDER-1:0]; o_v_2i_X_deg_chk_bit <= 1; o_k_2i_X[`D_KES_GF_ORDER-1:0] <= D_KES_VALUE_ONE[`D_KES_GF_ORDER-1:0]; end else begin case (r_nxt_state) PE_ELU_RST: begin // hold original data o_v_2i_X[`D_KES_GF_ORDER-1:0] <= o_v_2i_X[`D_KES_GF_ORDER-1:0]; o_v_2i_X_deg_chk_bit <= o_v_2i_X_deg_chk_bit; o_k_2i_X[`D_KES_GF_ORDER-1:0] <= o_k_2i_X[`D_KES_GF_ORDER-1:0]; end PE_ELU_OUT: begin // output update only o_v_2i_X[`D_KES_GF_ORDER-1:0] <= w_v_2ip2_X[`D_KES_GF_ORDER-1:0]; o_v_2i_X_deg_chk_bit <= |(w_v_2ip2_X[`D_KES_GF_ORDER-1:0]); o_k_2i_X[`D_KES_GF_ORDER-1:0] <= w_k_2ip2_X[`D_KES_GF_ORDER-1:0]; end default: begin o_v_2i_X[`D_KES_GF_ORDER-1:0] <= o_v_2i_X[`D_KES_GF_ORDER-1:0]; o_v_2i_X_deg_chk_bit <= o_v_2i_X_deg_chk_bit; o_k_2i_X[`D_KES_GF_ORDER-1:0] <= o_k_2i_X[`D_KES_GF_ORDER-1:0]; end endcase end end d_parallel_FFM_gate_GF12 d_delta_2im2_FFM_v_2i_X ( .i_poly_form_A (i_delta_2im2[`D_KES_GF_ORDER-1:0]), .i_poly_form_B (o_v_2i_X[`D_KES_GF_ORDER-1:0]), .o_poly_form_result(w_v_2ip2_X_term_A[`D_KES_GF_ORDER-1:0])); assign w_v_2ip2_X[`D_KES_GF_ORDER-1:0] = w_v_2ip2_X_term_A[`D_KES_GF_ORDER-1:0]; assign w_k_2ip2_X[`D_KES_GF_ORDER-1:0] = D_KES_VALUE_ZERO[`D_KES_GF_ORDER-1:0]; endmodule
// ---------------------------------------------------------------------- // Copyright (c) 2016, The Regents of the University of California All // rights reserved. // // Redistribution and use in source and binary forms, with or without // modification, are permitted provided that the following conditions are // met: // // * Redistributions of source code must retain the above copyright // notice, this list of conditions and the following disclaimer. // // * Redistributions in binary form must reproduce the above // copyright notice, this list of conditions and the following // disclaimer in the documentation and/or other materials provided // with the distribution. // // * Neither the name of The Regents of the University of California // nor the names of its contributors may be used to endorse or // promote products derived from this software without specific // prior written permission. // // THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS // "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT // LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR // A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL REGENTS OF THE // UNIVERSITY OF CALIFORNIA BE LIABLE FOR ANY DIRECT, INDIRECT, // INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, // BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS // OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND // ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR // TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE // USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH // DAMAGE. // ---------------------------------------------------------------------- //---------------------------------------------------------------------------- // Filename: txc_engine_ultrascale.v // Version: 1.0 // Verilog Standard: Verilog-2001 // Description: The TXC Engine takes unformatted completions, formats // these packets into AXI-style packets. These packets must meet max-request, // max-payload, and payload termination requirements (see Read Completion // Boundary). The TXC Engine does not check these requirements during operation, // but may do so during simulation. // // This Engine is capable of operating at "line rate". // // Author: Dustin Richmond (@darichmond) //----------------------------------------------------------------------------- `include "trellis.vh" `include "ultrascale.vh" module txc_engine_ultrascale #(parameter C_PCI_DATA_WIDTH = 128, parameter C_PIPELINE_INPUT = 1, parameter C_PIPELINE_OUTPUT = 1, parameter C_DEPTH_PACKETS = 10, parameter C_MAX_PAYLOAD_DWORDS = 256) (// Interface: Clocks input CLK, // Interface: Resets input RST_BUS, // Replacement for generic RST_IN input RST_LOGIC, // Addition for RIFFA_RST output DONE_TXC_RST, // Interface: Configuration input [`SIG_CPLID_W-1:0] CONFIG_COMPLETER_ID, // Interface: CC input S_AXIS_CC_TREADY, output S_AXIS_CC_TVALID, output S_AXIS_CC_TLAST, output [C_PCI_DATA_WIDTH-1:0] S_AXIS_CC_TDATA, output [(C_PCI_DATA_WIDTH/32)-1:0] S_AXIS_CC_TKEEP, output [`SIG_CC_TUSER_W-1:0] S_AXIS_CC_TUSER, // Interface: TXC Engine input TXC_DATA_VALID, input [C_PCI_DATA_WIDTH-1:0] TXC_DATA, input TXC_DATA_START_FLAG, input [clog2s(C_PCI_DATA_WIDTH/32)-1:0] TXC_DATA_START_OFFSET, input TXC_DATA_END_FLAG, input [clog2s(C_PCI_DATA_WIDTH/32)-1:0] TXC_DATA_END_OFFSET, output TXC_DATA_READY, input TXC_META_VALID, input [`SIG_FBE_W-1:0] TXC_META_FDWBE, input [`SIG_LBE_W-1:0] TXC_META_LDWBE, input [`SIG_LOWADDR_W-1:0] TXC_META_ADDR, input [`SIG_TYPE_W-1:0] TXC_META_TYPE, input [`SIG_LEN_W-1:0] TXC_META_LENGTH, input [`SIG_BYTECNT_W-1:0] TXC_META_BYTE_COUNT, input [`SIG_TAG_W-1:0] TXC_META_TAG, input [`SIG_REQID_W-1:0] TXC_META_REQUESTER_ID, input [`SIG_TC_W-1:0] TXC_META_TC, input [`SIG_ATTR_W-1:0] TXC_META_ATTR, input TXC_META_EP, output TXC_META_READY ); localparam C_VENDOR = "XILINX"; localparam C_DATA_WIDTH = C_PCI_DATA_WIDTH; localparam C_MAX_HDR_WIDTH = 128; // It's really 96... But it gets trimmed localparam C_MAX_HDR_DWORDS = C_MAX_HDR_WIDTH/32; localparam C_MAX_ALIGN_DWORDS = 0; localparam C_MAX_NONPAY_DWORDS = C_MAX_HDR_DWORDS + C_MAX_ALIGN_DWORDS; // localparam C_PIPELINE_FORMATTER_INPUT = C_PIPELINE_INPUT; localparam C_PIPELINE_FORMATTER_OUTPUT = 1; localparam C_FORMATTER_DELAY = 1 + C_PIPELINE_FORMATTER_INPUT; localparam C_RST_COUNT = 10; /*AUTOWIRE*/ // Beginning of automatic wires (for undeclared instantiated-module outputs) wire RST_OUT; // From txc_trans_inst of txc_translation_layer.v // End of automatics /*AUTOINPUT*/ ///*AUTOOUTPUT*/ wire wTxHdrReady; wire wTxHdrValid; wire [C_MAX_HDR_WIDTH-1:0] wTxHdr; wire [`SIG_NONPAY_W-1:0] wTxHdrNonpayLen; wire [`SIG_PACKETLEN_W-1:0] wTxHdrPacketLen; wire [`SIG_LEN_W-1:0] wTxHdrPayloadLen; wire wTxHdrNopayload; wire wTxDataReady; wire [C_PCI_DATA_WIDTH-1:0] wTxData; wire [clog2s(C_PCI_DATA_WIDTH/32)-1:0] wTxDataEndOffset; wire wTxDataStartFlag; wire [(C_PCI_DATA_WIDTH/32)-1:0] wTxDataEndFlags; wire [(C_PCI_DATA_WIDTH/32)-1:0] wTxDataWordValid; wire [(C_PCI_DATA_WIDTH/32)-1:0] wTxDataWordReady; wire [C_PCI_DATA_WIDTH-1:0] wTxcPkt; wire wTxcPktEndFlag; wire [clog2s(C_PCI_DATA_WIDTH/32)-1:0] wTxcPktEndOffset; wire wTxcPktStartFlag; wire [clog2s(C_PCI_DATA_WIDTH/32)-1:0] wTxcPktStartOffset; wire wTxcPktValid; wire wTxcPktReady; wire wTransDoneRst; wire wTransRstOut; wire wDoneEngRst; wire wRst; wire [C_RST_COUNT:0] wShiftRegRst; assign DONE_TXC_RST = wTransDoneRst & wDoneEngRst; assign wRst = wShiftRegRst[C_RST_COUNT-3]; assign wDoneEngRst = ~wShiftRegRst[C_RST_COUNT]; shiftreg #(// Parameters .C_DEPTH (C_RST_COUNT), .C_WIDTH (1), .C_VALUE (1) /*AUTOINSTPARAM*/) rst_shiftreg (// Outputs .RD_DATA (wShiftRegRst), // Inputs .RST_IN (RST_BUS), .WR_DATA (wTransRstOut), /*AUTOINST*/ // Inputs .CLK (CLK)); txc_formatter_ultrascale #(// Parameters .C_PIPELINE_OUTPUT (C_PIPELINE_FORMATTER_OUTPUT), .C_PIPELINE_INPUT (C_PIPELINE_FORMATTER_INPUT), /*AUTOINSTPARAM*/ // Parameters .C_PCI_DATA_WIDTH (C_PCI_DATA_WIDTH), .C_MAX_HDR_WIDTH (C_MAX_HDR_WIDTH)) txc_formatter_inst (// Outputs .TX_HDR_VALID (wTxHdrValid), .TX_HDR (wTxHdr[C_MAX_HDR_WIDTH-1:0]), .TX_HDR_NOPAYLOAD (wTxHdrNopayload), .TX_HDR_PAYLOAD_LEN (wTxHdrPayloadLen[`SIG_LEN_W-1:0]), .TX_HDR_NONPAY_LEN (wTxHdrNonpayLen[`SIG_NONPAY_W-1:0]), .TX_HDR_PACKET_LEN (wTxHdrPacketLen[`SIG_PACKETLEN_W-1:0]), // Inputs .TX_HDR_READY (wTxHdrReady), .RST_IN (wRst), /*AUTOINST*/ // Outputs .TXC_META_READY (TXC_META_READY), // Inputs .CLK (CLK), .CONFIG_COMPLETER_ID (CONFIG_COMPLETER_ID[`SIG_CPLID_W-1:0]), .TXC_META_VALID (TXC_META_VALID), .TXC_META_FDWBE (TXC_META_FDWBE[`SIG_FBE_W-1:0]), .TXC_META_LDWBE (TXC_META_LDWBE[`SIG_LBE_W-1:0]), .TXC_META_ADDR (TXC_META_ADDR[`SIG_LOWADDR_W-1:0]), .TXC_META_LENGTH (TXC_META_LENGTH[`SIG_LEN_W-1:0]), .TXC_META_TYPE (TXC_META_TYPE[`SIG_TYPE_W-1:0]), .TXC_META_BYTE_COUNT (TXC_META_BYTE_COUNT[`SIG_BYTECNT_W-1:0]), .TXC_META_TAG (TXC_META_TAG[`SIG_TAG_W-1:0]), .TXC_META_REQUESTER_ID (TXC_META_REQUESTER_ID[`SIG_REQID_W-1:0]), .TXC_META_TC (TXC_META_TC[`SIG_TC_W-1:0]), .TXC_META_ATTR (TXC_META_ATTR[`SIG_ATTR_W-1:0]), .TXC_META_EP (TXC_META_EP)); tx_engine #(.C_DATA_WIDTH (C_PCI_DATA_WIDTH), /*AUTOINSTPARAM*/ // Parameters .C_DEPTH_PACKETS (C_DEPTH_PACKETS), .C_PIPELINE_INPUT (C_PIPELINE_INPUT), .C_PIPELINE_OUTPUT (C_PIPELINE_OUTPUT), .C_FORMATTER_DELAY (C_FORMATTER_DELAY), .C_MAX_HDR_WIDTH (C_MAX_HDR_WIDTH), .C_MAX_PAYLOAD_DWORDS (C_MAX_PAYLOAD_DWORDS), .C_VENDOR (C_VENDOR)) txc_engine_inst (// Outputs .TX_HDR_READY (wTxHdrReady), .TX_DATA_READY (TXC_DATA_READY), .TX_PKT (wTxcPkt[C_DATA_WIDTH-1:0]), .TX_PKT_START_FLAG (wTxcPktStartFlag), .TX_PKT_START_OFFSET (wTxcPktStartOffset[clog2s(C_DATA_WIDTH/32)-1:0]), .TX_PKT_END_FLAG (wTxcPktEndFlag), .TX_PKT_END_OFFSET (wTxcPktEndOffset[clog2s(C_DATA_WIDTH/32)-1:0]), .TX_PKT_VALID (wTxcPktValid), // Inputs .TX_HDR_VALID (wTxHdrValid), .TX_HDR (wTxHdr[C_MAX_HDR_WIDTH-1:0]), .TX_HDR_NOPAYLOAD (wTxHdrNopayload), .TX_HDR_PAYLOAD_LEN (wTxHdrPayloadLen[`SIG_LEN_W-1:0]), .TX_HDR_NONPAY_LEN (wTxHdrNonpayLen[`SIG_NONPAY_W-1:0]), .TX_HDR_PACKET_LEN (wTxHdrPacketLen[`SIG_PACKETLEN_W-1:0]), .TX_DATA_VALID (TXC_DATA_VALID), .TX_DATA (TXC_DATA[C_DATA_WIDTH-1:0]), .TX_DATA_START_FLAG (TXC_DATA_START_FLAG), .TX_DATA_START_OFFSET (TXC_DATA_START_OFFSET[clog2s(C_DATA_WIDTH/32)-1:0]), .TX_DATA_END_FLAG (TXC_DATA_END_FLAG), .TX_DATA_END_OFFSET (TXC_DATA_END_OFFSET[clog2s(C_DATA_WIDTH/32)-1:0]), .TX_PKT_READY (wTxcPktReady), .RST_IN (wRst), /*AUTOINST*/ // Inputs .CLK (CLK)); txc_translation_layer #(/*AUTOINSTPARAM*/ // Parameters .C_PCI_DATA_WIDTH (C_PCI_DATA_WIDTH), .C_PIPELINE_INPUT (C_PIPELINE_INPUT)) txc_trans_inst (// Outputs .TXC_PKT_READY (wTxcPktReady), .DONE_RST (wTransDoneRst), .RST_OUT (wTransRstOut), // Inputs .TXC_PKT (wTxcPkt), .TXC_PKT_VALID (wTxcPktValid), .TXC_PKT_START_FLAG (wTxcPktStartFlag), .TXC_PKT_START_OFFSET (wTxcPktStartOffset), .TXC_PKT_END_FLAG (wTxcPktEndFlag), .TXC_PKT_END_OFFSET (wTxcPktEndOffset), /*AUTOINST*/ // Outputs .S_AXIS_CC_TVALID (S_AXIS_CC_TVALID), .S_AXIS_CC_TLAST (S_AXIS_CC_TLAST), .S_AXIS_CC_TDATA (S_AXIS_CC_TDATA[C_PCI_DATA_WIDTH-1:0]), .S_AXIS_CC_TKEEP (S_AXIS_CC_TKEEP[(C_PCI_DATA_WIDTH/32)-1:0]), .S_AXIS_CC_TUSER (S_AXIS_CC_TUSER[`SIG_CC_TUSER_W-1:0]), // Inputs .CLK (CLK), .RST_BUS (RST_BUS), .RST_LOGIC (RST_LOGIC), .S_AXIS_CC_TREADY (S_AXIS_CC_TREADY)); endmodule // txc_engine_ultrascale module txc_formatter_ultrascale #( parameter C_PCI_DATA_WIDTH = 128, parameter C_PIPELINE_INPUT = 1, parameter C_PIPELINE_OUTPUT = 1, parameter C_MAX_HDR_WIDTH = `UPKT_TXC_MAXHDR_W ) ( // Interface: Clocks input CLK, // Interface: Resets input RST_IN, // Interface: Configuration input [`SIG_CPLID_W-1:0] CONFIG_COMPLETER_ID, // Interface: TXC input TXC_META_VALID, input [`SIG_FBE_W-1:0] TXC_META_FDWBE, input [`SIG_LBE_W-1:0] TXC_META_LDWBE, input [`SIG_LOWADDR_W-1:0] TXC_META_ADDR, input [`SIG_LEN_W-1:0] TXC_META_LENGTH, input [`SIG_TYPE_W-1:0] TXC_META_TYPE, input [`SIG_BYTECNT_W-1:0] TXC_META_BYTE_COUNT, input [`SIG_TAG_W-1:0] TXC_META_TAG, input [`SIG_REQID_W-1:0] TXC_META_REQUESTER_ID, input [`SIG_TC_W-1:0] TXC_META_TC, input [`SIG_ATTR_W-1:0] TXC_META_ATTR, input TXC_META_EP, output TXC_META_READY, // Interface: TX HDR output TX_HDR_VALID, output [C_MAX_HDR_WIDTH-1:0] TX_HDR, output [`SIG_LEN_W-1:0] TX_HDR_PAYLOAD_LEN, output [`SIG_NONPAY_W-1:0] TX_HDR_NONPAY_LEN, output [`SIG_PACKETLEN_W-1:0] TX_HDR_PACKET_LEN, output TX_HDR_NOPAYLOAD, input TX_HDR_READY ); wire [`UPKT_TXC_MAXHDR_W-1:0] wHdr; wire wTxHdrReady; wire wTxHdrValid; wire [C_MAX_HDR_WIDTH-1:0] wTxHdr; wire [`SIG_TYPE_W-1:0] wTxType; wire [`SIG_NONPAY_W-1:0] wTxHdrNonpayLen; wire [`SIG_PACKETLEN_W-1:0] wTxHdrPacketLen; wire [`SIG_LEN_W-1:0] wTxHdrPayloadLen; wire wTxHdrNopayload; // Generic Header Fields // ATYPE Should be copied from the request parameters, but we only use 0 assign wHdr[`UPKT_TXC_ADDRLOW_R] = TXC_META_ADDR; assign wHdr[`UPKT_TXC_RSVD0_R] = `UPKT_TXC_RSVD0_W'd0; assign wHdr[`UPKT_TXC_ATYPE_R] = `UPKT_TXC_ATYPE_W'd0; assign wHdr[`UPKT_TXC_RSVD1_R] = `UPKT_TXC_RSVD1_W'd0; assign wHdr[`UPKT_TXC_BYTECNT_R] = {1'b0,TXC_META_BYTE_COUNT}; assign wHdr[`UPKT_TXC_LOCKED_R] = `UPKT_TXC_LOCKED_W'd0; assign wHdr[`UPKT_TXC_RSVD2_R] = `UPKT_TXC_RSVD2_W'd0; assign wHdr[`UPKT_TXC_LENGTH_R] = {1'b0, TXC_META_LENGTH}; assign wHdr[`UPKT_TXC_STATUS_R] = `UPKT_TXC_STATUS_W'd0; assign wHdr[`UPKT_TXC_EP_R] = TXC_META_EP; assign wHdr[`UPKT_TXC_RSVD3_R] = `UPKT_TXC_RSVD3_W'd0; assign wHdr[`UPKT_TXC_REQID_R] = TXC_META_REQUESTER_ID; assign wHdr[`UPKT_TXC_TAG_R] = TXC_META_TAG; assign wHdr[`UPKT_TXC_CPLID_R] = CONFIG_COMPLETER_ID; assign wHdr[`UPKT_TXC_CPLIDEN_R] = 1'b0; assign wHdr[`UPKT_TXC_TC_R] = TXC_META_TC; assign wHdr[`UPKT_TXC_ATTR_R] = TXC_META_ATTR; assign wHdr[`UPKT_TXC_TD_R] = `UPKT_TXC_TD_W'd0; assign wTxHdrNopayload = ~wTxType[`TRLS_TYPE_PAY_I]; assign wTxHdrNonpayLen = 3; assign wTxHdrPayloadLen = wTxHdrNopayload ? 0 : wTxHdr[`UPKT_TXC_LENGTH_I +: `SIG_LEN_W]; assign wTxHdrPacketLen = wTxHdrPayloadLen + wTxHdrNonpayLen; pipeline #( // Parameters .C_DEPTH (C_PIPELINE_INPUT?1:0), .C_WIDTH (C_MAX_HDR_WIDTH + `SIG_TYPE_W), .C_USE_MEMORY (0) /*AUTOINSTPARAM*/) input_inst ( // Outputs .WR_DATA_READY (TXC_META_READY), .RD_DATA ({wTxHdr,wTxType}), .RD_DATA_VALID (wTxHdrValid), // Inputs .WR_DATA ({32'b0,wHdr,TXC_META_TYPE}), .WR_DATA_VALID (TXC_META_VALID), .RD_DATA_READY (wTxHdrReady), /*AUTOINST*/ // Inputs .CLK (CLK), .RST_IN (RST_IN)); pipeline #( // Parameters .C_DEPTH (C_PIPELINE_OUTPUT?1:0), .C_WIDTH (C_MAX_HDR_WIDTH+ 1 + `SIG_PACKETLEN_W + `SIG_LEN_W + `SIG_NONPAY_W), .C_USE_MEMORY (0) /*AUTOINSTPARAM*/) output_inst ( // Outputs .WR_DATA_READY (wTxHdrReady), .RD_DATA ({TX_HDR,TX_HDR_NOPAYLOAD,TX_HDR_PACKET_LEN,TX_HDR_PAYLOAD_LEN,TX_HDR_NONPAY_LEN}), .RD_DATA_VALID (TX_HDR_VALID), // Inputs .WR_DATA ({wTxHdr,wTxHdrNopayload,wTxHdrPacketLen,wTxHdrPayloadLen,wTxHdrNonpayLen}), .WR_DATA_VALID (wTxHdrValid), .RD_DATA_READY (TX_HDR_READY), /*AUTOINST*/ // Inputs .CLK (CLK), .RST_IN (RST_IN)); endmodule module txc_translation_layer #(parameter C_PCI_DATA_WIDTH = 10'd128, parameter C_PIPELINE_INPUT = 1) (// Interface: Clocks input CLK, // Interface: Resets input RST_BUS, // Replacement for generic RST_IN input RST_LOGIC, // Addition for RIFFA_RST output DONE_RST, output RST_OUT, // Interface: TXC Classic output TXC_PKT_READY, input [C_PCI_DATA_WIDTH-1:0] TXC_PKT, input TXC_PKT_VALID, input TXC_PKT_START_FLAG, input [clog2s(C_PCI_DATA_WIDTH/32)-1:0] TXC_PKT_START_OFFSET, input TXC_PKT_END_FLAG, input [clog2s(C_PCI_DATA_WIDTH/32)-1:0] TXC_PKT_END_OFFSET, // Interface: CC input S_AXIS_CC_TREADY, output S_AXIS_CC_TVALID, output S_AXIS_CC_TLAST, output [C_PCI_DATA_WIDTH-1:0] S_AXIS_CC_TDATA, output [(C_PCI_DATA_WIDTH/32)-1:0] S_AXIS_CC_TKEEP, output [`SIG_CC_TUSER_W-1:0] S_AXIS_CC_TUSER ); localparam C_INPUT_STAGES = C_PIPELINE_INPUT != 0? 1:0; localparam C_OUTPUT_STAGES = 1; localparam C_RST_COUNT = 10; wire wTxcPktReady; wire [C_PCI_DATA_WIDTH-1:0] wTxcPkt; wire wTxcPktValid; wire wTxcPktStartFlag; wire [clog2s(C_PCI_DATA_WIDTH/32)-1:0] wTxcPktStartOffset; wire wTxcPktEndFlag; wire [clog2s(C_PCI_DATA_WIDTH/32)-1:0] wTxcPktEndOffset; wire wSAxisCcTReady; wire wSAxisCcTValid; wire wSAxisCcTLast; wire [C_PCI_DATA_WIDTH-1:0] wSAxisCcTData; wire [(C_PCI_DATA_WIDTH/32)-1:0] wSAxisCcTKeep; wire [`SIG_CC_TUSER_W-1:0] wSAxisCcTUser; wire wRst; wire wRstWaiting; /*ASSIGN TXC -> CC*/ assign wTxcPktReady = wSAxisCcTReady; assign wSAxisCcTValid = wTxcPktValid; assign wSAxisCcTLast = wTxcPktEndFlag; assign wSAxisCcTData = wTxcPkt; // Do not enable parity bits, and no discontinues assign S_AXIS_CC_TUSER = `SIG_CC_TUSER_W'd0; assign RST_OUT = wRst; // This reset controller assumes there is always an output stage reset_controller #(/*AUTOINSTPARAM*/ // Parameters .C_RST_COUNT (C_RST_COUNT)) rc (// Outputs .RST_OUT (wRst), .WAITING_RESET (wRstWaiting), // Inputs .RST_IN (RST_BUS), .SIGNAL_RST (RST_LOGIC), .WAIT_RST (S_AXIS_CC_TVALID), .NEXT_CYC_RST (S_AXIS_CC_TREADY & S_AXIS_CC_TLAST), /*AUTOINST*/ // Outputs .DONE_RST (DONE_RST), // Inputs .CLK (CLK)); pipeline #(// Parameters .C_DEPTH (C_INPUT_STAGES), .C_WIDTH (C_PCI_DATA_WIDTH + 2*(1+clog2s(C_PCI_DATA_WIDTH/32))), .C_USE_MEMORY (0) /*AUTOINSTPARAM*/) input_inst (// Outputs .WR_DATA_READY (TXC_PKT_READY), .RD_DATA ({wTxcPkt,wTxcPktStartFlag,wTxcPktStartOffset,wTxcPktEndFlag,wTxcPktEndOffset}), .RD_DATA_VALID (wTxcPktValid), // Inputs .WR_DATA ({TXC_PKT,TXC_PKT_START_FLAG,TXC_PKT_START_OFFSET, TXC_PKT_END_FLAG,TXC_PKT_END_OFFSET}), .WR_DATA_VALID (TXC_PKT_VALID), .RD_DATA_READY (wTxcPktReady), .RST_IN (wRst), /*AUTOINST*/ // Inputs .CLK (CLK)); offset_to_mask #(// Parameters .C_MASK_SWAP (0), .C_MASK_WIDTH (C_PCI_DATA_WIDTH/32) /*AUTOINSTPARAM*/) otom_inst (// Outputs .MASK (wSAxisCcTKeep), // Inputs .OFFSET_ENABLE (wTxcPktEndFlag), .OFFSET (wTxcPktEndOffset) /*AUTOINST*/); pipeline #(// Parameters .C_DEPTH (C_OUTPUT_STAGES), .C_WIDTH (C_PCI_DATA_WIDTH + 1 + (C_PCI_DATA_WIDTH/32)), .C_USE_MEMORY (0) /*AUTOINSTPARAM*/) output_inst ( // Outputs .WR_DATA_READY (wSAxisCcTReady), .RD_DATA ({S_AXIS_CC_TDATA,S_AXIS_CC_TLAST,S_AXIS_CC_TKEEP}), .RD_DATA_VALID (S_AXIS_CC_TVALID), // Inputs .WR_DATA ({wSAxisCcTData,wSAxisCcTLast,wSAxisCcTKeep}), .WR_DATA_VALID (wSAxisCcTValid & ~wRstWaiting), .RD_DATA_READY (S_AXIS_CC_TREADY), .RST_IN (wRst), /*AUTOINST*/ // Inputs .CLK (CLK)); endmodule // Local Variables: // verilog-library-directories:("." "../../../common/" "../../common/") // End:
`timescale 1ns / 1ps ////////////////////////////////////////////////////////////////////////////////// // Company: // Engineer: // // Create Date: 20:13:28 07/01/2012 // Design Name: // Module Name: Counter_8253 // Project Name: // Target Devices: // Tool versions: // Description: // // Dependencies: // // Revision: // Revision 0.01 - File Created // Additional Comments: // ////////////////////////////////////////////////////////////////////////////////// module Counter(input clk, input rst, input clk0, input clk1, input clk2, input counter_we, input [31:0] counter_val, input [1:0] counter_ch, //Counter channel set output counter0_OUT, output counter1_OUT, output counter2_OUT, output [31:0] counter_out ); reg [32:0] counter0,counter1,counter2; reg [31:0] counter0_Lock,counter1_Lock,counter2_Lock; reg [23:0] counter_Ctrl; reg sq0,sq1,sq2,M0,M1,M2,clr0,clr1,clr2; //Counter read or write & set counter_ch=SC1 SC0; counter_Ctrl=XX M2 M1 M0 X always @ (posedge clk or posedge rst) begin if (rst ) begin counter0_Lock <=0;counter1_Lock <=0;counter2_Lock <=0;counter_Ctrl<=0; end else if (counter_we) begin case(counter_ch) 2'h0: begin counter0_Lock <= counter_val; M0<=1; end //f0000000: bit1 bit0 =00 2'h1: begin counter1_Lock <= counter_val; M1<=1; end //f0000000: bit1 bit0 =01 2'h2: begin counter2_Lock <= counter_val; M2<=1; end //f0000000: bit1 bit0 =10 2'h3: begin counter_Ctrl <= counter_val[23:0]; end //counter_Ctrl=XX M2 M1 M0 X endcase end else begin counter0_Lock <=counter0_Lock; counter1_Lock <=counter1_Lock; counter2_Lock <=counter2_Lock; counter_Ctrl<=counter_Ctrl; if(clr0) M0<=0; if(clr1) M1<=0; if(clr2) M2<=0; end end // Counter channel 0 always @ (posedge clk0 or posedge rst) begin if (rst ) begin counter0<=0; sq0<=0; end else case(counter_Ctrl[2:1]) 2'b00: begin if (M0) begin counter0 <= {1'b0,counter0_Lock}; clr0<=1; end else if (counter0[32]==0)begin counter0 <= counter0 - 1'b1; clr0<=0; end end 2'b01: begin if (counter0[32]==0) counter0 <= counter0 - 1'b1; else counter0 <={1'b0,counter0_Lock}; end 2'b10: begin sq0<=counter0[32]; if (sq0!=counter0[32]) counter0[31:0] <= {1'b0,counter0_Lock[31:1]}; else counter0 <= counter0 - 1'b1;end 2'b11: counter0 <= counter0 - 1'b1; endcase end /*// Counter channel 1 always @ (posedge clk1 or posedge rst) begin if (rst ) begin counter1<=0;sq1<=0; end else case(counter_Ctrl[10:9]) 2'b00: begin if (M1) begin counter1 <= {1'b0,counter1_Lock}; clr1<=1; end else if (counter1[32]==0)begin counter1 <= counter1 - 1'b1; clr1<=0; end end 2'b01: begin if (counter1[32]==1) counter1 <= counter1 - 1'b1; else counter1 <={1'b1,counter1_Lock}; end 2'b10: begin sq1<=counter1[32]; if (sq1!=counter1[32]) counter1 <= {1'b0,counter1_Lock[31:1]}; else counter1 <= counter1 - 1'b1;end 2'b11: counter1 <= counter1 - 1'b1; endcase end // Counter channel 2 always @ (posedge clk2 or posedge rst) begin if (rst ) begin counter2<=0;sq2<=0; end else case(counter_Ctrl[18:17]) 2'b00: begin if (M2) begin counter2 <= {1'b0,counter2_Lock}; clr2<=1; end else if (counter2[32]==0) begin counter2 <= counter2 - 1'b1; clr2<=0; end end 2'b01: begin if (counter2[32]==1) counter2 <= counter2 - 1'b1; else counter2 <={1'b1,counter2_Lock}; end 2'b10: begin sq2<=counter2[32]; if (sq2!=counter2[32]) counter2 <= {1'b0,counter2_Lock[31:1]}; else counter2 <= counter2 - 1'b1;end 2'b11: counter2 <= counter2 - 1'b1; endcase end */ assign counter0_OUT=counter0[32]; assign counter1_OUT=counter1[32]; assign counter2_OUT=counter2[32]; assign counter_out = counter0[31:0]; /* always @* case(counter_ch) 2'h0: counter_out <= counter0[31:0]; 2'h1: counter_out <= counter1[31:0]; 2'h2: counter_out <= counter2[31:0]; 2'h3: counter_out <= {8'h00,counter_Ctrl} ; endcase */ endmodule
`timescale 1ns / 1ps ////////////////////////////////////////////////////////////////////////////////// // Company: // Engineer: // // Create Date: 20:13:28 07/01/2012 // Design Name: // Module Name: Counter_8253 // Project Name: // Target Devices: // Tool versions: // Description: // // Dependencies: // // Revision: // Revision 0.01 - File Created // Additional Comments: // ////////////////////////////////////////////////////////////////////////////////// module Counter(input clk, input rst, input clk0, input clk1, input clk2, input counter_we, input [31:0] counter_val, input [1:0] counter_ch, //Counter channel set output counter0_OUT, output counter1_OUT, output counter2_OUT, output [31:0] counter_out ); reg [32:0] counter0,counter1,counter2; reg [31:0] counter0_Lock,counter1_Lock,counter2_Lock; reg [23:0] counter_Ctrl; reg sq0,sq1,sq2,M0,M1,M2,clr0,clr1,clr2; //Counter read or write & set counter_ch=SC1 SC0; counter_Ctrl=XX M2 M1 M0 X always @ (posedge clk or posedge rst) begin if (rst ) begin counter0_Lock <=0;counter1_Lock <=0;counter2_Lock <=0;counter_Ctrl<=0; end else if (counter_we) begin case(counter_ch) 2'h0: begin counter0_Lock <= counter_val; M0<=1; end //f0000000: bit1 bit0 =00 2'h1: begin counter1_Lock <= counter_val; M1<=1; end //f0000000: bit1 bit0 =01 2'h2: begin counter2_Lock <= counter_val; M2<=1; end //f0000000: bit1 bit0 =10 2'h3: begin counter_Ctrl <= counter_val[23:0]; end //counter_Ctrl=XX M2 M1 M0 X endcase end else begin counter0_Lock <=counter0_Lock; counter1_Lock <=counter1_Lock; counter2_Lock <=counter2_Lock; counter_Ctrl<=counter_Ctrl; if(clr0) M0<=0; if(clr1) M1<=0; if(clr2) M2<=0; end end // Counter channel 0 always @ (posedge clk0 or posedge rst) begin if (rst ) begin counter0<=0; sq0<=0; end else case(counter_Ctrl[2:1]) 2'b00: begin if (M0) begin counter0 <= {1'b0,counter0_Lock}; clr0<=1; end else if (counter0[32]==0)begin counter0 <= counter0 - 1'b1; clr0<=0; end end 2'b01: begin if (counter0[32]==0) counter0 <= counter0 - 1'b1; else counter0 <={1'b0,counter0_Lock}; end 2'b10: begin sq0<=counter0[32]; if (sq0!=counter0[32]) counter0[31:0] <= {1'b0,counter0_Lock[31:1]}; else counter0 <= counter0 - 1'b1;end 2'b11: counter0 <= counter0 - 1'b1; endcase end /*// Counter channel 1 always @ (posedge clk1 or posedge rst) begin if (rst ) begin counter1<=0;sq1<=0; end else case(counter_Ctrl[10:9]) 2'b00: begin if (M1) begin counter1 <= {1'b0,counter1_Lock}; clr1<=1; end else if (counter1[32]==0)begin counter1 <= counter1 - 1'b1; clr1<=0; end end 2'b01: begin if (counter1[32]==1) counter1 <= counter1 - 1'b1; else counter1 <={1'b1,counter1_Lock}; end 2'b10: begin sq1<=counter1[32]; if (sq1!=counter1[32]) counter1 <= {1'b0,counter1_Lock[31:1]}; else counter1 <= counter1 - 1'b1;end 2'b11: counter1 <= counter1 - 1'b1; endcase end // Counter channel 2 always @ (posedge clk2 or posedge rst) begin if (rst ) begin counter2<=0;sq2<=0; end else case(counter_Ctrl[18:17]) 2'b00: begin if (M2) begin counter2 <= {1'b0,counter2_Lock}; clr2<=1; end else if (counter2[32]==0) begin counter2 <= counter2 - 1'b1; clr2<=0; end end 2'b01: begin if (counter2[32]==1) counter2 <= counter2 - 1'b1; else counter2 <={1'b1,counter2_Lock}; end 2'b10: begin sq2<=counter2[32]; if (sq2!=counter2[32]) counter2 <= {1'b0,counter2_Lock[31:1]}; else counter2 <= counter2 - 1'b1;end 2'b11: counter2 <= counter2 - 1'b1; endcase end */ assign counter0_OUT=counter0[32]; assign counter1_OUT=counter1[32]; assign counter2_OUT=counter2[32]; assign counter_out = counter0[31:0]; /* always @* case(counter_ch) 2'h0: counter_out <= counter0[31:0]; 2'h1: counter_out <= counter1[31:0]; 2'h2: counter_out <= counter2[31:0]; 2'h3: counter_out <= {8'h00,counter_Ctrl} ; endcase */ endmodule
// ---------------------------------------------------------------------- // Copyright (c) 2016, The Regents of the University of California All // rights reserved. // // Redistribution and use in source and binary forms, with or without // modification, are permitted provided that the following conditions are // met: // // * Redistributions of source code must retain the above copyright // notice, this list of conditions and the following disclaimer. // // * Redistributions in binary form must reproduce the above // copyright notice, this list of conditions and the following // disclaimer in the documentation and/or other materials provided // with the distribution. // // * Neither the name of The Regents of the University of California // nor the names of its contributors may be used to endorse or // promote products derived from this software without specific // prior written permission. // // THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS // "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT // LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR // A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL REGENTS OF THE // UNIVERSITY OF CALIFORNIA BE LIABLE FOR ANY DIRECT, INDIRECT, // INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, // BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS // OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND // ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR // TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE // USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH // DAMAGE. // ---------------------------------------------------------------------- //---------------------------------------------------------------------------- // Filename: riffa_wrapper_vc707.v // Version: 1.00.a // Verilog Standard: Verilog-2001 // Description: RIFFA wrapper for the VC707 Development board. // Author: Dustin Richmond (@darichmond) //----------------------------------------------------------------------------- `include "trellis.vh" `include "riffa.vh" `include "xilinx.vh" `include "ultrascale.vh" `include "functions.vh" `timescale 1ps / 1ps module riffa_wrapper_vc707 #(// Number of RIFFA Channels parameter C_NUM_CHNL = 1, // Bit-Width from Vivado IP Generator parameter C_PCI_DATA_WIDTH = 128, // 4-Byte Name for this FPGA parameter C_MAX_PAYLOAD_BYTES = 256, parameter C_LOG_NUM_TAGS = 5, parameter C_FPGA_ID = "V707") (// Interface: Xilinx RX input [C_PCI_DATA_WIDTH-1:0] M_AXIS_RX_TDATA, input [(C_PCI_DATA_WIDTH/8)-1:0] M_AXIS_RX_TKEEP, input M_AXIS_RX_TLAST, input M_AXIS_RX_TVALID, output M_AXIS_RX_TREADY, input [`SIG_XIL_RX_TUSER_W-1:0] M_AXIS_RX_TUSER, output RX_NP_OK, output RX_NP_REQ, // Interface: Xilinx TX output [C_PCI_DATA_WIDTH-1:0] S_AXIS_TX_TDATA, output [(C_PCI_DATA_WIDTH/8)-1:0] S_AXIS_TX_TKEEP, output S_AXIS_TX_TLAST, output S_AXIS_TX_TVALID, input S_AXIS_TX_TREADY, output [`SIG_XIL_TX_TUSER_W-1:0] S_AXIS_TX_TUSER, output TX_CFG_GNT, // Interface: Xilinx Configuration input [`SIG_BUSID_W-1:0] CFG_BUS_NUMBER, input [`SIG_DEVID_W-1:0] CFG_DEVICE_NUMBER, input [`SIG_FNID_W-1:0] CFG_FUNCTION_NUMBER, input [`SIG_CFGREG_W-1:0] CFG_COMMAND, input [`SIG_CFGREG_W-1:0] CFG_DCOMMAND, input [`SIG_CFGREG_W-1:0] CFG_LSTATUS, input [`SIG_CFGREG_W-1:0] CFG_LCOMMAND, // Interface: Xilinx Flow Control input [`SIG_FC_CPLD_W-1:0] FC_CPLD, input [`SIG_FC_CPLH_W-1:0] FC_CPLH, output [`SIG_FC_SEL_W-1:0] FC_SEL, // Interface: Xilinx Interrupt input CFG_INTERRUPT_MSIEN, input CFG_INTERRUPT_RDY, output CFG_INTERRUPT, input USER_CLK, input USER_RESET, // RIFFA Interface Signals output RST_OUT, input [C_NUM_CHNL-1:0] CHNL_RX_CLK, // Channel read clock output [C_NUM_CHNL-1:0] CHNL_RX, // Channel read receive signal input [C_NUM_CHNL-1:0] CHNL_RX_ACK, // Channel read received signal output [C_NUM_CHNL-1:0] CHNL_RX_LAST, // Channel last read output [(C_NUM_CHNL*`SIG_CHNL_LENGTH_W)-1:0] CHNL_RX_LEN, // Channel read length output [(C_NUM_CHNL*`SIG_CHNL_OFFSET_W)-1:0] CHNL_RX_OFF, // Channel read offset output [(C_NUM_CHNL*C_PCI_DATA_WIDTH)-1:0] CHNL_RX_DATA, // Channel read data output [C_NUM_CHNL-1:0] CHNL_RX_DATA_VALID, // Channel read data valid input [C_NUM_CHNL-1:0] CHNL_RX_DATA_REN, // Channel read data has been recieved input [C_NUM_CHNL-1:0] CHNL_TX_CLK, // Channel write clock input [C_NUM_CHNL-1:0] CHNL_TX, // Channel write receive signal output [C_NUM_CHNL-1:0] CHNL_TX_ACK, // Channel write acknowledgement signal input [C_NUM_CHNL-1:0] CHNL_TX_LAST, // Channel last write input [(C_NUM_CHNL*`SIG_CHNL_LENGTH_W)-1:0] CHNL_TX_LEN, // Channel write length (in 32 bit words) input [(C_NUM_CHNL*`SIG_CHNL_OFFSET_W)-1:0] CHNL_TX_OFF, // Channel write offset input [(C_NUM_CHNL*C_PCI_DATA_WIDTH)-1:0] CHNL_TX_DATA, // Channel write data input [C_NUM_CHNL-1:0] CHNL_TX_DATA_VALID, // Channel write data valid output [C_NUM_CHNL-1:0] CHNL_TX_DATA_REN); // Channel write data has been recieved localparam C_FPGA_NAME = "REGT"; // This is not yet exposed in the driver localparam C_MAX_READ_REQ_BYTES = C_MAX_PAYLOAD_BYTES * 2; // ALTERA, XILINX or ULTRASCALE localparam C_VENDOR = "XILINX"; localparam C_KEEP_WIDTH = C_PCI_DATA_WIDTH / 32; localparam C_PIPELINE_OUTPUT = 1; localparam C_PIPELINE_INPUT = 1; localparam C_DEPTH_PACKETS = 4; wire clk; wire rst_in; wire done_txc_rst; wire done_txr_rst; wire done_rxr_rst; wire done_rxc_rst; // Interface: RXC Engine wire [C_PCI_DATA_WIDTH-1:0] rxc_data; wire rxc_data_valid; wire rxc_data_start_flag; wire [(C_PCI_DATA_WIDTH/32)-1:0] rxc_data_word_enable; wire [clog2s(C_PCI_DATA_WIDTH/32)-1:0] rxc_data_start_offset; wire [`SIG_FBE_W-1:0] rxc_meta_fdwbe; wire rxc_data_end_flag; wire [clog2s(C_PCI_DATA_WIDTH/32)-1:0] rxc_data_end_offset; wire [`SIG_LBE_W-1:0] rxc_meta_ldwbe; wire [`SIG_TAG_W-1:0] rxc_meta_tag; wire [`SIG_LOWADDR_W-1:0] rxc_meta_addr; wire [`SIG_TYPE_W-1:0] rxc_meta_type; wire [`SIG_LEN_W-1:0] rxc_meta_length; wire [`SIG_BYTECNT_W-1:0] rxc_meta_bytes_remaining; wire [`SIG_CPLID_W-1:0] rxc_meta_completer_id; wire rxc_meta_ep; // Interface: RXR Engine wire [C_PCI_DATA_WIDTH-1:0] rxr_data; wire rxr_data_valid; wire [(C_PCI_DATA_WIDTH/32)-1:0] rxr_data_word_enable; wire rxr_data_start_flag; wire [clog2s(C_PCI_DATA_WIDTH/32)-1:0] rxr_data_start_offset; wire [`SIG_FBE_W-1:0] rxr_meta_fdwbe; wire rxr_data_end_flag; wire [clog2s(C_PCI_DATA_WIDTH/32)-1:0] rxr_data_end_offset; wire [`SIG_LBE_W-1:0] rxr_meta_ldwbe; wire [`SIG_TC_W-1:0] rxr_meta_tc; wire [`SIG_ATTR_W-1:0] rxr_meta_attr; wire [`SIG_TAG_W-1:0] rxr_meta_tag; wire [`SIG_TYPE_W-1:0] rxr_meta_type; wire [`SIG_ADDR_W-1:0] rxr_meta_addr; wire [`SIG_BARDECODE_W-1:0] rxr_meta_bar_decoded; wire [`SIG_REQID_W-1:0] rxr_meta_requester_id; wire [`SIG_LEN_W-1:0] rxr_meta_length; wire rxr_meta_ep; // interface: TXC Engine wire txc_data_valid; wire [C_PCI_DATA_WIDTH-1:0] txc_data; wire txc_data_start_flag; wire [clog2s(C_PCI_DATA_WIDTH/32)-1:0] txc_data_start_offset; wire txc_data_end_flag; wire [clog2s(C_PCI_DATA_WIDTH/32)-1:0] txc_data_end_offset; wire txc_data_ready; wire txc_meta_valid; wire [`SIG_FBE_W-1:0] txc_meta_fdwbe; wire [`SIG_LBE_W-1:0] txc_meta_ldwbe; wire [`SIG_LOWADDR_W-1:0] txc_meta_addr; wire [`SIG_TYPE_W-1:0] txc_meta_type; wire [`SIG_LEN_W-1:0] txc_meta_length; wire [`SIG_BYTECNT_W-1:0] txc_meta_byte_count; wire [`SIG_TAG_W-1:0] txc_meta_tag; wire [`SIG_REQID_W-1:0] txc_meta_requester_id; wire [`SIG_TC_W-1:0] txc_meta_tc; wire [`SIG_ATTR_W-1:0] txc_meta_attr; wire txc_meta_ep; wire txc_meta_ready; wire txc_sent; // Interface: TXR Engine wire txr_data_valid; wire [C_PCI_DATA_WIDTH-1:0] txr_data; wire txr_data_start_flag; wire [clog2s(C_PCI_DATA_WIDTH/32)-1:0] txr_data_start_offset; wire txr_data_end_flag; wire [clog2s(C_PCI_DATA_WIDTH/32)-1:0] txr_data_end_offset; wire txr_data_ready; wire txr_meta_valid; wire [`SIG_FBE_W-1:0] txr_meta_fdwbe; wire [`SIG_LBE_W-1:0] txr_meta_ldwbe; wire [`SIG_ADDR_W-1:0] txr_meta_addr; wire [`SIG_LEN_W-1:0] txr_meta_length; wire [`SIG_TAG_W-1:0] txr_meta_tag; wire [`SIG_TC_W-1:0] txr_meta_tc; wire [`SIG_ATTR_W-1:0] txr_meta_attr; wire [`SIG_TYPE_W-1:0] txr_meta_type; wire txr_meta_ep; wire txr_meta_ready; wire txr_sent; // Classic Interface Wires wire rx_tlp_ready; wire [C_PCI_DATA_WIDTH-1:0] rx_tlp; wire rx_tlp_end_flag; wire [`SIG_OFFSET_W-1:0] rx_tlp_end_offset; wire rx_tlp_start_flag; wire [`SIG_OFFSET_W-1:0] rx_tlp_start_offset; wire rx_tlp_valid; wire [`SIG_BARDECODE_W-1:0] rx_tlp_bar_decode; wire tx_tlp_ready; wire [C_PCI_DATA_WIDTH-1:0] tx_tlp; wire tx_tlp_end_flag; wire [`SIG_OFFSET_W-1:0] tx_tlp_end_offset; wire tx_tlp_start_flag; wire [`SIG_OFFSET_W-1:0] tx_tlp_start_offset; wire tx_tlp_valid; // Unconnected Wires (Used in ultrascale interface) // Interface: RQ (TXC) wire s_axis_rq_tlast_nc; wire [C_PCI_DATA_WIDTH-1:0] s_axis_rq_tdata_nc; wire [`SIG_RQ_TUSER_W-1:0] s_axis_rq_tuser_nc; wire [(C_PCI_DATA_WIDTH/32)-1:0] s_axis_rq_tkeep_nc; wire s_axis_rq_tready_nc = 0; wire s_axis_rq_tvalid_nc; // Interface: RC (RXC) wire [C_PCI_DATA_WIDTH-1:0] m_axis_rc_tdata_nc = 0; wire [`SIG_RC_TUSER_W-1:0] m_axis_rc_tuser_nc = 0; wire m_axis_rc_tlast_nc = 0; wire [(C_PCI_DATA_WIDTH/32)-1:0] m_axis_rc_tkeep_nc = 0; wire m_axis_rc_tvalid_nc = 0; wire m_axis_rc_tready_nc; // Interface: CQ (RXR) wire [C_PCI_DATA_WIDTH-1:0] m_axis_cq_tdata_nc = 0; wire [`SIG_CQ_TUSER_W-1:0] m_axis_cq_tuser_nc = 0; wire m_axis_cq_tlast_nc = 0; wire [(C_PCI_DATA_WIDTH/32)-1:0] m_axis_cq_tkeep_nc = 0; wire m_axis_cq_tvalid_nc = 0; wire m_axis_cq_tready_nc = 0; // Interface: CC (TXC) wire [C_PCI_DATA_WIDTH-1:0] s_axis_cc_tdata_nc; wire [`SIG_CC_TUSER_W-1:0] s_axis_cc_tuser_nc; wire s_axis_cc_tlast_nc; wire [(C_PCI_DATA_WIDTH/32)-1:0] s_axis_cc_tkeep_nc; wire s_axis_cc_tvalid_nc; wire s_axis_cc_tready_nc = 0; // Interface: Configuration wire config_bus_master_enable; wire [`SIG_CPLID_W-1:0] config_completer_id; wire config_cpl_boundary_sel; wire config_interrupt_msienable; wire [`SIG_LINKRATE_W-1:0] config_link_rate; wire [`SIG_LINKWIDTH_W-1:0] config_link_width; wire [`SIG_MAXPAYLOAD_W-1:0] config_max_payload_size; wire [`SIG_MAXREAD_W-1:0] config_max_read_request_size; wire [`SIG_FC_CPLD_W-1:0] config_max_cpl_data; wire [`SIG_FC_CPLH_W-1:0] config_max_cpl_hdr; wire intr_msi_request; wire intr_msi_rdy; genvar chnl; reg rRxTlpValid; reg rRxTlpEndFlag; assign clk = USER_CLK; assign rst_in = USER_RESET; translation_xilinx #(/*AUTOINSTPARAM*/ // Parameters .C_PCI_DATA_WIDTH (C_PCI_DATA_WIDTH)) trans (// Outputs .RX_TLP (rx_tlp[C_PCI_DATA_WIDTH-1:0]), .RX_TLP_VALID (rx_tlp_valid), .RX_TLP_START_FLAG (rx_tlp_start_flag), .RX_TLP_START_OFFSET (rx_tlp_start_offset[clog2s(C_PCI_DATA_WIDTH/32)-1:0]), .RX_TLP_END_FLAG (rx_tlp_end_flag), .RX_TLP_END_OFFSET (rx_tlp_end_offset[clog2s(C_PCI_DATA_WIDTH/32)-1:0]), .RX_TLP_BAR_DECODE (rx_tlp_bar_decode[`SIG_BARDECODE_W-1:0]), .TX_TLP_READY (tx_tlp_ready), .CONFIG_COMPLETER_ID (config_completer_id[`SIG_CPLID_W-1:0]), .CONFIG_BUS_MASTER_ENABLE (config_bus_master_enable), .CONFIG_LINK_WIDTH (config_link_width[`SIG_LINKWIDTH_W-1:0]), .CONFIG_LINK_RATE (config_link_rate[`SIG_LINKRATE_W-1:0]), .CONFIG_MAX_READ_REQUEST_SIZE (config_max_read_request_size[`SIG_MAXREAD_W-1:0]), .CONFIG_MAX_PAYLOAD_SIZE (config_max_payload_size[`SIG_MAXPAYLOAD_W-1:0]), .CONFIG_INTERRUPT_MSIENABLE (config_interrupt_msienable), .CONFIG_CPL_BOUNDARY_SEL (config_cpl_boundary_sel), .CONFIG_MAX_CPL_DATA (config_max_cpl_data[`SIG_FC_CPLD_W-1:0]), .CONFIG_MAX_CPL_HDR (config_max_cpl_hdr[`SIG_FC_CPLH_W-1:0]), .INTR_MSI_RDY (intr_msi_rdy), // Inputs .CLK (clk), .RST_IN (rst_in), .RX_TLP_READY (rx_tlp_ready), .TX_TLP (tx_tlp[C_PCI_DATA_WIDTH-1:0]), .TX_TLP_VALID (tx_tlp_valid), .TX_TLP_START_FLAG (tx_tlp_start_flag), .TX_TLP_START_OFFSET (tx_tlp_start_offset[clog2s(C_PCI_DATA_WIDTH/32)-1:0]), .TX_TLP_END_FLAG (tx_tlp_end_flag), .TX_TLP_END_OFFSET (tx_tlp_end_offset[clog2s(C_PCI_DATA_WIDTH/32)-1:0]), .INTR_MSI_REQUEST (intr_msi_request), /*AUTOINST*/ // Outputs .M_AXIS_RX_TREADY (M_AXIS_RX_TREADY), .RX_NP_OK (RX_NP_OK), .RX_NP_REQ (RX_NP_REQ), .S_AXIS_TX_TDATA (S_AXIS_TX_TDATA[C_PCI_DATA_WIDTH-1:0]), .S_AXIS_TX_TKEEP (S_AXIS_TX_TKEEP[(C_PCI_DATA_WIDTH/8)-1:0]), .S_AXIS_TX_TLAST (S_AXIS_TX_TLAST), .S_AXIS_TX_TVALID (S_AXIS_TX_TVALID), .S_AXIS_TX_TUSER (S_AXIS_TX_TUSER[`SIG_XIL_TX_TUSER_W-1:0]), .TX_CFG_GNT (TX_CFG_GNT), .FC_SEL (FC_SEL[`SIG_FC_SEL_W-1:0]), .CFG_INTERRUPT (CFG_INTERRUPT), // Inputs .M_AXIS_RX_TDATA (M_AXIS_RX_TDATA[C_PCI_DATA_WIDTH-1:0]), .M_AXIS_RX_TKEEP (M_AXIS_RX_TKEEP[(C_PCI_DATA_WIDTH/8)-1:0]), .M_AXIS_RX_TLAST (M_AXIS_RX_TLAST), .M_AXIS_RX_TVALID (M_AXIS_RX_TVALID), .M_AXIS_RX_TUSER (M_AXIS_RX_TUSER[`SIG_XIL_RX_TUSER_W-1:0]), .S_AXIS_TX_TREADY (S_AXIS_TX_TREADY), .CFG_BUS_NUMBER (CFG_BUS_NUMBER[`SIG_BUSID_W-1:0]), .CFG_DEVICE_NUMBER (CFG_DEVICE_NUMBER[`SIG_DEVID_W-1:0]), .CFG_FUNCTION_NUMBER (CFG_FUNCTION_NUMBER[`SIG_FNID_W-1:0]), .CFG_COMMAND (CFG_COMMAND[`SIG_CFGREG_W-1:0]), .CFG_DCOMMAND (CFG_DCOMMAND[`SIG_CFGREG_W-1:0]), .CFG_LSTATUS (CFG_LSTATUS[`SIG_CFGREG_W-1:0]), .CFG_LCOMMAND (CFG_LCOMMAND[`SIG_CFGREG_W-1:0]), .FC_CPLD (FC_CPLD[`SIG_FC_CPLD_W-1:0]), .FC_CPLH (FC_CPLH[`SIG_FC_CPLH_W-1:0]), .CFG_INTERRUPT_MSIEN (CFG_INTERRUPT_MSIEN), .CFG_INTERRUPT_RDY (CFG_INTERRUPT_RDY)); engine_layer #(// Parameters .C_MAX_PAYLOAD_DWORDS (C_MAX_PAYLOAD_BYTES/4), /*AUTOINSTPARAM*/ // Parameters .C_PCI_DATA_WIDTH (C_PCI_DATA_WIDTH), .C_LOG_NUM_TAGS (C_LOG_NUM_TAGS), .C_PIPELINE_INPUT (C_PIPELINE_INPUT), .C_PIPELINE_OUTPUT (C_PIPELINE_OUTPUT), .C_VENDOR (C_VENDOR)) engine_layer_inst (// Outputs .RXC_DATA (rxc_data[C_PCI_DATA_WIDTH-1:0]), .RXC_DATA_WORD_ENABLE (rxc_data_word_enable[(C_PCI_DATA_WIDTH/32)-1:0]), .RXC_DATA_VALID (rxc_data_valid), .RXC_DATA_START_FLAG (rxc_data_start_flag), .RXC_DATA_START_OFFSET (rxc_data_start_offset[clog2s(C_PCI_DATA_WIDTH/32)-1:0]), .RXC_META_FDWBE (rxc_meta_fdwbe[`SIG_FBE_W-1:0]), .RXC_DATA_END_FLAG (rxc_data_end_flag), .RXC_DATA_END_OFFSET (rxc_data_end_offset[clog2s(C_PCI_DATA_WIDTH/32)-1:0]), .RXC_META_LDWBE (rxc_meta_ldwbe[`SIG_LBE_W-1:0]), .RXC_META_TAG (rxc_meta_tag[`SIG_TAG_W-1:0]), .RXC_META_ADDR (rxc_meta_addr[`SIG_LOWADDR_W-1:0]), .RXC_META_TYPE (rxc_meta_type[`SIG_TYPE_W-1:0]), .RXC_META_LENGTH (rxc_meta_length[`SIG_LEN_W-1:0]), .RXC_META_BYTES_REMAINING (rxc_meta_bytes_remaining[`SIG_BYTECNT_W-1:0]), .RXC_META_COMPLETER_ID (rxc_meta_completer_id[`SIG_CPLID_W-1:0]), .RXC_META_EP (rxc_meta_ep), .RXR_DATA (rxr_data[C_PCI_DATA_WIDTH-1:0]), .RXR_DATA_WORD_ENABLE (rxr_data_word_enable[(C_PCI_DATA_WIDTH/32)-1:0]), .RXR_DATA_VALID (rxr_data_valid), .RXR_DATA_START_FLAG (rxr_data_start_flag), .RXR_DATA_START_OFFSET (rxr_data_start_offset[clog2s(C_PCI_DATA_WIDTH/32)-1:0]), .RXR_DATA_END_FLAG (rxr_data_end_flag), .RXR_DATA_END_OFFSET (rxr_data_end_offset[clog2s(C_PCI_DATA_WIDTH/32)-1:0]), .RXR_META_FDWBE (rxr_meta_fdwbe[`SIG_FBE_W-1:0]), .RXR_META_LDWBE (rxr_meta_ldwbe[`SIG_LBE_W-1:0]), .RXR_META_TC (rxr_meta_tc[`SIG_TC_W-1:0]), .RXR_META_ATTR (rxr_meta_attr[`SIG_ATTR_W-1:0]), .RXR_META_TAG (rxr_meta_tag[`SIG_TAG_W-1:0]), .RXR_META_TYPE (rxr_meta_type[`SIG_TYPE_W-1:0]), .RXR_META_ADDR (rxr_meta_addr[`SIG_ADDR_W-1:0]), .RXR_META_BAR_DECODED (rxr_meta_bar_decoded[`SIG_BARDECODE_W-1:0]), .RXR_META_REQUESTER_ID (rxr_meta_requester_id[`SIG_REQID_W-1:0]), .RXR_META_LENGTH (rxr_meta_length[`SIG_LEN_W-1:0]), .RXR_META_EP (rxr_meta_ep), .TXC_DATA_READY (txc_data_ready), .TXC_META_READY (txc_meta_ready), .TXC_SENT (txc_sent), .TXR_DATA_READY (txr_data_ready), .TXR_META_READY (txr_meta_ready), .TXR_SENT (txr_sent), .RST_LOGIC (RST_OUT), // Unconnected Outputs .TX_TLP (tx_tlp), .TX_TLP_VALID (tx_tlp_valid), .TX_TLP_START_FLAG (tx_tlp_start_flag), .TX_TLP_START_OFFSET (tx_tlp_start_offset), .TX_TLP_END_FLAG (tx_tlp_end_flag), .TX_TLP_END_OFFSET (tx_tlp_end_offset), .RX_TLP_READY (rx_tlp_ready), // Inputs .CLK_BUS (clk), .RST_BUS (rst_in), .CONFIG_COMPLETER_ID (config_completer_id[`SIG_CPLID_W-1:0]), .TXC_DATA_VALID (txc_data_valid), .TXC_DATA (txc_data[C_PCI_DATA_WIDTH-1:0]), .TXC_DATA_START_FLAG (txc_data_start_flag), .TXC_DATA_START_OFFSET (txc_data_start_offset[clog2s(C_PCI_DATA_WIDTH/32)-1:0]), .TXC_DATA_END_FLAG (txc_data_end_flag), .TXC_DATA_END_OFFSET (txc_data_end_offset[clog2s(C_PCI_DATA_WIDTH/32)-1:0]), .TXC_META_VALID (txc_meta_valid), .TXC_META_FDWBE (txc_meta_fdwbe[`SIG_FBE_W-1:0]), .TXC_META_LDWBE (txc_meta_ldwbe[`SIG_LBE_W-1:0]), .TXC_META_ADDR (txc_meta_addr[`SIG_LOWADDR_W-1:0]), .TXC_META_TYPE (txc_meta_type[`SIG_TYPE_W-1:0]), .TXC_META_LENGTH (txc_meta_length[`SIG_LEN_W-1:0]), .TXC_META_BYTE_COUNT (txc_meta_byte_count[`SIG_BYTECNT_W-1:0]), .TXC_META_TAG (txc_meta_tag[`SIG_TAG_W-1:0]), .TXC_META_REQUESTER_ID (txc_meta_requester_id[`SIG_REQID_W-1:0]), .TXC_META_TC (txc_meta_tc[`SIG_TC_W-1:0]), .TXC_META_ATTR (txc_meta_attr[`SIG_ATTR_W-1:0]), .TXC_META_EP (txc_meta_ep), .TXR_DATA_VALID (txr_data_valid), .TXR_DATA (txr_data[C_PCI_DATA_WIDTH-1:0]), .TXR_DATA_START_FLAG (txr_data_start_flag), .TXR_DATA_START_OFFSET (txr_data_start_offset[clog2s(C_PCI_DATA_WIDTH/32)-1:0]), .TXR_DATA_END_FLAG (txr_data_end_flag), .TXR_DATA_END_OFFSET (txr_data_end_offset[clog2s(C_PCI_DATA_WIDTH/32)-1:0]), .TXR_META_VALID (txr_meta_valid), .TXR_META_FDWBE (txr_meta_fdwbe[`SIG_FBE_W-1:0]), .TXR_META_LDWBE (txr_meta_ldwbe[`SIG_LBE_W-1:0]), .TXR_META_ADDR (txr_meta_addr[`SIG_ADDR_W-1:0]), .TXR_META_LENGTH (txr_meta_length[`SIG_LEN_W-1:0]), .TXR_META_TAG (txr_meta_tag[`SIG_TAG_W-1:0]), .TXR_META_TC (txr_meta_tc[`SIG_TC_W-1:0]), .TXR_META_ATTR (txr_meta_attr[`SIG_ATTR_W-1:0]), .TXR_META_TYPE (txr_meta_type[`SIG_TYPE_W-1:0]), .TXR_META_EP (txr_meta_ep), // Unconnected Inputs .RX_TLP (rx_tlp), .RX_TLP_VALID (rx_tlp_valid), .RX_TLP_START_FLAG (rx_tlp_start_flag), .RX_TLP_START_OFFSET (rx_tlp_start_offset), .RX_TLP_END_FLAG (rx_tlp_end_flag), .RX_TLP_END_OFFSET (rx_tlp_end_offset), .RX_TLP_BAR_DECODE (rx_tlp_bar_decode), .TX_TLP_READY (tx_tlp_ready), .DONE_TXC_RST (done_txc_rst), .DONE_TXR_RST (done_txr_rst), .DONE_RXR_RST (done_rxc_rst), .DONE_RXC_RST (done_rxr_rst), // Outputs .M_AXIS_CQ_TREADY (m_axis_cq_tready_nc), .M_AXIS_RC_TREADY (m_axis_rc_tready_nc), .S_AXIS_CC_TVALID (s_axis_cc_tvalid_nc), .S_AXIS_CC_TLAST (s_axis_cc_tlast_nc), .S_AXIS_CC_TDATA (s_axis_cc_tdata_nc[C_PCI_DATA_WIDTH-1:0]), .S_AXIS_CC_TKEEP (s_axis_cc_tkeep_nc[(C_PCI_DATA_WIDTH/32)-1:0]), .S_AXIS_CC_TUSER (s_axis_cc_tuser_nc[`SIG_CC_TUSER_W-1:0]), .S_AXIS_RQ_TVALID (s_axis_rq_tvalid_nc), .S_AXIS_RQ_TLAST (s_axis_rq_tlast_nc), .S_AXIS_RQ_TDATA (s_axis_rq_tdata_nc[C_PCI_DATA_WIDTH-1:0]), .S_AXIS_RQ_TKEEP (s_axis_rq_tkeep_nc[(C_PCI_DATA_WIDTH/32)-1:0]), .S_AXIS_RQ_TUSER (s_axis_rq_tuser_nc[`SIG_RQ_TUSER_W-1:0]), // Inputs .M_AXIS_CQ_TVALID (m_axis_cq_tvalid_nc), .M_AXIS_CQ_TLAST (m_axis_cq_tlast_nc), .M_AXIS_CQ_TDATA (m_axis_cq_tdata_nc[C_PCI_DATA_WIDTH-1:0]), .M_AXIS_CQ_TKEEP (m_axis_cq_tkeep_nc[(C_PCI_DATA_WIDTH/32)-1:0]), .M_AXIS_CQ_TUSER (m_axis_cq_tuser_nc[`SIG_CQ_TUSER_W-1:0]), .M_AXIS_RC_TVALID (m_axis_rc_tvalid_nc), .M_AXIS_RC_TLAST (m_axis_rc_tlast_nc), .M_AXIS_RC_TDATA (m_axis_rc_tdata_nc[C_PCI_DATA_WIDTH-1:0]), .M_AXIS_RC_TKEEP (m_axis_rc_tkeep_nc[(C_PCI_DATA_WIDTH/32)-1:0]), .M_AXIS_RC_TUSER (m_axis_rc_tuser_nc[`SIG_RC_TUSER_W-1:0]), .S_AXIS_CC_TREADY (s_axis_cc_tready_nc), .S_AXIS_RQ_TREADY (s_axis_rq_tready_nc) /*AUTOINST*/); riffa #(.C_TAG_WIDTH (C_LOG_NUM_TAGS),/* TODO: Standardize declaration*/ /*AUTOINSTPARAM*/ // Parameters .C_PCI_DATA_WIDTH (C_PCI_DATA_WIDTH), .C_NUM_CHNL (C_NUM_CHNL), .C_MAX_READ_REQ_BYTES (C_MAX_READ_REQ_BYTES), .C_VENDOR (C_VENDOR), .C_FPGA_NAME (C_FPGA_NAME), .C_FPGA_ID (C_FPGA_ID), .C_DEPTH_PACKETS (C_DEPTH_PACKETS)) riffa_inst (// Outputs .TXC_DATA (txc_data[C_PCI_DATA_WIDTH-1:0]), .TXC_DATA_VALID (txc_data_valid), .TXC_DATA_START_FLAG (txc_data_start_flag), .TXC_DATA_START_OFFSET (txc_data_start_offset[clog2s(C_PCI_DATA_WIDTH/32)-1:0]), .TXC_DATA_END_FLAG (txc_data_end_flag), .TXC_DATA_END_OFFSET (txc_data_end_offset[clog2s(C_PCI_DATA_WIDTH/32)-1:0]), .TXC_META_VALID (txc_meta_valid), .TXC_META_FDWBE (txc_meta_fdwbe[`SIG_FBE_W-1:0]), .TXC_META_LDWBE (txc_meta_ldwbe[`SIG_LBE_W-1:0]), .TXC_META_ADDR (txc_meta_addr[`SIG_LOWADDR_W-1:0]), .TXC_META_TYPE (txc_meta_type[`SIG_TYPE_W-1:0]), .TXC_META_LENGTH (txc_meta_length[`SIG_LEN_W-1:0]), .TXC_META_BYTE_COUNT (txc_meta_byte_count[`SIG_BYTECNT_W-1:0]), .TXC_META_TAG (txc_meta_tag[`SIG_TAG_W-1:0]), .TXC_META_REQUESTER_ID (txc_meta_requester_id[`SIG_REQID_W-1:0]), .TXC_META_TC (txc_meta_tc[`SIG_TC_W-1:0]), .TXC_META_ATTR (txc_meta_attr[`SIG_ATTR_W-1:0]), .TXC_META_EP (txc_meta_ep), .TXR_DATA_VALID (txr_data_valid), .TXR_DATA (txr_data[C_PCI_DATA_WIDTH-1:0]), .TXR_DATA_START_FLAG (txr_data_start_flag), .TXR_DATA_START_OFFSET (txr_data_start_offset[clog2s(C_PCI_DATA_WIDTH/32)-1:0]), .TXR_DATA_END_FLAG (txr_data_end_flag), .TXR_DATA_END_OFFSET (txr_data_end_offset[clog2s(C_PCI_DATA_WIDTH/32)-1:0]), .TXR_META_VALID (txr_meta_valid), .TXR_META_FDWBE (txr_meta_fdwbe[`SIG_FBE_W-1:0]), .TXR_META_LDWBE (txr_meta_ldwbe[`SIG_LBE_W-1:0]), .TXR_META_ADDR (txr_meta_addr[`SIG_ADDR_W-1:0]), .TXR_META_LENGTH (txr_meta_length[`SIG_LEN_W-1:0]), .TXR_META_TAG (txr_meta_tag[`SIG_TAG_W-1:0]), .TXR_META_TC (txr_meta_tc[`SIG_TC_W-1:0]), .TXR_META_ATTR (txr_meta_attr[`SIG_ATTR_W-1:0]), .TXR_META_TYPE (txr_meta_type[`SIG_TYPE_W-1:0]), .TXR_META_EP (txr_meta_ep), .INTR_MSI_REQUEST (intr_msi_request), // Inputs .CLK (clk), .RXR_DATA (rxr_data[C_PCI_DATA_WIDTH-1:0]), .RXR_DATA_VALID (rxr_data_valid), .RXR_DATA_START_FLAG (rxr_data_start_flag), .RXR_DATA_START_OFFSET (rxr_data_start_offset[clog2s(C_PCI_DATA_WIDTH/32)-1:0]), .RXR_DATA_WORD_ENABLE (rxr_data_word_enable[(C_PCI_DATA_WIDTH/32)-1:0]), .RXR_DATA_END_FLAG (rxr_data_end_flag), .RXR_DATA_END_OFFSET (rxr_data_end_offset[clog2s(C_PCI_DATA_WIDTH/32)-1:0]), .RXR_META_FDWBE (rxr_meta_fdwbe[`SIG_FBE_W-1:0]), .RXR_META_LDWBE (rxr_meta_ldwbe[`SIG_LBE_W-1:0]), .RXR_META_TC (rxr_meta_tc[`SIG_TC_W-1:0]), .RXR_META_ATTR (rxr_meta_attr[`SIG_ATTR_W-1:0]), .RXR_META_TAG (rxr_meta_tag[`SIG_TAG_W-1:0]), .RXR_META_TYPE (rxr_meta_type[`SIG_TYPE_W-1:0]), .RXR_META_ADDR (rxr_meta_addr[`SIG_ADDR_W-1:0]), .RXR_META_BAR_DECODED (rxr_meta_bar_decoded[`SIG_BARDECODE_W-1:0]), .RXR_META_REQUESTER_ID (rxr_meta_requester_id[`SIG_REQID_W-1:0]), .RXR_META_LENGTH (rxr_meta_length[`SIG_LEN_W-1:0]), .RXR_META_EP (rxr_meta_ep), .RXC_DATA_VALID (rxc_data_valid), .RXC_DATA (rxc_data[C_PCI_DATA_WIDTH-1:0]), .RXC_DATA_START_FLAG (rxc_data_start_flag), .RXC_DATA_START_OFFSET (rxc_data_start_offset[clog2s(C_PCI_DATA_WIDTH/32)-1:0]), .RXC_DATA_WORD_ENABLE (rxc_data_word_enable[(C_PCI_DATA_WIDTH/32)-1:0]), .RXC_DATA_END_FLAG (rxc_data_end_flag), .RXC_DATA_END_OFFSET (rxc_data_end_offset[clog2s(C_PCI_DATA_WIDTH/32)-1:0]), .RXC_META_FDWBE (rxc_meta_fdwbe[`SIG_FBE_W-1:0]), .RXC_META_LDWBE (rxc_meta_ldwbe[`SIG_LBE_W-1:0]), .RXC_META_TAG (rxc_meta_tag[`SIG_TAG_W-1:0]), .RXC_META_ADDR (rxc_meta_addr[`SIG_LOWADDR_W-1:0]), .RXC_META_TYPE (rxc_meta_type[`SIG_TYPE_W-1:0]), .RXC_META_LENGTH (rxc_meta_length[`SIG_LEN_W-1:0]), .RXC_META_BYTES_REMAINING (rxc_meta_bytes_remaining[`SIG_BYTECNT_W-1:0]), .RXC_META_COMPLETER_ID (rxc_meta_completer_id[`SIG_CPLID_W-1:0]), .RXC_META_EP (rxc_meta_ep), .TXC_DATA_READY (txc_data_ready), .TXC_META_READY (txc_meta_ready), .TXC_SENT (txc_sent), .TXR_DATA_READY (txr_data_ready), .TXR_META_READY (txr_meta_ready), .TXR_SENT (txr_sent), .CONFIG_COMPLETER_ID (config_completer_id[`SIG_CPLID_W-1:0]), .CONFIG_BUS_MASTER_ENABLE (config_bus_master_enable), .CONFIG_LINK_WIDTH (config_link_width[`SIG_LINKWIDTH_W-1:0]), .CONFIG_LINK_RATE (config_link_rate[`SIG_LINKRATE_W-1:0]), .CONFIG_MAX_READ_REQUEST_SIZE (config_max_read_request_size[`SIG_MAXREAD_W-1:0]), .CONFIG_MAX_PAYLOAD_SIZE (config_max_payload_size[`SIG_MAXPAYLOAD_W-1:0]), .CONFIG_INTERRUPT_MSIENABLE (config_interrupt_msienable), .CONFIG_CPL_BOUNDARY_SEL (config_cpl_boundary_sel), .CONFIG_MAX_CPL_DATA (config_max_cpl_data[`SIG_FC_CPLD_W-1:0]), .CONFIG_MAX_CPL_HDR (config_max_cpl_hdr[`SIG_FC_CPLH_W-1:0]), .INTR_MSI_RDY (intr_msi_rdy), .DONE_TXC_RST (done_txc_rst), .DONE_TXR_RST (done_txr_rst), .RST_BUS (rst_in), /*AUTOINST*/ // Outputs .RST_OUT (RST_OUT), .CHNL_RX (CHNL_RX[C_NUM_CHNL-1:0]), .CHNL_RX_LAST (CHNL_RX_LAST[C_NUM_CHNL-1:0]), .CHNL_RX_LEN (CHNL_RX_LEN[(C_NUM_CHNL*32)-1:0]), .CHNL_RX_OFF (CHNL_RX_OFF[(C_NUM_CHNL*31)-1:0]), .CHNL_RX_DATA (CHNL_RX_DATA[(C_NUM_CHNL*C_PCI_DATA_WIDTH)-1:0]), .CHNL_RX_DATA_VALID (CHNL_RX_DATA_VALID[C_NUM_CHNL-1:0]), .CHNL_TX_ACK (CHNL_TX_ACK[C_NUM_CHNL-1:0]), .CHNL_TX_DATA_REN (CHNL_TX_DATA_REN[C_NUM_CHNL-1:0]), // Inputs .CHNL_RX_CLK (CHNL_RX_CLK[C_NUM_CHNL-1:0]), .CHNL_RX_ACK (CHNL_RX_ACK[C_NUM_CHNL-1:0]), .CHNL_RX_DATA_REN (CHNL_RX_DATA_REN[C_NUM_CHNL-1:0]), .CHNL_TX_CLK (CHNL_TX_CLK[C_NUM_CHNL-1:0]), .CHNL_TX (CHNL_TX[C_NUM_CHNL-1:0]), .CHNL_TX_LAST (CHNL_TX_LAST[C_NUM_CHNL-1:0]), .CHNL_TX_LEN (CHNL_TX_LEN[(C_NUM_CHNL*32)-1:0]), .CHNL_TX_OFF (CHNL_TX_OFF[(C_NUM_CHNL*31)-1:0]), .CHNL_TX_DATA (CHNL_TX_DATA[(C_NUM_CHNL*C_PCI_DATA_WIDTH)-1:0]), .CHNL_TX_DATA_VALID (CHNL_TX_DATA_VALID[C_NUM_CHNL-1:0])); endmodule // Local Variables: // verilog-library-directories:("../../riffa_hdl/") // End:
// ---------------------------------------------------------------------- // Copyright (c) 2016, The Regents of the University of California All // rights reserved. // // Redistribution and use in source and binary forms, with or without // modification, are permitted provided that the following conditions are // met: // // * Redistributions of source code must retain the above copyright // notice, this list of conditions and the following disclaimer. // // * Redistributions in binary form must reproduce the above // copyright notice, this list of conditions and the following // disclaimer in the documentation and/or other materials provided // with the distribution. // // * Neither the name of The Regents of the University of California // nor the names of its contributors may be used to endorse or // promote products derived from this software without specific // prior written permission. // // THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS // "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT // LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR // A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL REGENTS OF THE // UNIVERSITY OF CALIFORNIA BE LIABLE FOR ANY DIRECT, INDIRECT, // INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, // BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS // OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND // ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR // TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE // USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH // DAMAGE. // ---------------------------------------------------------------------- //---------------------------------------------------------------------------- // Filename: tx_port_monitor_32.v // Version: 1.00.a // Verilog Standard: Verilog-2001 // Description: Detects transaction open/close events from the stream // of data from the tx_port_channel_gate. Filters out events and passes data // onto the tx_port_buffer. // Author: Matt Jacobsen // History: @mattj: Version 2.0 //----------------------------------------------------------------------------- `define S_TXPORTMON32_NEXT 6'b00_0001 `define S_TXPORTMON32_EVT_2 6'b00_0010 `define S_TXPORTMON32_TXN 6'b00_0100 `define S_TXPORTMON32_READ 6'b00_1000 `define S_TXPORTMON32_END_0 6'b01_0000 `define S_TXPORTMON32_END_1 6'b10_0000 `timescale 1ns/1ns module tx_port_monitor_32 #( parameter C_DATA_WIDTH = 9'd32, parameter C_FIFO_DEPTH = 512, // Local parameters parameter C_FIFO_DEPTH_THRESH = (C_FIFO_DEPTH - 4), parameter C_FIFO_DEPTH_WIDTH = clog2((2**clog2(C_FIFO_DEPTH))+1), parameter C_VALID_HIST = 1 ) ( input RST, input CLK, input [C_DATA_WIDTH:0] EVT_DATA, // Event data from tx_port_channel_gate input EVT_DATA_EMPTY, // Event data FIFO is empty output EVT_DATA_RD_EN, // Event data FIFO read enable output [C_DATA_WIDTH-1:0] WR_DATA, // Output data output WR_EN, // Write enable for output data input [C_FIFO_DEPTH_WIDTH-1:0] WR_COUNT, // Output FIFO count output TXN, // Transaction parameters are valid input ACK, // Transaction parameter read, continue output LAST, // Channel last write output [31:0] LEN, // Channel write length (in 32 bit words) output [30:0] OFF, // Channel write offset output [31:0] WORDS_RECVD, // Count of data words received in transaction output DONE, // Transaction is closed input TX_ERR // Transaction encountered an error ); `include "functions.vh" (* syn_encoding = "user" *) (* fsm_encoding = "user" *) reg [5:0] rState=`S_TXPORTMON32_NEXT, _rState=`S_TXPORTMON32_NEXT; reg rRead=0, _rRead=0; reg [C_VALID_HIST-1:0] rDataValid={C_VALID_HIST{1'd0}}, _rDataValid={C_VALID_HIST{1'd0}}; reg rEvent=0, _rEvent=0; reg [31:0] rReadOffLast=0, _rReadOffLast=0; reg [31:0] rReadLen=0, _rReadLen=0; reg rReadCount=0, _rReadCount=0; reg [31:0] rWordsRecvd=0, _rWordsRecvd=0; reg [31:0] rWordsRecvdAdv=0, _rWordsRecvdAdv=0; reg rAlmostAllRecvd=0, _rAlmostAllRecvd=0; reg rAlmostFull=0, _rAlmostFull=0; reg rLenEQ0Hi=0, _rLenEQ0Hi=0; reg rLenEQ0Lo=0, _rLenEQ0Lo=0; reg rLenLE1Lo=0, _rLenLE1Lo=0; reg rTxErr=0, _rTxErr=0; wire wEventData = (rDataValid[0] & EVT_DATA[C_DATA_WIDTH]); wire wPayloadData = (rDataValid[0] & !EVT_DATA[C_DATA_WIDTH] & rState[3]); // S_TXPORTMON32_READ wire wAllWordsRecvd = ((rAlmostAllRecvd | (rLenEQ0Hi & rLenLE1Lo)) & wPayloadData); assign EVT_DATA_RD_EN = rRead; assign WR_DATA = EVT_DATA[C_DATA_WIDTH-1:0]; assign WR_EN = wPayloadData; assign TXN = rState[2]; // S_TXPORTMON32_TXN assign LAST = rReadOffLast[0]; assign OFF = rReadOffLast[31:1]; assign LEN = rReadLen; assign WORDS_RECVD = rWordsRecvd; assign DONE = !rState[3]; // !S_TXPORTMON32_READ // Buffer the input signals that come from outside the tx_port. always @ (posedge CLK) begin rTxErr <= #1 (RST ? 1'd0 : _rTxErr); end always @ (*) begin _rTxErr = TX_ERR; end // Transaction monitoring FSM. always @ (posedge CLK) begin rState <= #1 (RST ? `S_TXPORTMON32_NEXT : _rState); end always @ (*) begin _rState = rState; case (rState) `S_TXPORTMON32_NEXT: begin // Read, wait for start of transaction event if (rEvent) _rState = `S_TXPORTMON32_TXN; end `S_TXPORTMON32_EVT_2: begin // Read, wait for start of transaction event if (rEvent) _rState = `S_TXPORTMON32_TXN; end `S_TXPORTMON32_TXN: begin // Don't read, wait until transaction has been acknowledged if (ACK) _rState = ((rLenEQ0Hi && rLenEQ0Lo) ? `S_TXPORTMON32_END_0 : `S_TXPORTMON32_READ); end `S_TXPORTMON32_READ: begin // Continue reading, wait for end of transaction event or all expected data if (rEvent) _rState = `S_TXPORTMON32_END_1; else if (wAllWordsRecvd | rTxErr) _rState = `S_TXPORTMON32_END_0; end `S_TXPORTMON32_END_0: begin // Continue reading, wait for first end of transaction event if (rEvent) _rState = `S_TXPORTMON32_END_1; end `S_TXPORTMON32_END_1: begin // Continue reading, wait for second end of transaction event if (rEvent) _rState = `S_TXPORTMON32_NEXT; end default: begin _rState = `S_TXPORTMON32_NEXT; end endcase end // Manage reading from the FIFO and tracking amounts read. always @ (posedge CLK) begin rRead <= #1 (RST ? 1'd0 : _rRead); rDataValid <= #1 (RST ? {C_VALID_HIST{1'd0}} : _rDataValid); rEvent <= #1 (RST ? 1'd0 : _rEvent); rReadOffLast <= #1 _rReadOffLast; rReadLen <= #1 _rReadLen; rReadCount <= #1 (RST ? 1'd0 : _rReadCount); rWordsRecvd <= #1 _rWordsRecvd; rWordsRecvdAdv <= #1 _rWordsRecvdAdv; rAlmostAllRecvd <= #1 _rAlmostAllRecvd; rAlmostFull <= #1 _rAlmostFull; rLenEQ0Hi <= #1 _rLenEQ0Hi; rLenEQ0Lo <= #1 _rLenEQ0Lo; rLenLE1Lo <= #1 _rLenLE1Lo; end always @ (*) begin // Don't get to the full point in the output FIFO _rAlmostFull = (WR_COUNT >= C_FIFO_DEPTH_THRESH); // Track read history so we know when data is valid _rDataValid = ((rDataValid<<1) | (rRead & !EVT_DATA_EMPTY)); // Read until we get a (valid) event _rRead = (!rState[2] & !(rState[1] & rEvent) & !wEventData & !rAlmostFull); // !S_TXPORTMON32_TXN // Track detected events _rEvent = wEventData; // Save event data when valid if (wEventData) begin _rReadOffLast = (rReadCount ? EVT_DATA[C_DATA_WIDTH-1:0] : rReadOffLast); _rReadLen = (!rReadCount ? EVT_DATA[C_DATA_WIDTH-1:0] : rReadLen); _rReadCount = rReadCount + 1'd1; end else begin _rReadOffLast = rReadOffLast; _rReadLen = rReadLen; _rReadCount = rReadCount; end // If LEN == 0, we don't want to send any data to the output _rLenEQ0Hi = (LEN[31:16] == 16'd0); _rLenEQ0Lo = (LEN[15:0] == 16'd0); // If LEN <= 1, we want to trigger the almost all received flag _rLenLE1Lo = (LEN[15:0] <= 16'd1); // Count received non-event data _rWordsRecvd = (ACK ? 0 : rWordsRecvd + wPayloadData); _rWordsRecvdAdv = (ACK ? 2*(C_DATA_WIDTH/32) : rWordsRecvdAdv + wPayloadData); _rAlmostAllRecvd = ((rWordsRecvdAdv >= LEN) && wPayloadData); end /* wire [35:0] wControl0; chipscope_icon_1 cs_icon( .CONTROL0(wControl0) ); chipscope_ila_t8_512 a0( .CLK(CLK), .CONTROL(wControl0), .TRIG0({TXN, wPayloadData, wEventData, rState}), .DATA({297'd0, WR_COUNT, // 10 wPayloadData, // 1 EVT_DATA_RD_EN, // 1 RST, // 1 rTxErr, // 1 wEventData, // 1 rReadData, // 64 OFF, // 31 LEN, // 32 LAST, // 1 TXN, // 1 EVT_DATA_EMPTY, // 1 EVT_DATA, // 65 rState}) // 5 ); */ endmodule
// ---------------------------------------------------------------------- // Copyright (c) 2016, The Regents of the University of California All // rights reserved. // // Redistribution and use in source and binary forms, with or without // modification, are permitted provided that the following conditions are // met: // // * Redistributions of source code must retain the above copyright // notice, this list of conditions and the following disclaimer. // // * Redistributions in binary form must reproduce the above // copyright notice, this list of conditions and the following // disclaimer in the documentation and/or other materials provided // with the distribution. // // * Neither the name of The Regents of the University of California // nor the names of its contributors may be used to endorse or // promote products derived from this software without specific // prior written permission. // // THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS // "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT // LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR // A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL REGENTS OF THE // UNIVERSITY OF CALIFORNIA BE LIABLE FOR ANY DIRECT, INDIRECT, // INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, // BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS // OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND // ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR // TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE // USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH // DAMAGE. // ---------------------------------------------------------------------- `include "trellis.vh" `include "riffa.vh" module registers #(parameter C_PCI_DATA_WIDTH = 128, parameter C_NUM_CHNL = 12, parameter C_MAX_READ_REQ_BYTES = 512, // Max size of read requests (in bytes) parameter C_VENDOR = "ALTERA", parameter C_NUM_VECTORS = 2, parameter C_VECTOR_WIDTH = 32, parameter C_FPGA_NAME = "FPGA", parameter C_PIPELINE_OUTPUT= 1, parameter C_PIPELINE_INPUT= 1) ( // Interface: Clocks input CLK, // Interface: Resets input RST_IN, // Interface: RXR Engine input [C_PCI_DATA_WIDTH-1:0] RXR_DATA, input RXR_DATA_VALID, input RXR_DATA_START_FLAG, input [clog2s(C_PCI_DATA_WIDTH/32)-1:0] RXR_DATA_START_OFFSET, input [`SIG_FBE_W-1:0] RXR_META_FDWBE, input RXR_DATA_END_FLAG, input [clog2s(C_PCI_DATA_WIDTH/32)-1:0] RXR_DATA_END_OFFSET, input [`SIG_LBE_W-1:0] RXR_META_LDWBE, input [`SIG_TC_W-1:0] RXR_META_TC, input [`SIG_ATTR_W-1:0] RXR_META_ATTR, input [`SIG_TAG_W-1:0] RXR_META_TAG, input [`SIG_TYPE_W-1:0] RXR_META_TYPE, input [`SIG_ADDR_W-1:0] RXR_META_ADDR, input [`SIG_BARDECODE_W-1:0] RXR_META_BAR_DECODED, input [`SIG_REQID_W-1:0] RXR_META_REQUESTER_ID, input [`SIG_LEN_W-1:0] RXR_META_LENGTH, // Interface: TXC Engine output TXC_DATA_VALID, output [C_PCI_DATA_WIDTH-1:0] TXC_DATA, output TXC_DATA_START_FLAG, output [clog2s(C_PCI_DATA_WIDTH/32)-1:0] TXC_DATA_START_OFFSET, output TXC_DATA_END_FLAG, output [clog2s(C_PCI_DATA_WIDTH/32)-1:0] TXC_DATA_END_OFFSET, input TXC_DATA_READY, output TXC_META_VALID, output [`SIG_FBE_W-1:0] TXC_META_FDWBE, output [`SIG_LBE_W-1:0] TXC_META_LDWBE, output [`SIG_LOWADDR_W-1:0] TXC_META_ADDR, output [`SIG_TYPE_W-1:0] TXC_META_TYPE, output [`SIG_LEN_W-1:0] TXC_META_LENGTH, output [`SIG_BYTECNT_W-1:0] TXC_META_BYTE_COUNT, output [`SIG_TAG_W-1:0] TXC_META_TAG, output [`SIG_REQID_W-1:0] TXC_META_REQUESTER_ID, output [`SIG_TC_W-1:0] TXC_META_TC, output [`SIG_ATTR_W-1:0] TXC_META_ATTR, output TXC_META_EP, input TXC_META_READY, // Interface: Channel - WR output [31:0] CHNL_REQ_DATA, output [C_NUM_CHNL-1:0] CHNL_SGRX_LEN_VALID, output [C_NUM_CHNL-1:0] CHNL_SGRX_ADDRLO_VALID, output [C_NUM_CHNL-1:0] CHNL_SGRX_ADDRHI_VALID, output [C_NUM_CHNL-1:0] CHNL_SGTX_LEN_VALID, output [C_NUM_CHNL-1:0] CHNL_SGTX_ADDRLO_VALID, output [C_NUM_CHNL-1:0] CHNL_SGTX_ADDRHI_VALID, output [C_NUM_CHNL-1:0] CHNL_RX_LEN_VALID, output [C_NUM_CHNL-1:0] CHNL_RX_OFFLAST_VALID, // Interface: Channel - RD input [(`SIG_TXRLEN_W*C_NUM_CHNL)-1:0] CHNL_TX_REQLEN, input [(`SIG_OFFLAST_W*C_NUM_CHNL)-1:0] CHNL_TX_OFFLAST, input [(`SIG_TXDONELEN_W*C_NUM_CHNL)-1:0] CHNL_TX_DONELEN, input [(`SIG_RXDONELEN_W*C_NUM_CHNL)-1:0] CHNL_RX_DONELEN, input [`SIG_CORESETTINGS_W-1:0] CORE_SETTINGS, output [C_NUM_CHNL-1:0] CHNL_TX_LEN_READY, output [C_NUM_CHNL-1:0] CHNL_TX_OFFLAST_READY, output CORE_SETTINGS_READY, output [C_NUM_VECTORS-1:0] INTR_VECTOR_READY, output [C_NUM_CHNL-1:0] CHNL_TX_DONE_READY, output [C_NUM_CHNL-1:0] CHNL_RX_DONE_READY, output CHNL_NAME_READY, // Interface: Interrupt Vectors input [C_NUM_VECTORS*C_VECTOR_WIDTH-1:0] INTR_VECTOR ); localparam C_ADDR_RANGE = 256; localparam C_ARRAY_LENGTH = (32*C_ADDR_RANGE)/C_PCI_DATA_WIDTH; localparam C_NAME_WIDTH = 32; localparam C_FIELDS_WIDTH = 4; localparam C_OUTPUT_STAGES = C_PIPELINE_OUTPUT > 0 ? 1:0; localparam C_INPUT_STAGES = C_PIPELINE_INPUT > 0 ? 1:0; localparam C_TXC_REGISTER_WIDTH = C_PCI_DATA_WIDTH + 2*(1 + clog2(C_PCI_DATA_WIDTH/32) + `SIG_FBE_W) + `SIG_LOWADDR_W + `SIG_TYPE_W + `SIG_LEN_W + `SIG_BYTECNT_W + `SIG_TAG_W + `SIG_REQID_W + `SIG_TC_W + `SIG_ATTR_W + 1; localparam C_RXR_REGISTER_WIDTH = C_PCI_DATA_WIDTH + 2*(1 + clog2(C_PCI_DATA_WIDTH/32) + `SIG_FBE_W) + `SIG_ADDR_W + `SIG_TYPE_W + `SIG_LEN_W + `SIG_TAG_W + `SIG_REQID_W + `SIG_TC_W + `SIG_ATTR_W; // The Mem/IO read/write address space should be at least 8 bits wide. This // means we'll need at least 10 bits of BAR 0, at least 1024 bytes. The bottom // two bits must always be zero (i.e. all addresses are 4 byte word aligned). // The Mem/IO read/write address space is partitioned as illustrated below. // {CHANNEL_NUM} {DATA_OFFSETS} {ZERO} // ------4-------------4-----------2-- // The lower 2 bits are always zero. The middle 4 bits are used according to // the listing below. The top 4 bits differentiate between channels for values // defined in the table below. // 0000 = Length of SG buffer for RX transaction (Write only) // 0001 = PC low address of SG buffer for RX transaction (Write only) // 0010 = PC high address of SG buffer for RX transaction (Write only) // 0011 = Transfer length for RX transaction (Write only) // 0100 = Offset/Last for RX transaction (Write only) // 0101 = Length of SG buffer for TX transaction (Write only) // 0110 = PC low address of SG buffer for TX transaction (Write only) // 0111 = PC high address of SG buffer for TX transaction (Write only) // 1000 = Transfer length for TX transaction (Read only) (ACK'd on read) // 1001 = Offset/Last for TX transaction (Read only) // 1010 = Link rate, link width, bus master enabled, number of channels (Read only) // 1011 = Interrupt vector 1 (Read only) (Reset on read) // 1100 = Interrupt vector 2 (Read only) (Reset on read) // 1101 = Transferred length for RX transaction (Read only) (ACK'd on read) // 1110 = Transferred length for TX transaction (Read only) (ACK'd on read) // 1111 = Name of FPGA (Read only) wire [31:0] __wRdMemory[C_ADDR_RANGE-1:0]; wire [32*C_ADDR_RANGE-1:0] _wRdMemory; wire [C_PCI_DATA_WIDTH-1:0] wRdMemory[C_ARRAY_LENGTH-1:0]; wire [C_PCI_DATA_WIDTH-1:0] wRxrData; wire wRxrDataValid; wire wRxrDataStartFlag; wire [clog2s(C_PCI_DATA_WIDTH/32)-1:0] wRxrDataStartOffset; wire [`SIG_FBE_W-1:0] wRxrMetaFdwbe; wire wRxrDataEndFlag; wire [clog2s(C_PCI_DATA_WIDTH/32)-1:0] wRxrDataEndOffset; wire [`SIG_LBE_W-1:0] wRxrMetaLdwbe; wire [`SIG_TC_W-1:0] wRxrMetaTc; wire [`SIG_ATTR_W-1:0] wRxrMetaAttr; wire [`SIG_TAG_W-1:0] wRxrMetaTag; wire [`SIG_TYPE_W-1:0] wRxrMetaType; wire [`SIG_ADDR_W-1:0] wRxrMetaAddr; wire [`SIG_REQID_W-1:0] wRxrMetaRequesterId; wire [`SIG_LEN_W-1:0] wRxrMetaLength; wire [C_PCI_DATA_WIDTH-1:0] wTxcData; wire wTxcDataValid; wire wTxcDataStartFlag; wire [clog2s(C_PCI_DATA_WIDTH/32)-1:0] wTxcDataStartOffset; wire [`SIG_FBE_W-1:0] wTxcMetaFdwbe; wire wTxcDataEndFlag; wire [clog2s(C_PCI_DATA_WIDTH/32)-1:0] wTxcDataEndOffset; wire [`SIG_LBE_W-1:0] wTxcMetaLdwbe; wire [`SIG_LOWADDR_W-1:0] wTxcMetaAddr; wire [`SIG_TYPE_W-1:0] wTxcMetaType; wire [`SIG_LEN_W-1:0] wTxcMetaLength; wire [`SIG_BYTECNT_W-1:0] wTxcMetaByteCount; wire [`SIG_TAG_W-1:0] wTxcMetaTag; wire [`SIG_REQID_W-1:0] wTxcMetaRequesterId; wire [`SIG_TC_W-1:0] wTxcMetaTc; wire [`SIG_ATTR_W-1:0] wTxcMetaAttr; wire wTxcMetaEp; wire wTxcDataReady; wire [clog2s(C_NUM_CHNL)-1:0] wReqChnl; wire [C_FIELDS_WIDTH-1:0] wReqField; wire [(1<<C_FIELDS_WIDTH)-1:0] wReqFieldDemux; wire [C_NUM_CHNL-1:0] wChnlSgrxLenValid; wire [C_NUM_CHNL-1:0] wChnlSgrxAddrLowValid; wire [C_NUM_CHNL-1:0] wChnlSgrxAddrHiValid; wire [C_NUM_CHNL-1:0] wChnlSgtxLenValid; wire [C_NUM_CHNL-1:0] wChnlSgtxAddrLowValid; wire [C_NUM_CHNL-1:0] wChnlSgtxAddrHiValid; wire [C_NUM_CHNL-1:0] wChnlRxLenValid; wire [C_NUM_CHNL-1:0] wChnlRxOfflastValid; wire [C_NUM_CHNL-1:0] wChnlTxLenReady; wire [C_NUM_CHNL-1:0] wChnlTxOfflastReady; wire [`SIG_CORESETTINGS_W-1:0] wCoreSettings; wire wCoreSettingsReady; wire [C_NUM_VECTORS - 1 : 0] wInterVectorReady; wire [C_NUM_CHNL-1:0] wChnlTxDoneReady; wire [C_NUM_CHNL-1:0] wChnlRxDoneReady; wire wChnlNameReady; wire [31:0] wChnlReqData; genvar addr; genvar channel; genvar vector; assign wReqChnl = wRxrMetaAddr[(C_FIELDS_WIDTH + 2) +:clog2s(C_NUM_CHNL)]; assign wReqField = wRxrMetaAddr[2 +: C_FIELDS_WIDTH]; assign wChnlReqData[31:0] = wRxrData[32*wRxrDataStartOffset +: 32]; /* verilator lint_off WIDTH */ assign __wRdMemory[`ADDR_CORESETTINGS] = CORE_SETTINGS; assign __wRdMemory[`ADDR_INTR_VECTOR_0] = INTR_VECTOR[C_VECTOR_WIDTH*0 +: C_VECTOR_WIDTH]; assign __wRdMemory[`ADDR_INTR_VECTOR_1] = INTR_VECTOR[C_VECTOR_WIDTH*1 +: C_VECTOR_WIDTH]; assign __wRdMemory[`ADDR_FPGA_NAME] = {" ",C_FPGA_NAME}; /* verilator lint_on WIDTH */ assign wTxcData = {{(C_PCI_DATA_WIDTH-32){1'b0}},__wRdMemory[{wReqChnl,wReqField}]}; assign wTxcDataValid = wRxrDataValid & wRxrMetaType == `TRLS_REQ_RD; assign wTxcDataStartFlag = 1; assign wTxcDataStartOffset = 0; assign wTxcMetaFdwbe = 4'b1111; assign wTxcDataEndFlag = 1; assign wTxcDataEndOffset = 0; assign wTxcMetaLdwbe = 4'b0000; assign wTxcMetaAddr = wRxrMetaAddr[`SIG_LOWADDR_W-1:0]; assign wTxcMetaType = `TRLS_CPL_WD; assign wTxcMetaLength = 1; assign wTxcMetaByteCount = 4; assign wTxcMetaTag = wRxrMetaTag; assign wTxcMetaRequesterId = wRxrMetaRequesterId; assign wTxcMetaTc = wRxrMetaTc; assign wTxcMetaAttr = wRxrMetaAttr; assign wTxcMetaEp = 0; generate for(channel = 0; channel < C_NUM_CHNL ; channel = channel + 1) begin : gen__wRdMemory assign __wRdMemory[{channel[27:0] , `ADDR_TX_LEN}] = CHNL_TX_REQLEN[32*channel +: 32]; assign __wRdMemory[{channel[27:0] , `ADDR_TX_OFFLAST}] = CHNL_TX_OFFLAST[32*channel +: 32]; assign __wRdMemory[{channel[27:0] , `ADDR_RX_LEN_XFERD}] = CHNL_RX_DONELEN[32*channel +: 32]; assign __wRdMemory[{channel[27:0] , `ADDR_TX_LEN_XFERD}] = CHNL_TX_DONELEN[32*channel +: 32]; end for(addr = 0 ; addr < C_ADDR_RANGE ; addr = addr + 1) begin : gen_wRdMemory assign _wRdMemory[(addr*32) +: 32] = __wRdMemory[addr]; end for(addr = 0 ; addr < C_ARRAY_LENGTH ; addr = addr + 1) begin : genwRdMemory assign wRdMemory[addr] = _wRdMemory[(addr*C_PCI_DATA_WIDTH) +: C_PCI_DATA_WIDTH]; end endgenerate assign wChnlNameReady = wReqFieldDemux[`ADDR_FPGA_NAME]; assign wCoreSettingsReady = wReqFieldDemux[`ADDR_CORESETTINGS]; assign wInterVectorReady[0] = wReqFieldDemux[`ADDR_INTR_VECTOR_0]; assign wInterVectorReady[1] = wReqFieldDemux[`ADDR_INTR_VECTOR_1]; assign TXC_META_VALID = TXC_DATA_VALID; pipeline #( // Parameters .C_DEPTH (C_INPUT_STAGES), .C_WIDTH (C_RXR_REGISTER_WIDTH), .C_USE_MEMORY (0) /*AUTOINSTPARAM*/) rxr_input_register (// Outputs .WR_DATA_READY (),// Unconnected .RD_DATA ({wRxrData, wRxrDataStartFlag, wRxrDataStartOffset, wRxrMetaFdwbe, wRxrDataEndFlag, wRxrDataEndOffset, wRxrMetaLdwbe, wRxrMetaAddr, wRxrMetaType, wRxrMetaLength, wRxrMetaTag, wRxrMetaRequesterId, wRxrMetaTc, wRxrMetaAttr}), .RD_DATA_VALID (wRxrDataValid), // Inputs .WR_DATA ({RXR_DATA, RXR_DATA_START_FLAG, RXR_DATA_START_OFFSET, RXR_META_FDWBE, RXR_DATA_END_FLAG, RXR_DATA_END_OFFSET, RXR_META_LDWBE, RXR_META_ADDR, RXR_META_TYPE, RXR_META_LENGTH, RXR_META_TAG, RXR_META_REQUESTER_ID, RXR_META_TC, RXR_META_ATTR}), .WR_DATA_VALID (RXR_DATA_VALID), .RD_DATA_READY (1), /*AUTOINST*/ // Inputs .CLK (CLK), .RST_IN (RST_IN)); demux #( // Parameters .C_OUTPUTS (1<<C_FIELDS_WIDTH), .C_WIDTH (1) /*AUTOINSTPARAM*/) field_demux ( // Outputs .RD_DATA (wReqFieldDemux), // Inputs .WR_DATA (wRxrDataValid), .WR_SEL (wReqField) /*AUTOINST*/); demux #( // Parameters .C_OUTPUTS (C_NUM_CHNL), .C_WIDTH (1) /*AUTOINSTPARAM*/) tx_len_ready_demux ( // Outputs .RD_DATA (wChnlTxLenReady), // Inputs .WR_DATA (wReqFieldDemux[`ADDR_TX_LEN]), .WR_SEL (wReqChnl) /*AUTOINST*/); demux #( // Parameters .C_OUTPUTS (C_NUM_CHNL), .C_WIDTH (1) /*AUTOINSTPARAM*/) tx_offlast_ready_demux ( // Outputs .RD_DATA (wChnlTxOfflastReady), // Inputs .WR_DATA (wReqFieldDemux[`ADDR_TX_OFFLAST]), .WR_SEL (wReqChnl) /*AUTOINST*/); demux #( // Parameters .C_OUTPUTS (C_NUM_CHNL), .C_WIDTH (1) /*AUTOINSTPARAM*/) rxdone_demux ( // Outputs .RD_DATA (wChnlRxDoneReady), // Inputs .WR_DATA (wReqFieldDemux[`ADDR_RX_LEN_XFERD]), .WR_SEL (wReqChnl) /*AUTOINST*/); demux #( // Parameters .C_OUTPUTS (C_NUM_CHNL), .C_WIDTH (1) /*AUTOINSTPARAM*/) txdone_demux ( // Outputs .RD_DATA (wChnlTxDoneReady), // Inputs .WR_DATA (wReqFieldDemux[`ADDR_TX_LEN_XFERD]), .WR_SEL (wReqChnl) /*AUTOINST*/); demux #( // Parameters .C_OUTPUTS (C_NUM_CHNL), .C_WIDTH (1) /*AUTOINSTPARAM*/) rx_len_demux ( // Outputs .RD_DATA (wChnlRxLenValid), // Inputs .WR_DATA (wReqFieldDemux[`ADDR_RX_LEN]), .WR_SEL (wReqChnl) /*AUTOINST*/); demux #( // Parameters .C_OUTPUTS (C_NUM_CHNL), .C_WIDTH (1) /*AUTOINSTPARAM*/) rx_offlast_demux ( // Outputs .RD_DATA (wChnlRxOfflastValid), // Inputs .WR_DATA (wReqFieldDemux[`ADDR_RX_OFFLAST]), .WR_SEL (wReqChnl) /*AUTOINST*/); demux #( // Parameters .C_OUTPUTS (C_NUM_CHNL), .C_WIDTH (1) /*AUTOINSTPARAM*/) sgtx_addrhi_demux ( // Outputs .RD_DATA (wChnlSgtxAddrHiValid), // Inputs .WR_DATA (wReqFieldDemux[`ADDR_SGTX_ADDRHI]), .WR_SEL (wReqChnl) /*AUTOINST*/); demux #( // Parameters .C_OUTPUTS (C_NUM_CHNL), .C_WIDTH (1) /*AUTOINSTPARAM*/) sgtx_addrlo_demux ( // Outputs .RD_DATA (wChnlSgtxAddrLowValid), // Inputs .WR_DATA (wReqFieldDemux[`ADDR_SGTX_ADDRLO]), .WR_SEL (wReqChnl) /*AUTOINST*/); demux #( // Parameters .C_OUTPUTS (C_NUM_CHNL), .C_WIDTH (1) /*AUTOINSTPARAM*/) sgtxlen_demux ( // Outputs .RD_DATA (wChnlSgtxLenValid), // Inputs .WR_DATA (wReqFieldDemux[`ADDR_SGTX_LEN]), .WR_SEL (wReqChnl) /*AUTOINST*/); demux #( // Parameters .C_OUTPUTS (C_NUM_CHNL), .C_WIDTH (1) /*AUTOINSTPARAM*/) sgrx_addrhi_demux ( // Outputs .RD_DATA (wChnlSgrxAddrHiValid), // Inputs .WR_DATA (wReqFieldDemux[`ADDR_SGRX_ADDRHI]), .WR_SEL (wReqChnl) /*AUTOINST*/); demux #( // Parameters .C_OUTPUTS (C_NUM_CHNL), .C_WIDTH (1) /*AUTOINSTPARAM*/) sgrx_addrlo_demux ( // Outputs .RD_DATA (wChnlSgrxAddrLowValid), // Inputs .WR_DATA (wReqFieldDemux[`ADDR_SGRX_ADDRLO]), .WR_SEL (wReqChnl) /*AUTOINST*/); demux #( // Parameters .C_OUTPUTS (C_NUM_CHNL), .C_WIDTH (1) /*AUTOINSTPARAM*/) sgrxlen_demux ( // Outputs .RD_DATA (wChnlSgrxLenValid), // Inputs .WR_DATA (wReqFieldDemux[`ADDR_SGRX_LEN]), .WR_SEL (wReqChnl) /*AUTOINST*/); pipeline #( // Parameters .C_DEPTH (C_OUTPUT_STAGES), .C_WIDTH (12*C_NUM_CHNL + C_NUM_VECTORS + 2 + 32), .C_USE_MEMORY (0) /*AUTOINSTPARAM*/) chnl_output_register ( // Outputs .WR_DATA_READY (),// Unconnected .RD_DATA ({CHNL_TX_LEN_READY, CHNL_TX_OFFLAST_READY, CORE_SETTINGS_READY, INTR_VECTOR_READY, CHNL_TX_DONE_READY, CHNL_RX_DONE_READY, CHNL_NAME_READY,CHNL_SGRX_LEN_VALID, CHNL_SGRX_ADDRLO_VALID, CHNL_SGRX_ADDRHI_VALID, CHNL_SGTX_LEN_VALID, CHNL_SGTX_ADDRLO_VALID, CHNL_SGTX_ADDRHI_VALID, CHNL_RX_LEN_VALID, CHNL_RX_OFFLAST_VALID, CHNL_REQ_DATA}), .RD_DATA_VALID (), // Inputs .WR_DATA ({wChnlTxLenReady, wChnlTxOfflastReady, wCoreSettingsReady, wInterVectorReady, wChnlTxDoneReady, wChnlRxDoneReady, wChnlNameReady,wChnlSgrxLenValid,wChnlSgrxAddrLowValid,wChnlSgrxAddrHiValid, wChnlSgtxLenValid,wChnlSgtxAddrLowValid,wChnlSgtxAddrHiValid, wChnlRxLenValid,wChnlRxOfflastValid, wChnlReqData}), .WR_DATA_VALID (1), .RD_DATA_READY (1), /*AUTOINST*/ // Inputs .CLK (CLK), .RST_IN (RST_IN)); pipeline #( // Parameters .C_DEPTH (C_OUTPUT_STAGES), .C_WIDTH (C_TXC_REGISTER_WIDTH), .C_USE_MEMORY (0) /*AUTOINSTPARAM*/) txc_output_register ( // Outputs .WR_DATA_READY (),// Unconnected .RD_DATA ({TXC_DATA, TXC_DATA_START_FLAG, TXC_DATA_START_OFFSET, TXC_META_FDWBE, TXC_DATA_END_FLAG, TXC_DATA_END_OFFSET, TXC_META_LDWBE, TXC_META_ADDR, TXC_META_TYPE, TXC_META_LENGTH, TXC_META_BYTE_COUNT, TXC_META_TAG, TXC_META_REQUESTER_ID, TXC_META_TC, TXC_META_ATTR, TXC_META_EP}), .RD_DATA_VALID (TXC_DATA_VALID), // Inputs .WR_DATA ({wTxcData, wTxcDataStartFlag, wTxcDataStartOffset, wTxcMetaFdwbe, wTxcDataEndFlag, wTxcDataEndOffset, wTxcMetaLdwbe, wTxcMetaAddr, wTxcMetaType, wTxcMetaLength, wTxcMetaByteCount, wTxcMetaTag, wTxcMetaRequesterId, wTxcMetaTc, wTxcMetaAttr, wTxcMetaEp}), .WR_DATA_VALID (wTxcDataValid), .RD_DATA_READY (TXC_DATA_READY), /*AUTOINST*/ // Inputs .CLK (CLK), .RST_IN (RST_IN)); endmodule // Local Variables: // verilog-library-directories:("." "../common/") // End:
// ---------------------------------------------------------------------- // Copyright (c) 2016, The Regents of the University of California All // rights reserved. // // Redistribution and use in source and binary forms, with or without // modification, are permitted provided that the following conditions are // met: // // * Redistributions of source code must retain the above copyright // notice, this list of conditions and the following disclaimer. // // * Redistributions in binary form must reproduce the above // copyright notice, this list of conditions and the following // disclaimer in the documentation and/or other materials provided // with the distribution. // // * Neither the name of The Regents of the University of California // nor the names of its contributors may be used to endorse or // promote products derived from this software without specific // prior written permission. // // THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS // "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT // LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR // A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL REGENTS OF THE // UNIVERSITY OF CALIFORNIA BE LIABLE FOR ANY DIRECT, INDIRECT, // INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, // BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS // OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND // ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR // TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE // USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH // DAMAGE. // ---------------------------------------------------------------------- `include "trellis.vh" `include "riffa.vh" module registers #(parameter C_PCI_DATA_WIDTH = 128, parameter C_NUM_CHNL = 12, parameter C_MAX_READ_REQ_BYTES = 512, // Max size of read requests (in bytes) parameter C_VENDOR = "ALTERA", parameter C_NUM_VECTORS = 2, parameter C_VECTOR_WIDTH = 32, parameter C_FPGA_NAME = "FPGA", parameter C_PIPELINE_OUTPUT= 1, parameter C_PIPELINE_INPUT= 1) ( // Interface: Clocks input CLK, // Interface: Resets input RST_IN, // Interface: RXR Engine input [C_PCI_DATA_WIDTH-1:0] RXR_DATA, input RXR_DATA_VALID, input RXR_DATA_START_FLAG, input [clog2s(C_PCI_DATA_WIDTH/32)-1:0] RXR_DATA_START_OFFSET, input [`SIG_FBE_W-1:0] RXR_META_FDWBE, input RXR_DATA_END_FLAG, input [clog2s(C_PCI_DATA_WIDTH/32)-1:0] RXR_DATA_END_OFFSET, input [`SIG_LBE_W-1:0] RXR_META_LDWBE, input [`SIG_TC_W-1:0] RXR_META_TC, input [`SIG_ATTR_W-1:0] RXR_META_ATTR, input [`SIG_TAG_W-1:0] RXR_META_TAG, input [`SIG_TYPE_W-1:0] RXR_META_TYPE, input [`SIG_ADDR_W-1:0] RXR_META_ADDR, input [`SIG_BARDECODE_W-1:0] RXR_META_BAR_DECODED, input [`SIG_REQID_W-1:0] RXR_META_REQUESTER_ID, input [`SIG_LEN_W-1:0] RXR_META_LENGTH, // Interface: TXC Engine output TXC_DATA_VALID, output [C_PCI_DATA_WIDTH-1:0] TXC_DATA, output TXC_DATA_START_FLAG, output [clog2s(C_PCI_DATA_WIDTH/32)-1:0] TXC_DATA_START_OFFSET, output TXC_DATA_END_FLAG, output [clog2s(C_PCI_DATA_WIDTH/32)-1:0] TXC_DATA_END_OFFSET, input TXC_DATA_READY, output TXC_META_VALID, output [`SIG_FBE_W-1:0] TXC_META_FDWBE, output [`SIG_LBE_W-1:0] TXC_META_LDWBE, output [`SIG_LOWADDR_W-1:0] TXC_META_ADDR, output [`SIG_TYPE_W-1:0] TXC_META_TYPE, output [`SIG_LEN_W-1:0] TXC_META_LENGTH, output [`SIG_BYTECNT_W-1:0] TXC_META_BYTE_COUNT, output [`SIG_TAG_W-1:0] TXC_META_TAG, output [`SIG_REQID_W-1:0] TXC_META_REQUESTER_ID, output [`SIG_TC_W-1:0] TXC_META_TC, output [`SIG_ATTR_W-1:0] TXC_META_ATTR, output TXC_META_EP, input TXC_META_READY, // Interface: Channel - WR output [31:0] CHNL_REQ_DATA, output [C_NUM_CHNL-1:0] CHNL_SGRX_LEN_VALID, output [C_NUM_CHNL-1:0] CHNL_SGRX_ADDRLO_VALID, output [C_NUM_CHNL-1:0] CHNL_SGRX_ADDRHI_VALID, output [C_NUM_CHNL-1:0] CHNL_SGTX_LEN_VALID, output [C_NUM_CHNL-1:0] CHNL_SGTX_ADDRLO_VALID, output [C_NUM_CHNL-1:0] CHNL_SGTX_ADDRHI_VALID, output [C_NUM_CHNL-1:0] CHNL_RX_LEN_VALID, output [C_NUM_CHNL-1:0] CHNL_RX_OFFLAST_VALID, // Interface: Channel - RD input [(`SIG_TXRLEN_W*C_NUM_CHNL)-1:0] CHNL_TX_REQLEN, input [(`SIG_OFFLAST_W*C_NUM_CHNL)-1:0] CHNL_TX_OFFLAST, input [(`SIG_TXDONELEN_W*C_NUM_CHNL)-1:0] CHNL_TX_DONELEN, input [(`SIG_RXDONELEN_W*C_NUM_CHNL)-1:0] CHNL_RX_DONELEN, input [`SIG_CORESETTINGS_W-1:0] CORE_SETTINGS, output [C_NUM_CHNL-1:0] CHNL_TX_LEN_READY, output [C_NUM_CHNL-1:0] CHNL_TX_OFFLAST_READY, output CORE_SETTINGS_READY, output [C_NUM_VECTORS-1:0] INTR_VECTOR_READY, output [C_NUM_CHNL-1:0] CHNL_TX_DONE_READY, output [C_NUM_CHNL-1:0] CHNL_RX_DONE_READY, output CHNL_NAME_READY, // Interface: Interrupt Vectors input [C_NUM_VECTORS*C_VECTOR_WIDTH-1:0] INTR_VECTOR ); localparam C_ADDR_RANGE = 256; localparam C_ARRAY_LENGTH = (32*C_ADDR_RANGE)/C_PCI_DATA_WIDTH; localparam C_NAME_WIDTH = 32; localparam C_FIELDS_WIDTH = 4; localparam C_OUTPUT_STAGES = C_PIPELINE_OUTPUT > 0 ? 1:0; localparam C_INPUT_STAGES = C_PIPELINE_INPUT > 0 ? 1:0; localparam C_TXC_REGISTER_WIDTH = C_PCI_DATA_WIDTH + 2*(1 + clog2(C_PCI_DATA_WIDTH/32) + `SIG_FBE_W) + `SIG_LOWADDR_W + `SIG_TYPE_W + `SIG_LEN_W + `SIG_BYTECNT_W + `SIG_TAG_W + `SIG_REQID_W + `SIG_TC_W + `SIG_ATTR_W + 1; localparam C_RXR_REGISTER_WIDTH = C_PCI_DATA_WIDTH + 2*(1 + clog2(C_PCI_DATA_WIDTH/32) + `SIG_FBE_W) + `SIG_ADDR_W + `SIG_TYPE_W + `SIG_LEN_W + `SIG_TAG_W + `SIG_REQID_W + `SIG_TC_W + `SIG_ATTR_W; // The Mem/IO read/write address space should be at least 8 bits wide. This // means we'll need at least 10 bits of BAR 0, at least 1024 bytes. The bottom // two bits must always be zero (i.e. all addresses are 4 byte word aligned). // The Mem/IO read/write address space is partitioned as illustrated below. // {CHANNEL_NUM} {DATA_OFFSETS} {ZERO} // ------4-------------4-----------2-- // The lower 2 bits are always zero. The middle 4 bits are used according to // the listing below. The top 4 bits differentiate between channels for values // defined in the table below. // 0000 = Length of SG buffer for RX transaction (Write only) // 0001 = PC low address of SG buffer for RX transaction (Write only) // 0010 = PC high address of SG buffer for RX transaction (Write only) // 0011 = Transfer length for RX transaction (Write only) // 0100 = Offset/Last for RX transaction (Write only) // 0101 = Length of SG buffer for TX transaction (Write only) // 0110 = PC low address of SG buffer for TX transaction (Write only) // 0111 = PC high address of SG buffer for TX transaction (Write only) // 1000 = Transfer length for TX transaction (Read only) (ACK'd on read) // 1001 = Offset/Last for TX transaction (Read only) // 1010 = Link rate, link width, bus master enabled, number of channels (Read only) // 1011 = Interrupt vector 1 (Read only) (Reset on read) // 1100 = Interrupt vector 2 (Read only) (Reset on read) // 1101 = Transferred length for RX transaction (Read only) (ACK'd on read) // 1110 = Transferred length for TX transaction (Read only) (ACK'd on read) // 1111 = Name of FPGA (Read only) wire [31:0] __wRdMemory[C_ADDR_RANGE-1:0]; wire [32*C_ADDR_RANGE-1:0] _wRdMemory; wire [C_PCI_DATA_WIDTH-1:0] wRdMemory[C_ARRAY_LENGTH-1:0]; wire [C_PCI_DATA_WIDTH-1:0] wRxrData; wire wRxrDataValid; wire wRxrDataStartFlag; wire [clog2s(C_PCI_DATA_WIDTH/32)-1:0] wRxrDataStartOffset; wire [`SIG_FBE_W-1:0] wRxrMetaFdwbe; wire wRxrDataEndFlag; wire [clog2s(C_PCI_DATA_WIDTH/32)-1:0] wRxrDataEndOffset; wire [`SIG_LBE_W-1:0] wRxrMetaLdwbe; wire [`SIG_TC_W-1:0] wRxrMetaTc; wire [`SIG_ATTR_W-1:0] wRxrMetaAttr; wire [`SIG_TAG_W-1:0] wRxrMetaTag; wire [`SIG_TYPE_W-1:0] wRxrMetaType; wire [`SIG_ADDR_W-1:0] wRxrMetaAddr; wire [`SIG_REQID_W-1:0] wRxrMetaRequesterId; wire [`SIG_LEN_W-1:0] wRxrMetaLength; wire [C_PCI_DATA_WIDTH-1:0] wTxcData; wire wTxcDataValid; wire wTxcDataStartFlag; wire [clog2s(C_PCI_DATA_WIDTH/32)-1:0] wTxcDataStartOffset; wire [`SIG_FBE_W-1:0] wTxcMetaFdwbe; wire wTxcDataEndFlag; wire [clog2s(C_PCI_DATA_WIDTH/32)-1:0] wTxcDataEndOffset; wire [`SIG_LBE_W-1:0] wTxcMetaLdwbe; wire [`SIG_LOWADDR_W-1:0] wTxcMetaAddr; wire [`SIG_TYPE_W-1:0] wTxcMetaType; wire [`SIG_LEN_W-1:0] wTxcMetaLength; wire [`SIG_BYTECNT_W-1:0] wTxcMetaByteCount; wire [`SIG_TAG_W-1:0] wTxcMetaTag; wire [`SIG_REQID_W-1:0] wTxcMetaRequesterId; wire [`SIG_TC_W-1:0] wTxcMetaTc; wire [`SIG_ATTR_W-1:0] wTxcMetaAttr; wire wTxcMetaEp; wire wTxcDataReady; wire [clog2s(C_NUM_CHNL)-1:0] wReqChnl; wire [C_FIELDS_WIDTH-1:0] wReqField; wire [(1<<C_FIELDS_WIDTH)-1:0] wReqFieldDemux; wire [C_NUM_CHNL-1:0] wChnlSgrxLenValid; wire [C_NUM_CHNL-1:0] wChnlSgrxAddrLowValid; wire [C_NUM_CHNL-1:0] wChnlSgrxAddrHiValid; wire [C_NUM_CHNL-1:0] wChnlSgtxLenValid; wire [C_NUM_CHNL-1:0] wChnlSgtxAddrLowValid; wire [C_NUM_CHNL-1:0] wChnlSgtxAddrHiValid; wire [C_NUM_CHNL-1:0] wChnlRxLenValid; wire [C_NUM_CHNL-1:0] wChnlRxOfflastValid; wire [C_NUM_CHNL-1:0] wChnlTxLenReady; wire [C_NUM_CHNL-1:0] wChnlTxOfflastReady; wire [`SIG_CORESETTINGS_W-1:0] wCoreSettings; wire wCoreSettingsReady; wire [C_NUM_VECTORS - 1 : 0] wInterVectorReady; wire [C_NUM_CHNL-1:0] wChnlTxDoneReady; wire [C_NUM_CHNL-1:0] wChnlRxDoneReady; wire wChnlNameReady; wire [31:0] wChnlReqData; genvar addr; genvar channel; genvar vector; assign wReqChnl = wRxrMetaAddr[(C_FIELDS_WIDTH + 2) +:clog2s(C_NUM_CHNL)]; assign wReqField = wRxrMetaAddr[2 +: C_FIELDS_WIDTH]; assign wChnlReqData[31:0] = wRxrData[32*wRxrDataStartOffset +: 32]; /* verilator lint_off WIDTH */ assign __wRdMemory[`ADDR_CORESETTINGS] = CORE_SETTINGS; assign __wRdMemory[`ADDR_INTR_VECTOR_0] = INTR_VECTOR[C_VECTOR_WIDTH*0 +: C_VECTOR_WIDTH]; assign __wRdMemory[`ADDR_INTR_VECTOR_1] = INTR_VECTOR[C_VECTOR_WIDTH*1 +: C_VECTOR_WIDTH]; assign __wRdMemory[`ADDR_FPGA_NAME] = {" ",C_FPGA_NAME}; /* verilator lint_on WIDTH */ assign wTxcData = {{(C_PCI_DATA_WIDTH-32){1'b0}},__wRdMemory[{wReqChnl,wReqField}]}; assign wTxcDataValid = wRxrDataValid & wRxrMetaType == `TRLS_REQ_RD; assign wTxcDataStartFlag = 1; assign wTxcDataStartOffset = 0; assign wTxcMetaFdwbe = 4'b1111; assign wTxcDataEndFlag = 1; assign wTxcDataEndOffset = 0; assign wTxcMetaLdwbe = 4'b0000; assign wTxcMetaAddr = wRxrMetaAddr[`SIG_LOWADDR_W-1:0]; assign wTxcMetaType = `TRLS_CPL_WD; assign wTxcMetaLength = 1; assign wTxcMetaByteCount = 4; assign wTxcMetaTag = wRxrMetaTag; assign wTxcMetaRequesterId = wRxrMetaRequesterId; assign wTxcMetaTc = wRxrMetaTc; assign wTxcMetaAttr = wRxrMetaAttr; assign wTxcMetaEp = 0; generate for(channel = 0; channel < C_NUM_CHNL ; channel = channel + 1) begin : gen__wRdMemory assign __wRdMemory[{channel[27:0] , `ADDR_TX_LEN}] = CHNL_TX_REQLEN[32*channel +: 32]; assign __wRdMemory[{channel[27:0] , `ADDR_TX_OFFLAST}] = CHNL_TX_OFFLAST[32*channel +: 32]; assign __wRdMemory[{channel[27:0] , `ADDR_RX_LEN_XFERD}] = CHNL_RX_DONELEN[32*channel +: 32]; assign __wRdMemory[{channel[27:0] , `ADDR_TX_LEN_XFERD}] = CHNL_TX_DONELEN[32*channel +: 32]; end for(addr = 0 ; addr < C_ADDR_RANGE ; addr = addr + 1) begin : gen_wRdMemory assign _wRdMemory[(addr*32) +: 32] = __wRdMemory[addr]; end for(addr = 0 ; addr < C_ARRAY_LENGTH ; addr = addr + 1) begin : genwRdMemory assign wRdMemory[addr] = _wRdMemory[(addr*C_PCI_DATA_WIDTH) +: C_PCI_DATA_WIDTH]; end endgenerate assign wChnlNameReady = wReqFieldDemux[`ADDR_FPGA_NAME]; assign wCoreSettingsReady = wReqFieldDemux[`ADDR_CORESETTINGS]; assign wInterVectorReady[0] = wReqFieldDemux[`ADDR_INTR_VECTOR_0]; assign wInterVectorReady[1] = wReqFieldDemux[`ADDR_INTR_VECTOR_1]; assign TXC_META_VALID = TXC_DATA_VALID; pipeline #( // Parameters .C_DEPTH (C_INPUT_STAGES), .C_WIDTH (C_RXR_REGISTER_WIDTH), .C_USE_MEMORY (0) /*AUTOINSTPARAM*/) rxr_input_register (// Outputs .WR_DATA_READY (),// Unconnected .RD_DATA ({wRxrData, wRxrDataStartFlag, wRxrDataStartOffset, wRxrMetaFdwbe, wRxrDataEndFlag, wRxrDataEndOffset, wRxrMetaLdwbe, wRxrMetaAddr, wRxrMetaType, wRxrMetaLength, wRxrMetaTag, wRxrMetaRequesterId, wRxrMetaTc, wRxrMetaAttr}), .RD_DATA_VALID (wRxrDataValid), // Inputs .WR_DATA ({RXR_DATA, RXR_DATA_START_FLAG, RXR_DATA_START_OFFSET, RXR_META_FDWBE, RXR_DATA_END_FLAG, RXR_DATA_END_OFFSET, RXR_META_LDWBE, RXR_META_ADDR, RXR_META_TYPE, RXR_META_LENGTH, RXR_META_TAG, RXR_META_REQUESTER_ID, RXR_META_TC, RXR_META_ATTR}), .WR_DATA_VALID (RXR_DATA_VALID), .RD_DATA_READY (1), /*AUTOINST*/ // Inputs .CLK (CLK), .RST_IN (RST_IN)); demux #( // Parameters .C_OUTPUTS (1<<C_FIELDS_WIDTH), .C_WIDTH (1) /*AUTOINSTPARAM*/) field_demux ( // Outputs .RD_DATA (wReqFieldDemux), // Inputs .WR_DATA (wRxrDataValid), .WR_SEL (wReqField) /*AUTOINST*/); demux #( // Parameters .C_OUTPUTS (C_NUM_CHNL), .C_WIDTH (1) /*AUTOINSTPARAM*/) tx_len_ready_demux ( // Outputs .RD_DATA (wChnlTxLenReady), // Inputs .WR_DATA (wReqFieldDemux[`ADDR_TX_LEN]), .WR_SEL (wReqChnl) /*AUTOINST*/); demux #( // Parameters .C_OUTPUTS (C_NUM_CHNL), .C_WIDTH (1) /*AUTOINSTPARAM*/) tx_offlast_ready_demux ( // Outputs .RD_DATA (wChnlTxOfflastReady), // Inputs .WR_DATA (wReqFieldDemux[`ADDR_TX_OFFLAST]), .WR_SEL (wReqChnl) /*AUTOINST*/); demux #( // Parameters .C_OUTPUTS (C_NUM_CHNL), .C_WIDTH (1) /*AUTOINSTPARAM*/) rxdone_demux ( // Outputs .RD_DATA (wChnlRxDoneReady), // Inputs .WR_DATA (wReqFieldDemux[`ADDR_RX_LEN_XFERD]), .WR_SEL (wReqChnl) /*AUTOINST*/); demux #( // Parameters .C_OUTPUTS (C_NUM_CHNL), .C_WIDTH (1) /*AUTOINSTPARAM*/) txdone_demux ( // Outputs .RD_DATA (wChnlTxDoneReady), // Inputs .WR_DATA (wReqFieldDemux[`ADDR_TX_LEN_XFERD]), .WR_SEL (wReqChnl) /*AUTOINST*/); demux #( // Parameters .C_OUTPUTS (C_NUM_CHNL), .C_WIDTH (1) /*AUTOINSTPARAM*/) rx_len_demux ( // Outputs .RD_DATA (wChnlRxLenValid), // Inputs .WR_DATA (wReqFieldDemux[`ADDR_RX_LEN]), .WR_SEL (wReqChnl) /*AUTOINST*/); demux #( // Parameters .C_OUTPUTS (C_NUM_CHNL), .C_WIDTH (1) /*AUTOINSTPARAM*/) rx_offlast_demux ( // Outputs .RD_DATA (wChnlRxOfflastValid), // Inputs .WR_DATA (wReqFieldDemux[`ADDR_RX_OFFLAST]), .WR_SEL (wReqChnl) /*AUTOINST*/); demux #( // Parameters .C_OUTPUTS (C_NUM_CHNL), .C_WIDTH (1) /*AUTOINSTPARAM*/) sgtx_addrhi_demux ( // Outputs .RD_DATA (wChnlSgtxAddrHiValid), // Inputs .WR_DATA (wReqFieldDemux[`ADDR_SGTX_ADDRHI]), .WR_SEL (wReqChnl) /*AUTOINST*/); demux #( // Parameters .C_OUTPUTS (C_NUM_CHNL), .C_WIDTH (1) /*AUTOINSTPARAM*/) sgtx_addrlo_demux ( // Outputs .RD_DATA (wChnlSgtxAddrLowValid), // Inputs .WR_DATA (wReqFieldDemux[`ADDR_SGTX_ADDRLO]), .WR_SEL (wReqChnl) /*AUTOINST*/); demux #( // Parameters .C_OUTPUTS (C_NUM_CHNL), .C_WIDTH (1) /*AUTOINSTPARAM*/) sgtxlen_demux ( // Outputs .RD_DATA (wChnlSgtxLenValid), // Inputs .WR_DATA (wReqFieldDemux[`ADDR_SGTX_LEN]), .WR_SEL (wReqChnl) /*AUTOINST*/); demux #( // Parameters .C_OUTPUTS (C_NUM_CHNL), .C_WIDTH (1) /*AUTOINSTPARAM*/) sgrx_addrhi_demux ( // Outputs .RD_DATA (wChnlSgrxAddrHiValid), // Inputs .WR_DATA (wReqFieldDemux[`ADDR_SGRX_ADDRHI]), .WR_SEL (wReqChnl) /*AUTOINST*/); demux #( // Parameters .C_OUTPUTS (C_NUM_CHNL), .C_WIDTH (1) /*AUTOINSTPARAM*/) sgrx_addrlo_demux ( // Outputs .RD_DATA (wChnlSgrxAddrLowValid), // Inputs .WR_DATA (wReqFieldDemux[`ADDR_SGRX_ADDRLO]), .WR_SEL (wReqChnl) /*AUTOINST*/); demux #( // Parameters .C_OUTPUTS (C_NUM_CHNL), .C_WIDTH (1) /*AUTOINSTPARAM*/) sgrxlen_demux ( // Outputs .RD_DATA (wChnlSgrxLenValid), // Inputs .WR_DATA (wReqFieldDemux[`ADDR_SGRX_LEN]), .WR_SEL (wReqChnl) /*AUTOINST*/); pipeline #( // Parameters .C_DEPTH (C_OUTPUT_STAGES), .C_WIDTH (12*C_NUM_CHNL + C_NUM_VECTORS + 2 + 32), .C_USE_MEMORY (0) /*AUTOINSTPARAM*/) chnl_output_register ( // Outputs .WR_DATA_READY (),// Unconnected .RD_DATA ({CHNL_TX_LEN_READY, CHNL_TX_OFFLAST_READY, CORE_SETTINGS_READY, INTR_VECTOR_READY, CHNL_TX_DONE_READY, CHNL_RX_DONE_READY, CHNL_NAME_READY,CHNL_SGRX_LEN_VALID, CHNL_SGRX_ADDRLO_VALID, CHNL_SGRX_ADDRHI_VALID, CHNL_SGTX_LEN_VALID, CHNL_SGTX_ADDRLO_VALID, CHNL_SGTX_ADDRHI_VALID, CHNL_RX_LEN_VALID, CHNL_RX_OFFLAST_VALID, CHNL_REQ_DATA}), .RD_DATA_VALID (), // Inputs .WR_DATA ({wChnlTxLenReady, wChnlTxOfflastReady, wCoreSettingsReady, wInterVectorReady, wChnlTxDoneReady, wChnlRxDoneReady, wChnlNameReady,wChnlSgrxLenValid,wChnlSgrxAddrLowValid,wChnlSgrxAddrHiValid, wChnlSgtxLenValid,wChnlSgtxAddrLowValid,wChnlSgtxAddrHiValid, wChnlRxLenValid,wChnlRxOfflastValid, wChnlReqData}), .WR_DATA_VALID (1), .RD_DATA_READY (1), /*AUTOINST*/ // Inputs .CLK (CLK), .RST_IN (RST_IN)); pipeline #( // Parameters .C_DEPTH (C_OUTPUT_STAGES), .C_WIDTH (C_TXC_REGISTER_WIDTH), .C_USE_MEMORY (0) /*AUTOINSTPARAM*/) txc_output_register ( // Outputs .WR_DATA_READY (),// Unconnected .RD_DATA ({TXC_DATA, TXC_DATA_START_FLAG, TXC_DATA_START_OFFSET, TXC_META_FDWBE, TXC_DATA_END_FLAG, TXC_DATA_END_OFFSET, TXC_META_LDWBE, TXC_META_ADDR, TXC_META_TYPE, TXC_META_LENGTH, TXC_META_BYTE_COUNT, TXC_META_TAG, TXC_META_REQUESTER_ID, TXC_META_TC, TXC_META_ATTR, TXC_META_EP}), .RD_DATA_VALID (TXC_DATA_VALID), // Inputs .WR_DATA ({wTxcData, wTxcDataStartFlag, wTxcDataStartOffset, wTxcMetaFdwbe, wTxcDataEndFlag, wTxcDataEndOffset, wTxcMetaLdwbe, wTxcMetaAddr, wTxcMetaType, wTxcMetaLength, wTxcMetaByteCount, wTxcMetaTag, wTxcMetaRequesterId, wTxcMetaTc, wTxcMetaAttr, wTxcMetaEp}), .WR_DATA_VALID (wTxcDataValid), .RD_DATA_READY (TXC_DATA_READY), /*AUTOINST*/ // Inputs .CLK (CLK), .RST_IN (RST_IN)); endmodule // Local Variables: // verilog-library-directories:("." "../common/") // End:
//***************************************************************************** // (c) Copyright 2009 - 2013 Xilinx, Inc. All rights reserved. // // This file contains confidential and proprietary information // of Xilinx, Inc. and is protected under U.S. and // international copyright and other intellectual property // laws. // // DISCLAIMER // This disclaimer is not a license and does not grant any // rights to the materials distributed herewith. Except as // otherwise provided in a valid license issued to you by // Xilinx, and to the maximum extent permitted by applicable // law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND // WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES // AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING // BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- // INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and // (2) Xilinx shall not be liable (whether in contract or tort, // including negligence, or under any other theory of // liability) for any loss or damage of any kind or nature // related to, arising under or in connection with these // materials, including for any direct, or any indirect, // special, incidental, or consequential loss or damage // (including loss of data, profits, goodwill, or any type of // loss or damage suffered as a result of any action brought // by a third party) even if such damage or loss was // reasonably foreseeable or Xilinx had been advised of the // possibility of the same. // // CRITICAL APPLICATIONS // Xilinx products are not designed or intended to be fail- // safe, or for use in any application requiring fail-safe // performance, such as life-support or safety devices or // systems, Class III medical devices, nuclear facilities, // applications related to the deployment of airbags, or any // other applications that could lead to death, personal // injury, or severe property or environmental damage // (individually and collectively, "Critical // Applications"). Customer assumes the sole risk and // liability of any use of Xilinx products in Critical // Applications, subject only to applicable laws and // regulations governing limitations on product liability. // // THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS // PART OF THIS FILE AT ALL TIMES. // //***************************************************************************** // ____ ____ // / /\/ / // /___/ \ / Vendor: Xilinx // \ \ \/ Version: // \ \ Application: MIG // / / Filename: ddr_phy_wrcal.v // /___/ /\ Date Last Modified: $Date: 2011/06/02 08:35:09 $ // \ \ / \ Date Created: // \___\/\___\ // //Device: 7 Series //Design Name: DDR3 SDRAM //Purpose: // Write calibration logic to align DQS to correct CK edge //Reference: //Revision History: //***************************************************************************** /****************************************************************************** **$Id: ddr_phy_wrcal.v,v 1.1 2011/06/02 08:35:09 mishra Exp $ **$Date: 2011/06/02 08:35:09 $ **$Author: **$Revision: **$Source: ******************************************************************************/ `timescale 1ps/1ps module mig_7series_v1_9_ddr_phy_wrcal # ( parameter TCQ = 100, // clk->out delay (sim only) parameter nCK_PER_CLK = 2, // # of memory clocks per CLK parameter CLK_PERIOD = 2500, parameter DQ_WIDTH = 64, // # of DQ (data) parameter DQS_CNT_WIDTH = 3, // = ceil(log2(DQS_WIDTH)) parameter DQS_WIDTH = 8, // # of DQS (strobe) parameter DRAM_WIDTH = 8, // # of DQ per DQS parameter PRE_REV3ES = "OFF", // Delay O/Ps using Phaser_Out fine dly parameter SIM_CAL_OPTION = "NONE" // Skip various calibration steps ) ( input clk, input rst, // Calibration status, control signals input wrcal_start, input wrcal_rd_wait, input wrcal_sanity_chk, input dqsfound_retry_done, input phy_rddata_en, output dqsfound_retry, output wrcal_read_req, output reg wrcal_act_req, output reg wrcal_done, output reg wrcal_pat_err, output reg wrcal_prech_req, output reg temp_wrcal_done, output reg wrcal_sanity_chk_done, input prech_done, // Captured data in resync clock domain input [2*nCK_PER_CLK*DQ_WIDTH-1:0] rd_data, // Write level values of Phaser_Out coarse and fine // delay taps required to load Phaser_Out register input [3*DQS_WIDTH-1:0] wl_po_coarse_cnt, input [6*DQS_WIDTH-1:0] wl_po_fine_cnt, input wrlvl_byte_done, output reg wrlvl_byte_redo, output reg early1_data, output reg early2_data, // DQ IDELAY output reg idelay_ld, output reg wrcal_pat_resume, // to phy_init for write output reg [DQS_CNT_WIDTH:0] po_stg2_wrcal_cnt, output phy_if_reset, // Debug Port output [6*DQS_WIDTH-1:0] dbg_final_po_fine_tap_cnt, output [3*DQS_WIDTH-1:0] dbg_final_po_coarse_tap_cnt, output [99:0] dbg_phy_wrcal ); // Length of calibration sequence (in # of words) //localparam CAL_PAT_LEN = 8; // Read data shift register length localparam RD_SHIFT_LEN = 1; //(nCK_PER_CLK == 4) ? 1 : 2; // # of reads for reliable read capture localparam NUM_READS = 2; // # of cycles to wait after changing RDEN count value localparam RDEN_WAIT_CNT = 12; localparam COARSE_CNT = (CLK_PERIOD/nCK_PER_CLK <= 2500) ? 3 : 6; localparam FINE_CNT = (CLK_PERIOD/nCK_PER_CLK <= 2500) ? 22 : 44; localparam CAL2_IDLE = 4'h0; localparam CAL2_READ_WAIT = 4'h1; localparam CAL2_NEXT_DQS = 4'h2; localparam CAL2_WRLVL_WAIT = 4'h3; localparam CAL2_IFIFO_RESET = 4'h4; localparam CAL2_DQ_IDEL_DEC = 4'h5; localparam CAL2_DONE = 4'h6; localparam CAL2_SANITY_WAIT = 4'h7; localparam CAL2_ERR = 4'h8; integer i,j,k,l,m,p,q,d; reg [2:0] po_coarse_tap_cnt [0:DQS_WIDTH-1]; reg [3*DQS_WIDTH-1:0] po_coarse_tap_cnt_w; reg [5:0] po_fine_tap_cnt [0:DQS_WIDTH-1]; reg [6*DQS_WIDTH-1:0] po_fine_tap_cnt_w; (* keep = "true", max_fanout = 10 *) reg [DQS_CNT_WIDTH:0] wrcal_dqs_cnt_r/* synthesis syn_maxfan = 10 */; reg [4:0] not_empty_wait_cnt; reg [3:0] tap_inc_wait_cnt; reg cal2_done_r; reg cal2_done_r1; reg cal2_prech_req_r; reg [3:0] cal2_state_r; reg [3:0] cal2_state_r1; reg [2:0] wl_po_coarse_cnt_w [0:DQS_WIDTH-1]; reg [5:0] wl_po_fine_cnt_w [0:DQS_WIDTH-1]; reg cal2_if_reset; reg wrcal_pat_resume_r; reg wrcal_pat_resume_r1; reg wrcal_pat_resume_r2; reg wrcal_pat_resume_r3; reg [DRAM_WIDTH-1:0] mux_rd_fall0_r; reg [DRAM_WIDTH-1:0] mux_rd_fall1_r; reg [DRAM_WIDTH-1:0] mux_rd_rise0_r; reg [DRAM_WIDTH-1:0] mux_rd_rise1_r; reg [DRAM_WIDTH-1:0] mux_rd_fall2_r; reg [DRAM_WIDTH-1:0] mux_rd_fall3_r; reg [DRAM_WIDTH-1:0] mux_rd_rise2_r; reg [DRAM_WIDTH-1:0] mux_rd_rise3_r; reg pat_data_match_r; reg pat1_data_match_r; reg pat1_data_match_r1; reg pat2_data_match_r; reg pat_data_match_valid_r; wire [RD_SHIFT_LEN-1:0] pat_fall0 [3:0]; wire [RD_SHIFT_LEN-1:0] pat_fall1 [3:0]; wire [RD_SHIFT_LEN-1:0] pat_fall2 [3:0]; wire [RD_SHIFT_LEN-1:0] pat_fall3 [3:0]; wire [RD_SHIFT_LEN-1:0] pat1_fall0 [3:0]; wire [RD_SHIFT_LEN-1:0] pat1_fall1 [3:0]; wire [RD_SHIFT_LEN-1:0] pat2_fall0 [3:0]; wire [RD_SHIFT_LEN-1:0] pat2_fall1 [3:0]; wire [RD_SHIFT_LEN-1:0] early_fall0 [3:0]; wire [RD_SHIFT_LEN-1:0] early_fall1 [3:0]; wire [RD_SHIFT_LEN-1:0] early_fall2 [3:0]; wire [RD_SHIFT_LEN-1:0] early_fall3 [3:0]; wire [RD_SHIFT_LEN-1:0] early1_fall0 [3:0]; wire [RD_SHIFT_LEN-1:0] early1_fall1 [3:0]; wire [RD_SHIFT_LEN-1:0] early2_fall0 [3:0]; wire [RD_SHIFT_LEN-1:0] early2_fall1 [3:0]; reg [DRAM_WIDTH-1:0] pat_match_fall0_r; reg pat_match_fall0_and_r; reg [DRAM_WIDTH-1:0] pat_match_fall1_r; reg pat_match_fall1_and_r; reg [DRAM_WIDTH-1:0] pat_match_fall2_r; reg pat_match_fall2_and_r; reg [DRAM_WIDTH-1:0] pat_match_fall3_r; reg pat_match_fall3_and_r; reg [DRAM_WIDTH-1:0] pat_match_rise0_r; reg pat_match_rise0_and_r; reg [DRAM_WIDTH-1:0] pat_match_rise1_r; reg pat_match_rise1_and_r; reg [DRAM_WIDTH-1:0] pat_match_rise2_r; reg pat_match_rise2_and_r; reg [DRAM_WIDTH-1:0] pat_match_rise3_r; reg pat_match_rise3_and_r; reg [DRAM_WIDTH-1:0] pat1_match_rise0_r; reg [DRAM_WIDTH-1:0] pat1_match_rise1_r; reg [DRAM_WIDTH-1:0] pat1_match_fall0_r; reg [DRAM_WIDTH-1:0] pat1_match_fall1_r; reg [DRAM_WIDTH-1:0] pat2_match_rise0_r; reg [DRAM_WIDTH-1:0] pat2_match_rise1_r; reg [DRAM_WIDTH-1:0] pat2_match_fall0_r; reg [DRAM_WIDTH-1:0] pat2_match_fall1_r; reg pat1_match_rise0_and_r; reg pat1_match_rise1_and_r; reg pat1_match_fall0_and_r; reg pat1_match_fall1_and_r; reg pat2_match_rise0_and_r; reg pat2_match_rise1_and_r; reg pat2_match_fall0_and_r; reg pat2_match_fall1_and_r; reg early1_data_match_r; reg early1_data_match_r1; reg [DRAM_WIDTH-1:0] early1_match_fall0_r; reg early1_match_fall0_and_r; reg [DRAM_WIDTH-1:0] early1_match_fall1_r; reg early1_match_fall1_and_r; reg [DRAM_WIDTH-1:0] early1_match_fall2_r; reg early1_match_fall2_and_r; reg [DRAM_WIDTH-1:0] early1_match_fall3_r; reg early1_match_fall3_and_r; reg [DRAM_WIDTH-1:0] early1_match_rise0_r; reg early1_match_rise0_and_r; reg [DRAM_WIDTH-1:0] early1_match_rise1_r; reg early1_match_rise1_and_r; reg [DRAM_WIDTH-1:0] early1_match_rise2_r; reg early1_match_rise2_and_r; reg [DRAM_WIDTH-1:0] early1_match_rise3_r; reg early1_match_rise3_and_r; reg early2_data_match_r; reg [DRAM_WIDTH-1:0] early2_match_fall0_r; reg early2_match_fall0_and_r; reg [DRAM_WIDTH-1:0] early2_match_fall1_r; reg early2_match_fall1_and_r; reg [DRAM_WIDTH-1:0] early2_match_fall2_r; reg early2_match_fall2_and_r; reg [DRAM_WIDTH-1:0] early2_match_fall3_r; reg early2_match_fall3_and_r; reg [DRAM_WIDTH-1:0] early2_match_rise0_r; reg early2_match_rise0_and_r; reg [DRAM_WIDTH-1:0] early2_match_rise1_r; reg early2_match_rise1_and_r; reg [DRAM_WIDTH-1:0] early2_match_rise2_r; reg early2_match_rise2_and_r; reg [DRAM_WIDTH-1:0] early2_match_rise3_r; reg early2_match_rise3_and_r; wire [RD_SHIFT_LEN-1:0] pat_rise0 [3:0]; wire [RD_SHIFT_LEN-1:0] pat_rise1 [3:0]; wire [RD_SHIFT_LEN-1:0] pat_rise2 [3:0]; wire [RD_SHIFT_LEN-1:0] pat_rise3 [3:0]; wire [RD_SHIFT_LEN-1:0] pat1_rise0 [3:0]; wire [RD_SHIFT_LEN-1:0] pat1_rise1 [3:0]; wire [RD_SHIFT_LEN-1:0] pat2_rise0 [3:0]; wire [RD_SHIFT_LEN-1:0] pat2_rise1 [3:0]; wire [RD_SHIFT_LEN-1:0] early_rise0 [3:0]; wire [RD_SHIFT_LEN-1:0] early_rise1 [3:0]; wire [RD_SHIFT_LEN-1:0] early_rise2 [3:0]; wire [RD_SHIFT_LEN-1:0] early_rise3 [3:0]; wire [RD_SHIFT_LEN-1:0] early1_rise0 [3:0]; wire [RD_SHIFT_LEN-1:0] early1_rise1 [3:0]; wire [RD_SHIFT_LEN-1:0] early2_rise0 [3:0]; wire [RD_SHIFT_LEN-1:0] early2_rise1 [3:0]; wire [DQ_WIDTH-1:0] rd_data_rise0; wire [DQ_WIDTH-1:0] rd_data_fall0; wire [DQ_WIDTH-1:0] rd_data_rise1; wire [DQ_WIDTH-1:0] rd_data_fall1; wire [DQ_WIDTH-1:0] rd_data_rise2; wire [DQ_WIDTH-1:0] rd_data_fall2; wire [DQ_WIDTH-1:0] rd_data_rise3; wire [DQ_WIDTH-1:0] rd_data_fall3; reg [DQS_CNT_WIDTH:0] rd_mux_sel_r; reg rd_active_posedge_r; reg rd_active_r; reg rd_active_r1; reg rd_active_r2; reg rd_active_r3; reg rd_active_r4; reg rd_active_r5; reg [RD_SHIFT_LEN-1:0] sr_fall0_r [DRAM_WIDTH-1:0]; reg [RD_SHIFT_LEN-1:0] sr_fall1_r [DRAM_WIDTH-1:0]; reg [RD_SHIFT_LEN-1:0] sr_rise0_r [DRAM_WIDTH-1:0]; reg [RD_SHIFT_LEN-1:0] sr_rise1_r [DRAM_WIDTH-1:0]; reg [RD_SHIFT_LEN-1:0] sr_fall2_r [DRAM_WIDTH-1:0]; reg [RD_SHIFT_LEN-1:0] sr_fall3_r [DRAM_WIDTH-1:0]; reg [RD_SHIFT_LEN-1:0] sr_rise2_r [DRAM_WIDTH-1:0]; reg [RD_SHIFT_LEN-1:0] sr_rise3_r [DRAM_WIDTH-1:0]; reg wrlvl_byte_done_r; reg idelay_ld_done; reg pat1_detect; reg early1_detect; reg wrcal_sanity_chk_r; reg wrcal_sanity_chk_err; //*************************************************************************** // Debug //*************************************************************************** always @(*) begin for (d = 0; d < DQS_WIDTH; d = d + 1) begin po_fine_tap_cnt_w[(6*d)+:6] <= #TCQ po_fine_tap_cnt[d]; po_coarse_tap_cnt_w[(3*d)+:3] <= #TCQ po_coarse_tap_cnt[d]; end end assign dbg_final_po_fine_tap_cnt = po_fine_tap_cnt_w; assign dbg_final_po_coarse_tap_cnt = po_coarse_tap_cnt_w; assign dbg_phy_wrcal[0] = pat_data_match_r; assign dbg_phy_wrcal[4:1] = cal2_state_r1[2:0]; assign dbg_phy_wrcal[5] = wrcal_sanity_chk_err; assign dbg_phy_wrcal[6] = wrcal_start; assign dbg_phy_wrcal[7] = wrcal_done; assign dbg_phy_wrcal[8] = pat_data_match_valid_r; assign dbg_phy_wrcal[13+:DQS_CNT_WIDTH]= wrcal_dqs_cnt_r; assign dbg_phy_wrcal[17+:5] = 'd0; assign dbg_phy_wrcal[22+:5] = 'd0; assign dbg_phy_wrcal[27] = 1'b0; assign dbg_phy_wrcal[28+:5] = 'd0; assign dbg_phy_wrcal[53:33] = 'b0; assign dbg_phy_wrcal[54] = 1'b0; assign dbg_phy_wrcal[55+:5] = 'd0; assign dbg_phy_wrcal[60] = 1'b0; assign dbg_phy_wrcal[61+:5] = 'd0; assign dbg_phy_wrcal[66+:5] = not_empty_wait_cnt; assign dbg_phy_wrcal[71] = early1_data; assign dbg_phy_wrcal[72] = early2_data; assign dqsfound_retry = 1'b0; assign wrcal_read_req = 1'b0; assign phy_if_reset = cal2_if_reset; //************************************************************************** // DQS count to hard PHY during write calibration using Phaser_OUT Stage2 // coarse delay //************************************************************************** always @(posedge clk) begin po_stg2_wrcal_cnt <= #TCQ wrcal_dqs_cnt_r; wrlvl_byte_done_r <= #TCQ wrlvl_byte_done; wrcal_sanity_chk_r <= #TCQ wrcal_sanity_chk; end //*************************************************************************** // Data mux to route appropriate byte to calibration logic - i.e. calibration // is done sequentially, one byte (or DQS group) at a time //*************************************************************************** generate if (nCK_PER_CLK == 4) begin: gen_rd_data_div4 assign rd_data_rise0 = rd_data[DQ_WIDTH-1:0]; assign rd_data_fall0 = rd_data[2*DQ_WIDTH-1:DQ_WIDTH]; assign rd_data_rise1 = rd_data[3*DQ_WIDTH-1:2*DQ_WIDTH]; assign rd_data_fall1 = rd_data[4*DQ_WIDTH-1:3*DQ_WIDTH]; assign rd_data_rise2 = rd_data[5*DQ_WIDTH-1:4*DQ_WIDTH]; assign rd_data_fall2 = rd_data[6*DQ_WIDTH-1:5*DQ_WIDTH]; assign rd_data_rise3 = rd_data[7*DQ_WIDTH-1:6*DQ_WIDTH]; assign rd_data_fall3 = rd_data[8*DQ_WIDTH-1:7*DQ_WIDTH]; end else if (nCK_PER_CLK == 2) begin: gen_rd_data_div2 assign rd_data_rise0 = rd_data[DQ_WIDTH-1:0]; assign rd_data_fall0 = rd_data[2*DQ_WIDTH-1:DQ_WIDTH]; assign rd_data_rise1 = rd_data[3*DQ_WIDTH-1:2*DQ_WIDTH]; assign rd_data_fall1 = rd_data[4*DQ_WIDTH-1:3*DQ_WIDTH]; end endgenerate //************************************************************************** // Final Phaser OUT coarse and fine delay taps after write calibration // Sum of taps used during write leveling taps and write calibration //************************************************************************** always @(*) begin for (m = 0; m < DQS_WIDTH; m = m + 1) begin wl_po_coarse_cnt_w[m] = wl_po_coarse_cnt[3*m+:3]; wl_po_fine_cnt_w[m] = wl_po_fine_cnt[6*m+:6]; end end always @(posedge clk) begin if (rst) begin for (p = 0; p < DQS_WIDTH; p = p + 1) begin po_coarse_tap_cnt[p] <= #TCQ {3{1'b0}}; po_fine_tap_cnt[p] <= #TCQ {6{1'b0}}; end end else if (cal2_done_r && ~cal2_done_r1) begin for (q = 0; q < DQS_WIDTH; q = q + 1) begin po_coarse_tap_cnt[q] <= #TCQ wl_po_coarse_cnt_w[i]; po_fine_tap_cnt[q] <= #TCQ wl_po_fine_cnt_w[i]; end end end always @(posedge clk) begin rd_mux_sel_r <= #TCQ wrcal_dqs_cnt_r; end // Register outputs for improved timing. // NOTE: Will need to change when per-bit DQ deskew is supported. // Currenly all bits in DQS group are checked in aggregate generate genvar mux_i; if (nCK_PER_CLK == 4) begin: gen_mux_rd_div4 for (mux_i = 0; mux_i < DRAM_WIDTH; mux_i = mux_i + 1) begin: gen_mux_rd always @(posedge clk) begin mux_rd_rise0_r[mux_i] <= #TCQ rd_data_rise0[DRAM_WIDTH*rd_mux_sel_r + mux_i]; mux_rd_fall0_r[mux_i] <= #TCQ rd_data_fall0[DRAM_WIDTH*rd_mux_sel_r + mux_i]; mux_rd_rise1_r[mux_i] <= #TCQ rd_data_rise1[DRAM_WIDTH*rd_mux_sel_r + mux_i]; mux_rd_fall1_r[mux_i] <= #TCQ rd_data_fall1[DRAM_WIDTH*rd_mux_sel_r + mux_i]; mux_rd_rise2_r[mux_i] <= #TCQ rd_data_rise2[DRAM_WIDTH*rd_mux_sel_r + mux_i]; mux_rd_fall2_r[mux_i] <= #TCQ rd_data_fall2[DRAM_WIDTH*rd_mux_sel_r + mux_i]; mux_rd_rise3_r[mux_i] <= #TCQ rd_data_rise3[DRAM_WIDTH*rd_mux_sel_r + mux_i]; mux_rd_fall3_r[mux_i] <= #TCQ rd_data_fall3[DRAM_WIDTH*rd_mux_sel_r + mux_i]; end end end else if (nCK_PER_CLK == 2) begin: gen_mux_rd_div2 for (mux_i = 0; mux_i < DRAM_WIDTH; mux_i = mux_i + 1) begin: gen_mux_rd always @(posedge clk) begin mux_rd_rise0_r[mux_i] <= #TCQ rd_data_rise0[DRAM_WIDTH*rd_mux_sel_r + mux_i]; mux_rd_fall0_r[mux_i] <= #TCQ rd_data_fall0[DRAM_WIDTH*rd_mux_sel_r + mux_i]; mux_rd_rise1_r[mux_i] <= #TCQ rd_data_rise1[DRAM_WIDTH*rd_mux_sel_r + mux_i]; mux_rd_fall1_r[mux_i] <= #TCQ rd_data_fall1[DRAM_WIDTH*rd_mux_sel_r + mux_i]; end end end endgenerate //*************************************************************************** // generate request to PHY_INIT logic to issue precharged. Required when // calibration can take a long time (during which there are only constant // reads present on this bus). In this case need to issue perioidic // precharges to avoid tRAS violation. This signal must meet the following // requirements: (1) only transition from 0->1 when prech is first needed, // (2) stay at 1 and only transition 1->0 when RDLVL_PRECH_DONE asserted //*************************************************************************** always @(posedge clk) if (rst) wrcal_prech_req <= #TCQ 1'b0; else // Combine requests from all stages here wrcal_prech_req <= #TCQ cal2_prech_req_r; //*************************************************************************** // Shift register to store last RDDATA_SHIFT_LEN cycles of data from ISERDES // NOTE: Written using discrete flops, but SRL can be used if the matching // logic does the comparison sequentially, rather than parallel //*************************************************************************** generate genvar rd_i; if (nCK_PER_CLK == 4) begin: gen_sr_div4 for (rd_i = 0; rd_i < DRAM_WIDTH; rd_i = rd_i + 1) begin: gen_sr always @(posedge clk) begin sr_rise0_r[rd_i] <= #TCQ mux_rd_rise0_r[rd_i]; sr_fall0_r[rd_i] <= #TCQ mux_rd_fall0_r[rd_i]; sr_rise1_r[rd_i] <= #TCQ mux_rd_rise1_r[rd_i]; sr_fall1_r[rd_i] <= #TCQ mux_rd_fall1_r[rd_i]; sr_rise2_r[rd_i] <= #TCQ mux_rd_rise2_r[rd_i]; sr_fall2_r[rd_i] <= #TCQ mux_rd_fall2_r[rd_i]; sr_rise3_r[rd_i] <= #TCQ mux_rd_rise3_r[rd_i]; sr_fall3_r[rd_i] <= #TCQ mux_rd_fall3_r[rd_i]; end end end else if (nCK_PER_CLK == 2) begin: gen_sr_div2 for (rd_i = 0; rd_i < DRAM_WIDTH; rd_i = rd_i + 1) begin: gen_sr always @(posedge clk) begin sr_rise0_r[rd_i] <= #TCQ mux_rd_rise0_r[rd_i]; sr_fall0_r[rd_i] <= #TCQ mux_rd_fall0_r[rd_i]; sr_rise1_r[rd_i] <= #TCQ mux_rd_rise1_r[rd_i]; sr_fall1_r[rd_i] <= #TCQ mux_rd_fall1_r[rd_i]; end end end endgenerate //*************************************************************************** // Write calibration: // During write leveling DQS is aligned to the nearest CK edge that may not // be the correct CK edge. Write calibration is required to align the DQS to // the correct CK edge that clocks the write command. // The Phaser_Out coarse delay line is adjusted if required to add a memory // clock cycle of delay in order to read back the expected pattern. //*************************************************************************** always @(posedge clk) begin rd_active_r <= #TCQ phy_rddata_en; rd_active_r1 <= #TCQ rd_active_r; rd_active_r2 <= #TCQ rd_active_r1; rd_active_r3 <= #TCQ rd_active_r2; rd_active_r4 <= #TCQ rd_active_r3; rd_active_r5 <= #TCQ rd_active_r4; end //***************************************************************** // Expected data pattern when properly received by read capture // logic: // Based on pattern of ({rise,fall}) = // 0xF, 0x0, 0xA, 0x5, 0x5, 0xA, 0x9, 0x6 // Each nibble will look like: // bit3: 1, 0, 1, 0, 0, 1, 1, 0 // bit2: 1, 0, 0, 1, 1, 0, 0, 1 // bit1: 1, 0, 1, 0, 0, 1, 0, 1 // bit0: 1, 0, 0, 1, 1, 0, 1, 0 // Change the hard-coded pattern below accordingly as RD_SHIFT_LEN // and the actual training pattern contents change //***************************************************************** generate if (nCK_PER_CLK == 4) begin: gen_pat_div4 // FF00AA5555AA9966 assign pat_rise0[3] = 1'b1; assign pat_fall0[3] = 1'b0; assign pat_rise1[3] = 1'b1; assign pat_fall1[3] = 1'b0; assign pat_rise2[3] = 1'b0; assign pat_fall2[3] = 1'b1; assign pat_rise3[3] = 1'b1; assign pat_fall3[3] = 1'b0; assign pat_rise0[2] = 1'b1; assign pat_fall0[2] = 1'b0; assign pat_rise1[2] = 1'b0; assign pat_fall1[2] = 1'b1; assign pat_rise2[2] = 1'b1; assign pat_fall2[2] = 1'b0; assign pat_rise3[2] = 1'b0; assign pat_fall3[2] = 1'b1; assign pat_rise0[1] = 1'b1; assign pat_fall0[1] = 1'b0; assign pat_rise1[1] = 1'b1; assign pat_fall1[1] = 1'b0; assign pat_rise2[1] = 1'b0; assign pat_fall2[1] = 1'b1; assign pat_rise3[1] = 1'b0; assign pat_fall3[1] = 1'b1; assign pat_rise0[0] = 1'b1; assign pat_fall0[0] = 1'b0; assign pat_rise1[0] = 1'b0; assign pat_fall1[0] = 1'b1; assign pat_rise2[0] = 1'b1; assign pat_fall2[0] = 1'b0; assign pat_rise3[0] = 1'b1; assign pat_fall3[0] = 1'b0; // Pattern to distinguish between early write and incorrect read // BB11EE4444EEDD88 assign early_rise0[3] = 1'b1; assign early_fall0[3] = 1'b0; assign early_rise1[3] = 1'b1; assign early_fall1[3] = 1'b0; assign early_rise2[3] = 1'b0; assign early_fall2[3] = 1'b1; assign early_rise3[3] = 1'b1; assign early_fall3[3] = 1'b1; assign early_rise0[2] = 1'b0; assign early_fall0[2] = 1'b0; assign early_rise1[2] = 1'b1; assign early_fall1[2] = 1'b1; assign early_rise2[2] = 1'b1; assign early_fall2[2] = 1'b1; assign early_rise3[2] = 1'b1; assign early_fall3[2] = 1'b0; assign early_rise0[1] = 1'b1; assign early_fall0[1] = 1'b0; assign early_rise1[1] = 1'b1; assign early_fall1[1] = 1'b0; assign early_rise2[1] = 1'b0; assign early_fall2[1] = 1'b1; assign early_rise3[1] = 1'b0; assign early_fall3[1] = 1'b0; assign early_rise0[0] = 1'b1; assign early_fall0[0] = 1'b1; assign early_rise1[0] = 1'b0; assign early_fall1[0] = 1'b0; assign early_rise2[0] = 1'b0; assign early_fall2[0] = 1'b0; assign early_rise3[0] = 1'b1; assign early_fall3[0] = 1'b0; end else if (nCK_PER_CLK == 2) begin: gen_pat_div2 // First cycle pattern FF00AA55 assign pat1_rise0[3] = 1'b1; assign pat1_fall0[3] = 1'b0; assign pat1_rise1[3] = 1'b1; assign pat1_fall1[3] = 1'b0; assign pat1_rise0[2] = 1'b1; assign pat1_fall0[2] = 1'b0; assign pat1_rise1[2] = 1'b0; assign pat1_fall1[2] = 1'b1; assign pat1_rise0[1] = 1'b1; assign pat1_fall0[1] = 1'b0; assign pat1_rise1[1] = 1'b1; assign pat1_fall1[1] = 1'b0; assign pat1_rise0[0] = 1'b1; assign pat1_fall0[0] = 1'b0; assign pat1_rise1[0] = 1'b0; assign pat1_fall1[0] = 1'b1; // Second cycle pattern 55AA9966 assign pat2_rise0[3] = 1'b0; assign pat2_fall0[3] = 1'b1; assign pat2_rise1[3] = 1'b1; assign pat2_fall1[3] = 1'b0; assign pat2_rise0[2] = 1'b1; assign pat2_fall0[2] = 1'b0; assign pat2_rise1[2] = 1'b0; assign pat2_fall1[2] = 1'b1; assign pat2_rise0[1] = 1'b0; assign pat2_fall0[1] = 1'b1; assign pat2_rise1[1] = 1'b0; assign pat2_fall1[1] = 1'b1; assign pat2_rise0[0] = 1'b1; assign pat2_fall0[0] = 1'b0; assign pat2_rise1[0] = 1'b1; assign pat2_fall1[0] = 1'b0; //Pattern to distinguish between early write and incorrect read // First cycle pattern AA5555AA assign early1_rise0[3] = 2'b1; assign early1_fall0[3] = 2'b0; assign early1_rise1[3] = 2'b0; assign early1_fall1[3] = 2'b1; assign early1_rise0[2] = 2'b0; assign early1_fall0[2] = 2'b1; assign early1_rise1[2] = 2'b1; assign early1_fall1[2] = 2'b0; assign early1_rise0[1] = 2'b1; assign early1_fall0[1] = 2'b0; assign early1_rise1[1] = 2'b0; assign early1_fall1[1] = 2'b1; assign early1_rise0[0] = 2'b0; assign early1_fall0[0] = 2'b1; assign early1_rise1[0] = 2'b1; assign early1_fall1[0] = 2'b0; // Second cycle pattern 9966BB11 assign early2_rise0[3] = 2'b1; assign early2_fall0[3] = 2'b0; assign early2_rise1[3] = 2'b1; assign early2_fall1[3] = 2'b0; assign early2_rise0[2] = 2'b0; assign early2_fall0[2] = 2'b1; assign early2_rise1[2] = 2'b0; assign early2_fall1[2] = 2'b0; assign early2_rise0[1] = 2'b0; assign early2_fall0[1] = 2'b1; assign early2_rise1[1] = 2'b1; assign early2_fall1[1] = 2'b0; assign early2_rise0[0] = 2'b1; assign early2_fall0[0] = 2'b0; assign early2_rise1[0] = 2'b1; assign early2_fall1[0] = 2'b1; end endgenerate // Each bit of each byte is compared to expected pattern. // This was done to prevent (and "drastically decrease") the chance that // invalid data clocked in when the DQ bus is tri-state (along with a // combination of the correct data) will resemble the expected data // pattern. A better fix for this is to change the training pattern and/or // make the pattern longer. generate genvar pt_i; if (nCK_PER_CLK == 4) begin: gen_pat_match_div4 for (pt_i = 0; pt_i < DRAM_WIDTH; pt_i = pt_i + 1) begin: gen_pat_match always @(posedge clk) begin if (sr_rise0_r[pt_i] == pat_rise0[pt_i%4]) pat_match_rise0_r[pt_i] <= #TCQ 1'b1; else pat_match_rise0_r[pt_i] <= #TCQ 1'b0; if (sr_fall0_r[pt_i] == pat_fall0[pt_i%4]) pat_match_fall0_r[pt_i] <= #TCQ 1'b1; else pat_match_fall0_r[pt_i] <= #TCQ 1'b0; if (sr_rise1_r[pt_i] == pat_rise1[pt_i%4]) pat_match_rise1_r[pt_i] <= #TCQ 1'b1; else pat_match_rise1_r[pt_i] <= #TCQ 1'b0; if (sr_fall1_r[pt_i] == pat_fall1[pt_i%4]) pat_match_fall1_r[pt_i] <= #TCQ 1'b1; else pat_match_fall1_r[pt_i] <= #TCQ 1'b0; if (sr_rise2_r[pt_i] == pat_rise2[pt_i%4]) pat_match_rise2_r[pt_i] <= #TCQ 1'b1; else pat_match_rise2_r[pt_i] <= #TCQ 1'b0; if (sr_fall2_r[pt_i] == pat_fall2[pt_i%4]) pat_match_fall2_r[pt_i] <= #TCQ 1'b1; else pat_match_fall2_r[pt_i] <= #TCQ 1'b0; if (sr_rise3_r[pt_i] == pat_rise3[pt_i%4]) pat_match_rise3_r[pt_i] <= #TCQ 1'b1; else pat_match_rise3_r[pt_i] <= #TCQ 1'b0; if (sr_fall3_r[pt_i] == pat_fall3[pt_i%4]) pat_match_fall3_r[pt_i] <= #TCQ 1'b1; else pat_match_fall3_r[pt_i] <= #TCQ 1'b0; end always @(posedge clk) begin if (sr_rise0_r[pt_i] == pat_rise1[pt_i%4]) early1_match_rise0_r[pt_i] <= #TCQ 1'b1; else early1_match_rise0_r[pt_i] <= #TCQ 1'b0; if (sr_fall0_r[pt_i] == pat_fall1[pt_i%4]) early1_match_fall0_r[pt_i] <= #TCQ 1'b1; else early1_match_fall0_r[pt_i] <= #TCQ 1'b0; if (sr_rise1_r[pt_i] == pat_rise2[pt_i%4]) early1_match_rise1_r[pt_i] <= #TCQ 1'b1; else early1_match_rise1_r[pt_i] <= #TCQ 1'b0; if (sr_fall1_r[pt_i] == pat_fall2[pt_i%4]) early1_match_fall1_r[pt_i] <= #TCQ 1'b1; else early1_match_fall1_r[pt_i] <= #TCQ 1'b0; if (sr_rise2_r[pt_i] == pat_rise3[pt_i%4]) early1_match_rise2_r[pt_i] <= #TCQ 1'b1; else early1_match_rise2_r[pt_i] <= #TCQ 1'b0; if (sr_fall2_r[pt_i] == pat_fall3[pt_i%4]) early1_match_fall2_r[pt_i] <= #TCQ 1'b1; else early1_match_fall2_r[pt_i] <= #TCQ 1'b0; if (sr_rise3_r[pt_i] == early_rise0[pt_i%4]) early1_match_rise3_r[pt_i] <= #TCQ 1'b1; else early1_match_rise3_r[pt_i] <= #TCQ 1'b0; if (sr_fall3_r[pt_i] == early_fall0[pt_i%4]) early1_match_fall3_r[pt_i] <= #TCQ 1'b1; else early1_match_fall3_r[pt_i] <= #TCQ 1'b0; end always @(posedge clk) begin if (sr_rise0_r[pt_i] == pat_rise2[pt_i%4]) early2_match_rise0_r[pt_i] <= #TCQ 1'b1; else early2_match_rise0_r[pt_i] <= #TCQ 1'b0; if (sr_fall0_r[pt_i] == pat_fall2[pt_i%4]) early2_match_fall0_r[pt_i] <= #TCQ 1'b1; else early2_match_fall0_r[pt_i] <= #TCQ 1'b0; if (sr_rise1_r[pt_i] == pat_rise3[pt_i%4]) early2_match_rise1_r[pt_i] <= #TCQ 1'b1; else early2_match_rise1_r[pt_i] <= #TCQ 1'b0; if (sr_fall1_r[pt_i] == pat_fall3[pt_i%4]) early2_match_fall1_r[pt_i] <= #TCQ 1'b1; else early2_match_fall1_r[pt_i] <= #TCQ 1'b0; if (sr_rise2_r[pt_i] == early_rise0[pt_i%4]) early2_match_rise2_r[pt_i] <= #TCQ 1'b1; else early2_match_rise2_r[pt_i] <= #TCQ 1'b0; if (sr_fall2_r[pt_i] == early_fall0[pt_i%4]) early2_match_fall2_r[pt_i] <= #TCQ 1'b1; else early2_match_fall2_r[pt_i] <= #TCQ 1'b0; if (sr_rise3_r[pt_i] == early_rise1[pt_i%4]) early2_match_rise3_r[pt_i] <= #TCQ 1'b1; else early2_match_rise3_r[pt_i] <= #TCQ 1'b0; if (sr_fall3_r[pt_i] == early_fall1[pt_i%4]) early2_match_fall3_r[pt_i] <= #TCQ 1'b1; else early2_match_fall3_r[pt_i] <= #TCQ 1'b0; end end always @(posedge clk) begin pat_match_rise0_and_r <= #TCQ &pat_match_rise0_r; pat_match_fall0_and_r <= #TCQ &pat_match_fall0_r; pat_match_rise1_and_r <= #TCQ &pat_match_rise1_r; pat_match_fall1_and_r <= #TCQ &pat_match_fall1_r; pat_match_rise2_and_r <= #TCQ &pat_match_rise2_r; pat_match_fall2_and_r <= #TCQ &pat_match_fall2_r; pat_match_rise3_and_r <= #TCQ &pat_match_rise3_r; pat_match_fall3_and_r <= #TCQ &pat_match_fall3_r; pat_data_match_r <= #TCQ (pat_match_rise0_and_r && pat_match_fall0_and_r && pat_match_rise1_and_r && pat_match_fall1_and_r && pat_match_rise2_and_r && pat_match_fall2_and_r && pat_match_rise3_and_r && pat_match_fall3_and_r); pat_data_match_valid_r <= #TCQ rd_active_r3; end always @(posedge clk) begin early1_match_rise0_and_r <= #TCQ &early1_match_rise0_r; early1_match_fall0_and_r <= #TCQ &early1_match_fall0_r; early1_match_rise1_and_r <= #TCQ &early1_match_rise1_r; early1_match_fall1_and_r <= #TCQ &early1_match_fall1_r; early1_match_rise2_and_r <= #TCQ &early1_match_rise2_r; early1_match_fall2_and_r <= #TCQ &early1_match_fall2_r; early1_match_rise3_and_r <= #TCQ &early1_match_rise3_r; early1_match_fall3_and_r <= #TCQ &early1_match_fall3_r; early1_data_match_r <= #TCQ (early1_match_rise0_and_r && early1_match_fall0_and_r && early1_match_rise1_and_r && early1_match_fall1_and_r && early1_match_rise2_and_r && early1_match_fall2_and_r && early1_match_rise3_and_r && early1_match_fall3_and_r); end always @(posedge clk) begin early2_match_rise0_and_r <= #TCQ &early2_match_rise0_r; early2_match_fall0_and_r <= #TCQ &early2_match_fall0_r; early2_match_rise1_and_r <= #TCQ &early2_match_rise1_r; early2_match_fall1_and_r <= #TCQ &early2_match_fall1_r; early2_match_rise2_and_r <= #TCQ &early2_match_rise2_r; early2_match_fall2_and_r <= #TCQ &early2_match_fall2_r; early2_match_rise3_and_r <= #TCQ &early2_match_rise3_r; early2_match_fall3_and_r <= #TCQ &early2_match_fall3_r; early2_data_match_r <= #TCQ (early2_match_rise0_and_r && early2_match_fall0_and_r && early2_match_rise1_and_r && early2_match_fall1_and_r && early2_match_rise2_and_r && early2_match_fall2_and_r && early2_match_rise3_and_r && early2_match_fall3_and_r); end end else if (nCK_PER_CLK == 2) begin: gen_pat_match_div2 for (pt_i = 0; pt_i < DRAM_WIDTH; pt_i = pt_i + 1) begin: gen_pat_match always @(posedge clk) begin if (sr_rise0_r[pt_i] == pat1_rise0[pt_i%4]) pat1_match_rise0_r[pt_i] <= #TCQ 1'b1; else pat1_match_rise0_r[pt_i] <= #TCQ 1'b0; if (sr_fall0_r[pt_i] == pat1_fall0[pt_i%4]) pat1_match_fall0_r[pt_i] <= #TCQ 1'b1; else pat1_match_fall0_r[pt_i] <= #TCQ 1'b0; if (sr_rise1_r[pt_i] == pat1_rise1[pt_i%4]) pat1_match_rise1_r[pt_i] <= #TCQ 1'b1; else pat1_match_rise1_r[pt_i] <= #TCQ 1'b0; if (sr_fall1_r[pt_i] == pat1_fall1[pt_i%4]) pat1_match_fall1_r[pt_i] <= #TCQ 1'b1; else pat1_match_fall1_r[pt_i] <= #TCQ 1'b0; end always @(posedge clk) begin if (sr_rise0_r[pt_i] == pat2_rise0[pt_i%4]) pat2_match_rise0_r[pt_i] <= #TCQ 1'b1; else pat2_match_rise0_r[pt_i] <= #TCQ 1'b0; if (sr_fall0_r[pt_i] == pat2_fall0[pt_i%4]) pat2_match_fall0_r[pt_i] <= #TCQ 1'b1; else pat2_match_fall0_r[pt_i] <= #TCQ 1'b0; if (sr_rise1_r[pt_i] == pat2_rise1[pt_i%4]) pat2_match_rise1_r[pt_i] <= #TCQ 1'b1; else pat2_match_rise1_r[pt_i] <= #TCQ 1'b0; if (sr_fall1_r[pt_i] == pat2_fall1[pt_i%4]) pat2_match_fall1_r[pt_i] <= #TCQ 1'b1; else pat2_match_fall1_r[pt_i] <= #TCQ 1'b0; end always @(posedge clk) begin if (sr_rise0_r[pt_i] == early1_rise0[pt_i%4]) early1_match_rise0_r[pt_i] <= #TCQ 1'b1; else early1_match_rise0_r[pt_i] <= #TCQ 1'b0; if (sr_fall0_r[pt_i] == early1_fall0[pt_i%4]) early1_match_fall0_r[pt_i] <= #TCQ 1'b1; else early1_match_fall0_r[pt_i] <= #TCQ 1'b0; if (sr_rise1_r[pt_i] == early1_rise1[pt_i%4]) early1_match_rise1_r[pt_i] <= #TCQ 1'b1; else early1_match_rise1_r[pt_i] <= #TCQ 1'b0; if (sr_fall1_r[pt_i] == early1_fall1[pt_i%4]) early1_match_fall1_r[pt_i] <= #TCQ 1'b1; else early1_match_fall1_r[pt_i] <= #TCQ 1'b0; end // early2 in this case does not mean 2 cycles early but // the second cycle of read data in 2:1 mode always @(posedge clk) begin if (sr_rise0_r[pt_i] == early2_rise0[pt_i%4]) early2_match_rise0_r[pt_i] <= #TCQ 1'b1; else early2_match_rise0_r[pt_i] <= #TCQ 1'b0; if (sr_fall0_r[pt_i] == early2_fall0[pt_i%4]) early2_match_fall0_r[pt_i] <= #TCQ 1'b1; else early2_match_fall0_r[pt_i] <= #TCQ 1'b0; if (sr_rise1_r[pt_i] == early2_rise1[pt_i%4]) early2_match_rise1_r[pt_i] <= #TCQ 1'b1; else early2_match_rise1_r[pt_i] <= #TCQ 1'b0; if (sr_fall1_r[pt_i] == early2_fall1[pt_i%4]) early2_match_fall1_r[pt_i] <= #TCQ 1'b1; else early2_match_fall1_r[pt_i] <= #TCQ 1'b0; end end always @(posedge clk) begin pat1_match_rise0_and_r <= #TCQ &pat1_match_rise0_r; pat1_match_fall0_and_r <= #TCQ &pat1_match_fall0_r; pat1_match_rise1_and_r <= #TCQ &pat1_match_rise1_r; pat1_match_fall1_and_r <= #TCQ &pat1_match_fall1_r; pat1_data_match_r <= #TCQ (pat1_match_rise0_and_r && pat1_match_fall0_and_r && pat1_match_rise1_and_r && pat1_match_fall1_and_r); pat1_data_match_r1 <= #TCQ pat1_data_match_r; pat2_match_rise0_and_r <= #TCQ &pat2_match_rise0_r && rd_active_r3; pat2_match_fall0_and_r <= #TCQ &pat2_match_fall0_r && rd_active_r3; pat2_match_rise1_and_r <= #TCQ &pat2_match_rise1_r && rd_active_r3; pat2_match_fall1_and_r <= #TCQ &pat2_match_fall1_r && rd_active_r3; pat2_data_match_r <= #TCQ (pat2_match_rise0_and_r && pat2_match_fall0_and_r && pat2_match_rise1_and_r && pat2_match_fall1_and_r); // For 2:1 mode, read valid is asserted for 2 clock cycles - // here we generate a "match valid" pulse that is only 1 clock // cycle wide that is simulatenous when the match calculation // is complete pat_data_match_valid_r <= #TCQ rd_active_r4 & ~rd_active_r5; end always @(posedge clk) begin early1_match_rise0_and_r <= #TCQ &early1_match_rise0_r; early1_match_fall0_and_r <= #TCQ &early1_match_fall0_r; early1_match_rise1_and_r <= #TCQ &early1_match_rise1_r; early1_match_fall1_and_r <= #TCQ &early1_match_fall1_r; early1_data_match_r <= #TCQ (early1_match_rise0_and_r && early1_match_fall0_and_r && early1_match_rise1_and_r && early1_match_fall1_and_r); early1_data_match_r1 <= #TCQ early1_data_match_r; early2_match_rise0_and_r <= #TCQ &early2_match_rise0_r && rd_active_r3; early2_match_fall0_and_r <= #TCQ &early2_match_fall0_r && rd_active_r3; early2_match_rise1_and_r <= #TCQ &early2_match_rise1_r && rd_active_r3; early2_match_fall1_and_r <= #TCQ &early2_match_fall1_r && rd_active_r3; early2_data_match_r <= #TCQ (early2_match_rise0_and_r && early2_match_fall0_and_r && early2_match_rise1_and_r && early2_match_fall1_and_r); end end endgenerate // Need to delay it by 3 cycles in order to wait for Phaser_Out // coarse delay to take effect before issuing a write command always @(posedge clk) begin wrcal_pat_resume_r1 <= #TCQ wrcal_pat_resume_r; wrcal_pat_resume_r2 <= #TCQ wrcal_pat_resume_r1; wrcal_pat_resume <= #TCQ wrcal_pat_resume_r2; end always @(posedge clk) begin if (rst) tap_inc_wait_cnt <= #TCQ 'd0; else if ((cal2_state_r == CAL2_DQ_IDEL_DEC) || (cal2_state_r == CAL2_IFIFO_RESET) || (cal2_state_r == CAL2_SANITY_WAIT)) tap_inc_wait_cnt <= #TCQ tap_inc_wait_cnt + 1; else tap_inc_wait_cnt <= #TCQ 'd0; end always @(posedge clk) begin if (rst) not_empty_wait_cnt <= #TCQ 'd0; else if ((cal2_state_r == CAL2_READ_WAIT) && wrcal_rd_wait) not_empty_wait_cnt <= #TCQ not_empty_wait_cnt + 1; else not_empty_wait_cnt <= #TCQ 'd0; end always @(posedge clk) cal2_state_r1 <= #TCQ cal2_state_r; //***************************************************************** // Write Calibration state machine //***************************************************************** // when calibrating, check to see if the expected pattern is received. // Otherwise delay DQS to align to correct CK edge. // NOTES: // 1. An error condition can occur due to two reasons: // a. If the matching logic does not receive the expected data // pattern. However, the error may be "recoverable" because // the write calibration is still in progress. If an error is // found the write calibration logic delays DQS by an additional // clock cycle and restarts the pattern detection process. // By design, if the write path timing is incorrect, the correct // data pattern will never be detected. // b. Valid data not found even after incrementing Phaser_Out // coarse delay line. always @(posedge clk) begin if (rst) begin wrcal_dqs_cnt_r <= #TCQ 'b0; cal2_done_r <= #TCQ 1'b0; cal2_prech_req_r <= #TCQ 1'b0; cal2_state_r <= #TCQ CAL2_IDLE; wrcal_pat_err <= #TCQ 1'b0; wrcal_pat_resume_r <= #TCQ 1'b0; wrcal_act_req <= #TCQ 1'b0; cal2_if_reset <= #TCQ 1'b0; temp_wrcal_done <= #TCQ 1'b0; wrlvl_byte_redo <= #TCQ 1'b0; early1_data <= #TCQ 1'b0; early2_data <= #TCQ 1'b0; idelay_ld <= #TCQ 1'b0; idelay_ld_done <= #TCQ 1'b0; pat1_detect <= #TCQ 1'b0; early1_detect <= #TCQ 1'b0; wrcal_sanity_chk_done <= #TCQ 1'b0; wrcal_sanity_chk_err <= #TCQ 1'b0; end else begin cal2_prech_req_r <= #TCQ 1'b0; case (cal2_state_r) CAL2_IDLE: begin wrcal_pat_err <= #TCQ 1'b0; if (wrcal_start) begin cal2_if_reset <= #TCQ 1'b0; if (SIM_CAL_OPTION == "SKIP_CAL") // If skip write calibration, then proceed to end. cal2_state_r <= #TCQ CAL2_DONE; else cal2_state_r <= #TCQ CAL2_READ_WAIT; end end // General wait state to wait for read data to be output by the // IN_FIFO CAL2_READ_WAIT: begin wrcal_pat_resume_r <= #TCQ 1'b0; cal2_if_reset <= #TCQ 1'b0; // Wait until read data is received, and pattern matching // calculation is complete. NOTE: Need to add a timeout here // in case for some reason data is never received (or rather // the PHASER_IN and IN_FIFO think they never receives data) if (pat_data_match_valid_r && (nCK_PER_CLK == 4)) begin if (pat_data_match_r) // If found data match, then move on to next DQS group cal2_state_r <= #TCQ CAL2_NEXT_DQS; else begin if (wrcal_sanity_chk_r) cal2_state_r <= #TCQ CAL2_ERR; // If writes are one or two cycles early then redo // write leveling for the byte else if (early1_data_match_r) begin early1_data <= #TCQ 1'b1; early2_data <= #TCQ 1'b0; wrlvl_byte_redo <= #TCQ 1'b1; cal2_state_r <= #TCQ CAL2_WRLVL_WAIT; end else if (early2_data_match_r) begin early1_data <= #TCQ 1'b0; early2_data <= #TCQ 1'b1; wrlvl_byte_redo <= #TCQ 1'b1; cal2_state_r <= #TCQ CAL2_WRLVL_WAIT; // Read late due to incorrect MPR idelay value // Decrement Idelay to '0'for the current byte end else if (~idelay_ld_done) begin cal2_state_r <= #TCQ CAL2_DQ_IDEL_DEC; idelay_ld <= #TCQ 1'b1; end else cal2_state_r <= #TCQ CAL2_ERR; end end else if (pat_data_match_valid_r && (nCK_PER_CLK == 2)) begin if ((pat1_data_match_r1 && pat2_data_match_r) || (pat1_detect && pat2_data_match_r)) // If found data match, then move on to next DQS group cal2_state_r <= #TCQ CAL2_NEXT_DQS; else if (pat1_data_match_r1 && ~pat2_data_match_r) begin cal2_state_r <= #TCQ CAL2_READ_WAIT; pat1_detect <= #TCQ 1'b1; end else begin // If writes are one or two cycles early then redo // write leveling for the byte if (wrcal_sanity_chk_r) cal2_state_r <= #TCQ CAL2_ERR; else if ((early1_data_match_r1 && early2_data_match_r) || (early1_detect && early2_data_match_r)) begin early1_data <= #TCQ 1'b1; early2_data <= #TCQ 1'b0; wrlvl_byte_redo <= #TCQ 1'b1; cal2_state_r <= #TCQ CAL2_WRLVL_WAIT; end else if (early1_data_match_r1 && ~early2_data_match_r) begin early1_detect <= #TCQ 1'b1; cal2_state_r <= #TCQ CAL2_READ_WAIT; // Read late due to incorrect MPR idelay value // Decrement Idelay to '0'for the current byte end else if (~idelay_ld_done) begin cal2_state_r <= #TCQ CAL2_DQ_IDEL_DEC; idelay_ld <= #TCQ 1'b1; end else cal2_state_r <= #TCQ CAL2_ERR; end end else if (not_empty_wait_cnt == 'd31) cal2_state_r <= #TCQ CAL2_ERR; end CAL2_WRLVL_WAIT: begin early1_detect <= #TCQ 1'b0; if (wrlvl_byte_done && ~wrlvl_byte_done_r) wrlvl_byte_redo <= #TCQ 1'b0; if (wrlvl_byte_done) begin if (rd_active_r1 && ~rd_active_r) begin cal2_state_r <= #TCQ CAL2_IFIFO_RESET; cal2_if_reset <= #TCQ 1'b1; early1_data <= #TCQ 1'b0; early2_data <= #TCQ 1'b0; end end end CAL2_DQ_IDEL_DEC: begin if (tap_inc_wait_cnt == 'd4) begin idelay_ld <= #TCQ 1'b0; cal2_state_r <= #TCQ CAL2_IFIFO_RESET; cal2_if_reset <= #TCQ 1'b1; idelay_ld_done <= #TCQ 1'b1; end end CAL2_IFIFO_RESET: begin if (tap_inc_wait_cnt == 'd15) begin cal2_if_reset <= #TCQ 1'b0; if (wrcal_sanity_chk_r) cal2_state_r <= #TCQ CAL2_DONE; else if (idelay_ld_done) begin wrcal_pat_resume_r <= #TCQ 1'b1; cal2_state_r <= #TCQ CAL2_READ_WAIT; end else cal2_state_r <= #TCQ CAL2_IDLE; end end // Final processing for current DQS group. Move on to next group CAL2_NEXT_DQS: begin // At this point, we've just found the correct pattern for the // current DQS group. // Request bank/row precharge, and wait for its completion. Always // precharge after each DQS group to avoid tRAS(max) violation if (wrcal_sanity_chk_r && (wrcal_dqs_cnt_r != DQS_WIDTH-1)) begin cal2_prech_req_r <= #TCQ 1'b0; wrcal_dqs_cnt_r <= #TCQ wrcal_dqs_cnt_r + 1; cal2_state_r <= #TCQ CAL2_SANITY_WAIT; end else cal2_prech_req_r <= #TCQ 1'b1; idelay_ld_done <= #TCQ 1'b0; pat1_detect <= #TCQ 1'b0; if (prech_done) if (((DQS_WIDTH == 1) || (SIM_CAL_OPTION == "FAST_CAL")) || (wrcal_dqs_cnt_r == DQS_WIDTH-1)) begin // If either FAST_CAL is enabled and first DQS group is // finished, or if the last DQS group was just finished, // then end of write calibration if (wrcal_sanity_chk_r) begin cal2_if_reset <= #TCQ 1'b1; cal2_state_r <= #TCQ CAL2_IFIFO_RESET; end else cal2_state_r <= #TCQ CAL2_DONE; end else begin // Continue to next DQS group wrcal_dqs_cnt_r <= #TCQ wrcal_dqs_cnt_r + 1; cal2_state_r <= #TCQ CAL2_READ_WAIT; end end CAL2_SANITY_WAIT: begin if (tap_inc_wait_cnt == 'd15) begin cal2_state_r <= #TCQ CAL2_READ_WAIT; wrcal_pat_resume_r <= #TCQ 1'b1; end end // Finished with read enable calibration CAL2_DONE: begin if (wrcal_sanity_chk && ~wrcal_sanity_chk_r) begin cal2_done_r <= #TCQ 1'b0; wrcal_dqs_cnt_r <= #TCQ 'd0; cal2_state_r <= #TCQ CAL2_IDLE; end else cal2_done_r <= #TCQ 1'b1; cal2_prech_req_r <= #TCQ 1'b0; cal2_if_reset <= #TCQ 1'b0; if (wrcal_sanity_chk_r) wrcal_sanity_chk_done <= #TCQ 1'b1; end // Assert error signal indicating that writes timing is incorrect CAL2_ERR: begin wrcal_pat_resume_r <= #TCQ 1'b0; if (wrcal_sanity_chk_r) wrcal_sanity_chk_err <= #TCQ 1'b1; else wrcal_pat_err <= #TCQ 1'b1; cal2_state_r <= #TCQ CAL2_ERR; end endcase end end // Delay assertion of wrcal_done for write calibration by a few cycles after // we've reached CAL2_DONE always @(posedge clk) if (rst) cal2_done_r1 <= #TCQ 1'b0; else cal2_done_r1 <= #TCQ cal2_done_r; always @(posedge clk) if (rst || (wrcal_sanity_chk && ~wrcal_sanity_chk_r)) wrcal_done <= #TCQ 1'b0; else if (cal2_done_r) wrcal_done <= #TCQ 1'b1; endmodule
//***************************************************************************** // (c) Copyright 2009 - 2013 Xilinx, Inc. All rights reserved. // // This file contains confidential and proprietary information // of Xilinx, Inc. and is protected under U.S. and // international copyright and other intellectual property // laws. // // DISCLAIMER // This disclaimer is not a license and does not grant any // rights to the materials distributed herewith. Except as // otherwise provided in a valid license issued to you by // Xilinx, and to the maximum extent permitted by applicable // law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND // WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES // AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING // BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- // INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and // (2) Xilinx shall not be liable (whether in contract or tort, // including negligence, or under any other theory of // liability) for any loss or damage of any kind or nature // related to, arising under or in connection with these // materials, including for any direct, or any indirect, // special, incidental, or consequential loss or damage // (including loss of data, profits, goodwill, or any type of // loss or damage suffered as a result of any action brought // by a third party) even if such damage or loss was // reasonably foreseeable or Xilinx had been advised of the // possibility of the same. // // CRITICAL APPLICATIONS // Xilinx products are not designed or intended to be fail- // safe, or for use in any application requiring fail-safe // performance, such as life-support or safety devices or // systems, Class III medical devices, nuclear facilities, // applications related to the deployment of airbags, or any // other applications that could lead to death, personal // injury, or severe property or environmental damage // (individually and collectively, "Critical // Applications"). Customer assumes the sole risk and // liability of any use of Xilinx products in Critical // Applications, subject only to applicable laws and // regulations governing limitations on product liability. // // THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS // PART OF THIS FILE AT ALL TIMES. // //***************************************************************************** // ____ ____ // / /\/ / // /___/ \ / Vendor: Xilinx // \ \ \/ Version: // \ \ Application: MIG // / / Filename: ddr_phy_wrcal.v // /___/ /\ Date Last Modified: $Date: 2011/06/02 08:35:09 $ // \ \ / \ Date Created: // \___\/\___\ // //Device: 7 Series //Design Name: DDR3 SDRAM //Purpose: // Write calibration logic to align DQS to correct CK edge //Reference: //Revision History: //***************************************************************************** /****************************************************************************** **$Id: ddr_phy_wrcal.v,v 1.1 2011/06/02 08:35:09 mishra Exp $ **$Date: 2011/06/02 08:35:09 $ **$Author: **$Revision: **$Source: ******************************************************************************/ `timescale 1ps/1ps module mig_7series_v1_9_ddr_phy_wrcal # ( parameter TCQ = 100, // clk->out delay (sim only) parameter nCK_PER_CLK = 2, // # of memory clocks per CLK parameter CLK_PERIOD = 2500, parameter DQ_WIDTH = 64, // # of DQ (data) parameter DQS_CNT_WIDTH = 3, // = ceil(log2(DQS_WIDTH)) parameter DQS_WIDTH = 8, // # of DQS (strobe) parameter DRAM_WIDTH = 8, // # of DQ per DQS parameter PRE_REV3ES = "OFF", // Delay O/Ps using Phaser_Out fine dly parameter SIM_CAL_OPTION = "NONE" // Skip various calibration steps ) ( input clk, input rst, // Calibration status, control signals input wrcal_start, input wrcal_rd_wait, input wrcal_sanity_chk, input dqsfound_retry_done, input phy_rddata_en, output dqsfound_retry, output wrcal_read_req, output reg wrcal_act_req, output reg wrcal_done, output reg wrcal_pat_err, output reg wrcal_prech_req, output reg temp_wrcal_done, output reg wrcal_sanity_chk_done, input prech_done, // Captured data in resync clock domain input [2*nCK_PER_CLK*DQ_WIDTH-1:0] rd_data, // Write level values of Phaser_Out coarse and fine // delay taps required to load Phaser_Out register input [3*DQS_WIDTH-1:0] wl_po_coarse_cnt, input [6*DQS_WIDTH-1:0] wl_po_fine_cnt, input wrlvl_byte_done, output reg wrlvl_byte_redo, output reg early1_data, output reg early2_data, // DQ IDELAY output reg idelay_ld, output reg wrcal_pat_resume, // to phy_init for write output reg [DQS_CNT_WIDTH:0] po_stg2_wrcal_cnt, output phy_if_reset, // Debug Port output [6*DQS_WIDTH-1:0] dbg_final_po_fine_tap_cnt, output [3*DQS_WIDTH-1:0] dbg_final_po_coarse_tap_cnt, output [99:0] dbg_phy_wrcal ); // Length of calibration sequence (in # of words) //localparam CAL_PAT_LEN = 8; // Read data shift register length localparam RD_SHIFT_LEN = 1; //(nCK_PER_CLK == 4) ? 1 : 2; // # of reads for reliable read capture localparam NUM_READS = 2; // # of cycles to wait after changing RDEN count value localparam RDEN_WAIT_CNT = 12; localparam COARSE_CNT = (CLK_PERIOD/nCK_PER_CLK <= 2500) ? 3 : 6; localparam FINE_CNT = (CLK_PERIOD/nCK_PER_CLK <= 2500) ? 22 : 44; localparam CAL2_IDLE = 4'h0; localparam CAL2_READ_WAIT = 4'h1; localparam CAL2_NEXT_DQS = 4'h2; localparam CAL2_WRLVL_WAIT = 4'h3; localparam CAL2_IFIFO_RESET = 4'h4; localparam CAL2_DQ_IDEL_DEC = 4'h5; localparam CAL2_DONE = 4'h6; localparam CAL2_SANITY_WAIT = 4'h7; localparam CAL2_ERR = 4'h8; integer i,j,k,l,m,p,q,d; reg [2:0] po_coarse_tap_cnt [0:DQS_WIDTH-1]; reg [3*DQS_WIDTH-1:0] po_coarse_tap_cnt_w; reg [5:0] po_fine_tap_cnt [0:DQS_WIDTH-1]; reg [6*DQS_WIDTH-1:0] po_fine_tap_cnt_w; (* keep = "true", max_fanout = 10 *) reg [DQS_CNT_WIDTH:0] wrcal_dqs_cnt_r/* synthesis syn_maxfan = 10 */; reg [4:0] not_empty_wait_cnt; reg [3:0] tap_inc_wait_cnt; reg cal2_done_r; reg cal2_done_r1; reg cal2_prech_req_r; reg [3:0] cal2_state_r; reg [3:0] cal2_state_r1; reg [2:0] wl_po_coarse_cnt_w [0:DQS_WIDTH-1]; reg [5:0] wl_po_fine_cnt_w [0:DQS_WIDTH-1]; reg cal2_if_reset; reg wrcal_pat_resume_r; reg wrcal_pat_resume_r1; reg wrcal_pat_resume_r2; reg wrcal_pat_resume_r3; reg [DRAM_WIDTH-1:0] mux_rd_fall0_r; reg [DRAM_WIDTH-1:0] mux_rd_fall1_r; reg [DRAM_WIDTH-1:0] mux_rd_rise0_r; reg [DRAM_WIDTH-1:0] mux_rd_rise1_r; reg [DRAM_WIDTH-1:0] mux_rd_fall2_r; reg [DRAM_WIDTH-1:0] mux_rd_fall3_r; reg [DRAM_WIDTH-1:0] mux_rd_rise2_r; reg [DRAM_WIDTH-1:0] mux_rd_rise3_r; reg pat_data_match_r; reg pat1_data_match_r; reg pat1_data_match_r1; reg pat2_data_match_r; reg pat_data_match_valid_r; wire [RD_SHIFT_LEN-1:0] pat_fall0 [3:0]; wire [RD_SHIFT_LEN-1:0] pat_fall1 [3:0]; wire [RD_SHIFT_LEN-1:0] pat_fall2 [3:0]; wire [RD_SHIFT_LEN-1:0] pat_fall3 [3:0]; wire [RD_SHIFT_LEN-1:0] pat1_fall0 [3:0]; wire [RD_SHIFT_LEN-1:0] pat1_fall1 [3:0]; wire [RD_SHIFT_LEN-1:0] pat2_fall0 [3:0]; wire [RD_SHIFT_LEN-1:0] pat2_fall1 [3:0]; wire [RD_SHIFT_LEN-1:0] early_fall0 [3:0]; wire [RD_SHIFT_LEN-1:0] early_fall1 [3:0]; wire [RD_SHIFT_LEN-1:0] early_fall2 [3:0]; wire [RD_SHIFT_LEN-1:0] early_fall3 [3:0]; wire [RD_SHIFT_LEN-1:0] early1_fall0 [3:0]; wire [RD_SHIFT_LEN-1:0] early1_fall1 [3:0]; wire [RD_SHIFT_LEN-1:0] early2_fall0 [3:0]; wire [RD_SHIFT_LEN-1:0] early2_fall1 [3:0]; reg [DRAM_WIDTH-1:0] pat_match_fall0_r; reg pat_match_fall0_and_r; reg [DRAM_WIDTH-1:0] pat_match_fall1_r; reg pat_match_fall1_and_r; reg [DRAM_WIDTH-1:0] pat_match_fall2_r; reg pat_match_fall2_and_r; reg [DRAM_WIDTH-1:0] pat_match_fall3_r; reg pat_match_fall3_and_r; reg [DRAM_WIDTH-1:0] pat_match_rise0_r; reg pat_match_rise0_and_r; reg [DRAM_WIDTH-1:0] pat_match_rise1_r; reg pat_match_rise1_and_r; reg [DRAM_WIDTH-1:0] pat_match_rise2_r; reg pat_match_rise2_and_r; reg [DRAM_WIDTH-1:0] pat_match_rise3_r; reg pat_match_rise3_and_r; reg [DRAM_WIDTH-1:0] pat1_match_rise0_r; reg [DRAM_WIDTH-1:0] pat1_match_rise1_r; reg [DRAM_WIDTH-1:0] pat1_match_fall0_r; reg [DRAM_WIDTH-1:0] pat1_match_fall1_r; reg [DRAM_WIDTH-1:0] pat2_match_rise0_r; reg [DRAM_WIDTH-1:0] pat2_match_rise1_r; reg [DRAM_WIDTH-1:0] pat2_match_fall0_r; reg [DRAM_WIDTH-1:0] pat2_match_fall1_r; reg pat1_match_rise0_and_r; reg pat1_match_rise1_and_r; reg pat1_match_fall0_and_r; reg pat1_match_fall1_and_r; reg pat2_match_rise0_and_r; reg pat2_match_rise1_and_r; reg pat2_match_fall0_and_r; reg pat2_match_fall1_and_r; reg early1_data_match_r; reg early1_data_match_r1; reg [DRAM_WIDTH-1:0] early1_match_fall0_r; reg early1_match_fall0_and_r; reg [DRAM_WIDTH-1:0] early1_match_fall1_r; reg early1_match_fall1_and_r; reg [DRAM_WIDTH-1:0] early1_match_fall2_r; reg early1_match_fall2_and_r; reg [DRAM_WIDTH-1:0] early1_match_fall3_r; reg early1_match_fall3_and_r; reg [DRAM_WIDTH-1:0] early1_match_rise0_r; reg early1_match_rise0_and_r; reg [DRAM_WIDTH-1:0] early1_match_rise1_r; reg early1_match_rise1_and_r; reg [DRAM_WIDTH-1:0] early1_match_rise2_r; reg early1_match_rise2_and_r; reg [DRAM_WIDTH-1:0] early1_match_rise3_r; reg early1_match_rise3_and_r; reg early2_data_match_r; reg [DRAM_WIDTH-1:0] early2_match_fall0_r; reg early2_match_fall0_and_r; reg [DRAM_WIDTH-1:0] early2_match_fall1_r; reg early2_match_fall1_and_r; reg [DRAM_WIDTH-1:0] early2_match_fall2_r; reg early2_match_fall2_and_r; reg [DRAM_WIDTH-1:0] early2_match_fall3_r; reg early2_match_fall3_and_r; reg [DRAM_WIDTH-1:0] early2_match_rise0_r; reg early2_match_rise0_and_r; reg [DRAM_WIDTH-1:0] early2_match_rise1_r; reg early2_match_rise1_and_r; reg [DRAM_WIDTH-1:0] early2_match_rise2_r; reg early2_match_rise2_and_r; reg [DRAM_WIDTH-1:0] early2_match_rise3_r; reg early2_match_rise3_and_r; wire [RD_SHIFT_LEN-1:0] pat_rise0 [3:0]; wire [RD_SHIFT_LEN-1:0] pat_rise1 [3:0]; wire [RD_SHIFT_LEN-1:0] pat_rise2 [3:0]; wire [RD_SHIFT_LEN-1:0] pat_rise3 [3:0]; wire [RD_SHIFT_LEN-1:0] pat1_rise0 [3:0]; wire [RD_SHIFT_LEN-1:0] pat1_rise1 [3:0]; wire [RD_SHIFT_LEN-1:0] pat2_rise0 [3:0]; wire [RD_SHIFT_LEN-1:0] pat2_rise1 [3:0]; wire [RD_SHIFT_LEN-1:0] early_rise0 [3:0]; wire [RD_SHIFT_LEN-1:0] early_rise1 [3:0]; wire [RD_SHIFT_LEN-1:0] early_rise2 [3:0]; wire [RD_SHIFT_LEN-1:0] early_rise3 [3:0]; wire [RD_SHIFT_LEN-1:0] early1_rise0 [3:0]; wire [RD_SHIFT_LEN-1:0] early1_rise1 [3:0]; wire [RD_SHIFT_LEN-1:0] early2_rise0 [3:0]; wire [RD_SHIFT_LEN-1:0] early2_rise1 [3:0]; wire [DQ_WIDTH-1:0] rd_data_rise0; wire [DQ_WIDTH-1:0] rd_data_fall0; wire [DQ_WIDTH-1:0] rd_data_rise1; wire [DQ_WIDTH-1:0] rd_data_fall1; wire [DQ_WIDTH-1:0] rd_data_rise2; wire [DQ_WIDTH-1:0] rd_data_fall2; wire [DQ_WIDTH-1:0] rd_data_rise3; wire [DQ_WIDTH-1:0] rd_data_fall3; reg [DQS_CNT_WIDTH:0] rd_mux_sel_r; reg rd_active_posedge_r; reg rd_active_r; reg rd_active_r1; reg rd_active_r2; reg rd_active_r3; reg rd_active_r4; reg rd_active_r5; reg [RD_SHIFT_LEN-1:0] sr_fall0_r [DRAM_WIDTH-1:0]; reg [RD_SHIFT_LEN-1:0] sr_fall1_r [DRAM_WIDTH-1:0]; reg [RD_SHIFT_LEN-1:0] sr_rise0_r [DRAM_WIDTH-1:0]; reg [RD_SHIFT_LEN-1:0] sr_rise1_r [DRAM_WIDTH-1:0]; reg [RD_SHIFT_LEN-1:0] sr_fall2_r [DRAM_WIDTH-1:0]; reg [RD_SHIFT_LEN-1:0] sr_fall3_r [DRAM_WIDTH-1:0]; reg [RD_SHIFT_LEN-1:0] sr_rise2_r [DRAM_WIDTH-1:0]; reg [RD_SHIFT_LEN-1:0] sr_rise3_r [DRAM_WIDTH-1:0]; reg wrlvl_byte_done_r; reg idelay_ld_done; reg pat1_detect; reg early1_detect; reg wrcal_sanity_chk_r; reg wrcal_sanity_chk_err; //*************************************************************************** // Debug //*************************************************************************** always @(*) begin for (d = 0; d < DQS_WIDTH; d = d + 1) begin po_fine_tap_cnt_w[(6*d)+:6] <= #TCQ po_fine_tap_cnt[d]; po_coarse_tap_cnt_w[(3*d)+:3] <= #TCQ po_coarse_tap_cnt[d]; end end assign dbg_final_po_fine_tap_cnt = po_fine_tap_cnt_w; assign dbg_final_po_coarse_tap_cnt = po_coarse_tap_cnt_w; assign dbg_phy_wrcal[0] = pat_data_match_r; assign dbg_phy_wrcal[4:1] = cal2_state_r1[2:0]; assign dbg_phy_wrcal[5] = wrcal_sanity_chk_err; assign dbg_phy_wrcal[6] = wrcal_start; assign dbg_phy_wrcal[7] = wrcal_done; assign dbg_phy_wrcal[8] = pat_data_match_valid_r; assign dbg_phy_wrcal[13+:DQS_CNT_WIDTH]= wrcal_dqs_cnt_r; assign dbg_phy_wrcal[17+:5] = 'd0; assign dbg_phy_wrcal[22+:5] = 'd0; assign dbg_phy_wrcal[27] = 1'b0; assign dbg_phy_wrcal[28+:5] = 'd0; assign dbg_phy_wrcal[53:33] = 'b0; assign dbg_phy_wrcal[54] = 1'b0; assign dbg_phy_wrcal[55+:5] = 'd0; assign dbg_phy_wrcal[60] = 1'b0; assign dbg_phy_wrcal[61+:5] = 'd0; assign dbg_phy_wrcal[66+:5] = not_empty_wait_cnt; assign dbg_phy_wrcal[71] = early1_data; assign dbg_phy_wrcal[72] = early2_data; assign dqsfound_retry = 1'b0; assign wrcal_read_req = 1'b0; assign phy_if_reset = cal2_if_reset; //************************************************************************** // DQS count to hard PHY during write calibration using Phaser_OUT Stage2 // coarse delay //************************************************************************** always @(posedge clk) begin po_stg2_wrcal_cnt <= #TCQ wrcal_dqs_cnt_r; wrlvl_byte_done_r <= #TCQ wrlvl_byte_done; wrcal_sanity_chk_r <= #TCQ wrcal_sanity_chk; end //*************************************************************************** // Data mux to route appropriate byte to calibration logic - i.e. calibration // is done sequentially, one byte (or DQS group) at a time //*************************************************************************** generate if (nCK_PER_CLK == 4) begin: gen_rd_data_div4 assign rd_data_rise0 = rd_data[DQ_WIDTH-1:0]; assign rd_data_fall0 = rd_data[2*DQ_WIDTH-1:DQ_WIDTH]; assign rd_data_rise1 = rd_data[3*DQ_WIDTH-1:2*DQ_WIDTH]; assign rd_data_fall1 = rd_data[4*DQ_WIDTH-1:3*DQ_WIDTH]; assign rd_data_rise2 = rd_data[5*DQ_WIDTH-1:4*DQ_WIDTH]; assign rd_data_fall2 = rd_data[6*DQ_WIDTH-1:5*DQ_WIDTH]; assign rd_data_rise3 = rd_data[7*DQ_WIDTH-1:6*DQ_WIDTH]; assign rd_data_fall3 = rd_data[8*DQ_WIDTH-1:7*DQ_WIDTH]; end else if (nCK_PER_CLK == 2) begin: gen_rd_data_div2 assign rd_data_rise0 = rd_data[DQ_WIDTH-1:0]; assign rd_data_fall0 = rd_data[2*DQ_WIDTH-1:DQ_WIDTH]; assign rd_data_rise1 = rd_data[3*DQ_WIDTH-1:2*DQ_WIDTH]; assign rd_data_fall1 = rd_data[4*DQ_WIDTH-1:3*DQ_WIDTH]; end endgenerate //************************************************************************** // Final Phaser OUT coarse and fine delay taps after write calibration // Sum of taps used during write leveling taps and write calibration //************************************************************************** always @(*) begin for (m = 0; m < DQS_WIDTH; m = m + 1) begin wl_po_coarse_cnt_w[m] = wl_po_coarse_cnt[3*m+:3]; wl_po_fine_cnt_w[m] = wl_po_fine_cnt[6*m+:6]; end end always @(posedge clk) begin if (rst) begin for (p = 0; p < DQS_WIDTH; p = p + 1) begin po_coarse_tap_cnt[p] <= #TCQ {3{1'b0}}; po_fine_tap_cnt[p] <= #TCQ {6{1'b0}}; end end else if (cal2_done_r && ~cal2_done_r1) begin for (q = 0; q < DQS_WIDTH; q = q + 1) begin po_coarse_tap_cnt[q] <= #TCQ wl_po_coarse_cnt_w[i]; po_fine_tap_cnt[q] <= #TCQ wl_po_fine_cnt_w[i]; end end end always @(posedge clk) begin rd_mux_sel_r <= #TCQ wrcal_dqs_cnt_r; end // Register outputs for improved timing. // NOTE: Will need to change when per-bit DQ deskew is supported. // Currenly all bits in DQS group are checked in aggregate generate genvar mux_i; if (nCK_PER_CLK == 4) begin: gen_mux_rd_div4 for (mux_i = 0; mux_i < DRAM_WIDTH; mux_i = mux_i + 1) begin: gen_mux_rd always @(posedge clk) begin mux_rd_rise0_r[mux_i] <= #TCQ rd_data_rise0[DRAM_WIDTH*rd_mux_sel_r + mux_i]; mux_rd_fall0_r[mux_i] <= #TCQ rd_data_fall0[DRAM_WIDTH*rd_mux_sel_r + mux_i]; mux_rd_rise1_r[mux_i] <= #TCQ rd_data_rise1[DRAM_WIDTH*rd_mux_sel_r + mux_i]; mux_rd_fall1_r[mux_i] <= #TCQ rd_data_fall1[DRAM_WIDTH*rd_mux_sel_r + mux_i]; mux_rd_rise2_r[mux_i] <= #TCQ rd_data_rise2[DRAM_WIDTH*rd_mux_sel_r + mux_i]; mux_rd_fall2_r[mux_i] <= #TCQ rd_data_fall2[DRAM_WIDTH*rd_mux_sel_r + mux_i]; mux_rd_rise3_r[mux_i] <= #TCQ rd_data_rise3[DRAM_WIDTH*rd_mux_sel_r + mux_i]; mux_rd_fall3_r[mux_i] <= #TCQ rd_data_fall3[DRAM_WIDTH*rd_mux_sel_r + mux_i]; end end end else if (nCK_PER_CLK == 2) begin: gen_mux_rd_div2 for (mux_i = 0; mux_i < DRAM_WIDTH; mux_i = mux_i + 1) begin: gen_mux_rd always @(posedge clk) begin mux_rd_rise0_r[mux_i] <= #TCQ rd_data_rise0[DRAM_WIDTH*rd_mux_sel_r + mux_i]; mux_rd_fall0_r[mux_i] <= #TCQ rd_data_fall0[DRAM_WIDTH*rd_mux_sel_r + mux_i]; mux_rd_rise1_r[mux_i] <= #TCQ rd_data_rise1[DRAM_WIDTH*rd_mux_sel_r + mux_i]; mux_rd_fall1_r[mux_i] <= #TCQ rd_data_fall1[DRAM_WIDTH*rd_mux_sel_r + mux_i]; end end end endgenerate //*************************************************************************** // generate request to PHY_INIT logic to issue precharged. Required when // calibration can take a long time (during which there are only constant // reads present on this bus). In this case need to issue perioidic // precharges to avoid tRAS violation. This signal must meet the following // requirements: (1) only transition from 0->1 when prech is first needed, // (2) stay at 1 and only transition 1->0 when RDLVL_PRECH_DONE asserted //*************************************************************************** always @(posedge clk) if (rst) wrcal_prech_req <= #TCQ 1'b0; else // Combine requests from all stages here wrcal_prech_req <= #TCQ cal2_prech_req_r; //*************************************************************************** // Shift register to store last RDDATA_SHIFT_LEN cycles of data from ISERDES // NOTE: Written using discrete flops, but SRL can be used if the matching // logic does the comparison sequentially, rather than parallel //*************************************************************************** generate genvar rd_i; if (nCK_PER_CLK == 4) begin: gen_sr_div4 for (rd_i = 0; rd_i < DRAM_WIDTH; rd_i = rd_i + 1) begin: gen_sr always @(posedge clk) begin sr_rise0_r[rd_i] <= #TCQ mux_rd_rise0_r[rd_i]; sr_fall0_r[rd_i] <= #TCQ mux_rd_fall0_r[rd_i]; sr_rise1_r[rd_i] <= #TCQ mux_rd_rise1_r[rd_i]; sr_fall1_r[rd_i] <= #TCQ mux_rd_fall1_r[rd_i]; sr_rise2_r[rd_i] <= #TCQ mux_rd_rise2_r[rd_i]; sr_fall2_r[rd_i] <= #TCQ mux_rd_fall2_r[rd_i]; sr_rise3_r[rd_i] <= #TCQ mux_rd_rise3_r[rd_i]; sr_fall3_r[rd_i] <= #TCQ mux_rd_fall3_r[rd_i]; end end end else if (nCK_PER_CLK == 2) begin: gen_sr_div2 for (rd_i = 0; rd_i < DRAM_WIDTH; rd_i = rd_i + 1) begin: gen_sr always @(posedge clk) begin sr_rise0_r[rd_i] <= #TCQ mux_rd_rise0_r[rd_i]; sr_fall0_r[rd_i] <= #TCQ mux_rd_fall0_r[rd_i]; sr_rise1_r[rd_i] <= #TCQ mux_rd_rise1_r[rd_i]; sr_fall1_r[rd_i] <= #TCQ mux_rd_fall1_r[rd_i]; end end end endgenerate //*************************************************************************** // Write calibration: // During write leveling DQS is aligned to the nearest CK edge that may not // be the correct CK edge. Write calibration is required to align the DQS to // the correct CK edge that clocks the write command. // The Phaser_Out coarse delay line is adjusted if required to add a memory // clock cycle of delay in order to read back the expected pattern. //*************************************************************************** always @(posedge clk) begin rd_active_r <= #TCQ phy_rddata_en; rd_active_r1 <= #TCQ rd_active_r; rd_active_r2 <= #TCQ rd_active_r1; rd_active_r3 <= #TCQ rd_active_r2; rd_active_r4 <= #TCQ rd_active_r3; rd_active_r5 <= #TCQ rd_active_r4; end //***************************************************************** // Expected data pattern when properly received by read capture // logic: // Based on pattern of ({rise,fall}) = // 0xF, 0x0, 0xA, 0x5, 0x5, 0xA, 0x9, 0x6 // Each nibble will look like: // bit3: 1, 0, 1, 0, 0, 1, 1, 0 // bit2: 1, 0, 0, 1, 1, 0, 0, 1 // bit1: 1, 0, 1, 0, 0, 1, 0, 1 // bit0: 1, 0, 0, 1, 1, 0, 1, 0 // Change the hard-coded pattern below accordingly as RD_SHIFT_LEN // and the actual training pattern contents change //***************************************************************** generate if (nCK_PER_CLK == 4) begin: gen_pat_div4 // FF00AA5555AA9966 assign pat_rise0[3] = 1'b1; assign pat_fall0[3] = 1'b0; assign pat_rise1[3] = 1'b1; assign pat_fall1[3] = 1'b0; assign pat_rise2[3] = 1'b0; assign pat_fall2[3] = 1'b1; assign pat_rise3[3] = 1'b1; assign pat_fall3[3] = 1'b0; assign pat_rise0[2] = 1'b1; assign pat_fall0[2] = 1'b0; assign pat_rise1[2] = 1'b0; assign pat_fall1[2] = 1'b1; assign pat_rise2[2] = 1'b1; assign pat_fall2[2] = 1'b0; assign pat_rise3[2] = 1'b0; assign pat_fall3[2] = 1'b1; assign pat_rise0[1] = 1'b1; assign pat_fall0[1] = 1'b0; assign pat_rise1[1] = 1'b1; assign pat_fall1[1] = 1'b0; assign pat_rise2[1] = 1'b0; assign pat_fall2[1] = 1'b1; assign pat_rise3[1] = 1'b0; assign pat_fall3[1] = 1'b1; assign pat_rise0[0] = 1'b1; assign pat_fall0[0] = 1'b0; assign pat_rise1[0] = 1'b0; assign pat_fall1[0] = 1'b1; assign pat_rise2[0] = 1'b1; assign pat_fall2[0] = 1'b0; assign pat_rise3[0] = 1'b1; assign pat_fall3[0] = 1'b0; // Pattern to distinguish between early write and incorrect read // BB11EE4444EEDD88 assign early_rise0[3] = 1'b1; assign early_fall0[3] = 1'b0; assign early_rise1[3] = 1'b1; assign early_fall1[3] = 1'b0; assign early_rise2[3] = 1'b0; assign early_fall2[3] = 1'b1; assign early_rise3[3] = 1'b1; assign early_fall3[3] = 1'b1; assign early_rise0[2] = 1'b0; assign early_fall0[2] = 1'b0; assign early_rise1[2] = 1'b1; assign early_fall1[2] = 1'b1; assign early_rise2[2] = 1'b1; assign early_fall2[2] = 1'b1; assign early_rise3[2] = 1'b1; assign early_fall3[2] = 1'b0; assign early_rise0[1] = 1'b1; assign early_fall0[1] = 1'b0; assign early_rise1[1] = 1'b1; assign early_fall1[1] = 1'b0; assign early_rise2[1] = 1'b0; assign early_fall2[1] = 1'b1; assign early_rise3[1] = 1'b0; assign early_fall3[1] = 1'b0; assign early_rise0[0] = 1'b1; assign early_fall0[0] = 1'b1; assign early_rise1[0] = 1'b0; assign early_fall1[0] = 1'b0; assign early_rise2[0] = 1'b0; assign early_fall2[0] = 1'b0; assign early_rise3[0] = 1'b1; assign early_fall3[0] = 1'b0; end else if (nCK_PER_CLK == 2) begin: gen_pat_div2 // First cycle pattern FF00AA55 assign pat1_rise0[3] = 1'b1; assign pat1_fall0[3] = 1'b0; assign pat1_rise1[3] = 1'b1; assign pat1_fall1[3] = 1'b0; assign pat1_rise0[2] = 1'b1; assign pat1_fall0[2] = 1'b0; assign pat1_rise1[2] = 1'b0; assign pat1_fall1[2] = 1'b1; assign pat1_rise0[1] = 1'b1; assign pat1_fall0[1] = 1'b0; assign pat1_rise1[1] = 1'b1; assign pat1_fall1[1] = 1'b0; assign pat1_rise0[0] = 1'b1; assign pat1_fall0[0] = 1'b0; assign pat1_rise1[0] = 1'b0; assign pat1_fall1[0] = 1'b1; // Second cycle pattern 55AA9966 assign pat2_rise0[3] = 1'b0; assign pat2_fall0[3] = 1'b1; assign pat2_rise1[3] = 1'b1; assign pat2_fall1[3] = 1'b0; assign pat2_rise0[2] = 1'b1; assign pat2_fall0[2] = 1'b0; assign pat2_rise1[2] = 1'b0; assign pat2_fall1[2] = 1'b1; assign pat2_rise0[1] = 1'b0; assign pat2_fall0[1] = 1'b1; assign pat2_rise1[1] = 1'b0; assign pat2_fall1[1] = 1'b1; assign pat2_rise0[0] = 1'b1; assign pat2_fall0[0] = 1'b0; assign pat2_rise1[0] = 1'b1; assign pat2_fall1[0] = 1'b0; //Pattern to distinguish between early write and incorrect read // First cycle pattern AA5555AA assign early1_rise0[3] = 2'b1; assign early1_fall0[3] = 2'b0; assign early1_rise1[3] = 2'b0; assign early1_fall1[3] = 2'b1; assign early1_rise0[2] = 2'b0; assign early1_fall0[2] = 2'b1; assign early1_rise1[2] = 2'b1; assign early1_fall1[2] = 2'b0; assign early1_rise0[1] = 2'b1; assign early1_fall0[1] = 2'b0; assign early1_rise1[1] = 2'b0; assign early1_fall1[1] = 2'b1; assign early1_rise0[0] = 2'b0; assign early1_fall0[0] = 2'b1; assign early1_rise1[0] = 2'b1; assign early1_fall1[0] = 2'b0; // Second cycle pattern 9966BB11 assign early2_rise0[3] = 2'b1; assign early2_fall0[3] = 2'b0; assign early2_rise1[3] = 2'b1; assign early2_fall1[3] = 2'b0; assign early2_rise0[2] = 2'b0; assign early2_fall0[2] = 2'b1; assign early2_rise1[2] = 2'b0; assign early2_fall1[2] = 2'b0; assign early2_rise0[1] = 2'b0; assign early2_fall0[1] = 2'b1; assign early2_rise1[1] = 2'b1; assign early2_fall1[1] = 2'b0; assign early2_rise0[0] = 2'b1; assign early2_fall0[0] = 2'b0; assign early2_rise1[0] = 2'b1; assign early2_fall1[0] = 2'b1; end endgenerate // Each bit of each byte is compared to expected pattern. // This was done to prevent (and "drastically decrease") the chance that // invalid data clocked in when the DQ bus is tri-state (along with a // combination of the correct data) will resemble the expected data // pattern. A better fix for this is to change the training pattern and/or // make the pattern longer. generate genvar pt_i; if (nCK_PER_CLK == 4) begin: gen_pat_match_div4 for (pt_i = 0; pt_i < DRAM_WIDTH; pt_i = pt_i + 1) begin: gen_pat_match always @(posedge clk) begin if (sr_rise0_r[pt_i] == pat_rise0[pt_i%4]) pat_match_rise0_r[pt_i] <= #TCQ 1'b1; else pat_match_rise0_r[pt_i] <= #TCQ 1'b0; if (sr_fall0_r[pt_i] == pat_fall0[pt_i%4]) pat_match_fall0_r[pt_i] <= #TCQ 1'b1; else pat_match_fall0_r[pt_i] <= #TCQ 1'b0; if (sr_rise1_r[pt_i] == pat_rise1[pt_i%4]) pat_match_rise1_r[pt_i] <= #TCQ 1'b1; else pat_match_rise1_r[pt_i] <= #TCQ 1'b0; if (sr_fall1_r[pt_i] == pat_fall1[pt_i%4]) pat_match_fall1_r[pt_i] <= #TCQ 1'b1; else pat_match_fall1_r[pt_i] <= #TCQ 1'b0; if (sr_rise2_r[pt_i] == pat_rise2[pt_i%4]) pat_match_rise2_r[pt_i] <= #TCQ 1'b1; else pat_match_rise2_r[pt_i] <= #TCQ 1'b0; if (sr_fall2_r[pt_i] == pat_fall2[pt_i%4]) pat_match_fall2_r[pt_i] <= #TCQ 1'b1; else pat_match_fall2_r[pt_i] <= #TCQ 1'b0; if (sr_rise3_r[pt_i] == pat_rise3[pt_i%4]) pat_match_rise3_r[pt_i] <= #TCQ 1'b1; else pat_match_rise3_r[pt_i] <= #TCQ 1'b0; if (sr_fall3_r[pt_i] == pat_fall3[pt_i%4]) pat_match_fall3_r[pt_i] <= #TCQ 1'b1; else pat_match_fall3_r[pt_i] <= #TCQ 1'b0; end always @(posedge clk) begin if (sr_rise0_r[pt_i] == pat_rise1[pt_i%4]) early1_match_rise0_r[pt_i] <= #TCQ 1'b1; else early1_match_rise0_r[pt_i] <= #TCQ 1'b0; if (sr_fall0_r[pt_i] == pat_fall1[pt_i%4]) early1_match_fall0_r[pt_i] <= #TCQ 1'b1; else early1_match_fall0_r[pt_i] <= #TCQ 1'b0; if (sr_rise1_r[pt_i] == pat_rise2[pt_i%4]) early1_match_rise1_r[pt_i] <= #TCQ 1'b1; else early1_match_rise1_r[pt_i] <= #TCQ 1'b0; if (sr_fall1_r[pt_i] == pat_fall2[pt_i%4]) early1_match_fall1_r[pt_i] <= #TCQ 1'b1; else early1_match_fall1_r[pt_i] <= #TCQ 1'b0; if (sr_rise2_r[pt_i] == pat_rise3[pt_i%4]) early1_match_rise2_r[pt_i] <= #TCQ 1'b1; else early1_match_rise2_r[pt_i] <= #TCQ 1'b0; if (sr_fall2_r[pt_i] == pat_fall3[pt_i%4]) early1_match_fall2_r[pt_i] <= #TCQ 1'b1; else early1_match_fall2_r[pt_i] <= #TCQ 1'b0; if (sr_rise3_r[pt_i] == early_rise0[pt_i%4]) early1_match_rise3_r[pt_i] <= #TCQ 1'b1; else early1_match_rise3_r[pt_i] <= #TCQ 1'b0; if (sr_fall3_r[pt_i] == early_fall0[pt_i%4]) early1_match_fall3_r[pt_i] <= #TCQ 1'b1; else early1_match_fall3_r[pt_i] <= #TCQ 1'b0; end always @(posedge clk) begin if (sr_rise0_r[pt_i] == pat_rise2[pt_i%4]) early2_match_rise0_r[pt_i] <= #TCQ 1'b1; else early2_match_rise0_r[pt_i] <= #TCQ 1'b0; if (sr_fall0_r[pt_i] == pat_fall2[pt_i%4]) early2_match_fall0_r[pt_i] <= #TCQ 1'b1; else early2_match_fall0_r[pt_i] <= #TCQ 1'b0; if (sr_rise1_r[pt_i] == pat_rise3[pt_i%4]) early2_match_rise1_r[pt_i] <= #TCQ 1'b1; else early2_match_rise1_r[pt_i] <= #TCQ 1'b0; if (sr_fall1_r[pt_i] == pat_fall3[pt_i%4]) early2_match_fall1_r[pt_i] <= #TCQ 1'b1; else early2_match_fall1_r[pt_i] <= #TCQ 1'b0; if (sr_rise2_r[pt_i] == early_rise0[pt_i%4]) early2_match_rise2_r[pt_i] <= #TCQ 1'b1; else early2_match_rise2_r[pt_i] <= #TCQ 1'b0; if (sr_fall2_r[pt_i] == early_fall0[pt_i%4]) early2_match_fall2_r[pt_i] <= #TCQ 1'b1; else early2_match_fall2_r[pt_i] <= #TCQ 1'b0; if (sr_rise3_r[pt_i] == early_rise1[pt_i%4]) early2_match_rise3_r[pt_i] <= #TCQ 1'b1; else early2_match_rise3_r[pt_i] <= #TCQ 1'b0; if (sr_fall3_r[pt_i] == early_fall1[pt_i%4]) early2_match_fall3_r[pt_i] <= #TCQ 1'b1; else early2_match_fall3_r[pt_i] <= #TCQ 1'b0; end end always @(posedge clk) begin pat_match_rise0_and_r <= #TCQ &pat_match_rise0_r; pat_match_fall0_and_r <= #TCQ &pat_match_fall0_r; pat_match_rise1_and_r <= #TCQ &pat_match_rise1_r; pat_match_fall1_and_r <= #TCQ &pat_match_fall1_r; pat_match_rise2_and_r <= #TCQ &pat_match_rise2_r; pat_match_fall2_and_r <= #TCQ &pat_match_fall2_r; pat_match_rise3_and_r <= #TCQ &pat_match_rise3_r; pat_match_fall3_and_r <= #TCQ &pat_match_fall3_r; pat_data_match_r <= #TCQ (pat_match_rise0_and_r && pat_match_fall0_and_r && pat_match_rise1_and_r && pat_match_fall1_and_r && pat_match_rise2_and_r && pat_match_fall2_and_r && pat_match_rise3_and_r && pat_match_fall3_and_r); pat_data_match_valid_r <= #TCQ rd_active_r3; end always @(posedge clk) begin early1_match_rise0_and_r <= #TCQ &early1_match_rise0_r; early1_match_fall0_and_r <= #TCQ &early1_match_fall0_r; early1_match_rise1_and_r <= #TCQ &early1_match_rise1_r; early1_match_fall1_and_r <= #TCQ &early1_match_fall1_r; early1_match_rise2_and_r <= #TCQ &early1_match_rise2_r; early1_match_fall2_and_r <= #TCQ &early1_match_fall2_r; early1_match_rise3_and_r <= #TCQ &early1_match_rise3_r; early1_match_fall3_and_r <= #TCQ &early1_match_fall3_r; early1_data_match_r <= #TCQ (early1_match_rise0_and_r && early1_match_fall0_and_r && early1_match_rise1_and_r && early1_match_fall1_and_r && early1_match_rise2_and_r && early1_match_fall2_and_r && early1_match_rise3_and_r && early1_match_fall3_and_r); end always @(posedge clk) begin early2_match_rise0_and_r <= #TCQ &early2_match_rise0_r; early2_match_fall0_and_r <= #TCQ &early2_match_fall0_r; early2_match_rise1_and_r <= #TCQ &early2_match_rise1_r; early2_match_fall1_and_r <= #TCQ &early2_match_fall1_r; early2_match_rise2_and_r <= #TCQ &early2_match_rise2_r; early2_match_fall2_and_r <= #TCQ &early2_match_fall2_r; early2_match_rise3_and_r <= #TCQ &early2_match_rise3_r; early2_match_fall3_and_r <= #TCQ &early2_match_fall3_r; early2_data_match_r <= #TCQ (early2_match_rise0_and_r && early2_match_fall0_and_r && early2_match_rise1_and_r && early2_match_fall1_and_r && early2_match_rise2_and_r && early2_match_fall2_and_r && early2_match_rise3_and_r && early2_match_fall3_and_r); end end else if (nCK_PER_CLK == 2) begin: gen_pat_match_div2 for (pt_i = 0; pt_i < DRAM_WIDTH; pt_i = pt_i + 1) begin: gen_pat_match always @(posedge clk) begin if (sr_rise0_r[pt_i] == pat1_rise0[pt_i%4]) pat1_match_rise0_r[pt_i] <= #TCQ 1'b1; else pat1_match_rise0_r[pt_i] <= #TCQ 1'b0; if (sr_fall0_r[pt_i] == pat1_fall0[pt_i%4]) pat1_match_fall0_r[pt_i] <= #TCQ 1'b1; else pat1_match_fall0_r[pt_i] <= #TCQ 1'b0; if (sr_rise1_r[pt_i] == pat1_rise1[pt_i%4]) pat1_match_rise1_r[pt_i] <= #TCQ 1'b1; else pat1_match_rise1_r[pt_i] <= #TCQ 1'b0; if (sr_fall1_r[pt_i] == pat1_fall1[pt_i%4]) pat1_match_fall1_r[pt_i] <= #TCQ 1'b1; else pat1_match_fall1_r[pt_i] <= #TCQ 1'b0; end always @(posedge clk) begin if (sr_rise0_r[pt_i] == pat2_rise0[pt_i%4]) pat2_match_rise0_r[pt_i] <= #TCQ 1'b1; else pat2_match_rise0_r[pt_i] <= #TCQ 1'b0; if (sr_fall0_r[pt_i] == pat2_fall0[pt_i%4]) pat2_match_fall0_r[pt_i] <= #TCQ 1'b1; else pat2_match_fall0_r[pt_i] <= #TCQ 1'b0; if (sr_rise1_r[pt_i] == pat2_rise1[pt_i%4]) pat2_match_rise1_r[pt_i] <= #TCQ 1'b1; else pat2_match_rise1_r[pt_i] <= #TCQ 1'b0; if (sr_fall1_r[pt_i] == pat2_fall1[pt_i%4]) pat2_match_fall1_r[pt_i] <= #TCQ 1'b1; else pat2_match_fall1_r[pt_i] <= #TCQ 1'b0; end always @(posedge clk) begin if (sr_rise0_r[pt_i] == early1_rise0[pt_i%4]) early1_match_rise0_r[pt_i] <= #TCQ 1'b1; else early1_match_rise0_r[pt_i] <= #TCQ 1'b0; if (sr_fall0_r[pt_i] == early1_fall0[pt_i%4]) early1_match_fall0_r[pt_i] <= #TCQ 1'b1; else early1_match_fall0_r[pt_i] <= #TCQ 1'b0; if (sr_rise1_r[pt_i] == early1_rise1[pt_i%4]) early1_match_rise1_r[pt_i] <= #TCQ 1'b1; else early1_match_rise1_r[pt_i] <= #TCQ 1'b0; if (sr_fall1_r[pt_i] == early1_fall1[pt_i%4]) early1_match_fall1_r[pt_i] <= #TCQ 1'b1; else early1_match_fall1_r[pt_i] <= #TCQ 1'b0; end // early2 in this case does not mean 2 cycles early but // the second cycle of read data in 2:1 mode always @(posedge clk) begin if (sr_rise0_r[pt_i] == early2_rise0[pt_i%4]) early2_match_rise0_r[pt_i] <= #TCQ 1'b1; else early2_match_rise0_r[pt_i] <= #TCQ 1'b0; if (sr_fall0_r[pt_i] == early2_fall0[pt_i%4]) early2_match_fall0_r[pt_i] <= #TCQ 1'b1; else early2_match_fall0_r[pt_i] <= #TCQ 1'b0; if (sr_rise1_r[pt_i] == early2_rise1[pt_i%4]) early2_match_rise1_r[pt_i] <= #TCQ 1'b1; else early2_match_rise1_r[pt_i] <= #TCQ 1'b0; if (sr_fall1_r[pt_i] == early2_fall1[pt_i%4]) early2_match_fall1_r[pt_i] <= #TCQ 1'b1; else early2_match_fall1_r[pt_i] <= #TCQ 1'b0; end end always @(posedge clk) begin pat1_match_rise0_and_r <= #TCQ &pat1_match_rise0_r; pat1_match_fall0_and_r <= #TCQ &pat1_match_fall0_r; pat1_match_rise1_and_r <= #TCQ &pat1_match_rise1_r; pat1_match_fall1_and_r <= #TCQ &pat1_match_fall1_r; pat1_data_match_r <= #TCQ (pat1_match_rise0_and_r && pat1_match_fall0_and_r && pat1_match_rise1_and_r && pat1_match_fall1_and_r); pat1_data_match_r1 <= #TCQ pat1_data_match_r; pat2_match_rise0_and_r <= #TCQ &pat2_match_rise0_r && rd_active_r3; pat2_match_fall0_and_r <= #TCQ &pat2_match_fall0_r && rd_active_r3; pat2_match_rise1_and_r <= #TCQ &pat2_match_rise1_r && rd_active_r3; pat2_match_fall1_and_r <= #TCQ &pat2_match_fall1_r && rd_active_r3; pat2_data_match_r <= #TCQ (pat2_match_rise0_and_r && pat2_match_fall0_and_r && pat2_match_rise1_and_r && pat2_match_fall1_and_r); // For 2:1 mode, read valid is asserted for 2 clock cycles - // here we generate a "match valid" pulse that is only 1 clock // cycle wide that is simulatenous when the match calculation // is complete pat_data_match_valid_r <= #TCQ rd_active_r4 & ~rd_active_r5; end always @(posedge clk) begin early1_match_rise0_and_r <= #TCQ &early1_match_rise0_r; early1_match_fall0_and_r <= #TCQ &early1_match_fall0_r; early1_match_rise1_and_r <= #TCQ &early1_match_rise1_r; early1_match_fall1_and_r <= #TCQ &early1_match_fall1_r; early1_data_match_r <= #TCQ (early1_match_rise0_and_r && early1_match_fall0_and_r && early1_match_rise1_and_r && early1_match_fall1_and_r); early1_data_match_r1 <= #TCQ early1_data_match_r; early2_match_rise0_and_r <= #TCQ &early2_match_rise0_r && rd_active_r3; early2_match_fall0_and_r <= #TCQ &early2_match_fall0_r && rd_active_r3; early2_match_rise1_and_r <= #TCQ &early2_match_rise1_r && rd_active_r3; early2_match_fall1_and_r <= #TCQ &early2_match_fall1_r && rd_active_r3; early2_data_match_r <= #TCQ (early2_match_rise0_and_r && early2_match_fall0_and_r && early2_match_rise1_and_r && early2_match_fall1_and_r); end end endgenerate // Need to delay it by 3 cycles in order to wait for Phaser_Out // coarse delay to take effect before issuing a write command always @(posedge clk) begin wrcal_pat_resume_r1 <= #TCQ wrcal_pat_resume_r; wrcal_pat_resume_r2 <= #TCQ wrcal_pat_resume_r1; wrcal_pat_resume <= #TCQ wrcal_pat_resume_r2; end always @(posedge clk) begin if (rst) tap_inc_wait_cnt <= #TCQ 'd0; else if ((cal2_state_r == CAL2_DQ_IDEL_DEC) || (cal2_state_r == CAL2_IFIFO_RESET) || (cal2_state_r == CAL2_SANITY_WAIT)) tap_inc_wait_cnt <= #TCQ tap_inc_wait_cnt + 1; else tap_inc_wait_cnt <= #TCQ 'd0; end always @(posedge clk) begin if (rst) not_empty_wait_cnt <= #TCQ 'd0; else if ((cal2_state_r == CAL2_READ_WAIT) && wrcal_rd_wait) not_empty_wait_cnt <= #TCQ not_empty_wait_cnt + 1; else not_empty_wait_cnt <= #TCQ 'd0; end always @(posedge clk) cal2_state_r1 <= #TCQ cal2_state_r; //***************************************************************** // Write Calibration state machine //***************************************************************** // when calibrating, check to see if the expected pattern is received. // Otherwise delay DQS to align to correct CK edge. // NOTES: // 1. An error condition can occur due to two reasons: // a. If the matching logic does not receive the expected data // pattern. However, the error may be "recoverable" because // the write calibration is still in progress. If an error is // found the write calibration logic delays DQS by an additional // clock cycle and restarts the pattern detection process. // By design, if the write path timing is incorrect, the correct // data pattern will never be detected. // b. Valid data not found even after incrementing Phaser_Out // coarse delay line. always @(posedge clk) begin if (rst) begin wrcal_dqs_cnt_r <= #TCQ 'b0; cal2_done_r <= #TCQ 1'b0; cal2_prech_req_r <= #TCQ 1'b0; cal2_state_r <= #TCQ CAL2_IDLE; wrcal_pat_err <= #TCQ 1'b0; wrcal_pat_resume_r <= #TCQ 1'b0; wrcal_act_req <= #TCQ 1'b0; cal2_if_reset <= #TCQ 1'b0; temp_wrcal_done <= #TCQ 1'b0; wrlvl_byte_redo <= #TCQ 1'b0; early1_data <= #TCQ 1'b0; early2_data <= #TCQ 1'b0; idelay_ld <= #TCQ 1'b0; idelay_ld_done <= #TCQ 1'b0; pat1_detect <= #TCQ 1'b0; early1_detect <= #TCQ 1'b0; wrcal_sanity_chk_done <= #TCQ 1'b0; wrcal_sanity_chk_err <= #TCQ 1'b0; end else begin cal2_prech_req_r <= #TCQ 1'b0; case (cal2_state_r) CAL2_IDLE: begin wrcal_pat_err <= #TCQ 1'b0; if (wrcal_start) begin cal2_if_reset <= #TCQ 1'b0; if (SIM_CAL_OPTION == "SKIP_CAL") // If skip write calibration, then proceed to end. cal2_state_r <= #TCQ CAL2_DONE; else cal2_state_r <= #TCQ CAL2_READ_WAIT; end end // General wait state to wait for read data to be output by the // IN_FIFO CAL2_READ_WAIT: begin wrcal_pat_resume_r <= #TCQ 1'b0; cal2_if_reset <= #TCQ 1'b0; // Wait until read data is received, and pattern matching // calculation is complete. NOTE: Need to add a timeout here // in case for some reason data is never received (or rather // the PHASER_IN and IN_FIFO think they never receives data) if (pat_data_match_valid_r && (nCK_PER_CLK == 4)) begin if (pat_data_match_r) // If found data match, then move on to next DQS group cal2_state_r <= #TCQ CAL2_NEXT_DQS; else begin if (wrcal_sanity_chk_r) cal2_state_r <= #TCQ CAL2_ERR; // If writes are one or two cycles early then redo // write leveling for the byte else if (early1_data_match_r) begin early1_data <= #TCQ 1'b1; early2_data <= #TCQ 1'b0; wrlvl_byte_redo <= #TCQ 1'b1; cal2_state_r <= #TCQ CAL2_WRLVL_WAIT; end else if (early2_data_match_r) begin early1_data <= #TCQ 1'b0; early2_data <= #TCQ 1'b1; wrlvl_byte_redo <= #TCQ 1'b1; cal2_state_r <= #TCQ CAL2_WRLVL_WAIT; // Read late due to incorrect MPR idelay value // Decrement Idelay to '0'for the current byte end else if (~idelay_ld_done) begin cal2_state_r <= #TCQ CAL2_DQ_IDEL_DEC; idelay_ld <= #TCQ 1'b1; end else cal2_state_r <= #TCQ CAL2_ERR; end end else if (pat_data_match_valid_r && (nCK_PER_CLK == 2)) begin if ((pat1_data_match_r1 && pat2_data_match_r) || (pat1_detect && pat2_data_match_r)) // If found data match, then move on to next DQS group cal2_state_r <= #TCQ CAL2_NEXT_DQS; else if (pat1_data_match_r1 && ~pat2_data_match_r) begin cal2_state_r <= #TCQ CAL2_READ_WAIT; pat1_detect <= #TCQ 1'b1; end else begin // If writes are one or two cycles early then redo // write leveling for the byte if (wrcal_sanity_chk_r) cal2_state_r <= #TCQ CAL2_ERR; else if ((early1_data_match_r1 && early2_data_match_r) || (early1_detect && early2_data_match_r)) begin early1_data <= #TCQ 1'b1; early2_data <= #TCQ 1'b0; wrlvl_byte_redo <= #TCQ 1'b1; cal2_state_r <= #TCQ CAL2_WRLVL_WAIT; end else if (early1_data_match_r1 && ~early2_data_match_r) begin early1_detect <= #TCQ 1'b1; cal2_state_r <= #TCQ CAL2_READ_WAIT; // Read late due to incorrect MPR idelay value // Decrement Idelay to '0'for the current byte end else if (~idelay_ld_done) begin cal2_state_r <= #TCQ CAL2_DQ_IDEL_DEC; idelay_ld <= #TCQ 1'b1; end else cal2_state_r <= #TCQ CAL2_ERR; end end else if (not_empty_wait_cnt == 'd31) cal2_state_r <= #TCQ CAL2_ERR; end CAL2_WRLVL_WAIT: begin early1_detect <= #TCQ 1'b0; if (wrlvl_byte_done && ~wrlvl_byte_done_r) wrlvl_byte_redo <= #TCQ 1'b0; if (wrlvl_byte_done) begin if (rd_active_r1 && ~rd_active_r) begin cal2_state_r <= #TCQ CAL2_IFIFO_RESET; cal2_if_reset <= #TCQ 1'b1; early1_data <= #TCQ 1'b0; early2_data <= #TCQ 1'b0; end end end CAL2_DQ_IDEL_DEC: begin if (tap_inc_wait_cnt == 'd4) begin idelay_ld <= #TCQ 1'b0; cal2_state_r <= #TCQ CAL2_IFIFO_RESET; cal2_if_reset <= #TCQ 1'b1; idelay_ld_done <= #TCQ 1'b1; end end CAL2_IFIFO_RESET: begin if (tap_inc_wait_cnt == 'd15) begin cal2_if_reset <= #TCQ 1'b0; if (wrcal_sanity_chk_r) cal2_state_r <= #TCQ CAL2_DONE; else if (idelay_ld_done) begin wrcal_pat_resume_r <= #TCQ 1'b1; cal2_state_r <= #TCQ CAL2_READ_WAIT; end else cal2_state_r <= #TCQ CAL2_IDLE; end end // Final processing for current DQS group. Move on to next group CAL2_NEXT_DQS: begin // At this point, we've just found the correct pattern for the // current DQS group. // Request bank/row precharge, and wait for its completion. Always // precharge after each DQS group to avoid tRAS(max) violation if (wrcal_sanity_chk_r && (wrcal_dqs_cnt_r != DQS_WIDTH-1)) begin cal2_prech_req_r <= #TCQ 1'b0; wrcal_dqs_cnt_r <= #TCQ wrcal_dqs_cnt_r + 1; cal2_state_r <= #TCQ CAL2_SANITY_WAIT; end else cal2_prech_req_r <= #TCQ 1'b1; idelay_ld_done <= #TCQ 1'b0; pat1_detect <= #TCQ 1'b0; if (prech_done) if (((DQS_WIDTH == 1) || (SIM_CAL_OPTION == "FAST_CAL")) || (wrcal_dqs_cnt_r == DQS_WIDTH-1)) begin // If either FAST_CAL is enabled and first DQS group is // finished, or if the last DQS group was just finished, // then end of write calibration if (wrcal_sanity_chk_r) begin cal2_if_reset <= #TCQ 1'b1; cal2_state_r <= #TCQ CAL2_IFIFO_RESET; end else cal2_state_r <= #TCQ CAL2_DONE; end else begin // Continue to next DQS group wrcal_dqs_cnt_r <= #TCQ wrcal_dqs_cnt_r + 1; cal2_state_r <= #TCQ CAL2_READ_WAIT; end end CAL2_SANITY_WAIT: begin if (tap_inc_wait_cnt == 'd15) begin cal2_state_r <= #TCQ CAL2_READ_WAIT; wrcal_pat_resume_r <= #TCQ 1'b1; end end // Finished with read enable calibration CAL2_DONE: begin if (wrcal_sanity_chk && ~wrcal_sanity_chk_r) begin cal2_done_r <= #TCQ 1'b0; wrcal_dqs_cnt_r <= #TCQ 'd0; cal2_state_r <= #TCQ CAL2_IDLE; end else cal2_done_r <= #TCQ 1'b1; cal2_prech_req_r <= #TCQ 1'b0; cal2_if_reset <= #TCQ 1'b0; if (wrcal_sanity_chk_r) wrcal_sanity_chk_done <= #TCQ 1'b1; end // Assert error signal indicating that writes timing is incorrect CAL2_ERR: begin wrcal_pat_resume_r <= #TCQ 1'b0; if (wrcal_sanity_chk_r) wrcal_sanity_chk_err <= #TCQ 1'b1; else wrcal_pat_err <= #TCQ 1'b1; cal2_state_r <= #TCQ CAL2_ERR; end endcase end end // Delay assertion of wrcal_done for write calibration by a few cycles after // we've reached CAL2_DONE always @(posedge clk) if (rst) cal2_done_r1 <= #TCQ 1'b0; else cal2_done_r1 <= #TCQ cal2_done_r; always @(posedge clk) if (rst || (wrcal_sanity_chk && ~wrcal_sanity_chk_r)) wrcal_done <= #TCQ 1'b0; else if (cal2_done_r) wrcal_done <= #TCQ 1'b1; endmodule
//***************************************************************************** // (c) Copyright 2009 - 2013 Xilinx, Inc. All rights reserved. // // This file contains confidential and proprietary information // of Xilinx, Inc. and is protected under U.S. and // international copyright and other intellectual property // laws. // // DISCLAIMER // This disclaimer is not a license and does not grant any // rights to the materials distributed herewith. Except as // otherwise provided in a valid license issued to you by // Xilinx, and to the maximum extent permitted by applicable // law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND // WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES // AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING // BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- // INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and // (2) Xilinx shall not be liable (whether in contract or tort, // including negligence, or under any other theory of // liability) for any loss or damage of any kind or nature // related to, arising under or in connection with these // materials, including for any direct, or any indirect, // special, incidental, or consequential loss or damage // (including loss of data, profits, goodwill, or any type of // loss or damage suffered as a result of any action brought // by a third party) even if such damage or loss was // reasonably foreseeable or Xilinx had been advised of the // possibility of the same. // // CRITICAL APPLICATIONS // Xilinx products are not designed or intended to be fail- // safe, or for use in any application requiring fail-safe // performance, such as life-support or safety devices or // systems, Class III medical devices, nuclear facilities, // applications related to the deployment of airbags, or any // other applications that could lead to death, personal // injury, or severe property or environmental damage // (individually and collectively, "Critical // Applications"). Customer assumes the sole risk and // liability of any use of Xilinx products in Critical // Applications, subject only to applicable laws and // regulations governing limitations on product liability. // // THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS // PART OF THIS FILE AT ALL TIMES. // //***************************************************************************** // ____ ____ // / /\/ / // /___/ \ / Vendor: Xilinx // \ \ \/ Version: // \ \ Application: MIG // / / Filename: ddr_phy_wrcal.v // /___/ /\ Date Last Modified: $Date: 2011/06/02 08:35:09 $ // \ \ / \ Date Created: // \___\/\___\ // //Device: 7 Series //Design Name: DDR3 SDRAM //Purpose: // Write calibration logic to align DQS to correct CK edge //Reference: //Revision History: //***************************************************************************** /****************************************************************************** **$Id: ddr_phy_wrcal.v,v 1.1 2011/06/02 08:35:09 mishra Exp $ **$Date: 2011/06/02 08:35:09 $ **$Author: **$Revision: **$Source: ******************************************************************************/ `timescale 1ps/1ps module mig_7series_v1_9_ddr_phy_wrcal # ( parameter TCQ = 100, // clk->out delay (sim only) parameter nCK_PER_CLK = 2, // # of memory clocks per CLK parameter CLK_PERIOD = 2500, parameter DQ_WIDTH = 64, // # of DQ (data) parameter DQS_CNT_WIDTH = 3, // = ceil(log2(DQS_WIDTH)) parameter DQS_WIDTH = 8, // # of DQS (strobe) parameter DRAM_WIDTH = 8, // # of DQ per DQS parameter PRE_REV3ES = "OFF", // Delay O/Ps using Phaser_Out fine dly parameter SIM_CAL_OPTION = "NONE" // Skip various calibration steps ) ( input clk, input rst, // Calibration status, control signals input wrcal_start, input wrcal_rd_wait, input wrcal_sanity_chk, input dqsfound_retry_done, input phy_rddata_en, output dqsfound_retry, output wrcal_read_req, output reg wrcal_act_req, output reg wrcal_done, output reg wrcal_pat_err, output reg wrcal_prech_req, output reg temp_wrcal_done, output reg wrcal_sanity_chk_done, input prech_done, // Captured data in resync clock domain input [2*nCK_PER_CLK*DQ_WIDTH-1:0] rd_data, // Write level values of Phaser_Out coarse and fine // delay taps required to load Phaser_Out register input [3*DQS_WIDTH-1:0] wl_po_coarse_cnt, input [6*DQS_WIDTH-1:0] wl_po_fine_cnt, input wrlvl_byte_done, output reg wrlvl_byte_redo, output reg early1_data, output reg early2_data, // DQ IDELAY output reg idelay_ld, output reg wrcal_pat_resume, // to phy_init for write output reg [DQS_CNT_WIDTH:0] po_stg2_wrcal_cnt, output phy_if_reset, // Debug Port output [6*DQS_WIDTH-1:0] dbg_final_po_fine_tap_cnt, output [3*DQS_WIDTH-1:0] dbg_final_po_coarse_tap_cnt, output [99:0] dbg_phy_wrcal ); // Length of calibration sequence (in # of words) //localparam CAL_PAT_LEN = 8; // Read data shift register length localparam RD_SHIFT_LEN = 1; //(nCK_PER_CLK == 4) ? 1 : 2; // # of reads for reliable read capture localparam NUM_READS = 2; // # of cycles to wait after changing RDEN count value localparam RDEN_WAIT_CNT = 12; localparam COARSE_CNT = (CLK_PERIOD/nCK_PER_CLK <= 2500) ? 3 : 6; localparam FINE_CNT = (CLK_PERIOD/nCK_PER_CLK <= 2500) ? 22 : 44; localparam CAL2_IDLE = 4'h0; localparam CAL2_READ_WAIT = 4'h1; localparam CAL2_NEXT_DQS = 4'h2; localparam CAL2_WRLVL_WAIT = 4'h3; localparam CAL2_IFIFO_RESET = 4'h4; localparam CAL2_DQ_IDEL_DEC = 4'h5; localparam CAL2_DONE = 4'h6; localparam CAL2_SANITY_WAIT = 4'h7; localparam CAL2_ERR = 4'h8; integer i,j,k,l,m,p,q,d; reg [2:0] po_coarse_tap_cnt [0:DQS_WIDTH-1]; reg [3*DQS_WIDTH-1:0] po_coarse_tap_cnt_w; reg [5:0] po_fine_tap_cnt [0:DQS_WIDTH-1]; reg [6*DQS_WIDTH-1:0] po_fine_tap_cnt_w; (* keep = "true", max_fanout = 10 *) reg [DQS_CNT_WIDTH:0] wrcal_dqs_cnt_r/* synthesis syn_maxfan = 10 */; reg [4:0] not_empty_wait_cnt; reg [3:0] tap_inc_wait_cnt; reg cal2_done_r; reg cal2_done_r1; reg cal2_prech_req_r; reg [3:0] cal2_state_r; reg [3:0] cal2_state_r1; reg [2:0] wl_po_coarse_cnt_w [0:DQS_WIDTH-1]; reg [5:0] wl_po_fine_cnt_w [0:DQS_WIDTH-1]; reg cal2_if_reset; reg wrcal_pat_resume_r; reg wrcal_pat_resume_r1; reg wrcal_pat_resume_r2; reg wrcal_pat_resume_r3; reg [DRAM_WIDTH-1:0] mux_rd_fall0_r; reg [DRAM_WIDTH-1:0] mux_rd_fall1_r; reg [DRAM_WIDTH-1:0] mux_rd_rise0_r; reg [DRAM_WIDTH-1:0] mux_rd_rise1_r; reg [DRAM_WIDTH-1:0] mux_rd_fall2_r; reg [DRAM_WIDTH-1:0] mux_rd_fall3_r; reg [DRAM_WIDTH-1:0] mux_rd_rise2_r; reg [DRAM_WIDTH-1:0] mux_rd_rise3_r; reg pat_data_match_r; reg pat1_data_match_r; reg pat1_data_match_r1; reg pat2_data_match_r; reg pat_data_match_valid_r; wire [RD_SHIFT_LEN-1:0] pat_fall0 [3:0]; wire [RD_SHIFT_LEN-1:0] pat_fall1 [3:0]; wire [RD_SHIFT_LEN-1:0] pat_fall2 [3:0]; wire [RD_SHIFT_LEN-1:0] pat_fall3 [3:0]; wire [RD_SHIFT_LEN-1:0] pat1_fall0 [3:0]; wire [RD_SHIFT_LEN-1:0] pat1_fall1 [3:0]; wire [RD_SHIFT_LEN-1:0] pat2_fall0 [3:0]; wire [RD_SHIFT_LEN-1:0] pat2_fall1 [3:0]; wire [RD_SHIFT_LEN-1:0] early_fall0 [3:0]; wire [RD_SHIFT_LEN-1:0] early_fall1 [3:0]; wire [RD_SHIFT_LEN-1:0] early_fall2 [3:0]; wire [RD_SHIFT_LEN-1:0] early_fall3 [3:0]; wire [RD_SHIFT_LEN-1:0] early1_fall0 [3:0]; wire [RD_SHIFT_LEN-1:0] early1_fall1 [3:0]; wire [RD_SHIFT_LEN-1:0] early2_fall0 [3:0]; wire [RD_SHIFT_LEN-1:0] early2_fall1 [3:0]; reg [DRAM_WIDTH-1:0] pat_match_fall0_r; reg pat_match_fall0_and_r; reg [DRAM_WIDTH-1:0] pat_match_fall1_r; reg pat_match_fall1_and_r; reg [DRAM_WIDTH-1:0] pat_match_fall2_r; reg pat_match_fall2_and_r; reg [DRAM_WIDTH-1:0] pat_match_fall3_r; reg pat_match_fall3_and_r; reg [DRAM_WIDTH-1:0] pat_match_rise0_r; reg pat_match_rise0_and_r; reg [DRAM_WIDTH-1:0] pat_match_rise1_r; reg pat_match_rise1_and_r; reg [DRAM_WIDTH-1:0] pat_match_rise2_r; reg pat_match_rise2_and_r; reg [DRAM_WIDTH-1:0] pat_match_rise3_r; reg pat_match_rise3_and_r; reg [DRAM_WIDTH-1:0] pat1_match_rise0_r; reg [DRAM_WIDTH-1:0] pat1_match_rise1_r; reg [DRAM_WIDTH-1:0] pat1_match_fall0_r; reg [DRAM_WIDTH-1:0] pat1_match_fall1_r; reg [DRAM_WIDTH-1:0] pat2_match_rise0_r; reg [DRAM_WIDTH-1:0] pat2_match_rise1_r; reg [DRAM_WIDTH-1:0] pat2_match_fall0_r; reg [DRAM_WIDTH-1:0] pat2_match_fall1_r; reg pat1_match_rise0_and_r; reg pat1_match_rise1_and_r; reg pat1_match_fall0_and_r; reg pat1_match_fall1_and_r; reg pat2_match_rise0_and_r; reg pat2_match_rise1_and_r; reg pat2_match_fall0_and_r; reg pat2_match_fall1_and_r; reg early1_data_match_r; reg early1_data_match_r1; reg [DRAM_WIDTH-1:0] early1_match_fall0_r; reg early1_match_fall0_and_r; reg [DRAM_WIDTH-1:0] early1_match_fall1_r; reg early1_match_fall1_and_r; reg [DRAM_WIDTH-1:0] early1_match_fall2_r; reg early1_match_fall2_and_r; reg [DRAM_WIDTH-1:0] early1_match_fall3_r; reg early1_match_fall3_and_r; reg [DRAM_WIDTH-1:0] early1_match_rise0_r; reg early1_match_rise0_and_r; reg [DRAM_WIDTH-1:0] early1_match_rise1_r; reg early1_match_rise1_and_r; reg [DRAM_WIDTH-1:0] early1_match_rise2_r; reg early1_match_rise2_and_r; reg [DRAM_WIDTH-1:0] early1_match_rise3_r; reg early1_match_rise3_and_r; reg early2_data_match_r; reg [DRAM_WIDTH-1:0] early2_match_fall0_r; reg early2_match_fall0_and_r; reg [DRAM_WIDTH-1:0] early2_match_fall1_r; reg early2_match_fall1_and_r; reg [DRAM_WIDTH-1:0] early2_match_fall2_r; reg early2_match_fall2_and_r; reg [DRAM_WIDTH-1:0] early2_match_fall3_r; reg early2_match_fall3_and_r; reg [DRAM_WIDTH-1:0] early2_match_rise0_r; reg early2_match_rise0_and_r; reg [DRAM_WIDTH-1:0] early2_match_rise1_r; reg early2_match_rise1_and_r; reg [DRAM_WIDTH-1:0] early2_match_rise2_r; reg early2_match_rise2_and_r; reg [DRAM_WIDTH-1:0] early2_match_rise3_r; reg early2_match_rise3_and_r; wire [RD_SHIFT_LEN-1:0] pat_rise0 [3:0]; wire [RD_SHIFT_LEN-1:0] pat_rise1 [3:0]; wire [RD_SHIFT_LEN-1:0] pat_rise2 [3:0]; wire [RD_SHIFT_LEN-1:0] pat_rise3 [3:0]; wire [RD_SHIFT_LEN-1:0] pat1_rise0 [3:0]; wire [RD_SHIFT_LEN-1:0] pat1_rise1 [3:0]; wire [RD_SHIFT_LEN-1:0] pat2_rise0 [3:0]; wire [RD_SHIFT_LEN-1:0] pat2_rise1 [3:0]; wire [RD_SHIFT_LEN-1:0] early_rise0 [3:0]; wire [RD_SHIFT_LEN-1:0] early_rise1 [3:0]; wire [RD_SHIFT_LEN-1:0] early_rise2 [3:0]; wire [RD_SHIFT_LEN-1:0] early_rise3 [3:0]; wire [RD_SHIFT_LEN-1:0] early1_rise0 [3:0]; wire [RD_SHIFT_LEN-1:0] early1_rise1 [3:0]; wire [RD_SHIFT_LEN-1:0] early2_rise0 [3:0]; wire [RD_SHIFT_LEN-1:0] early2_rise1 [3:0]; wire [DQ_WIDTH-1:0] rd_data_rise0; wire [DQ_WIDTH-1:0] rd_data_fall0; wire [DQ_WIDTH-1:0] rd_data_rise1; wire [DQ_WIDTH-1:0] rd_data_fall1; wire [DQ_WIDTH-1:0] rd_data_rise2; wire [DQ_WIDTH-1:0] rd_data_fall2; wire [DQ_WIDTH-1:0] rd_data_rise3; wire [DQ_WIDTH-1:0] rd_data_fall3; reg [DQS_CNT_WIDTH:0] rd_mux_sel_r; reg rd_active_posedge_r; reg rd_active_r; reg rd_active_r1; reg rd_active_r2; reg rd_active_r3; reg rd_active_r4; reg rd_active_r5; reg [RD_SHIFT_LEN-1:0] sr_fall0_r [DRAM_WIDTH-1:0]; reg [RD_SHIFT_LEN-1:0] sr_fall1_r [DRAM_WIDTH-1:0]; reg [RD_SHIFT_LEN-1:0] sr_rise0_r [DRAM_WIDTH-1:0]; reg [RD_SHIFT_LEN-1:0] sr_rise1_r [DRAM_WIDTH-1:0]; reg [RD_SHIFT_LEN-1:0] sr_fall2_r [DRAM_WIDTH-1:0]; reg [RD_SHIFT_LEN-1:0] sr_fall3_r [DRAM_WIDTH-1:0]; reg [RD_SHIFT_LEN-1:0] sr_rise2_r [DRAM_WIDTH-1:0]; reg [RD_SHIFT_LEN-1:0] sr_rise3_r [DRAM_WIDTH-1:0]; reg wrlvl_byte_done_r; reg idelay_ld_done; reg pat1_detect; reg early1_detect; reg wrcal_sanity_chk_r; reg wrcal_sanity_chk_err; //*************************************************************************** // Debug //*************************************************************************** always @(*) begin for (d = 0; d < DQS_WIDTH; d = d + 1) begin po_fine_tap_cnt_w[(6*d)+:6] <= #TCQ po_fine_tap_cnt[d]; po_coarse_tap_cnt_w[(3*d)+:3] <= #TCQ po_coarse_tap_cnt[d]; end end assign dbg_final_po_fine_tap_cnt = po_fine_tap_cnt_w; assign dbg_final_po_coarse_tap_cnt = po_coarse_tap_cnt_w; assign dbg_phy_wrcal[0] = pat_data_match_r; assign dbg_phy_wrcal[4:1] = cal2_state_r1[2:0]; assign dbg_phy_wrcal[5] = wrcal_sanity_chk_err; assign dbg_phy_wrcal[6] = wrcal_start; assign dbg_phy_wrcal[7] = wrcal_done; assign dbg_phy_wrcal[8] = pat_data_match_valid_r; assign dbg_phy_wrcal[13+:DQS_CNT_WIDTH]= wrcal_dqs_cnt_r; assign dbg_phy_wrcal[17+:5] = 'd0; assign dbg_phy_wrcal[22+:5] = 'd0; assign dbg_phy_wrcal[27] = 1'b0; assign dbg_phy_wrcal[28+:5] = 'd0; assign dbg_phy_wrcal[53:33] = 'b0; assign dbg_phy_wrcal[54] = 1'b0; assign dbg_phy_wrcal[55+:5] = 'd0; assign dbg_phy_wrcal[60] = 1'b0; assign dbg_phy_wrcal[61+:5] = 'd0; assign dbg_phy_wrcal[66+:5] = not_empty_wait_cnt; assign dbg_phy_wrcal[71] = early1_data; assign dbg_phy_wrcal[72] = early2_data; assign dqsfound_retry = 1'b0; assign wrcal_read_req = 1'b0; assign phy_if_reset = cal2_if_reset; //************************************************************************** // DQS count to hard PHY during write calibration using Phaser_OUT Stage2 // coarse delay //************************************************************************** always @(posedge clk) begin po_stg2_wrcal_cnt <= #TCQ wrcal_dqs_cnt_r; wrlvl_byte_done_r <= #TCQ wrlvl_byte_done; wrcal_sanity_chk_r <= #TCQ wrcal_sanity_chk; end //*************************************************************************** // Data mux to route appropriate byte to calibration logic - i.e. calibration // is done sequentially, one byte (or DQS group) at a time //*************************************************************************** generate if (nCK_PER_CLK == 4) begin: gen_rd_data_div4 assign rd_data_rise0 = rd_data[DQ_WIDTH-1:0]; assign rd_data_fall0 = rd_data[2*DQ_WIDTH-1:DQ_WIDTH]; assign rd_data_rise1 = rd_data[3*DQ_WIDTH-1:2*DQ_WIDTH]; assign rd_data_fall1 = rd_data[4*DQ_WIDTH-1:3*DQ_WIDTH]; assign rd_data_rise2 = rd_data[5*DQ_WIDTH-1:4*DQ_WIDTH]; assign rd_data_fall2 = rd_data[6*DQ_WIDTH-1:5*DQ_WIDTH]; assign rd_data_rise3 = rd_data[7*DQ_WIDTH-1:6*DQ_WIDTH]; assign rd_data_fall3 = rd_data[8*DQ_WIDTH-1:7*DQ_WIDTH]; end else if (nCK_PER_CLK == 2) begin: gen_rd_data_div2 assign rd_data_rise0 = rd_data[DQ_WIDTH-1:0]; assign rd_data_fall0 = rd_data[2*DQ_WIDTH-1:DQ_WIDTH]; assign rd_data_rise1 = rd_data[3*DQ_WIDTH-1:2*DQ_WIDTH]; assign rd_data_fall1 = rd_data[4*DQ_WIDTH-1:3*DQ_WIDTH]; end endgenerate //************************************************************************** // Final Phaser OUT coarse and fine delay taps after write calibration // Sum of taps used during write leveling taps and write calibration //************************************************************************** always @(*) begin for (m = 0; m < DQS_WIDTH; m = m + 1) begin wl_po_coarse_cnt_w[m] = wl_po_coarse_cnt[3*m+:3]; wl_po_fine_cnt_w[m] = wl_po_fine_cnt[6*m+:6]; end end always @(posedge clk) begin if (rst) begin for (p = 0; p < DQS_WIDTH; p = p + 1) begin po_coarse_tap_cnt[p] <= #TCQ {3{1'b0}}; po_fine_tap_cnt[p] <= #TCQ {6{1'b0}}; end end else if (cal2_done_r && ~cal2_done_r1) begin for (q = 0; q < DQS_WIDTH; q = q + 1) begin po_coarse_tap_cnt[q] <= #TCQ wl_po_coarse_cnt_w[i]; po_fine_tap_cnt[q] <= #TCQ wl_po_fine_cnt_w[i]; end end end always @(posedge clk) begin rd_mux_sel_r <= #TCQ wrcal_dqs_cnt_r; end // Register outputs for improved timing. // NOTE: Will need to change when per-bit DQ deskew is supported. // Currenly all bits in DQS group are checked in aggregate generate genvar mux_i; if (nCK_PER_CLK == 4) begin: gen_mux_rd_div4 for (mux_i = 0; mux_i < DRAM_WIDTH; mux_i = mux_i + 1) begin: gen_mux_rd always @(posedge clk) begin mux_rd_rise0_r[mux_i] <= #TCQ rd_data_rise0[DRAM_WIDTH*rd_mux_sel_r + mux_i]; mux_rd_fall0_r[mux_i] <= #TCQ rd_data_fall0[DRAM_WIDTH*rd_mux_sel_r + mux_i]; mux_rd_rise1_r[mux_i] <= #TCQ rd_data_rise1[DRAM_WIDTH*rd_mux_sel_r + mux_i]; mux_rd_fall1_r[mux_i] <= #TCQ rd_data_fall1[DRAM_WIDTH*rd_mux_sel_r + mux_i]; mux_rd_rise2_r[mux_i] <= #TCQ rd_data_rise2[DRAM_WIDTH*rd_mux_sel_r + mux_i]; mux_rd_fall2_r[mux_i] <= #TCQ rd_data_fall2[DRAM_WIDTH*rd_mux_sel_r + mux_i]; mux_rd_rise3_r[mux_i] <= #TCQ rd_data_rise3[DRAM_WIDTH*rd_mux_sel_r + mux_i]; mux_rd_fall3_r[mux_i] <= #TCQ rd_data_fall3[DRAM_WIDTH*rd_mux_sel_r + mux_i]; end end end else if (nCK_PER_CLK == 2) begin: gen_mux_rd_div2 for (mux_i = 0; mux_i < DRAM_WIDTH; mux_i = mux_i + 1) begin: gen_mux_rd always @(posedge clk) begin mux_rd_rise0_r[mux_i] <= #TCQ rd_data_rise0[DRAM_WIDTH*rd_mux_sel_r + mux_i]; mux_rd_fall0_r[mux_i] <= #TCQ rd_data_fall0[DRAM_WIDTH*rd_mux_sel_r + mux_i]; mux_rd_rise1_r[mux_i] <= #TCQ rd_data_rise1[DRAM_WIDTH*rd_mux_sel_r + mux_i]; mux_rd_fall1_r[mux_i] <= #TCQ rd_data_fall1[DRAM_WIDTH*rd_mux_sel_r + mux_i]; end end end endgenerate //*************************************************************************** // generate request to PHY_INIT logic to issue precharged. Required when // calibration can take a long time (during which there are only constant // reads present on this bus). In this case need to issue perioidic // precharges to avoid tRAS violation. This signal must meet the following // requirements: (1) only transition from 0->1 when prech is first needed, // (2) stay at 1 and only transition 1->0 when RDLVL_PRECH_DONE asserted //*************************************************************************** always @(posedge clk) if (rst) wrcal_prech_req <= #TCQ 1'b0; else // Combine requests from all stages here wrcal_prech_req <= #TCQ cal2_prech_req_r; //*************************************************************************** // Shift register to store last RDDATA_SHIFT_LEN cycles of data from ISERDES // NOTE: Written using discrete flops, but SRL can be used if the matching // logic does the comparison sequentially, rather than parallel //*************************************************************************** generate genvar rd_i; if (nCK_PER_CLK == 4) begin: gen_sr_div4 for (rd_i = 0; rd_i < DRAM_WIDTH; rd_i = rd_i + 1) begin: gen_sr always @(posedge clk) begin sr_rise0_r[rd_i] <= #TCQ mux_rd_rise0_r[rd_i]; sr_fall0_r[rd_i] <= #TCQ mux_rd_fall0_r[rd_i]; sr_rise1_r[rd_i] <= #TCQ mux_rd_rise1_r[rd_i]; sr_fall1_r[rd_i] <= #TCQ mux_rd_fall1_r[rd_i]; sr_rise2_r[rd_i] <= #TCQ mux_rd_rise2_r[rd_i]; sr_fall2_r[rd_i] <= #TCQ mux_rd_fall2_r[rd_i]; sr_rise3_r[rd_i] <= #TCQ mux_rd_rise3_r[rd_i]; sr_fall3_r[rd_i] <= #TCQ mux_rd_fall3_r[rd_i]; end end end else if (nCK_PER_CLK == 2) begin: gen_sr_div2 for (rd_i = 0; rd_i < DRAM_WIDTH; rd_i = rd_i + 1) begin: gen_sr always @(posedge clk) begin sr_rise0_r[rd_i] <= #TCQ mux_rd_rise0_r[rd_i]; sr_fall0_r[rd_i] <= #TCQ mux_rd_fall0_r[rd_i]; sr_rise1_r[rd_i] <= #TCQ mux_rd_rise1_r[rd_i]; sr_fall1_r[rd_i] <= #TCQ mux_rd_fall1_r[rd_i]; end end end endgenerate //*************************************************************************** // Write calibration: // During write leveling DQS is aligned to the nearest CK edge that may not // be the correct CK edge. Write calibration is required to align the DQS to // the correct CK edge that clocks the write command. // The Phaser_Out coarse delay line is adjusted if required to add a memory // clock cycle of delay in order to read back the expected pattern. //*************************************************************************** always @(posedge clk) begin rd_active_r <= #TCQ phy_rddata_en; rd_active_r1 <= #TCQ rd_active_r; rd_active_r2 <= #TCQ rd_active_r1; rd_active_r3 <= #TCQ rd_active_r2; rd_active_r4 <= #TCQ rd_active_r3; rd_active_r5 <= #TCQ rd_active_r4; end //***************************************************************** // Expected data pattern when properly received by read capture // logic: // Based on pattern of ({rise,fall}) = // 0xF, 0x0, 0xA, 0x5, 0x5, 0xA, 0x9, 0x6 // Each nibble will look like: // bit3: 1, 0, 1, 0, 0, 1, 1, 0 // bit2: 1, 0, 0, 1, 1, 0, 0, 1 // bit1: 1, 0, 1, 0, 0, 1, 0, 1 // bit0: 1, 0, 0, 1, 1, 0, 1, 0 // Change the hard-coded pattern below accordingly as RD_SHIFT_LEN // and the actual training pattern contents change //***************************************************************** generate if (nCK_PER_CLK == 4) begin: gen_pat_div4 // FF00AA5555AA9966 assign pat_rise0[3] = 1'b1; assign pat_fall0[3] = 1'b0; assign pat_rise1[3] = 1'b1; assign pat_fall1[3] = 1'b0; assign pat_rise2[3] = 1'b0; assign pat_fall2[3] = 1'b1; assign pat_rise3[3] = 1'b1; assign pat_fall3[3] = 1'b0; assign pat_rise0[2] = 1'b1; assign pat_fall0[2] = 1'b0; assign pat_rise1[2] = 1'b0; assign pat_fall1[2] = 1'b1; assign pat_rise2[2] = 1'b1; assign pat_fall2[2] = 1'b0; assign pat_rise3[2] = 1'b0; assign pat_fall3[2] = 1'b1; assign pat_rise0[1] = 1'b1; assign pat_fall0[1] = 1'b0; assign pat_rise1[1] = 1'b1; assign pat_fall1[1] = 1'b0; assign pat_rise2[1] = 1'b0; assign pat_fall2[1] = 1'b1; assign pat_rise3[1] = 1'b0; assign pat_fall3[1] = 1'b1; assign pat_rise0[0] = 1'b1; assign pat_fall0[0] = 1'b0; assign pat_rise1[0] = 1'b0; assign pat_fall1[0] = 1'b1; assign pat_rise2[0] = 1'b1; assign pat_fall2[0] = 1'b0; assign pat_rise3[0] = 1'b1; assign pat_fall3[0] = 1'b0; // Pattern to distinguish between early write and incorrect read // BB11EE4444EEDD88 assign early_rise0[3] = 1'b1; assign early_fall0[3] = 1'b0; assign early_rise1[3] = 1'b1; assign early_fall1[3] = 1'b0; assign early_rise2[3] = 1'b0; assign early_fall2[3] = 1'b1; assign early_rise3[3] = 1'b1; assign early_fall3[3] = 1'b1; assign early_rise0[2] = 1'b0; assign early_fall0[2] = 1'b0; assign early_rise1[2] = 1'b1; assign early_fall1[2] = 1'b1; assign early_rise2[2] = 1'b1; assign early_fall2[2] = 1'b1; assign early_rise3[2] = 1'b1; assign early_fall3[2] = 1'b0; assign early_rise0[1] = 1'b1; assign early_fall0[1] = 1'b0; assign early_rise1[1] = 1'b1; assign early_fall1[1] = 1'b0; assign early_rise2[1] = 1'b0; assign early_fall2[1] = 1'b1; assign early_rise3[1] = 1'b0; assign early_fall3[1] = 1'b0; assign early_rise0[0] = 1'b1; assign early_fall0[0] = 1'b1; assign early_rise1[0] = 1'b0; assign early_fall1[0] = 1'b0; assign early_rise2[0] = 1'b0; assign early_fall2[0] = 1'b0; assign early_rise3[0] = 1'b1; assign early_fall3[0] = 1'b0; end else if (nCK_PER_CLK == 2) begin: gen_pat_div2 // First cycle pattern FF00AA55 assign pat1_rise0[3] = 1'b1; assign pat1_fall0[3] = 1'b0; assign pat1_rise1[3] = 1'b1; assign pat1_fall1[3] = 1'b0; assign pat1_rise0[2] = 1'b1; assign pat1_fall0[2] = 1'b0; assign pat1_rise1[2] = 1'b0; assign pat1_fall1[2] = 1'b1; assign pat1_rise0[1] = 1'b1; assign pat1_fall0[1] = 1'b0; assign pat1_rise1[1] = 1'b1; assign pat1_fall1[1] = 1'b0; assign pat1_rise0[0] = 1'b1; assign pat1_fall0[0] = 1'b0; assign pat1_rise1[0] = 1'b0; assign pat1_fall1[0] = 1'b1; // Second cycle pattern 55AA9966 assign pat2_rise0[3] = 1'b0; assign pat2_fall0[3] = 1'b1; assign pat2_rise1[3] = 1'b1; assign pat2_fall1[3] = 1'b0; assign pat2_rise0[2] = 1'b1; assign pat2_fall0[2] = 1'b0; assign pat2_rise1[2] = 1'b0; assign pat2_fall1[2] = 1'b1; assign pat2_rise0[1] = 1'b0; assign pat2_fall0[1] = 1'b1; assign pat2_rise1[1] = 1'b0; assign pat2_fall1[1] = 1'b1; assign pat2_rise0[0] = 1'b1; assign pat2_fall0[0] = 1'b0; assign pat2_rise1[0] = 1'b1; assign pat2_fall1[0] = 1'b0; //Pattern to distinguish between early write and incorrect read // First cycle pattern AA5555AA assign early1_rise0[3] = 2'b1; assign early1_fall0[3] = 2'b0; assign early1_rise1[3] = 2'b0; assign early1_fall1[3] = 2'b1; assign early1_rise0[2] = 2'b0; assign early1_fall0[2] = 2'b1; assign early1_rise1[2] = 2'b1; assign early1_fall1[2] = 2'b0; assign early1_rise0[1] = 2'b1; assign early1_fall0[1] = 2'b0; assign early1_rise1[1] = 2'b0; assign early1_fall1[1] = 2'b1; assign early1_rise0[0] = 2'b0; assign early1_fall0[0] = 2'b1; assign early1_rise1[0] = 2'b1; assign early1_fall1[0] = 2'b0; // Second cycle pattern 9966BB11 assign early2_rise0[3] = 2'b1; assign early2_fall0[3] = 2'b0; assign early2_rise1[3] = 2'b1; assign early2_fall1[3] = 2'b0; assign early2_rise0[2] = 2'b0; assign early2_fall0[2] = 2'b1; assign early2_rise1[2] = 2'b0; assign early2_fall1[2] = 2'b0; assign early2_rise0[1] = 2'b0; assign early2_fall0[1] = 2'b1; assign early2_rise1[1] = 2'b1; assign early2_fall1[1] = 2'b0; assign early2_rise0[0] = 2'b1; assign early2_fall0[0] = 2'b0; assign early2_rise1[0] = 2'b1; assign early2_fall1[0] = 2'b1; end endgenerate // Each bit of each byte is compared to expected pattern. // This was done to prevent (and "drastically decrease") the chance that // invalid data clocked in when the DQ bus is tri-state (along with a // combination of the correct data) will resemble the expected data // pattern. A better fix for this is to change the training pattern and/or // make the pattern longer. generate genvar pt_i; if (nCK_PER_CLK == 4) begin: gen_pat_match_div4 for (pt_i = 0; pt_i < DRAM_WIDTH; pt_i = pt_i + 1) begin: gen_pat_match always @(posedge clk) begin if (sr_rise0_r[pt_i] == pat_rise0[pt_i%4]) pat_match_rise0_r[pt_i] <= #TCQ 1'b1; else pat_match_rise0_r[pt_i] <= #TCQ 1'b0; if (sr_fall0_r[pt_i] == pat_fall0[pt_i%4]) pat_match_fall0_r[pt_i] <= #TCQ 1'b1; else pat_match_fall0_r[pt_i] <= #TCQ 1'b0; if (sr_rise1_r[pt_i] == pat_rise1[pt_i%4]) pat_match_rise1_r[pt_i] <= #TCQ 1'b1; else pat_match_rise1_r[pt_i] <= #TCQ 1'b0; if (sr_fall1_r[pt_i] == pat_fall1[pt_i%4]) pat_match_fall1_r[pt_i] <= #TCQ 1'b1; else pat_match_fall1_r[pt_i] <= #TCQ 1'b0; if (sr_rise2_r[pt_i] == pat_rise2[pt_i%4]) pat_match_rise2_r[pt_i] <= #TCQ 1'b1; else pat_match_rise2_r[pt_i] <= #TCQ 1'b0; if (sr_fall2_r[pt_i] == pat_fall2[pt_i%4]) pat_match_fall2_r[pt_i] <= #TCQ 1'b1; else pat_match_fall2_r[pt_i] <= #TCQ 1'b0; if (sr_rise3_r[pt_i] == pat_rise3[pt_i%4]) pat_match_rise3_r[pt_i] <= #TCQ 1'b1; else pat_match_rise3_r[pt_i] <= #TCQ 1'b0; if (sr_fall3_r[pt_i] == pat_fall3[pt_i%4]) pat_match_fall3_r[pt_i] <= #TCQ 1'b1; else pat_match_fall3_r[pt_i] <= #TCQ 1'b0; end always @(posedge clk) begin if (sr_rise0_r[pt_i] == pat_rise1[pt_i%4]) early1_match_rise0_r[pt_i] <= #TCQ 1'b1; else early1_match_rise0_r[pt_i] <= #TCQ 1'b0; if (sr_fall0_r[pt_i] == pat_fall1[pt_i%4]) early1_match_fall0_r[pt_i] <= #TCQ 1'b1; else early1_match_fall0_r[pt_i] <= #TCQ 1'b0; if (sr_rise1_r[pt_i] == pat_rise2[pt_i%4]) early1_match_rise1_r[pt_i] <= #TCQ 1'b1; else early1_match_rise1_r[pt_i] <= #TCQ 1'b0; if (sr_fall1_r[pt_i] == pat_fall2[pt_i%4]) early1_match_fall1_r[pt_i] <= #TCQ 1'b1; else early1_match_fall1_r[pt_i] <= #TCQ 1'b0; if (sr_rise2_r[pt_i] == pat_rise3[pt_i%4]) early1_match_rise2_r[pt_i] <= #TCQ 1'b1; else early1_match_rise2_r[pt_i] <= #TCQ 1'b0; if (sr_fall2_r[pt_i] == pat_fall3[pt_i%4]) early1_match_fall2_r[pt_i] <= #TCQ 1'b1; else early1_match_fall2_r[pt_i] <= #TCQ 1'b0; if (sr_rise3_r[pt_i] == early_rise0[pt_i%4]) early1_match_rise3_r[pt_i] <= #TCQ 1'b1; else early1_match_rise3_r[pt_i] <= #TCQ 1'b0; if (sr_fall3_r[pt_i] == early_fall0[pt_i%4]) early1_match_fall3_r[pt_i] <= #TCQ 1'b1; else early1_match_fall3_r[pt_i] <= #TCQ 1'b0; end always @(posedge clk) begin if (sr_rise0_r[pt_i] == pat_rise2[pt_i%4]) early2_match_rise0_r[pt_i] <= #TCQ 1'b1; else early2_match_rise0_r[pt_i] <= #TCQ 1'b0; if (sr_fall0_r[pt_i] == pat_fall2[pt_i%4]) early2_match_fall0_r[pt_i] <= #TCQ 1'b1; else early2_match_fall0_r[pt_i] <= #TCQ 1'b0; if (sr_rise1_r[pt_i] == pat_rise3[pt_i%4]) early2_match_rise1_r[pt_i] <= #TCQ 1'b1; else early2_match_rise1_r[pt_i] <= #TCQ 1'b0; if (sr_fall1_r[pt_i] == pat_fall3[pt_i%4]) early2_match_fall1_r[pt_i] <= #TCQ 1'b1; else early2_match_fall1_r[pt_i] <= #TCQ 1'b0; if (sr_rise2_r[pt_i] == early_rise0[pt_i%4]) early2_match_rise2_r[pt_i] <= #TCQ 1'b1; else early2_match_rise2_r[pt_i] <= #TCQ 1'b0; if (sr_fall2_r[pt_i] == early_fall0[pt_i%4]) early2_match_fall2_r[pt_i] <= #TCQ 1'b1; else early2_match_fall2_r[pt_i] <= #TCQ 1'b0; if (sr_rise3_r[pt_i] == early_rise1[pt_i%4]) early2_match_rise3_r[pt_i] <= #TCQ 1'b1; else early2_match_rise3_r[pt_i] <= #TCQ 1'b0; if (sr_fall3_r[pt_i] == early_fall1[pt_i%4]) early2_match_fall3_r[pt_i] <= #TCQ 1'b1; else early2_match_fall3_r[pt_i] <= #TCQ 1'b0; end end always @(posedge clk) begin pat_match_rise0_and_r <= #TCQ &pat_match_rise0_r; pat_match_fall0_and_r <= #TCQ &pat_match_fall0_r; pat_match_rise1_and_r <= #TCQ &pat_match_rise1_r; pat_match_fall1_and_r <= #TCQ &pat_match_fall1_r; pat_match_rise2_and_r <= #TCQ &pat_match_rise2_r; pat_match_fall2_and_r <= #TCQ &pat_match_fall2_r; pat_match_rise3_and_r <= #TCQ &pat_match_rise3_r; pat_match_fall3_and_r <= #TCQ &pat_match_fall3_r; pat_data_match_r <= #TCQ (pat_match_rise0_and_r && pat_match_fall0_and_r && pat_match_rise1_and_r && pat_match_fall1_and_r && pat_match_rise2_and_r && pat_match_fall2_and_r && pat_match_rise3_and_r && pat_match_fall3_and_r); pat_data_match_valid_r <= #TCQ rd_active_r3; end always @(posedge clk) begin early1_match_rise0_and_r <= #TCQ &early1_match_rise0_r; early1_match_fall0_and_r <= #TCQ &early1_match_fall0_r; early1_match_rise1_and_r <= #TCQ &early1_match_rise1_r; early1_match_fall1_and_r <= #TCQ &early1_match_fall1_r; early1_match_rise2_and_r <= #TCQ &early1_match_rise2_r; early1_match_fall2_and_r <= #TCQ &early1_match_fall2_r; early1_match_rise3_and_r <= #TCQ &early1_match_rise3_r; early1_match_fall3_and_r <= #TCQ &early1_match_fall3_r; early1_data_match_r <= #TCQ (early1_match_rise0_and_r && early1_match_fall0_and_r && early1_match_rise1_and_r && early1_match_fall1_and_r && early1_match_rise2_and_r && early1_match_fall2_and_r && early1_match_rise3_and_r && early1_match_fall3_and_r); end always @(posedge clk) begin early2_match_rise0_and_r <= #TCQ &early2_match_rise0_r; early2_match_fall0_and_r <= #TCQ &early2_match_fall0_r; early2_match_rise1_and_r <= #TCQ &early2_match_rise1_r; early2_match_fall1_and_r <= #TCQ &early2_match_fall1_r; early2_match_rise2_and_r <= #TCQ &early2_match_rise2_r; early2_match_fall2_and_r <= #TCQ &early2_match_fall2_r; early2_match_rise3_and_r <= #TCQ &early2_match_rise3_r; early2_match_fall3_and_r <= #TCQ &early2_match_fall3_r; early2_data_match_r <= #TCQ (early2_match_rise0_and_r && early2_match_fall0_and_r && early2_match_rise1_and_r && early2_match_fall1_and_r && early2_match_rise2_and_r && early2_match_fall2_and_r && early2_match_rise3_and_r && early2_match_fall3_and_r); end end else if (nCK_PER_CLK == 2) begin: gen_pat_match_div2 for (pt_i = 0; pt_i < DRAM_WIDTH; pt_i = pt_i + 1) begin: gen_pat_match always @(posedge clk) begin if (sr_rise0_r[pt_i] == pat1_rise0[pt_i%4]) pat1_match_rise0_r[pt_i] <= #TCQ 1'b1; else pat1_match_rise0_r[pt_i] <= #TCQ 1'b0; if (sr_fall0_r[pt_i] == pat1_fall0[pt_i%4]) pat1_match_fall0_r[pt_i] <= #TCQ 1'b1; else pat1_match_fall0_r[pt_i] <= #TCQ 1'b0; if (sr_rise1_r[pt_i] == pat1_rise1[pt_i%4]) pat1_match_rise1_r[pt_i] <= #TCQ 1'b1; else pat1_match_rise1_r[pt_i] <= #TCQ 1'b0; if (sr_fall1_r[pt_i] == pat1_fall1[pt_i%4]) pat1_match_fall1_r[pt_i] <= #TCQ 1'b1; else pat1_match_fall1_r[pt_i] <= #TCQ 1'b0; end always @(posedge clk) begin if (sr_rise0_r[pt_i] == pat2_rise0[pt_i%4]) pat2_match_rise0_r[pt_i] <= #TCQ 1'b1; else pat2_match_rise0_r[pt_i] <= #TCQ 1'b0; if (sr_fall0_r[pt_i] == pat2_fall0[pt_i%4]) pat2_match_fall0_r[pt_i] <= #TCQ 1'b1; else pat2_match_fall0_r[pt_i] <= #TCQ 1'b0; if (sr_rise1_r[pt_i] == pat2_rise1[pt_i%4]) pat2_match_rise1_r[pt_i] <= #TCQ 1'b1; else pat2_match_rise1_r[pt_i] <= #TCQ 1'b0; if (sr_fall1_r[pt_i] == pat2_fall1[pt_i%4]) pat2_match_fall1_r[pt_i] <= #TCQ 1'b1; else pat2_match_fall1_r[pt_i] <= #TCQ 1'b0; end always @(posedge clk) begin if (sr_rise0_r[pt_i] == early1_rise0[pt_i%4]) early1_match_rise0_r[pt_i] <= #TCQ 1'b1; else early1_match_rise0_r[pt_i] <= #TCQ 1'b0; if (sr_fall0_r[pt_i] == early1_fall0[pt_i%4]) early1_match_fall0_r[pt_i] <= #TCQ 1'b1; else early1_match_fall0_r[pt_i] <= #TCQ 1'b0; if (sr_rise1_r[pt_i] == early1_rise1[pt_i%4]) early1_match_rise1_r[pt_i] <= #TCQ 1'b1; else early1_match_rise1_r[pt_i] <= #TCQ 1'b0; if (sr_fall1_r[pt_i] == early1_fall1[pt_i%4]) early1_match_fall1_r[pt_i] <= #TCQ 1'b1; else early1_match_fall1_r[pt_i] <= #TCQ 1'b0; end // early2 in this case does not mean 2 cycles early but // the second cycle of read data in 2:1 mode always @(posedge clk) begin if (sr_rise0_r[pt_i] == early2_rise0[pt_i%4]) early2_match_rise0_r[pt_i] <= #TCQ 1'b1; else early2_match_rise0_r[pt_i] <= #TCQ 1'b0; if (sr_fall0_r[pt_i] == early2_fall0[pt_i%4]) early2_match_fall0_r[pt_i] <= #TCQ 1'b1; else early2_match_fall0_r[pt_i] <= #TCQ 1'b0; if (sr_rise1_r[pt_i] == early2_rise1[pt_i%4]) early2_match_rise1_r[pt_i] <= #TCQ 1'b1; else early2_match_rise1_r[pt_i] <= #TCQ 1'b0; if (sr_fall1_r[pt_i] == early2_fall1[pt_i%4]) early2_match_fall1_r[pt_i] <= #TCQ 1'b1; else early2_match_fall1_r[pt_i] <= #TCQ 1'b0; end end always @(posedge clk) begin pat1_match_rise0_and_r <= #TCQ &pat1_match_rise0_r; pat1_match_fall0_and_r <= #TCQ &pat1_match_fall0_r; pat1_match_rise1_and_r <= #TCQ &pat1_match_rise1_r; pat1_match_fall1_and_r <= #TCQ &pat1_match_fall1_r; pat1_data_match_r <= #TCQ (pat1_match_rise0_and_r && pat1_match_fall0_and_r && pat1_match_rise1_and_r && pat1_match_fall1_and_r); pat1_data_match_r1 <= #TCQ pat1_data_match_r; pat2_match_rise0_and_r <= #TCQ &pat2_match_rise0_r && rd_active_r3; pat2_match_fall0_and_r <= #TCQ &pat2_match_fall0_r && rd_active_r3; pat2_match_rise1_and_r <= #TCQ &pat2_match_rise1_r && rd_active_r3; pat2_match_fall1_and_r <= #TCQ &pat2_match_fall1_r && rd_active_r3; pat2_data_match_r <= #TCQ (pat2_match_rise0_and_r && pat2_match_fall0_and_r && pat2_match_rise1_and_r && pat2_match_fall1_and_r); // For 2:1 mode, read valid is asserted for 2 clock cycles - // here we generate a "match valid" pulse that is only 1 clock // cycle wide that is simulatenous when the match calculation // is complete pat_data_match_valid_r <= #TCQ rd_active_r4 & ~rd_active_r5; end always @(posedge clk) begin early1_match_rise0_and_r <= #TCQ &early1_match_rise0_r; early1_match_fall0_and_r <= #TCQ &early1_match_fall0_r; early1_match_rise1_and_r <= #TCQ &early1_match_rise1_r; early1_match_fall1_and_r <= #TCQ &early1_match_fall1_r; early1_data_match_r <= #TCQ (early1_match_rise0_and_r && early1_match_fall0_and_r && early1_match_rise1_and_r && early1_match_fall1_and_r); early1_data_match_r1 <= #TCQ early1_data_match_r; early2_match_rise0_and_r <= #TCQ &early2_match_rise0_r && rd_active_r3; early2_match_fall0_and_r <= #TCQ &early2_match_fall0_r && rd_active_r3; early2_match_rise1_and_r <= #TCQ &early2_match_rise1_r && rd_active_r3; early2_match_fall1_and_r <= #TCQ &early2_match_fall1_r && rd_active_r3; early2_data_match_r <= #TCQ (early2_match_rise0_and_r && early2_match_fall0_and_r && early2_match_rise1_and_r && early2_match_fall1_and_r); end end endgenerate // Need to delay it by 3 cycles in order to wait for Phaser_Out // coarse delay to take effect before issuing a write command always @(posedge clk) begin wrcal_pat_resume_r1 <= #TCQ wrcal_pat_resume_r; wrcal_pat_resume_r2 <= #TCQ wrcal_pat_resume_r1; wrcal_pat_resume <= #TCQ wrcal_pat_resume_r2; end always @(posedge clk) begin if (rst) tap_inc_wait_cnt <= #TCQ 'd0; else if ((cal2_state_r == CAL2_DQ_IDEL_DEC) || (cal2_state_r == CAL2_IFIFO_RESET) || (cal2_state_r == CAL2_SANITY_WAIT)) tap_inc_wait_cnt <= #TCQ tap_inc_wait_cnt + 1; else tap_inc_wait_cnt <= #TCQ 'd0; end always @(posedge clk) begin if (rst) not_empty_wait_cnt <= #TCQ 'd0; else if ((cal2_state_r == CAL2_READ_WAIT) && wrcal_rd_wait) not_empty_wait_cnt <= #TCQ not_empty_wait_cnt + 1; else not_empty_wait_cnt <= #TCQ 'd0; end always @(posedge clk) cal2_state_r1 <= #TCQ cal2_state_r; //***************************************************************** // Write Calibration state machine //***************************************************************** // when calibrating, check to see if the expected pattern is received. // Otherwise delay DQS to align to correct CK edge. // NOTES: // 1. An error condition can occur due to two reasons: // a. If the matching logic does not receive the expected data // pattern. However, the error may be "recoverable" because // the write calibration is still in progress. If an error is // found the write calibration logic delays DQS by an additional // clock cycle and restarts the pattern detection process. // By design, if the write path timing is incorrect, the correct // data pattern will never be detected. // b. Valid data not found even after incrementing Phaser_Out // coarse delay line. always @(posedge clk) begin if (rst) begin wrcal_dqs_cnt_r <= #TCQ 'b0; cal2_done_r <= #TCQ 1'b0; cal2_prech_req_r <= #TCQ 1'b0; cal2_state_r <= #TCQ CAL2_IDLE; wrcal_pat_err <= #TCQ 1'b0; wrcal_pat_resume_r <= #TCQ 1'b0; wrcal_act_req <= #TCQ 1'b0; cal2_if_reset <= #TCQ 1'b0; temp_wrcal_done <= #TCQ 1'b0; wrlvl_byte_redo <= #TCQ 1'b0; early1_data <= #TCQ 1'b0; early2_data <= #TCQ 1'b0; idelay_ld <= #TCQ 1'b0; idelay_ld_done <= #TCQ 1'b0; pat1_detect <= #TCQ 1'b0; early1_detect <= #TCQ 1'b0; wrcal_sanity_chk_done <= #TCQ 1'b0; wrcal_sanity_chk_err <= #TCQ 1'b0; end else begin cal2_prech_req_r <= #TCQ 1'b0; case (cal2_state_r) CAL2_IDLE: begin wrcal_pat_err <= #TCQ 1'b0; if (wrcal_start) begin cal2_if_reset <= #TCQ 1'b0; if (SIM_CAL_OPTION == "SKIP_CAL") // If skip write calibration, then proceed to end. cal2_state_r <= #TCQ CAL2_DONE; else cal2_state_r <= #TCQ CAL2_READ_WAIT; end end // General wait state to wait for read data to be output by the // IN_FIFO CAL2_READ_WAIT: begin wrcal_pat_resume_r <= #TCQ 1'b0; cal2_if_reset <= #TCQ 1'b0; // Wait until read data is received, and pattern matching // calculation is complete. NOTE: Need to add a timeout here // in case for some reason data is never received (or rather // the PHASER_IN and IN_FIFO think they never receives data) if (pat_data_match_valid_r && (nCK_PER_CLK == 4)) begin if (pat_data_match_r) // If found data match, then move on to next DQS group cal2_state_r <= #TCQ CAL2_NEXT_DQS; else begin if (wrcal_sanity_chk_r) cal2_state_r <= #TCQ CAL2_ERR; // If writes are one or two cycles early then redo // write leveling for the byte else if (early1_data_match_r) begin early1_data <= #TCQ 1'b1; early2_data <= #TCQ 1'b0; wrlvl_byte_redo <= #TCQ 1'b1; cal2_state_r <= #TCQ CAL2_WRLVL_WAIT; end else if (early2_data_match_r) begin early1_data <= #TCQ 1'b0; early2_data <= #TCQ 1'b1; wrlvl_byte_redo <= #TCQ 1'b1; cal2_state_r <= #TCQ CAL2_WRLVL_WAIT; // Read late due to incorrect MPR idelay value // Decrement Idelay to '0'for the current byte end else if (~idelay_ld_done) begin cal2_state_r <= #TCQ CAL2_DQ_IDEL_DEC; idelay_ld <= #TCQ 1'b1; end else cal2_state_r <= #TCQ CAL2_ERR; end end else if (pat_data_match_valid_r && (nCK_PER_CLK == 2)) begin if ((pat1_data_match_r1 && pat2_data_match_r) || (pat1_detect && pat2_data_match_r)) // If found data match, then move on to next DQS group cal2_state_r <= #TCQ CAL2_NEXT_DQS; else if (pat1_data_match_r1 && ~pat2_data_match_r) begin cal2_state_r <= #TCQ CAL2_READ_WAIT; pat1_detect <= #TCQ 1'b1; end else begin // If writes are one or two cycles early then redo // write leveling for the byte if (wrcal_sanity_chk_r) cal2_state_r <= #TCQ CAL2_ERR; else if ((early1_data_match_r1 && early2_data_match_r) || (early1_detect && early2_data_match_r)) begin early1_data <= #TCQ 1'b1; early2_data <= #TCQ 1'b0; wrlvl_byte_redo <= #TCQ 1'b1; cal2_state_r <= #TCQ CAL2_WRLVL_WAIT; end else if (early1_data_match_r1 && ~early2_data_match_r) begin early1_detect <= #TCQ 1'b1; cal2_state_r <= #TCQ CAL2_READ_WAIT; // Read late due to incorrect MPR idelay value // Decrement Idelay to '0'for the current byte end else if (~idelay_ld_done) begin cal2_state_r <= #TCQ CAL2_DQ_IDEL_DEC; idelay_ld <= #TCQ 1'b1; end else cal2_state_r <= #TCQ CAL2_ERR; end end else if (not_empty_wait_cnt == 'd31) cal2_state_r <= #TCQ CAL2_ERR; end CAL2_WRLVL_WAIT: begin early1_detect <= #TCQ 1'b0; if (wrlvl_byte_done && ~wrlvl_byte_done_r) wrlvl_byte_redo <= #TCQ 1'b0; if (wrlvl_byte_done) begin if (rd_active_r1 && ~rd_active_r) begin cal2_state_r <= #TCQ CAL2_IFIFO_RESET; cal2_if_reset <= #TCQ 1'b1; early1_data <= #TCQ 1'b0; early2_data <= #TCQ 1'b0; end end end CAL2_DQ_IDEL_DEC: begin if (tap_inc_wait_cnt == 'd4) begin idelay_ld <= #TCQ 1'b0; cal2_state_r <= #TCQ CAL2_IFIFO_RESET; cal2_if_reset <= #TCQ 1'b1; idelay_ld_done <= #TCQ 1'b1; end end CAL2_IFIFO_RESET: begin if (tap_inc_wait_cnt == 'd15) begin cal2_if_reset <= #TCQ 1'b0; if (wrcal_sanity_chk_r) cal2_state_r <= #TCQ CAL2_DONE; else if (idelay_ld_done) begin wrcal_pat_resume_r <= #TCQ 1'b1; cal2_state_r <= #TCQ CAL2_READ_WAIT; end else cal2_state_r <= #TCQ CAL2_IDLE; end end // Final processing for current DQS group. Move on to next group CAL2_NEXT_DQS: begin // At this point, we've just found the correct pattern for the // current DQS group. // Request bank/row precharge, and wait for its completion. Always // precharge after each DQS group to avoid tRAS(max) violation if (wrcal_sanity_chk_r && (wrcal_dqs_cnt_r != DQS_WIDTH-1)) begin cal2_prech_req_r <= #TCQ 1'b0; wrcal_dqs_cnt_r <= #TCQ wrcal_dqs_cnt_r + 1; cal2_state_r <= #TCQ CAL2_SANITY_WAIT; end else cal2_prech_req_r <= #TCQ 1'b1; idelay_ld_done <= #TCQ 1'b0; pat1_detect <= #TCQ 1'b0; if (prech_done) if (((DQS_WIDTH == 1) || (SIM_CAL_OPTION == "FAST_CAL")) || (wrcal_dqs_cnt_r == DQS_WIDTH-1)) begin // If either FAST_CAL is enabled and first DQS group is // finished, or if the last DQS group was just finished, // then end of write calibration if (wrcal_sanity_chk_r) begin cal2_if_reset <= #TCQ 1'b1; cal2_state_r <= #TCQ CAL2_IFIFO_RESET; end else cal2_state_r <= #TCQ CAL2_DONE; end else begin // Continue to next DQS group wrcal_dqs_cnt_r <= #TCQ wrcal_dqs_cnt_r + 1; cal2_state_r <= #TCQ CAL2_READ_WAIT; end end CAL2_SANITY_WAIT: begin if (tap_inc_wait_cnt == 'd15) begin cal2_state_r <= #TCQ CAL2_READ_WAIT; wrcal_pat_resume_r <= #TCQ 1'b1; end end // Finished with read enable calibration CAL2_DONE: begin if (wrcal_sanity_chk && ~wrcal_sanity_chk_r) begin cal2_done_r <= #TCQ 1'b0; wrcal_dqs_cnt_r <= #TCQ 'd0; cal2_state_r <= #TCQ CAL2_IDLE; end else cal2_done_r <= #TCQ 1'b1; cal2_prech_req_r <= #TCQ 1'b0; cal2_if_reset <= #TCQ 1'b0; if (wrcal_sanity_chk_r) wrcal_sanity_chk_done <= #TCQ 1'b1; end // Assert error signal indicating that writes timing is incorrect CAL2_ERR: begin wrcal_pat_resume_r <= #TCQ 1'b0; if (wrcal_sanity_chk_r) wrcal_sanity_chk_err <= #TCQ 1'b1; else wrcal_pat_err <= #TCQ 1'b1; cal2_state_r <= #TCQ CAL2_ERR; end endcase end end // Delay assertion of wrcal_done for write calibration by a few cycles after // we've reached CAL2_DONE always @(posedge clk) if (rst) cal2_done_r1 <= #TCQ 1'b0; else cal2_done_r1 <= #TCQ cal2_done_r; always @(posedge clk) if (rst || (wrcal_sanity_chk && ~wrcal_sanity_chk_r)) wrcal_done <= #TCQ 1'b0; else if (cal2_done_r) wrcal_done <= #TCQ 1'b1; endmodule
//***************************************************************************** // (c) Copyright 2009 - 2013 Xilinx, Inc. All rights reserved. // // This file contains confidential and proprietary information // of Xilinx, Inc. and is protected under U.S. and // international copyright and other intellectual property // laws. // // DISCLAIMER // This disclaimer is not a license and does not grant any // rights to the materials distributed herewith. Except as // otherwise provided in a valid license issued to you by // Xilinx, and to the maximum extent permitted by applicable // law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND // WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES // AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING // BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- // INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and // (2) Xilinx shall not be liable (whether in contract or tort, // including negligence, or under any other theory of // liability) for any loss or damage of any kind or nature // related to, arising under or in connection with these // materials, including for any direct, or any indirect, // special, incidental, or consequential loss or damage // (including loss of data, profits, goodwill, or any type of // loss or damage suffered as a result of any action brought // by a third party) even if such damage or loss was // reasonably foreseeable or Xilinx had been advised of the // possibility of the same. // // CRITICAL APPLICATIONS // Xilinx products are not designed or intended to be fail- // safe, or for use in any application requiring fail-safe // performance, such as life-support or safety devices or // systems, Class III medical devices, nuclear facilities, // applications related to the deployment of airbags, or any // other applications that could lead to death, personal // injury, or severe property or environmental damage // (individually and collectively, "Critical // Applications"). Customer assumes the sole risk and // liability of any use of Xilinx products in Critical // Applications, subject only to applicable laws and // regulations governing limitations on product liability. // // THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS // PART OF THIS FILE AT ALL TIMES. // //***************************************************************************** // ____ ____ // / /\/ / // /___/ \ / Vendor: Xilinx // \ \ \/ Version: // \ \ Application: MIG // / / Filename: ddr_phy_wrcal.v // /___/ /\ Date Last Modified: $Date: 2011/06/02 08:35:09 $ // \ \ / \ Date Created: // \___\/\___\ // //Device: 7 Series //Design Name: DDR3 SDRAM //Purpose: // Write calibration logic to align DQS to correct CK edge //Reference: //Revision History: //***************************************************************************** /****************************************************************************** **$Id: ddr_phy_wrcal.v,v 1.1 2011/06/02 08:35:09 mishra Exp $ **$Date: 2011/06/02 08:35:09 $ **$Author: **$Revision: **$Source: ******************************************************************************/ `timescale 1ps/1ps module mig_7series_v1_9_ddr_phy_wrcal # ( parameter TCQ = 100, // clk->out delay (sim only) parameter nCK_PER_CLK = 2, // # of memory clocks per CLK parameter CLK_PERIOD = 2500, parameter DQ_WIDTH = 64, // # of DQ (data) parameter DQS_CNT_WIDTH = 3, // = ceil(log2(DQS_WIDTH)) parameter DQS_WIDTH = 8, // # of DQS (strobe) parameter DRAM_WIDTH = 8, // # of DQ per DQS parameter PRE_REV3ES = "OFF", // Delay O/Ps using Phaser_Out fine dly parameter SIM_CAL_OPTION = "NONE" // Skip various calibration steps ) ( input clk, input rst, // Calibration status, control signals input wrcal_start, input wrcal_rd_wait, input wrcal_sanity_chk, input dqsfound_retry_done, input phy_rddata_en, output dqsfound_retry, output wrcal_read_req, output reg wrcal_act_req, output reg wrcal_done, output reg wrcal_pat_err, output reg wrcal_prech_req, output reg temp_wrcal_done, output reg wrcal_sanity_chk_done, input prech_done, // Captured data in resync clock domain input [2*nCK_PER_CLK*DQ_WIDTH-1:0] rd_data, // Write level values of Phaser_Out coarse and fine // delay taps required to load Phaser_Out register input [3*DQS_WIDTH-1:0] wl_po_coarse_cnt, input [6*DQS_WIDTH-1:0] wl_po_fine_cnt, input wrlvl_byte_done, output reg wrlvl_byte_redo, output reg early1_data, output reg early2_data, // DQ IDELAY output reg idelay_ld, output reg wrcal_pat_resume, // to phy_init for write output reg [DQS_CNT_WIDTH:0] po_stg2_wrcal_cnt, output phy_if_reset, // Debug Port output [6*DQS_WIDTH-1:0] dbg_final_po_fine_tap_cnt, output [3*DQS_WIDTH-1:0] dbg_final_po_coarse_tap_cnt, output [99:0] dbg_phy_wrcal ); // Length of calibration sequence (in # of words) //localparam CAL_PAT_LEN = 8; // Read data shift register length localparam RD_SHIFT_LEN = 1; //(nCK_PER_CLK == 4) ? 1 : 2; // # of reads for reliable read capture localparam NUM_READS = 2; // # of cycles to wait after changing RDEN count value localparam RDEN_WAIT_CNT = 12; localparam COARSE_CNT = (CLK_PERIOD/nCK_PER_CLK <= 2500) ? 3 : 6; localparam FINE_CNT = (CLK_PERIOD/nCK_PER_CLK <= 2500) ? 22 : 44; localparam CAL2_IDLE = 4'h0; localparam CAL2_READ_WAIT = 4'h1; localparam CAL2_NEXT_DQS = 4'h2; localparam CAL2_WRLVL_WAIT = 4'h3; localparam CAL2_IFIFO_RESET = 4'h4; localparam CAL2_DQ_IDEL_DEC = 4'h5; localparam CAL2_DONE = 4'h6; localparam CAL2_SANITY_WAIT = 4'h7; localparam CAL2_ERR = 4'h8; integer i,j,k,l,m,p,q,d; reg [2:0] po_coarse_tap_cnt [0:DQS_WIDTH-1]; reg [3*DQS_WIDTH-1:0] po_coarse_tap_cnt_w; reg [5:0] po_fine_tap_cnt [0:DQS_WIDTH-1]; reg [6*DQS_WIDTH-1:0] po_fine_tap_cnt_w; (* keep = "true", max_fanout = 10 *) reg [DQS_CNT_WIDTH:0] wrcal_dqs_cnt_r/* synthesis syn_maxfan = 10 */; reg [4:0] not_empty_wait_cnt; reg [3:0] tap_inc_wait_cnt; reg cal2_done_r; reg cal2_done_r1; reg cal2_prech_req_r; reg [3:0] cal2_state_r; reg [3:0] cal2_state_r1; reg [2:0] wl_po_coarse_cnt_w [0:DQS_WIDTH-1]; reg [5:0] wl_po_fine_cnt_w [0:DQS_WIDTH-1]; reg cal2_if_reset; reg wrcal_pat_resume_r; reg wrcal_pat_resume_r1; reg wrcal_pat_resume_r2; reg wrcal_pat_resume_r3; reg [DRAM_WIDTH-1:0] mux_rd_fall0_r; reg [DRAM_WIDTH-1:0] mux_rd_fall1_r; reg [DRAM_WIDTH-1:0] mux_rd_rise0_r; reg [DRAM_WIDTH-1:0] mux_rd_rise1_r; reg [DRAM_WIDTH-1:0] mux_rd_fall2_r; reg [DRAM_WIDTH-1:0] mux_rd_fall3_r; reg [DRAM_WIDTH-1:0] mux_rd_rise2_r; reg [DRAM_WIDTH-1:0] mux_rd_rise3_r; reg pat_data_match_r; reg pat1_data_match_r; reg pat1_data_match_r1; reg pat2_data_match_r; reg pat_data_match_valid_r; wire [RD_SHIFT_LEN-1:0] pat_fall0 [3:0]; wire [RD_SHIFT_LEN-1:0] pat_fall1 [3:0]; wire [RD_SHIFT_LEN-1:0] pat_fall2 [3:0]; wire [RD_SHIFT_LEN-1:0] pat_fall3 [3:0]; wire [RD_SHIFT_LEN-1:0] pat1_fall0 [3:0]; wire [RD_SHIFT_LEN-1:0] pat1_fall1 [3:0]; wire [RD_SHIFT_LEN-1:0] pat2_fall0 [3:0]; wire [RD_SHIFT_LEN-1:0] pat2_fall1 [3:0]; wire [RD_SHIFT_LEN-1:0] early_fall0 [3:0]; wire [RD_SHIFT_LEN-1:0] early_fall1 [3:0]; wire [RD_SHIFT_LEN-1:0] early_fall2 [3:0]; wire [RD_SHIFT_LEN-1:0] early_fall3 [3:0]; wire [RD_SHIFT_LEN-1:0] early1_fall0 [3:0]; wire [RD_SHIFT_LEN-1:0] early1_fall1 [3:0]; wire [RD_SHIFT_LEN-1:0] early2_fall0 [3:0]; wire [RD_SHIFT_LEN-1:0] early2_fall1 [3:0]; reg [DRAM_WIDTH-1:0] pat_match_fall0_r; reg pat_match_fall0_and_r; reg [DRAM_WIDTH-1:0] pat_match_fall1_r; reg pat_match_fall1_and_r; reg [DRAM_WIDTH-1:0] pat_match_fall2_r; reg pat_match_fall2_and_r; reg [DRAM_WIDTH-1:0] pat_match_fall3_r; reg pat_match_fall3_and_r; reg [DRAM_WIDTH-1:0] pat_match_rise0_r; reg pat_match_rise0_and_r; reg [DRAM_WIDTH-1:0] pat_match_rise1_r; reg pat_match_rise1_and_r; reg [DRAM_WIDTH-1:0] pat_match_rise2_r; reg pat_match_rise2_and_r; reg [DRAM_WIDTH-1:0] pat_match_rise3_r; reg pat_match_rise3_and_r; reg [DRAM_WIDTH-1:0] pat1_match_rise0_r; reg [DRAM_WIDTH-1:0] pat1_match_rise1_r; reg [DRAM_WIDTH-1:0] pat1_match_fall0_r; reg [DRAM_WIDTH-1:0] pat1_match_fall1_r; reg [DRAM_WIDTH-1:0] pat2_match_rise0_r; reg [DRAM_WIDTH-1:0] pat2_match_rise1_r; reg [DRAM_WIDTH-1:0] pat2_match_fall0_r; reg [DRAM_WIDTH-1:0] pat2_match_fall1_r; reg pat1_match_rise0_and_r; reg pat1_match_rise1_and_r; reg pat1_match_fall0_and_r; reg pat1_match_fall1_and_r; reg pat2_match_rise0_and_r; reg pat2_match_rise1_and_r; reg pat2_match_fall0_and_r; reg pat2_match_fall1_and_r; reg early1_data_match_r; reg early1_data_match_r1; reg [DRAM_WIDTH-1:0] early1_match_fall0_r; reg early1_match_fall0_and_r; reg [DRAM_WIDTH-1:0] early1_match_fall1_r; reg early1_match_fall1_and_r; reg [DRAM_WIDTH-1:0] early1_match_fall2_r; reg early1_match_fall2_and_r; reg [DRAM_WIDTH-1:0] early1_match_fall3_r; reg early1_match_fall3_and_r; reg [DRAM_WIDTH-1:0] early1_match_rise0_r; reg early1_match_rise0_and_r; reg [DRAM_WIDTH-1:0] early1_match_rise1_r; reg early1_match_rise1_and_r; reg [DRAM_WIDTH-1:0] early1_match_rise2_r; reg early1_match_rise2_and_r; reg [DRAM_WIDTH-1:0] early1_match_rise3_r; reg early1_match_rise3_and_r; reg early2_data_match_r; reg [DRAM_WIDTH-1:0] early2_match_fall0_r; reg early2_match_fall0_and_r; reg [DRAM_WIDTH-1:0] early2_match_fall1_r; reg early2_match_fall1_and_r; reg [DRAM_WIDTH-1:0] early2_match_fall2_r; reg early2_match_fall2_and_r; reg [DRAM_WIDTH-1:0] early2_match_fall3_r; reg early2_match_fall3_and_r; reg [DRAM_WIDTH-1:0] early2_match_rise0_r; reg early2_match_rise0_and_r; reg [DRAM_WIDTH-1:0] early2_match_rise1_r; reg early2_match_rise1_and_r; reg [DRAM_WIDTH-1:0] early2_match_rise2_r; reg early2_match_rise2_and_r; reg [DRAM_WIDTH-1:0] early2_match_rise3_r; reg early2_match_rise3_and_r; wire [RD_SHIFT_LEN-1:0] pat_rise0 [3:0]; wire [RD_SHIFT_LEN-1:0] pat_rise1 [3:0]; wire [RD_SHIFT_LEN-1:0] pat_rise2 [3:0]; wire [RD_SHIFT_LEN-1:0] pat_rise3 [3:0]; wire [RD_SHIFT_LEN-1:0] pat1_rise0 [3:0]; wire [RD_SHIFT_LEN-1:0] pat1_rise1 [3:0]; wire [RD_SHIFT_LEN-1:0] pat2_rise0 [3:0]; wire [RD_SHIFT_LEN-1:0] pat2_rise1 [3:0]; wire [RD_SHIFT_LEN-1:0] early_rise0 [3:0]; wire [RD_SHIFT_LEN-1:0] early_rise1 [3:0]; wire [RD_SHIFT_LEN-1:0] early_rise2 [3:0]; wire [RD_SHIFT_LEN-1:0] early_rise3 [3:0]; wire [RD_SHIFT_LEN-1:0] early1_rise0 [3:0]; wire [RD_SHIFT_LEN-1:0] early1_rise1 [3:0]; wire [RD_SHIFT_LEN-1:0] early2_rise0 [3:0]; wire [RD_SHIFT_LEN-1:0] early2_rise1 [3:0]; wire [DQ_WIDTH-1:0] rd_data_rise0; wire [DQ_WIDTH-1:0] rd_data_fall0; wire [DQ_WIDTH-1:0] rd_data_rise1; wire [DQ_WIDTH-1:0] rd_data_fall1; wire [DQ_WIDTH-1:0] rd_data_rise2; wire [DQ_WIDTH-1:0] rd_data_fall2; wire [DQ_WIDTH-1:0] rd_data_rise3; wire [DQ_WIDTH-1:0] rd_data_fall3; reg [DQS_CNT_WIDTH:0] rd_mux_sel_r; reg rd_active_posedge_r; reg rd_active_r; reg rd_active_r1; reg rd_active_r2; reg rd_active_r3; reg rd_active_r4; reg rd_active_r5; reg [RD_SHIFT_LEN-1:0] sr_fall0_r [DRAM_WIDTH-1:0]; reg [RD_SHIFT_LEN-1:0] sr_fall1_r [DRAM_WIDTH-1:0]; reg [RD_SHIFT_LEN-1:0] sr_rise0_r [DRAM_WIDTH-1:0]; reg [RD_SHIFT_LEN-1:0] sr_rise1_r [DRAM_WIDTH-1:0]; reg [RD_SHIFT_LEN-1:0] sr_fall2_r [DRAM_WIDTH-1:0]; reg [RD_SHIFT_LEN-1:0] sr_fall3_r [DRAM_WIDTH-1:0]; reg [RD_SHIFT_LEN-1:0] sr_rise2_r [DRAM_WIDTH-1:0]; reg [RD_SHIFT_LEN-1:0] sr_rise3_r [DRAM_WIDTH-1:0]; reg wrlvl_byte_done_r; reg idelay_ld_done; reg pat1_detect; reg early1_detect; reg wrcal_sanity_chk_r; reg wrcal_sanity_chk_err; //*************************************************************************** // Debug //*************************************************************************** always @(*) begin for (d = 0; d < DQS_WIDTH; d = d + 1) begin po_fine_tap_cnt_w[(6*d)+:6] <= #TCQ po_fine_tap_cnt[d]; po_coarse_tap_cnt_w[(3*d)+:3] <= #TCQ po_coarse_tap_cnt[d]; end end assign dbg_final_po_fine_tap_cnt = po_fine_tap_cnt_w; assign dbg_final_po_coarse_tap_cnt = po_coarse_tap_cnt_w; assign dbg_phy_wrcal[0] = pat_data_match_r; assign dbg_phy_wrcal[4:1] = cal2_state_r1[2:0]; assign dbg_phy_wrcal[5] = wrcal_sanity_chk_err; assign dbg_phy_wrcal[6] = wrcal_start; assign dbg_phy_wrcal[7] = wrcal_done; assign dbg_phy_wrcal[8] = pat_data_match_valid_r; assign dbg_phy_wrcal[13+:DQS_CNT_WIDTH]= wrcal_dqs_cnt_r; assign dbg_phy_wrcal[17+:5] = 'd0; assign dbg_phy_wrcal[22+:5] = 'd0; assign dbg_phy_wrcal[27] = 1'b0; assign dbg_phy_wrcal[28+:5] = 'd0; assign dbg_phy_wrcal[53:33] = 'b0; assign dbg_phy_wrcal[54] = 1'b0; assign dbg_phy_wrcal[55+:5] = 'd0; assign dbg_phy_wrcal[60] = 1'b0; assign dbg_phy_wrcal[61+:5] = 'd0; assign dbg_phy_wrcal[66+:5] = not_empty_wait_cnt; assign dbg_phy_wrcal[71] = early1_data; assign dbg_phy_wrcal[72] = early2_data; assign dqsfound_retry = 1'b0; assign wrcal_read_req = 1'b0; assign phy_if_reset = cal2_if_reset; //************************************************************************** // DQS count to hard PHY during write calibration using Phaser_OUT Stage2 // coarse delay //************************************************************************** always @(posedge clk) begin po_stg2_wrcal_cnt <= #TCQ wrcal_dqs_cnt_r; wrlvl_byte_done_r <= #TCQ wrlvl_byte_done; wrcal_sanity_chk_r <= #TCQ wrcal_sanity_chk; end //*************************************************************************** // Data mux to route appropriate byte to calibration logic - i.e. calibration // is done sequentially, one byte (or DQS group) at a time //*************************************************************************** generate if (nCK_PER_CLK == 4) begin: gen_rd_data_div4 assign rd_data_rise0 = rd_data[DQ_WIDTH-1:0]; assign rd_data_fall0 = rd_data[2*DQ_WIDTH-1:DQ_WIDTH]; assign rd_data_rise1 = rd_data[3*DQ_WIDTH-1:2*DQ_WIDTH]; assign rd_data_fall1 = rd_data[4*DQ_WIDTH-1:3*DQ_WIDTH]; assign rd_data_rise2 = rd_data[5*DQ_WIDTH-1:4*DQ_WIDTH]; assign rd_data_fall2 = rd_data[6*DQ_WIDTH-1:5*DQ_WIDTH]; assign rd_data_rise3 = rd_data[7*DQ_WIDTH-1:6*DQ_WIDTH]; assign rd_data_fall3 = rd_data[8*DQ_WIDTH-1:7*DQ_WIDTH]; end else if (nCK_PER_CLK == 2) begin: gen_rd_data_div2 assign rd_data_rise0 = rd_data[DQ_WIDTH-1:0]; assign rd_data_fall0 = rd_data[2*DQ_WIDTH-1:DQ_WIDTH]; assign rd_data_rise1 = rd_data[3*DQ_WIDTH-1:2*DQ_WIDTH]; assign rd_data_fall1 = rd_data[4*DQ_WIDTH-1:3*DQ_WIDTH]; end endgenerate //************************************************************************** // Final Phaser OUT coarse and fine delay taps after write calibration // Sum of taps used during write leveling taps and write calibration //************************************************************************** always @(*) begin for (m = 0; m < DQS_WIDTH; m = m + 1) begin wl_po_coarse_cnt_w[m] = wl_po_coarse_cnt[3*m+:3]; wl_po_fine_cnt_w[m] = wl_po_fine_cnt[6*m+:6]; end end always @(posedge clk) begin if (rst) begin for (p = 0; p < DQS_WIDTH; p = p + 1) begin po_coarse_tap_cnt[p] <= #TCQ {3{1'b0}}; po_fine_tap_cnt[p] <= #TCQ {6{1'b0}}; end end else if (cal2_done_r && ~cal2_done_r1) begin for (q = 0; q < DQS_WIDTH; q = q + 1) begin po_coarse_tap_cnt[q] <= #TCQ wl_po_coarse_cnt_w[i]; po_fine_tap_cnt[q] <= #TCQ wl_po_fine_cnt_w[i]; end end end always @(posedge clk) begin rd_mux_sel_r <= #TCQ wrcal_dqs_cnt_r; end // Register outputs for improved timing. // NOTE: Will need to change when per-bit DQ deskew is supported. // Currenly all bits in DQS group are checked in aggregate generate genvar mux_i; if (nCK_PER_CLK == 4) begin: gen_mux_rd_div4 for (mux_i = 0; mux_i < DRAM_WIDTH; mux_i = mux_i + 1) begin: gen_mux_rd always @(posedge clk) begin mux_rd_rise0_r[mux_i] <= #TCQ rd_data_rise0[DRAM_WIDTH*rd_mux_sel_r + mux_i]; mux_rd_fall0_r[mux_i] <= #TCQ rd_data_fall0[DRAM_WIDTH*rd_mux_sel_r + mux_i]; mux_rd_rise1_r[mux_i] <= #TCQ rd_data_rise1[DRAM_WIDTH*rd_mux_sel_r + mux_i]; mux_rd_fall1_r[mux_i] <= #TCQ rd_data_fall1[DRAM_WIDTH*rd_mux_sel_r + mux_i]; mux_rd_rise2_r[mux_i] <= #TCQ rd_data_rise2[DRAM_WIDTH*rd_mux_sel_r + mux_i]; mux_rd_fall2_r[mux_i] <= #TCQ rd_data_fall2[DRAM_WIDTH*rd_mux_sel_r + mux_i]; mux_rd_rise3_r[mux_i] <= #TCQ rd_data_rise3[DRAM_WIDTH*rd_mux_sel_r + mux_i]; mux_rd_fall3_r[mux_i] <= #TCQ rd_data_fall3[DRAM_WIDTH*rd_mux_sel_r + mux_i]; end end end else if (nCK_PER_CLK == 2) begin: gen_mux_rd_div2 for (mux_i = 0; mux_i < DRAM_WIDTH; mux_i = mux_i + 1) begin: gen_mux_rd always @(posedge clk) begin mux_rd_rise0_r[mux_i] <= #TCQ rd_data_rise0[DRAM_WIDTH*rd_mux_sel_r + mux_i]; mux_rd_fall0_r[mux_i] <= #TCQ rd_data_fall0[DRAM_WIDTH*rd_mux_sel_r + mux_i]; mux_rd_rise1_r[mux_i] <= #TCQ rd_data_rise1[DRAM_WIDTH*rd_mux_sel_r + mux_i]; mux_rd_fall1_r[mux_i] <= #TCQ rd_data_fall1[DRAM_WIDTH*rd_mux_sel_r + mux_i]; end end end endgenerate //*************************************************************************** // generate request to PHY_INIT logic to issue precharged. Required when // calibration can take a long time (during which there are only constant // reads present on this bus). In this case need to issue perioidic // precharges to avoid tRAS violation. This signal must meet the following // requirements: (1) only transition from 0->1 when prech is first needed, // (2) stay at 1 and only transition 1->0 when RDLVL_PRECH_DONE asserted //*************************************************************************** always @(posedge clk) if (rst) wrcal_prech_req <= #TCQ 1'b0; else // Combine requests from all stages here wrcal_prech_req <= #TCQ cal2_prech_req_r; //*************************************************************************** // Shift register to store last RDDATA_SHIFT_LEN cycles of data from ISERDES // NOTE: Written using discrete flops, but SRL can be used if the matching // logic does the comparison sequentially, rather than parallel //*************************************************************************** generate genvar rd_i; if (nCK_PER_CLK == 4) begin: gen_sr_div4 for (rd_i = 0; rd_i < DRAM_WIDTH; rd_i = rd_i + 1) begin: gen_sr always @(posedge clk) begin sr_rise0_r[rd_i] <= #TCQ mux_rd_rise0_r[rd_i]; sr_fall0_r[rd_i] <= #TCQ mux_rd_fall0_r[rd_i]; sr_rise1_r[rd_i] <= #TCQ mux_rd_rise1_r[rd_i]; sr_fall1_r[rd_i] <= #TCQ mux_rd_fall1_r[rd_i]; sr_rise2_r[rd_i] <= #TCQ mux_rd_rise2_r[rd_i]; sr_fall2_r[rd_i] <= #TCQ mux_rd_fall2_r[rd_i]; sr_rise3_r[rd_i] <= #TCQ mux_rd_rise3_r[rd_i]; sr_fall3_r[rd_i] <= #TCQ mux_rd_fall3_r[rd_i]; end end end else if (nCK_PER_CLK == 2) begin: gen_sr_div2 for (rd_i = 0; rd_i < DRAM_WIDTH; rd_i = rd_i + 1) begin: gen_sr always @(posedge clk) begin sr_rise0_r[rd_i] <= #TCQ mux_rd_rise0_r[rd_i]; sr_fall0_r[rd_i] <= #TCQ mux_rd_fall0_r[rd_i]; sr_rise1_r[rd_i] <= #TCQ mux_rd_rise1_r[rd_i]; sr_fall1_r[rd_i] <= #TCQ mux_rd_fall1_r[rd_i]; end end end endgenerate //*************************************************************************** // Write calibration: // During write leveling DQS is aligned to the nearest CK edge that may not // be the correct CK edge. Write calibration is required to align the DQS to // the correct CK edge that clocks the write command. // The Phaser_Out coarse delay line is adjusted if required to add a memory // clock cycle of delay in order to read back the expected pattern. //*************************************************************************** always @(posedge clk) begin rd_active_r <= #TCQ phy_rddata_en; rd_active_r1 <= #TCQ rd_active_r; rd_active_r2 <= #TCQ rd_active_r1; rd_active_r3 <= #TCQ rd_active_r2; rd_active_r4 <= #TCQ rd_active_r3; rd_active_r5 <= #TCQ rd_active_r4; end //***************************************************************** // Expected data pattern when properly received by read capture // logic: // Based on pattern of ({rise,fall}) = // 0xF, 0x0, 0xA, 0x5, 0x5, 0xA, 0x9, 0x6 // Each nibble will look like: // bit3: 1, 0, 1, 0, 0, 1, 1, 0 // bit2: 1, 0, 0, 1, 1, 0, 0, 1 // bit1: 1, 0, 1, 0, 0, 1, 0, 1 // bit0: 1, 0, 0, 1, 1, 0, 1, 0 // Change the hard-coded pattern below accordingly as RD_SHIFT_LEN // and the actual training pattern contents change //***************************************************************** generate if (nCK_PER_CLK == 4) begin: gen_pat_div4 // FF00AA5555AA9966 assign pat_rise0[3] = 1'b1; assign pat_fall0[3] = 1'b0; assign pat_rise1[3] = 1'b1; assign pat_fall1[3] = 1'b0; assign pat_rise2[3] = 1'b0; assign pat_fall2[3] = 1'b1; assign pat_rise3[3] = 1'b1; assign pat_fall3[3] = 1'b0; assign pat_rise0[2] = 1'b1; assign pat_fall0[2] = 1'b0; assign pat_rise1[2] = 1'b0; assign pat_fall1[2] = 1'b1; assign pat_rise2[2] = 1'b1; assign pat_fall2[2] = 1'b0; assign pat_rise3[2] = 1'b0; assign pat_fall3[2] = 1'b1; assign pat_rise0[1] = 1'b1; assign pat_fall0[1] = 1'b0; assign pat_rise1[1] = 1'b1; assign pat_fall1[1] = 1'b0; assign pat_rise2[1] = 1'b0; assign pat_fall2[1] = 1'b1; assign pat_rise3[1] = 1'b0; assign pat_fall3[1] = 1'b1; assign pat_rise0[0] = 1'b1; assign pat_fall0[0] = 1'b0; assign pat_rise1[0] = 1'b0; assign pat_fall1[0] = 1'b1; assign pat_rise2[0] = 1'b1; assign pat_fall2[0] = 1'b0; assign pat_rise3[0] = 1'b1; assign pat_fall3[0] = 1'b0; // Pattern to distinguish between early write and incorrect read // BB11EE4444EEDD88 assign early_rise0[3] = 1'b1; assign early_fall0[3] = 1'b0; assign early_rise1[3] = 1'b1; assign early_fall1[3] = 1'b0; assign early_rise2[3] = 1'b0; assign early_fall2[3] = 1'b1; assign early_rise3[3] = 1'b1; assign early_fall3[3] = 1'b1; assign early_rise0[2] = 1'b0; assign early_fall0[2] = 1'b0; assign early_rise1[2] = 1'b1; assign early_fall1[2] = 1'b1; assign early_rise2[2] = 1'b1; assign early_fall2[2] = 1'b1; assign early_rise3[2] = 1'b1; assign early_fall3[2] = 1'b0; assign early_rise0[1] = 1'b1; assign early_fall0[1] = 1'b0; assign early_rise1[1] = 1'b1; assign early_fall1[1] = 1'b0; assign early_rise2[1] = 1'b0; assign early_fall2[1] = 1'b1; assign early_rise3[1] = 1'b0; assign early_fall3[1] = 1'b0; assign early_rise0[0] = 1'b1; assign early_fall0[0] = 1'b1; assign early_rise1[0] = 1'b0; assign early_fall1[0] = 1'b0; assign early_rise2[0] = 1'b0; assign early_fall2[0] = 1'b0; assign early_rise3[0] = 1'b1; assign early_fall3[0] = 1'b0; end else if (nCK_PER_CLK == 2) begin: gen_pat_div2 // First cycle pattern FF00AA55 assign pat1_rise0[3] = 1'b1; assign pat1_fall0[3] = 1'b0; assign pat1_rise1[3] = 1'b1; assign pat1_fall1[3] = 1'b0; assign pat1_rise0[2] = 1'b1; assign pat1_fall0[2] = 1'b0; assign pat1_rise1[2] = 1'b0; assign pat1_fall1[2] = 1'b1; assign pat1_rise0[1] = 1'b1; assign pat1_fall0[1] = 1'b0; assign pat1_rise1[1] = 1'b1; assign pat1_fall1[1] = 1'b0; assign pat1_rise0[0] = 1'b1; assign pat1_fall0[0] = 1'b0; assign pat1_rise1[0] = 1'b0; assign pat1_fall1[0] = 1'b1; // Second cycle pattern 55AA9966 assign pat2_rise0[3] = 1'b0; assign pat2_fall0[3] = 1'b1; assign pat2_rise1[3] = 1'b1; assign pat2_fall1[3] = 1'b0; assign pat2_rise0[2] = 1'b1; assign pat2_fall0[2] = 1'b0; assign pat2_rise1[2] = 1'b0; assign pat2_fall1[2] = 1'b1; assign pat2_rise0[1] = 1'b0; assign pat2_fall0[1] = 1'b1; assign pat2_rise1[1] = 1'b0; assign pat2_fall1[1] = 1'b1; assign pat2_rise0[0] = 1'b1; assign pat2_fall0[0] = 1'b0; assign pat2_rise1[0] = 1'b1; assign pat2_fall1[0] = 1'b0; //Pattern to distinguish between early write and incorrect read // First cycle pattern AA5555AA assign early1_rise0[3] = 2'b1; assign early1_fall0[3] = 2'b0; assign early1_rise1[3] = 2'b0; assign early1_fall1[3] = 2'b1; assign early1_rise0[2] = 2'b0; assign early1_fall0[2] = 2'b1; assign early1_rise1[2] = 2'b1; assign early1_fall1[2] = 2'b0; assign early1_rise0[1] = 2'b1; assign early1_fall0[1] = 2'b0; assign early1_rise1[1] = 2'b0; assign early1_fall1[1] = 2'b1; assign early1_rise0[0] = 2'b0; assign early1_fall0[0] = 2'b1; assign early1_rise1[0] = 2'b1; assign early1_fall1[0] = 2'b0; // Second cycle pattern 9966BB11 assign early2_rise0[3] = 2'b1; assign early2_fall0[3] = 2'b0; assign early2_rise1[3] = 2'b1; assign early2_fall1[3] = 2'b0; assign early2_rise0[2] = 2'b0; assign early2_fall0[2] = 2'b1; assign early2_rise1[2] = 2'b0; assign early2_fall1[2] = 2'b0; assign early2_rise0[1] = 2'b0; assign early2_fall0[1] = 2'b1; assign early2_rise1[1] = 2'b1; assign early2_fall1[1] = 2'b0; assign early2_rise0[0] = 2'b1; assign early2_fall0[0] = 2'b0; assign early2_rise1[0] = 2'b1; assign early2_fall1[0] = 2'b1; end endgenerate // Each bit of each byte is compared to expected pattern. // This was done to prevent (and "drastically decrease") the chance that // invalid data clocked in when the DQ bus is tri-state (along with a // combination of the correct data) will resemble the expected data // pattern. A better fix for this is to change the training pattern and/or // make the pattern longer. generate genvar pt_i; if (nCK_PER_CLK == 4) begin: gen_pat_match_div4 for (pt_i = 0; pt_i < DRAM_WIDTH; pt_i = pt_i + 1) begin: gen_pat_match always @(posedge clk) begin if (sr_rise0_r[pt_i] == pat_rise0[pt_i%4]) pat_match_rise0_r[pt_i] <= #TCQ 1'b1; else pat_match_rise0_r[pt_i] <= #TCQ 1'b0; if (sr_fall0_r[pt_i] == pat_fall0[pt_i%4]) pat_match_fall0_r[pt_i] <= #TCQ 1'b1; else pat_match_fall0_r[pt_i] <= #TCQ 1'b0; if (sr_rise1_r[pt_i] == pat_rise1[pt_i%4]) pat_match_rise1_r[pt_i] <= #TCQ 1'b1; else pat_match_rise1_r[pt_i] <= #TCQ 1'b0; if (sr_fall1_r[pt_i] == pat_fall1[pt_i%4]) pat_match_fall1_r[pt_i] <= #TCQ 1'b1; else pat_match_fall1_r[pt_i] <= #TCQ 1'b0; if (sr_rise2_r[pt_i] == pat_rise2[pt_i%4]) pat_match_rise2_r[pt_i] <= #TCQ 1'b1; else pat_match_rise2_r[pt_i] <= #TCQ 1'b0; if (sr_fall2_r[pt_i] == pat_fall2[pt_i%4]) pat_match_fall2_r[pt_i] <= #TCQ 1'b1; else pat_match_fall2_r[pt_i] <= #TCQ 1'b0; if (sr_rise3_r[pt_i] == pat_rise3[pt_i%4]) pat_match_rise3_r[pt_i] <= #TCQ 1'b1; else pat_match_rise3_r[pt_i] <= #TCQ 1'b0; if (sr_fall3_r[pt_i] == pat_fall3[pt_i%4]) pat_match_fall3_r[pt_i] <= #TCQ 1'b1; else pat_match_fall3_r[pt_i] <= #TCQ 1'b0; end always @(posedge clk) begin if (sr_rise0_r[pt_i] == pat_rise1[pt_i%4]) early1_match_rise0_r[pt_i] <= #TCQ 1'b1; else early1_match_rise0_r[pt_i] <= #TCQ 1'b0; if (sr_fall0_r[pt_i] == pat_fall1[pt_i%4]) early1_match_fall0_r[pt_i] <= #TCQ 1'b1; else early1_match_fall0_r[pt_i] <= #TCQ 1'b0; if (sr_rise1_r[pt_i] == pat_rise2[pt_i%4]) early1_match_rise1_r[pt_i] <= #TCQ 1'b1; else early1_match_rise1_r[pt_i] <= #TCQ 1'b0; if (sr_fall1_r[pt_i] == pat_fall2[pt_i%4]) early1_match_fall1_r[pt_i] <= #TCQ 1'b1; else early1_match_fall1_r[pt_i] <= #TCQ 1'b0; if (sr_rise2_r[pt_i] == pat_rise3[pt_i%4]) early1_match_rise2_r[pt_i] <= #TCQ 1'b1; else early1_match_rise2_r[pt_i] <= #TCQ 1'b0; if (sr_fall2_r[pt_i] == pat_fall3[pt_i%4]) early1_match_fall2_r[pt_i] <= #TCQ 1'b1; else early1_match_fall2_r[pt_i] <= #TCQ 1'b0; if (sr_rise3_r[pt_i] == early_rise0[pt_i%4]) early1_match_rise3_r[pt_i] <= #TCQ 1'b1; else early1_match_rise3_r[pt_i] <= #TCQ 1'b0; if (sr_fall3_r[pt_i] == early_fall0[pt_i%4]) early1_match_fall3_r[pt_i] <= #TCQ 1'b1; else early1_match_fall3_r[pt_i] <= #TCQ 1'b0; end always @(posedge clk) begin if (sr_rise0_r[pt_i] == pat_rise2[pt_i%4]) early2_match_rise0_r[pt_i] <= #TCQ 1'b1; else early2_match_rise0_r[pt_i] <= #TCQ 1'b0; if (sr_fall0_r[pt_i] == pat_fall2[pt_i%4]) early2_match_fall0_r[pt_i] <= #TCQ 1'b1; else early2_match_fall0_r[pt_i] <= #TCQ 1'b0; if (sr_rise1_r[pt_i] == pat_rise3[pt_i%4]) early2_match_rise1_r[pt_i] <= #TCQ 1'b1; else early2_match_rise1_r[pt_i] <= #TCQ 1'b0; if (sr_fall1_r[pt_i] == pat_fall3[pt_i%4]) early2_match_fall1_r[pt_i] <= #TCQ 1'b1; else early2_match_fall1_r[pt_i] <= #TCQ 1'b0; if (sr_rise2_r[pt_i] == early_rise0[pt_i%4]) early2_match_rise2_r[pt_i] <= #TCQ 1'b1; else early2_match_rise2_r[pt_i] <= #TCQ 1'b0; if (sr_fall2_r[pt_i] == early_fall0[pt_i%4]) early2_match_fall2_r[pt_i] <= #TCQ 1'b1; else early2_match_fall2_r[pt_i] <= #TCQ 1'b0; if (sr_rise3_r[pt_i] == early_rise1[pt_i%4]) early2_match_rise3_r[pt_i] <= #TCQ 1'b1; else early2_match_rise3_r[pt_i] <= #TCQ 1'b0; if (sr_fall3_r[pt_i] == early_fall1[pt_i%4]) early2_match_fall3_r[pt_i] <= #TCQ 1'b1; else early2_match_fall3_r[pt_i] <= #TCQ 1'b0; end end always @(posedge clk) begin pat_match_rise0_and_r <= #TCQ &pat_match_rise0_r; pat_match_fall0_and_r <= #TCQ &pat_match_fall0_r; pat_match_rise1_and_r <= #TCQ &pat_match_rise1_r; pat_match_fall1_and_r <= #TCQ &pat_match_fall1_r; pat_match_rise2_and_r <= #TCQ &pat_match_rise2_r; pat_match_fall2_and_r <= #TCQ &pat_match_fall2_r; pat_match_rise3_and_r <= #TCQ &pat_match_rise3_r; pat_match_fall3_and_r <= #TCQ &pat_match_fall3_r; pat_data_match_r <= #TCQ (pat_match_rise0_and_r && pat_match_fall0_and_r && pat_match_rise1_and_r && pat_match_fall1_and_r && pat_match_rise2_and_r && pat_match_fall2_and_r && pat_match_rise3_and_r && pat_match_fall3_and_r); pat_data_match_valid_r <= #TCQ rd_active_r3; end always @(posedge clk) begin early1_match_rise0_and_r <= #TCQ &early1_match_rise0_r; early1_match_fall0_and_r <= #TCQ &early1_match_fall0_r; early1_match_rise1_and_r <= #TCQ &early1_match_rise1_r; early1_match_fall1_and_r <= #TCQ &early1_match_fall1_r; early1_match_rise2_and_r <= #TCQ &early1_match_rise2_r; early1_match_fall2_and_r <= #TCQ &early1_match_fall2_r; early1_match_rise3_and_r <= #TCQ &early1_match_rise3_r; early1_match_fall3_and_r <= #TCQ &early1_match_fall3_r; early1_data_match_r <= #TCQ (early1_match_rise0_and_r && early1_match_fall0_and_r && early1_match_rise1_and_r && early1_match_fall1_and_r && early1_match_rise2_and_r && early1_match_fall2_and_r && early1_match_rise3_and_r && early1_match_fall3_and_r); end always @(posedge clk) begin early2_match_rise0_and_r <= #TCQ &early2_match_rise0_r; early2_match_fall0_and_r <= #TCQ &early2_match_fall0_r; early2_match_rise1_and_r <= #TCQ &early2_match_rise1_r; early2_match_fall1_and_r <= #TCQ &early2_match_fall1_r; early2_match_rise2_and_r <= #TCQ &early2_match_rise2_r; early2_match_fall2_and_r <= #TCQ &early2_match_fall2_r; early2_match_rise3_and_r <= #TCQ &early2_match_rise3_r; early2_match_fall3_and_r <= #TCQ &early2_match_fall3_r; early2_data_match_r <= #TCQ (early2_match_rise0_and_r && early2_match_fall0_and_r && early2_match_rise1_and_r && early2_match_fall1_and_r && early2_match_rise2_and_r && early2_match_fall2_and_r && early2_match_rise3_and_r && early2_match_fall3_and_r); end end else if (nCK_PER_CLK == 2) begin: gen_pat_match_div2 for (pt_i = 0; pt_i < DRAM_WIDTH; pt_i = pt_i + 1) begin: gen_pat_match always @(posedge clk) begin if (sr_rise0_r[pt_i] == pat1_rise0[pt_i%4]) pat1_match_rise0_r[pt_i] <= #TCQ 1'b1; else pat1_match_rise0_r[pt_i] <= #TCQ 1'b0; if (sr_fall0_r[pt_i] == pat1_fall0[pt_i%4]) pat1_match_fall0_r[pt_i] <= #TCQ 1'b1; else pat1_match_fall0_r[pt_i] <= #TCQ 1'b0; if (sr_rise1_r[pt_i] == pat1_rise1[pt_i%4]) pat1_match_rise1_r[pt_i] <= #TCQ 1'b1; else pat1_match_rise1_r[pt_i] <= #TCQ 1'b0; if (sr_fall1_r[pt_i] == pat1_fall1[pt_i%4]) pat1_match_fall1_r[pt_i] <= #TCQ 1'b1; else pat1_match_fall1_r[pt_i] <= #TCQ 1'b0; end always @(posedge clk) begin if (sr_rise0_r[pt_i] == pat2_rise0[pt_i%4]) pat2_match_rise0_r[pt_i] <= #TCQ 1'b1; else pat2_match_rise0_r[pt_i] <= #TCQ 1'b0; if (sr_fall0_r[pt_i] == pat2_fall0[pt_i%4]) pat2_match_fall0_r[pt_i] <= #TCQ 1'b1; else pat2_match_fall0_r[pt_i] <= #TCQ 1'b0; if (sr_rise1_r[pt_i] == pat2_rise1[pt_i%4]) pat2_match_rise1_r[pt_i] <= #TCQ 1'b1; else pat2_match_rise1_r[pt_i] <= #TCQ 1'b0; if (sr_fall1_r[pt_i] == pat2_fall1[pt_i%4]) pat2_match_fall1_r[pt_i] <= #TCQ 1'b1; else pat2_match_fall1_r[pt_i] <= #TCQ 1'b0; end always @(posedge clk) begin if (sr_rise0_r[pt_i] == early1_rise0[pt_i%4]) early1_match_rise0_r[pt_i] <= #TCQ 1'b1; else early1_match_rise0_r[pt_i] <= #TCQ 1'b0; if (sr_fall0_r[pt_i] == early1_fall0[pt_i%4]) early1_match_fall0_r[pt_i] <= #TCQ 1'b1; else early1_match_fall0_r[pt_i] <= #TCQ 1'b0; if (sr_rise1_r[pt_i] == early1_rise1[pt_i%4]) early1_match_rise1_r[pt_i] <= #TCQ 1'b1; else early1_match_rise1_r[pt_i] <= #TCQ 1'b0; if (sr_fall1_r[pt_i] == early1_fall1[pt_i%4]) early1_match_fall1_r[pt_i] <= #TCQ 1'b1; else early1_match_fall1_r[pt_i] <= #TCQ 1'b0; end // early2 in this case does not mean 2 cycles early but // the second cycle of read data in 2:1 mode always @(posedge clk) begin if (sr_rise0_r[pt_i] == early2_rise0[pt_i%4]) early2_match_rise0_r[pt_i] <= #TCQ 1'b1; else early2_match_rise0_r[pt_i] <= #TCQ 1'b0; if (sr_fall0_r[pt_i] == early2_fall0[pt_i%4]) early2_match_fall0_r[pt_i] <= #TCQ 1'b1; else early2_match_fall0_r[pt_i] <= #TCQ 1'b0; if (sr_rise1_r[pt_i] == early2_rise1[pt_i%4]) early2_match_rise1_r[pt_i] <= #TCQ 1'b1; else early2_match_rise1_r[pt_i] <= #TCQ 1'b0; if (sr_fall1_r[pt_i] == early2_fall1[pt_i%4]) early2_match_fall1_r[pt_i] <= #TCQ 1'b1; else early2_match_fall1_r[pt_i] <= #TCQ 1'b0; end end always @(posedge clk) begin pat1_match_rise0_and_r <= #TCQ &pat1_match_rise0_r; pat1_match_fall0_and_r <= #TCQ &pat1_match_fall0_r; pat1_match_rise1_and_r <= #TCQ &pat1_match_rise1_r; pat1_match_fall1_and_r <= #TCQ &pat1_match_fall1_r; pat1_data_match_r <= #TCQ (pat1_match_rise0_and_r && pat1_match_fall0_and_r && pat1_match_rise1_and_r && pat1_match_fall1_and_r); pat1_data_match_r1 <= #TCQ pat1_data_match_r; pat2_match_rise0_and_r <= #TCQ &pat2_match_rise0_r && rd_active_r3; pat2_match_fall0_and_r <= #TCQ &pat2_match_fall0_r && rd_active_r3; pat2_match_rise1_and_r <= #TCQ &pat2_match_rise1_r && rd_active_r3; pat2_match_fall1_and_r <= #TCQ &pat2_match_fall1_r && rd_active_r3; pat2_data_match_r <= #TCQ (pat2_match_rise0_and_r && pat2_match_fall0_and_r && pat2_match_rise1_and_r && pat2_match_fall1_and_r); // For 2:1 mode, read valid is asserted for 2 clock cycles - // here we generate a "match valid" pulse that is only 1 clock // cycle wide that is simulatenous when the match calculation // is complete pat_data_match_valid_r <= #TCQ rd_active_r4 & ~rd_active_r5; end always @(posedge clk) begin early1_match_rise0_and_r <= #TCQ &early1_match_rise0_r; early1_match_fall0_and_r <= #TCQ &early1_match_fall0_r; early1_match_rise1_and_r <= #TCQ &early1_match_rise1_r; early1_match_fall1_and_r <= #TCQ &early1_match_fall1_r; early1_data_match_r <= #TCQ (early1_match_rise0_and_r && early1_match_fall0_and_r && early1_match_rise1_and_r && early1_match_fall1_and_r); early1_data_match_r1 <= #TCQ early1_data_match_r; early2_match_rise0_and_r <= #TCQ &early2_match_rise0_r && rd_active_r3; early2_match_fall0_and_r <= #TCQ &early2_match_fall0_r && rd_active_r3; early2_match_rise1_and_r <= #TCQ &early2_match_rise1_r && rd_active_r3; early2_match_fall1_and_r <= #TCQ &early2_match_fall1_r && rd_active_r3; early2_data_match_r <= #TCQ (early2_match_rise0_and_r && early2_match_fall0_and_r && early2_match_rise1_and_r && early2_match_fall1_and_r); end end endgenerate // Need to delay it by 3 cycles in order to wait for Phaser_Out // coarse delay to take effect before issuing a write command always @(posedge clk) begin wrcal_pat_resume_r1 <= #TCQ wrcal_pat_resume_r; wrcal_pat_resume_r2 <= #TCQ wrcal_pat_resume_r1; wrcal_pat_resume <= #TCQ wrcal_pat_resume_r2; end always @(posedge clk) begin if (rst) tap_inc_wait_cnt <= #TCQ 'd0; else if ((cal2_state_r == CAL2_DQ_IDEL_DEC) || (cal2_state_r == CAL2_IFIFO_RESET) || (cal2_state_r == CAL2_SANITY_WAIT)) tap_inc_wait_cnt <= #TCQ tap_inc_wait_cnt + 1; else tap_inc_wait_cnt <= #TCQ 'd0; end always @(posedge clk) begin if (rst) not_empty_wait_cnt <= #TCQ 'd0; else if ((cal2_state_r == CAL2_READ_WAIT) && wrcal_rd_wait) not_empty_wait_cnt <= #TCQ not_empty_wait_cnt + 1; else not_empty_wait_cnt <= #TCQ 'd0; end always @(posedge clk) cal2_state_r1 <= #TCQ cal2_state_r; //***************************************************************** // Write Calibration state machine //***************************************************************** // when calibrating, check to see if the expected pattern is received. // Otherwise delay DQS to align to correct CK edge. // NOTES: // 1. An error condition can occur due to two reasons: // a. If the matching logic does not receive the expected data // pattern. However, the error may be "recoverable" because // the write calibration is still in progress. If an error is // found the write calibration logic delays DQS by an additional // clock cycle and restarts the pattern detection process. // By design, if the write path timing is incorrect, the correct // data pattern will never be detected. // b. Valid data not found even after incrementing Phaser_Out // coarse delay line. always @(posedge clk) begin if (rst) begin wrcal_dqs_cnt_r <= #TCQ 'b0; cal2_done_r <= #TCQ 1'b0; cal2_prech_req_r <= #TCQ 1'b0; cal2_state_r <= #TCQ CAL2_IDLE; wrcal_pat_err <= #TCQ 1'b0; wrcal_pat_resume_r <= #TCQ 1'b0; wrcal_act_req <= #TCQ 1'b0; cal2_if_reset <= #TCQ 1'b0; temp_wrcal_done <= #TCQ 1'b0; wrlvl_byte_redo <= #TCQ 1'b0; early1_data <= #TCQ 1'b0; early2_data <= #TCQ 1'b0; idelay_ld <= #TCQ 1'b0; idelay_ld_done <= #TCQ 1'b0; pat1_detect <= #TCQ 1'b0; early1_detect <= #TCQ 1'b0; wrcal_sanity_chk_done <= #TCQ 1'b0; wrcal_sanity_chk_err <= #TCQ 1'b0; end else begin cal2_prech_req_r <= #TCQ 1'b0; case (cal2_state_r) CAL2_IDLE: begin wrcal_pat_err <= #TCQ 1'b0; if (wrcal_start) begin cal2_if_reset <= #TCQ 1'b0; if (SIM_CAL_OPTION == "SKIP_CAL") // If skip write calibration, then proceed to end. cal2_state_r <= #TCQ CAL2_DONE; else cal2_state_r <= #TCQ CAL2_READ_WAIT; end end // General wait state to wait for read data to be output by the // IN_FIFO CAL2_READ_WAIT: begin wrcal_pat_resume_r <= #TCQ 1'b0; cal2_if_reset <= #TCQ 1'b0; // Wait until read data is received, and pattern matching // calculation is complete. NOTE: Need to add a timeout here // in case for some reason data is never received (or rather // the PHASER_IN and IN_FIFO think they never receives data) if (pat_data_match_valid_r && (nCK_PER_CLK == 4)) begin if (pat_data_match_r) // If found data match, then move on to next DQS group cal2_state_r <= #TCQ CAL2_NEXT_DQS; else begin if (wrcal_sanity_chk_r) cal2_state_r <= #TCQ CAL2_ERR; // If writes are one or two cycles early then redo // write leveling for the byte else if (early1_data_match_r) begin early1_data <= #TCQ 1'b1; early2_data <= #TCQ 1'b0; wrlvl_byte_redo <= #TCQ 1'b1; cal2_state_r <= #TCQ CAL2_WRLVL_WAIT; end else if (early2_data_match_r) begin early1_data <= #TCQ 1'b0; early2_data <= #TCQ 1'b1; wrlvl_byte_redo <= #TCQ 1'b1; cal2_state_r <= #TCQ CAL2_WRLVL_WAIT; // Read late due to incorrect MPR idelay value // Decrement Idelay to '0'for the current byte end else if (~idelay_ld_done) begin cal2_state_r <= #TCQ CAL2_DQ_IDEL_DEC; idelay_ld <= #TCQ 1'b1; end else cal2_state_r <= #TCQ CAL2_ERR; end end else if (pat_data_match_valid_r && (nCK_PER_CLK == 2)) begin if ((pat1_data_match_r1 && pat2_data_match_r) || (pat1_detect && pat2_data_match_r)) // If found data match, then move on to next DQS group cal2_state_r <= #TCQ CAL2_NEXT_DQS; else if (pat1_data_match_r1 && ~pat2_data_match_r) begin cal2_state_r <= #TCQ CAL2_READ_WAIT; pat1_detect <= #TCQ 1'b1; end else begin // If writes are one or two cycles early then redo // write leveling for the byte if (wrcal_sanity_chk_r) cal2_state_r <= #TCQ CAL2_ERR; else if ((early1_data_match_r1 && early2_data_match_r) || (early1_detect && early2_data_match_r)) begin early1_data <= #TCQ 1'b1; early2_data <= #TCQ 1'b0; wrlvl_byte_redo <= #TCQ 1'b1; cal2_state_r <= #TCQ CAL2_WRLVL_WAIT; end else if (early1_data_match_r1 && ~early2_data_match_r) begin early1_detect <= #TCQ 1'b1; cal2_state_r <= #TCQ CAL2_READ_WAIT; // Read late due to incorrect MPR idelay value // Decrement Idelay to '0'for the current byte end else if (~idelay_ld_done) begin cal2_state_r <= #TCQ CAL2_DQ_IDEL_DEC; idelay_ld <= #TCQ 1'b1; end else cal2_state_r <= #TCQ CAL2_ERR; end end else if (not_empty_wait_cnt == 'd31) cal2_state_r <= #TCQ CAL2_ERR; end CAL2_WRLVL_WAIT: begin early1_detect <= #TCQ 1'b0; if (wrlvl_byte_done && ~wrlvl_byte_done_r) wrlvl_byte_redo <= #TCQ 1'b0; if (wrlvl_byte_done) begin if (rd_active_r1 && ~rd_active_r) begin cal2_state_r <= #TCQ CAL2_IFIFO_RESET; cal2_if_reset <= #TCQ 1'b1; early1_data <= #TCQ 1'b0; early2_data <= #TCQ 1'b0; end end end CAL2_DQ_IDEL_DEC: begin if (tap_inc_wait_cnt == 'd4) begin idelay_ld <= #TCQ 1'b0; cal2_state_r <= #TCQ CAL2_IFIFO_RESET; cal2_if_reset <= #TCQ 1'b1; idelay_ld_done <= #TCQ 1'b1; end end CAL2_IFIFO_RESET: begin if (tap_inc_wait_cnt == 'd15) begin cal2_if_reset <= #TCQ 1'b0; if (wrcal_sanity_chk_r) cal2_state_r <= #TCQ CAL2_DONE; else if (idelay_ld_done) begin wrcal_pat_resume_r <= #TCQ 1'b1; cal2_state_r <= #TCQ CAL2_READ_WAIT; end else cal2_state_r <= #TCQ CAL2_IDLE; end end // Final processing for current DQS group. Move on to next group CAL2_NEXT_DQS: begin // At this point, we've just found the correct pattern for the // current DQS group. // Request bank/row precharge, and wait for its completion. Always // precharge after each DQS group to avoid tRAS(max) violation if (wrcal_sanity_chk_r && (wrcal_dqs_cnt_r != DQS_WIDTH-1)) begin cal2_prech_req_r <= #TCQ 1'b0; wrcal_dqs_cnt_r <= #TCQ wrcal_dqs_cnt_r + 1; cal2_state_r <= #TCQ CAL2_SANITY_WAIT; end else cal2_prech_req_r <= #TCQ 1'b1; idelay_ld_done <= #TCQ 1'b0; pat1_detect <= #TCQ 1'b0; if (prech_done) if (((DQS_WIDTH == 1) || (SIM_CAL_OPTION == "FAST_CAL")) || (wrcal_dqs_cnt_r == DQS_WIDTH-1)) begin // If either FAST_CAL is enabled and first DQS group is // finished, or if the last DQS group was just finished, // then end of write calibration if (wrcal_sanity_chk_r) begin cal2_if_reset <= #TCQ 1'b1; cal2_state_r <= #TCQ CAL2_IFIFO_RESET; end else cal2_state_r <= #TCQ CAL2_DONE; end else begin // Continue to next DQS group wrcal_dqs_cnt_r <= #TCQ wrcal_dqs_cnt_r + 1; cal2_state_r <= #TCQ CAL2_READ_WAIT; end end CAL2_SANITY_WAIT: begin if (tap_inc_wait_cnt == 'd15) begin cal2_state_r <= #TCQ CAL2_READ_WAIT; wrcal_pat_resume_r <= #TCQ 1'b1; end end // Finished with read enable calibration CAL2_DONE: begin if (wrcal_sanity_chk && ~wrcal_sanity_chk_r) begin cal2_done_r <= #TCQ 1'b0; wrcal_dqs_cnt_r <= #TCQ 'd0; cal2_state_r <= #TCQ CAL2_IDLE; end else cal2_done_r <= #TCQ 1'b1; cal2_prech_req_r <= #TCQ 1'b0; cal2_if_reset <= #TCQ 1'b0; if (wrcal_sanity_chk_r) wrcal_sanity_chk_done <= #TCQ 1'b1; end // Assert error signal indicating that writes timing is incorrect CAL2_ERR: begin wrcal_pat_resume_r <= #TCQ 1'b0; if (wrcal_sanity_chk_r) wrcal_sanity_chk_err <= #TCQ 1'b1; else wrcal_pat_err <= #TCQ 1'b1; cal2_state_r <= #TCQ CAL2_ERR; end endcase end end // Delay assertion of wrcal_done for write calibration by a few cycles after // we've reached CAL2_DONE always @(posedge clk) if (rst) cal2_done_r1 <= #TCQ 1'b0; else cal2_done_r1 <= #TCQ cal2_done_r; always @(posedge clk) if (rst || (wrcal_sanity_chk && ~wrcal_sanity_chk_r)) wrcal_done <= #TCQ 1'b0; else if (cal2_done_r) wrcal_done <= #TCQ 1'b1; endmodule
// ---------------------------------------------------------------------- // Copyright (c) 2016, The Regents of the University of California All // rights reserved. // // Redistribution and use in source and binary forms, with or without // modification, are permitted provided that the following conditions are // met: // // * Redistributions of source code must retain the above copyright // notice, this list of conditions and the following disclaimer. // // * Redistributions in binary form must reproduce the above // copyright notice, this list of conditions and the following // disclaimer in the documentation and/or other materials provided // with the distribution. // // * Neither the name of The Regents of the University of California // nor the names of its contributors may be used to endorse or // promote products derived from this software without specific // prior written permission. // // THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS // "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT // LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR // A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL REGENTS OF THE // UNIVERSITY OF CALIFORNIA BE LIABLE FOR ANY DIRECT, INDIRECT, // INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, // BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS // OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND // ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR // TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE // USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH // DAMAGE. // ---------------------------------------------------------------------- //---------------------------------------------------------------------------- // Filename: register.v // Version: 1.00 // Verilog Standard: Verilog-2001 // Description: A simple parameterized register // Author: Dustin Richmond (@darichmond) //----------------------------------------------------------------------------- `timescale 1ns/1ns module register #(parameter C_WIDTH = 1, parameter C_VALUE = 0 ) (input CLK, input RST_IN, output [C_WIDTH-1:0] RD_DATA, input [C_WIDTH-1:0] WR_DATA, input WR_EN ); reg [C_WIDTH-1:0] rData; assign RD_DATA = rData; always @(posedge CLK) begin if(RST_IN) begin rData <= C_VALUE; end else if(WR_EN) begin rData <= WR_DATA; end end endmodule
//***************************************************************************** // (c) Copyright 2009 - 2013 Xilinx, Inc. All rights reserved. // // This file contains confidential and proprietary information // of Xilinx, Inc. and is protected under U.S. and // international copyright and other intellectual property // laws. // // DISCLAIMER // This disclaimer is not a license and does not grant any // rights to the materials distributed herewith. Except as // otherwise provided in a valid license issued to you by // Xilinx, and to the maximum extent permitted by applicable // law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND // WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES // AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING // BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- // INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and // (2) Xilinx shall not be liable (whether in contract or tort, // including negligence, or under any other theory of // liability) for any loss or damage of any kind or nature // related to, arising under or in connection with these // materials, including for any direct, or any indirect, // special, incidental, or consequential loss or damage // (including loss of data, profits, goodwill, or any type of // loss or damage suffered as a result of any action brought // by a third party) even if such damage or loss was // reasonably foreseeable or Xilinx had been advised of the // possibility of the same. // // CRITICAL APPLICATIONS // Xilinx products are not designed or intended to be fail- // safe, or for use in any application requiring fail-safe // performance, such as life-support or safety devices or // systems, Class III medical devices, nuclear facilities, // applications related to the deployment of airbags, or any // other applications that could lead to death, personal // injury, or severe property or environmental damage // (individually and collectively, "Critical // Applications"). Customer assumes the sole risk and // liability of any use of Xilinx products in Critical // Applications, subject only to applicable laws and // regulations governing limitations on product liability. // // THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS // PART OF THIS FILE AT ALL TIMES. // //***************************************************************************** // ____ ____ // / /\/ / // /___/ \ / Vendor: Xilinx // \ \ \/ Version: %version // \ \ Application: MIG // / / Filename: ddr_phy_ck_addr_cmd_delay.v // /___/ /\ Date Last Modified: $Date: 2011/02/25 02:07:40 $ // \ \ / \ Date Created: Aug 03 2009 // \___\/\___\ // //Device: 7 Series //Design Name: DDR3 SDRAM //Purpose: Module to decrement initial PO delay to 0 and add 1/4 tck for tdqss //Reference: //Revision History: //***************************************************************************** `timescale 1ps/1ps module mig_7series_v1_9_ddr_phy_wrlvl_off_delay # ( parameter TCQ = 100, parameter tCK = 3636, parameter nCK_PER_CLK = 2, parameter CLK_PERIOD = 4, parameter PO_INITIAL_DLY= 46, parameter DQS_CNT_WIDTH = 3, parameter DQS_WIDTH = 8, parameter N_CTL_LANES = 3 ) ( input clk, input rst, input pi_fine_dly_dec_done, input cmd_delay_start, // Control lane being shifted using Phaser_Out fine delay taps output reg [DQS_CNT_WIDTH:0] ctl_lane_cnt, // Inc/dec Phaser_Out fine delay line output reg po_s2_incdec_f, output reg po_en_s2_f, // Inc/dec Phaser_Out coarse delay line output reg po_s2_incdec_c, output reg po_en_s2_c, // Completed adjusting delays for dq, dqs for tdqss output po_ck_addr_cmd_delay_done, // completed decrementing initialPO delays output po_dec_done, output phy_ctl_rdy_dly ); localparam TAP_LIMIT = 63; // PO fine delay tap resolution change by frequency. tCK > 2500, need // twice the amount of taps // localparam D_DLY_F = (tCK > 2500 ) ? D_DLY * 2 : D_DLY; // coarse delay tap is added DQ/DQS to meet the TDQSS specification. localparam TDQSS_DLY = (tCK > 2500 )? 2: 1; reg delay_done; reg delay_done_r1; reg delay_done_r2; reg delay_done_r3; reg delay_done_r4; reg [5:0] po_delay_cnt_r; reg po_cnt_inc; reg cmd_delay_start_r1; reg cmd_delay_start_r2; reg cmd_delay_start_r3; reg cmd_delay_start_r4; reg cmd_delay_start_r5; reg cmd_delay_start_r6; reg po_delay_done; reg po_delay_done_r1; reg po_delay_done_r2; reg po_delay_done_r3; reg po_delay_done_r4; reg pi_fine_dly_dec_done_r; reg po_en_stg2_c; reg po_en_stg2_f; reg po_stg2_incdec_c; reg po_stg2_f_incdec; reg [DQS_CNT_WIDTH:0] lane_cnt_dqs_c_r; reg [DQS_CNT_WIDTH:0] lane_cnt_po_r; reg [5:0] delay_cnt_r; always @(posedge clk) begin cmd_delay_start_r1 <= #TCQ cmd_delay_start; cmd_delay_start_r2 <= #TCQ cmd_delay_start_r1; cmd_delay_start_r3 <= #TCQ cmd_delay_start_r2; cmd_delay_start_r4 <= #TCQ cmd_delay_start_r3; cmd_delay_start_r5 <= #TCQ cmd_delay_start_r4; cmd_delay_start_r6 <= #TCQ cmd_delay_start_r5; pi_fine_dly_dec_done_r <= #TCQ pi_fine_dly_dec_done; end assign phy_ctl_rdy_dly = cmd_delay_start_r6; // logic for decrementing initial fine delay taps for all PO // Decrement done for add, ctrl and data phaser outs assign po_dec_done = (PO_INITIAL_DLY == 0) ? 1 : po_delay_done_r4; always @(posedge clk) if (rst || ~cmd_delay_start_r6 || po_delay_done) begin po_stg2_f_incdec <= #TCQ 1'b0; po_en_stg2_f <= #TCQ 1'b0; end else if (po_delay_cnt_r > 6'd0) begin po_en_stg2_f <= #TCQ ~po_en_stg2_f; end always @(posedge clk) if (rst || ~cmd_delay_start_r6 || (po_delay_cnt_r == 6'd0)) // set all the PO delays to 31. Decrement from 46 to 31. // Requirement comes from dqs_found logic po_delay_cnt_r <= #TCQ (PO_INITIAL_DLY - 31); else if ( po_en_stg2_f && (po_delay_cnt_r > 6'd0)) po_delay_cnt_r <= #TCQ po_delay_cnt_r - 1; always @(posedge clk) if (rst) lane_cnt_po_r <= #TCQ 'd0; else if ( po_en_stg2_f && (po_delay_cnt_r == 6'd1)) lane_cnt_po_r <= #TCQ lane_cnt_po_r + 1; always @(posedge clk) if (rst || ~cmd_delay_start_r6 ) po_delay_done <= #TCQ 1'b0; else if ((po_delay_cnt_r == 6'd1) && (lane_cnt_po_r ==1'b0)) po_delay_done <= #TCQ 1'b1; always @(posedge clk) begin po_delay_done_r1 <= #TCQ po_delay_done; po_delay_done_r2 <= #TCQ po_delay_done_r1; po_delay_done_r3 <= #TCQ po_delay_done_r2; po_delay_done_r4 <= #TCQ po_delay_done_r3; end // logic to select between all PO delays and data path delay. always @(posedge clk) begin po_s2_incdec_f <= #TCQ po_stg2_f_incdec; po_en_s2_f <= #TCQ po_en_stg2_f; end // Logic to add 1/4 taps amount of delay to data path for tdqss. // After all the initial PO delays are decremented the 1/4 delay will // be added. Coarse delay taps will be added here . // Delay added only to data path assign po_ck_addr_cmd_delay_done = (TDQSS_DLY == 0) ? pi_fine_dly_dec_done_r : delay_done_r4; always @(posedge clk) if (rst || ~pi_fine_dly_dec_done_r || delay_done) begin po_stg2_incdec_c <= #TCQ 1'b1; po_en_stg2_c <= #TCQ 1'b0; end else if (delay_cnt_r > 6'd0) begin po_en_stg2_c <= #TCQ ~po_en_stg2_c; end always @(posedge clk) if (rst || ~pi_fine_dly_dec_done_r || (delay_cnt_r == 6'd0)) delay_cnt_r <= #TCQ TDQSS_DLY; else if ( po_en_stg2_c && (delay_cnt_r > 6'd0)) delay_cnt_r <= #TCQ delay_cnt_r - 1; always @(posedge clk) if (rst) lane_cnt_dqs_c_r <= #TCQ 'd0; else if ( po_en_stg2_c && (delay_cnt_r == 6'd1)) lane_cnt_dqs_c_r <= #TCQ lane_cnt_dqs_c_r + 1; always @(posedge clk) if (rst || ~pi_fine_dly_dec_done_r) delay_done <= #TCQ 1'b0; else if ((delay_cnt_r == 6'd1) && (lane_cnt_dqs_c_r == 1'b0)) delay_done <= #TCQ 1'b1; always @(posedge clk) begin delay_done_r1 <= #TCQ delay_done; delay_done_r2 <= #TCQ delay_done_r1; delay_done_r3 <= #TCQ delay_done_r2; delay_done_r4 <= #TCQ delay_done_r3; end always @(posedge clk) begin po_s2_incdec_c <= #TCQ po_stg2_incdec_c; po_en_s2_c <= #TCQ po_en_stg2_c; ctl_lane_cnt <= #TCQ lane_cnt_dqs_c_r; end endmodule
//***************************************************************************** // (c) Copyright 2009 - 2013 Xilinx, Inc. All rights reserved. // // This file contains confidential and proprietary information // of Xilinx, Inc. and is protected under U.S. and // international copyright and other intellectual property // laws. // // DISCLAIMER // This disclaimer is not a license and does not grant any // rights to the materials distributed herewith. Except as // otherwise provided in a valid license issued to you by // Xilinx, and to the maximum extent permitted by applicable // law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND // WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES // AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING // BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- // INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and // (2) Xilinx shall not be liable (whether in contract or tort, // including negligence, or under any other theory of // liability) for any loss or damage of any kind or nature // related to, arising under or in connection with these // materials, including for any direct, or any indirect, // special, incidental, or consequential loss or damage // (including loss of data, profits, goodwill, or any type of // loss or damage suffered as a result of any action brought // by a third party) even if such damage or loss was // reasonably foreseeable or Xilinx had been advised of the // possibility of the same. // // CRITICAL APPLICATIONS // Xilinx products are not designed or intended to be fail- // safe, or for use in any application requiring fail-safe // performance, such as life-support or safety devices or // systems, Class III medical devices, nuclear facilities, // applications related to the deployment of airbags, or any // other applications that could lead to death, personal // injury, or severe property or environmental damage // (individually and collectively, "Critical // Applications"). Customer assumes the sole risk and // liability of any use of Xilinx products in Critical // Applications, subject only to applicable laws and // regulations governing limitations on product liability. // // THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS // PART OF THIS FILE AT ALL TIMES. // //***************************************************************************** // ____ ____ // / /\/ / // /___/ \ / Vendor: Xilinx // \ \ \/ Version: %version // \ \ Application: MIG // / / Filename: ddr_phy_ck_addr_cmd_delay.v // /___/ /\ Date Last Modified: $Date: 2011/02/25 02:07:40 $ // \ \ / \ Date Created: Aug 03 2009 // \___\/\___\ // //Device: 7 Series //Design Name: DDR3 SDRAM //Purpose: Module to decrement initial PO delay to 0 and add 1/4 tck for tdqss //Reference: //Revision History: //***************************************************************************** `timescale 1ps/1ps module mig_7series_v1_9_ddr_phy_wrlvl_off_delay # ( parameter TCQ = 100, parameter tCK = 3636, parameter nCK_PER_CLK = 2, parameter CLK_PERIOD = 4, parameter PO_INITIAL_DLY= 46, parameter DQS_CNT_WIDTH = 3, parameter DQS_WIDTH = 8, parameter N_CTL_LANES = 3 ) ( input clk, input rst, input pi_fine_dly_dec_done, input cmd_delay_start, // Control lane being shifted using Phaser_Out fine delay taps output reg [DQS_CNT_WIDTH:0] ctl_lane_cnt, // Inc/dec Phaser_Out fine delay line output reg po_s2_incdec_f, output reg po_en_s2_f, // Inc/dec Phaser_Out coarse delay line output reg po_s2_incdec_c, output reg po_en_s2_c, // Completed adjusting delays for dq, dqs for tdqss output po_ck_addr_cmd_delay_done, // completed decrementing initialPO delays output po_dec_done, output phy_ctl_rdy_dly ); localparam TAP_LIMIT = 63; // PO fine delay tap resolution change by frequency. tCK > 2500, need // twice the amount of taps // localparam D_DLY_F = (tCK > 2500 ) ? D_DLY * 2 : D_DLY; // coarse delay tap is added DQ/DQS to meet the TDQSS specification. localparam TDQSS_DLY = (tCK > 2500 )? 2: 1; reg delay_done; reg delay_done_r1; reg delay_done_r2; reg delay_done_r3; reg delay_done_r4; reg [5:0] po_delay_cnt_r; reg po_cnt_inc; reg cmd_delay_start_r1; reg cmd_delay_start_r2; reg cmd_delay_start_r3; reg cmd_delay_start_r4; reg cmd_delay_start_r5; reg cmd_delay_start_r6; reg po_delay_done; reg po_delay_done_r1; reg po_delay_done_r2; reg po_delay_done_r3; reg po_delay_done_r4; reg pi_fine_dly_dec_done_r; reg po_en_stg2_c; reg po_en_stg2_f; reg po_stg2_incdec_c; reg po_stg2_f_incdec; reg [DQS_CNT_WIDTH:0] lane_cnt_dqs_c_r; reg [DQS_CNT_WIDTH:0] lane_cnt_po_r; reg [5:0] delay_cnt_r; always @(posedge clk) begin cmd_delay_start_r1 <= #TCQ cmd_delay_start; cmd_delay_start_r2 <= #TCQ cmd_delay_start_r1; cmd_delay_start_r3 <= #TCQ cmd_delay_start_r2; cmd_delay_start_r4 <= #TCQ cmd_delay_start_r3; cmd_delay_start_r5 <= #TCQ cmd_delay_start_r4; cmd_delay_start_r6 <= #TCQ cmd_delay_start_r5; pi_fine_dly_dec_done_r <= #TCQ pi_fine_dly_dec_done; end assign phy_ctl_rdy_dly = cmd_delay_start_r6; // logic for decrementing initial fine delay taps for all PO // Decrement done for add, ctrl and data phaser outs assign po_dec_done = (PO_INITIAL_DLY == 0) ? 1 : po_delay_done_r4; always @(posedge clk) if (rst || ~cmd_delay_start_r6 || po_delay_done) begin po_stg2_f_incdec <= #TCQ 1'b0; po_en_stg2_f <= #TCQ 1'b0; end else if (po_delay_cnt_r > 6'd0) begin po_en_stg2_f <= #TCQ ~po_en_stg2_f; end always @(posedge clk) if (rst || ~cmd_delay_start_r6 || (po_delay_cnt_r == 6'd0)) // set all the PO delays to 31. Decrement from 46 to 31. // Requirement comes from dqs_found logic po_delay_cnt_r <= #TCQ (PO_INITIAL_DLY - 31); else if ( po_en_stg2_f && (po_delay_cnt_r > 6'd0)) po_delay_cnt_r <= #TCQ po_delay_cnt_r - 1; always @(posedge clk) if (rst) lane_cnt_po_r <= #TCQ 'd0; else if ( po_en_stg2_f && (po_delay_cnt_r == 6'd1)) lane_cnt_po_r <= #TCQ lane_cnt_po_r + 1; always @(posedge clk) if (rst || ~cmd_delay_start_r6 ) po_delay_done <= #TCQ 1'b0; else if ((po_delay_cnt_r == 6'd1) && (lane_cnt_po_r ==1'b0)) po_delay_done <= #TCQ 1'b1; always @(posedge clk) begin po_delay_done_r1 <= #TCQ po_delay_done; po_delay_done_r2 <= #TCQ po_delay_done_r1; po_delay_done_r3 <= #TCQ po_delay_done_r2; po_delay_done_r4 <= #TCQ po_delay_done_r3; end // logic to select between all PO delays and data path delay. always @(posedge clk) begin po_s2_incdec_f <= #TCQ po_stg2_f_incdec; po_en_s2_f <= #TCQ po_en_stg2_f; end // Logic to add 1/4 taps amount of delay to data path for tdqss. // After all the initial PO delays are decremented the 1/4 delay will // be added. Coarse delay taps will be added here . // Delay added only to data path assign po_ck_addr_cmd_delay_done = (TDQSS_DLY == 0) ? pi_fine_dly_dec_done_r : delay_done_r4; always @(posedge clk) if (rst || ~pi_fine_dly_dec_done_r || delay_done) begin po_stg2_incdec_c <= #TCQ 1'b1; po_en_stg2_c <= #TCQ 1'b0; end else if (delay_cnt_r > 6'd0) begin po_en_stg2_c <= #TCQ ~po_en_stg2_c; end always @(posedge clk) if (rst || ~pi_fine_dly_dec_done_r || (delay_cnt_r == 6'd0)) delay_cnt_r <= #TCQ TDQSS_DLY; else if ( po_en_stg2_c && (delay_cnt_r > 6'd0)) delay_cnt_r <= #TCQ delay_cnt_r - 1; always @(posedge clk) if (rst) lane_cnt_dqs_c_r <= #TCQ 'd0; else if ( po_en_stg2_c && (delay_cnt_r == 6'd1)) lane_cnt_dqs_c_r <= #TCQ lane_cnt_dqs_c_r + 1; always @(posedge clk) if (rst || ~pi_fine_dly_dec_done_r) delay_done <= #TCQ 1'b0; else if ((delay_cnt_r == 6'd1) && (lane_cnt_dqs_c_r == 1'b0)) delay_done <= #TCQ 1'b1; always @(posedge clk) begin delay_done_r1 <= #TCQ delay_done; delay_done_r2 <= #TCQ delay_done_r1; delay_done_r3 <= #TCQ delay_done_r2; delay_done_r4 <= #TCQ delay_done_r3; end always @(posedge clk) begin po_s2_incdec_c <= #TCQ po_stg2_incdec_c; po_en_s2_c <= #TCQ po_en_stg2_c; ctl_lane_cnt <= #TCQ lane_cnt_dqs_c_r; end endmodule
(* -*- coding: utf-8 -*- *) (************************************************************************) (* v * The Coq Proof Assistant / The Coq Development Team *) (* <O___,, * INRIA - CNRS - LIX - LRI - PPS - Copyright 1999-2015 *) (* \VV/ **************************************************************) (* // * This file is distributed under the terms of the *) (* * GNU Lesser General Public License Version 2.1 *) (************************************************************************) (** Standard functions and combinators. Proofs about them require functional extensionality and can be found in [Combinators]. Author: Matthieu Sozeau Institution: LRI, CNRS UMR 8623 - University Paris Sud *) (** The polymorphic identity function is defined in [Datatypes]. *) Arguments id {A} x. (** Function composition. *) Definition compose {A B C} (g : B -> C) (f : A -> B) := fun x : A => g (f x). Hint Unfold compose. Notation " g ∘ f " := (compose g f) (at level 40, left associativity) : program_scope. Local Open Scope program_scope. (** The non-dependent function space between [A] and [B]. *) Definition arrow (A B : Type) := A -> B. (** Logical implication. *) Definition impl (A B : Prop) : Prop := A -> B. (** The constant function [const a] always returns [a]. *) Definition const {A B} (a : A) := fun _ : B => a. (** The [flip] combinator reverses the first two arguments of a function. *) Definition flip {A B C} (f : A -> B -> C) x y := f y x. (** Application as a combinator. *) Definition apply {A B} (f : A -> B) (x : A) := f x. (** Curryfication of [prod] is defined in [Logic.Datatypes]. *) Arguments prod_curry {A B C} f p. Arguments prod_uncurry {A B C} f x y.
(* -*- coding: utf-8 -*- *) (************************************************************************) (* v * The Coq Proof Assistant / The Coq Development Team *) (* <O___,, * INRIA - CNRS - LIX - LRI - PPS - Copyright 1999-2015 *) (* \VV/ **************************************************************) (* // * This file is distributed under the terms of the *) (* * GNU Lesser General Public License Version 2.1 *) (************************************************************************) (** Standard functions and combinators. Proofs about them require functional extensionality and can be found in [Combinators]. Author: Matthieu Sozeau Institution: LRI, CNRS UMR 8623 - University Paris Sud *) (** The polymorphic identity function is defined in [Datatypes]. *) Arguments id {A} x. (** Function composition. *) Definition compose {A B C} (g : B -> C) (f : A -> B) := fun x : A => g (f x). Hint Unfold compose. Notation " g ∘ f " := (compose g f) (at level 40, left associativity) : program_scope. Local Open Scope program_scope. (** The non-dependent function space between [A] and [B]. *) Definition arrow (A B : Type) := A -> B. (** Logical implication. *) Definition impl (A B : Prop) : Prop := A -> B. (** The constant function [const a] always returns [a]. *) Definition const {A B} (a : A) := fun _ : B => a. (** The [flip] combinator reverses the first two arguments of a function. *) Definition flip {A B C} (f : A -> B -> C) x y := f y x. (** Application as a combinator. *) Definition apply {A B} (f : A -> B) (x : A) := f x. (** Curryfication of [prod] is defined in [Logic.Datatypes]. *) Arguments prod_curry {A B C} f p. Arguments prod_uncurry {A B C} f x y.
// // Module: DRAM16XN // // Description: Distributed SelectRAM example // Dual Port 16 x N-bit // // Device: Spartan-3 Family //--------------------------------------------------------------------------------------- module DRAM16XN #(parameter data_width = 20) ( DATA_IN, ADDRESS, ADDRESS_DP, WRITE_EN, CLK, O_DATA_OUT, O_DATA_OUT_DP); input [data_width-1:0]DATA_IN; input [3:0] ADDRESS; input [3:0] ADDRESS_DP; input WRITE_EN; input CLK; output [data_width-1:0]O_DATA_OUT_DP; output [data_width-1:0]O_DATA_OUT; genvar i; generate for(i = 0 ; i < data_width ; i = i + 1) begin : dram16s RAM16X1D i_RAM16X1D_U( .D(DATA_IN[i]), //insert input signal .WE(WRITE_EN), //insert Write Enable signal .WCLK(CLK), //insert Write Clock signal .A0(ADDRESS[0]), //insert Address 0 signal port SPO .A1(ADDRESS[1]), //insert Address 1 signal port SPO .A2(ADDRESS[2]), //insert Address 2 signal port SPO .A3(ADDRESS[3]), //insert Address 3 signal port SPO .DPRA0(ADDRESS_DP[0]), //insert Address 0 signal dual port DPO .DPRA1(ADDRESS_DP[1]), //insert Address 1 signal dual port DPO .DPRA2(ADDRESS_DP[2]), //insert Address 2 signal dual port DPO .DPRA3(ADDRESS_DP[3]), //insert Address 3 signal dual port DPO .SPO(O_DATA_OUT[i]), //insert output signal SPO .DPO(O_DATA_OUT_DP[i]) //insert output signal DPO ); end endgenerate endmodule
// DESCRIPTION: Verilator: Verilog Test module // // This file ONLY is placed into the Public Domain, for any use, // without warranty, 2012 by Wilson Snyder. /* Acceptable answer 1 created tag with scope = top.t.tag created tag with scope = top.t.b.gen[0].tag created tag with scope = top.t.b.gen[1].tag mod a has scope = top.t mod a has tag = top.t.tag mod b has scope = top.t.b mod b has tag = top.t.tag mod c has scope = top.t.b.gen[0].c mod c has tag = top.t.b.gen[0].tag mod c has scope = top.t.b.gen[1].c mod c has tag = top.t.b.gen[1].tag */ /* Acceptable answer 2 created tag with scope = top.t.tag created tag with scope = top.t.b.gen[0].tag created tag with scope = top.t.b.gen[1].tag mod a has scope = top.t mod a has tag = top.t.tag mod b has scope = top.t.b mod b has tag = top.t.tag mod c has scope = top.t.b.gen[0].c mod c has tag = top.t.tag mod c has scope = top.t.b.gen[1].c mod c has tag = top.t.tag */ module t (/*AUTOARG*/ // Inputs clk ); input clk; integer cyc=0; tag tag (); b b (); always @ (t.cyc) begin if (t.cyc == 2) $display("mod a has scope = %m"); if (t.cyc == 2) $display("mod a has tag = %0s", tag.scope); end always @(posedge clk) begin cyc <= cyc + 1; if (cyc==99) begin $write("*-* All Finished *-*\n"); $finish; end end endmodule module b (); genvar g; generate for (g=0; g<2; g++) begin : gen tag tag (); c c (); end endgenerate always @ (t.cyc) begin if (t.cyc == 3) $display("mod b has scope = %m"); if (t.cyc == 3) $display("mod b has tag = %0s", tag.scope); end endmodule module c (); always @ (t.cyc) begin if (t.cyc == 4) $display("mod c has scope = %m"); if (t.cyc == 4) $display("mod c has tag = %0s", tag.scope); end endmodule module tag (); bit [100*8-1:0] scope; initial begin $sformat(scope,"%m"); $display("created tag with scope = %0s",scope); end endmodule
// DESCRIPTION: Verilator: Verilog Test module // // This file ONLY is placed into the Public Domain, for any use, // without warranty, 2012 by Wilson Snyder. /* Acceptable answer 1 created tag with scope = top.t.tag created tag with scope = top.t.b.gen[0].tag created tag with scope = top.t.b.gen[1].tag mod a has scope = top.t mod a has tag = top.t.tag mod b has scope = top.t.b mod b has tag = top.t.tag mod c has scope = top.t.b.gen[0].c mod c has tag = top.t.b.gen[0].tag mod c has scope = top.t.b.gen[1].c mod c has tag = top.t.b.gen[1].tag */ /* Acceptable answer 2 created tag with scope = top.t.tag created tag with scope = top.t.b.gen[0].tag created tag with scope = top.t.b.gen[1].tag mod a has scope = top.t mod a has tag = top.t.tag mod b has scope = top.t.b mod b has tag = top.t.tag mod c has scope = top.t.b.gen[0].c mod c has tag = top.t.tag mod c has scope = top.t.b.gen[1].c mod c has tag = top.t.tag */ module t (/*AUTOARG*/ // Inputs clk ); input clk; integer cyc=0; tag tag (); b b (); always @ (t.cyc) begin if (t.cyc == 2) $display("mod a has scope = %m"); if (t.cyc == 2) $display("mod a has tag = %0s", tag.scope); end always @(posedge clk) begin cyc <= cyc + 1; if (cyc==99) begin $write("*-* All Finished *-*\n"); $finish; end end endmodule module b (); genvar g; generate for (g=0; g<2; g++) begin : gen tag tag (); c c (); end endgenerate always @ (t.cyc) begin if (t.cyc == 3) $display("mod b has scope = %m"); if (t.cyc == 3) $display("mod b has tag = %0s", tag.scope); end endmodule module c (); always @ (t.cyc) begin if (t.cyc == 4) $display("mod c has scope = %m"); if (t.cyc == 4) $display("mod c has tag = %0s", tag.scope); end endmodule module tag (); bit [100*8-1:0] scope; initial begin $sformat(scope,"%m"); $display("created tag with scope = %0s",scope); end endmodule
// ---------------------------------------------------------------------- // Copyright (c) 2016, The Regents of the University of California All // rights reserved. // // Redistribution and use in source and binary forms, with or without // modification, are permitted provided that the following conditions are // met: // // * Redistributions of source code must retain the above copyright // notice, this list of conditions and the following disclaimer. // // * Redistributions in binary form must reproduce the above // copyright notice, this list of conditions and the following // disclaimer in the documentation and/or other materials provided // with the distribution. // // * Neither the name of The Regents of the University of California // nor the names of its contributors may be used to endorse or // promote products derived from this software without specific // prior written permission. // // THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS // "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT // LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR // A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL REGENTS OF THE // UNIVERSITY OF CALIFORNIA BE LIABLE FOR ANY DIRECT, INDIRECT, // INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, // BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS // OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND // ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR // TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE // USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH // DAMAGE. // ---------------------------------------------------------------------- //---------------------------------------------------------------------------- // Filename: recv_credit_flow_ctrl.v // Version: 1.00.a // Verilog Standard: Verilog-2001 // Description: Monitors the receive completion credits for headers and // data to make sure the rx_port modules don't request too // much data from the root complex, as this could result in // some data being dropped/lost. // Author: Matt Jacobsen // Author: Dustin Richmond // History: @mattj: Version 2.0 //----------------------------------------------------------------------------- `timescale 1ns/1ns module recv_credit_flow_ctrl ( input CLK, input RST, input [2:0] CONFIG_MAX_READ_REQUEST_SIZE, // Maximum read payload: 000=128B, 001=256B, 010=512B, 011=1024B, 100=2048B, 101=4096B input [11:0] CONFIG_MAX_CPL_DATA, // Receive credit limit for data input [7:0] CONFIG_MAX_CPL_HDR, // Receive credit limit for headers input CONFIG_CPL_BOUNDARY_SEL, // Read completion boundary (0=64 bytes, 1=128 bytes)w input RX_ENG_RD_DONE, // Read completed input TX_ENG_RD_REQ_SENT, // Read completion request issued output RXBUF_SPACE_AVAIL // High if enough read completion credits exist to make a read completion request ); reg rCreditAvail=0; reg rCplDAvail=0; reg rCplHAvail=0; reg [12:0] rMaxRecv=0; reg [11:0] rCplDAmt=0; reg [7:0] rCplHAmt=0; reg [11:0] rCplD=0; reg [7:0] rCplH=0; reg rInfHCred; // TODO: Altera uses sideband signals (would have been more convenient, thanks Xilinx!) reg rInfDCred; // TODO: Altera uses sideband signals (would have been more convenient, thanks Xilinx!) assign RXBUF_SPACE_AVAIL = rCreditAvail; // Determine the completions required for a max read completion request. always @(posedge CLK) begin rInfHCred <= (CONFIG_MAX_CPL_HDR == 0); rInfDCred <= (CONFIG_MAX_CPL_DATA == 0); rMaxRecv <= #1 (13'd128<<CONFIG_MAX_READ_REQUEST_SIZE); rCplHAmt <= #1 (rMaxRecv>>({2'b11, CONFIG_CPL_BOUNDARY_SEL})); rCplDAmt <= #1 (rMaxRecv>>4); rCplHAvail <= #1 (rCplH <= CONFIG_MAX_CPL_HDR); rCplDAvail <= #1 (rCplD <= CONFIG_MAX_CPL_DATA); rCreditAvail <= #1 ((rCplHAvail|rInfHCred) & (rCplDAvail | rInfDCred)); end // Count the number of outstanding read completion requests. always @ (posedge CLK) begin if (RST) begin rCplH <= #1 0; rCplD <= #1 0; end else if (RX_ENG_RD_DONE & TX_ENG_RD_REQ_SENT) begin rCplH <= #1 rCplH; rCplD <= #1 rCplD; end else if (TX_ENG_RD_REQ_SENT) begin rCplH <= #1 rCplH + rCplHAmt; rCplD <= #1 rCplD + rCplDAmt; end else if (RX_ENG_RD_DONE) begin rCplH <= #1 rCplH - rCplHAmt; rCplD <= #1 rCplD - rCplDAmt; end end endmodule
//***************************************************************************** // (c) Copyright 2008 - 2013 Xilinx, Inc. All rights reserved. // // This file contains confidential and proprietary information // of Xilinx, Inc. and is protected under U.S. and // international copyright and other intellectual property // laws. // // DISCLAIMER // This disclaimer is not a license and does not grant any // rights to the materials distributed herewith. Except as // otherwise provided in a valid license issued to you by // Xilinx, and to the maximum extent permitted by applicable // law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND // WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES // AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING // BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- // INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and // (2) Xilinx shall not be liable (whether in contract or tort, // including negligence, or under any other theory of // liability) for any loss or damage of any kind or nature // related to, arising under or in connection with these // materials, including for any direct, or any indirect, // special, incidental, or consequential loss or damage // (including loss of data, profits, goodwill, or any type of // loss or damage suffered as a result of any action brought // by a third party) even if such damage or loss was // reasonably foreseeable or Xilinx had been advised of the // possibility of the same. // // CRITICAL APPLICATIONS // Xilinx products are not designed or intended to be fail- // safe, or for use in any application requiring fail-safe // performance, such as life-support or safety devices or // systems, Class III medical devices, nuclear facilities, // applications related to the deployment of airbags, or any // other applications that could lead to death, personal // injury, or severe property or environmental damage // (individually and collectively, "Critical // Applications"). Customer assumes the sole risk and // liability of any use of Xilinx products in Critical // Applications, subject only to applicable laws and // regulations governing limitations on product liability. // // THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS // PART OF THIS FILE AT ALL TIMES. // //***************************************************************************** // ____ ____ // / /\/ / // /___/ \ / Vendor : Xilinx // \ \ \/ Version : %version // \ \ Application : MIG // / / Filename : ui_rd_data.v // /___/ /\ Date Last Modified : $date$ // \ \ / \ Date Created : Tue Jun 30 2009 // \___\/\___\ // //Device : 7-Series //Design Name : DDR3 SDRAM //Purpose : //Reference : //Revision History : //***************************************************************************** // User interface read buffer. Re orders read data returned from the // memory controller back to the request order. // // Consists of a large buffer for the data, a status RAM and two counters. // // The large buffer is implemented with distributed RAM in 6 bit wide, // 1 read, 1 write mode. The status RAM is implemented with a distributed // RAM configured as 2 bits wide 1 read/write, 1 read mode. // // As read requests are received from the application, the data_buf_addr // counter supplies the data_buf_addr sent into the memory controller. // With each read request, the counter is incremented, eventually rolling // over. This mechanism labels each read request with an incrementing number. // // When the memory controller returns read data, it echos the original // data_buf_addr with the read data. // // The status RAM is indexed with the same address as the data buffer // RAM. Each word of the data buffer RAM has an associated status bit // and "end" bit. Requests of size 1 return a data burst on two consecutive // states. Requests of size zero return with a single assertion of rd_data_en. // // Upon returning data, the status and end bits are updated for each // corresponding location in the status RAM indexed by the data_buf_addr // echoed on the rd_data_addr field. // // The other side of the status and data RAMs is indexed by the rd_buf_indx. // The rd_buf_indx constantly monitors the status bit it is currently // pointing to. When the status becomes set to the proper state (more on // this later) read data is returned to the application, and the rd_buf_indx // is incremented. // // At rst the rd_buf_indx is initialized to zero. Data will not have been // returned from the memory controller yet, so there is nothing to return // to the application. Evenutally, read requests will be made, and the // memory controller will return the corresponding data. The memory // controller may not return this data in the request order. In which // case, the status bit at location zero, will not indicate // the data for request zero is ready. Eventually, the memory controller // will return data for request zero. The data is forwarded on to the // application, and rd_buf_indx is incremented to point to the next status // bits and data in the buffers. The status bit will be examined, and if // data is valid, this data will be returned as well. This process // continues until the status bit indexed by rd_buf_indx indicates data // is not ready. This may be because the rd_data_buf // is empty, or that some data was returned out of order. Since rd_buf_indx // always increments sequentially, data is always returned to the application // in request order. // // Some further discussion of the status bit is in order. The rd_data_buf // is a circular buffer. The status bit is a single bit. Distributed RAM // supports only a single write port. The write port is consumed by // memory controller read data updates. If a simple '1' were used to // indicate the status, when rd_data_indx rolled over it would immediately // encounter a one for a request that may not be ready. // // This problem is solved by causing read data returns to flip the // status bit, and adding hi order bit beyond the size required to // index the rd_data_buf. Data is considered ready when the status bit // and this hi order bit are equal. // // The status RAM needs to be initialized to zero after reset. This is // accomplished by cycling through all rd_buf_indx valus and writing a // zero to the status bits directly following deassertion of reset. This // mechanism is used for similar purposes // for the wr_data_buf. // // When ORDERING == "STRICT", read data reordering is unnecessary. For thi // case, most of the logic in the block is not generated. `timescale 1 ps / 1 ps // User interface read data. module mig_7series_v1_9_ui_rd_data # ( parameter TCQ = 100, parameter APP_DATA_WIDTH = 256, parameter DATA_BUF_ADDR_WIDTH = 5, parameter ECC = "OFF", parameter nCK_PER_CLK = 2 , parameter ORDERING = "NORM" ) (/*AUTOARG*/ // Outputs ram_init_done_r, ram_init_addr, app_rd_data_valid, app_rd_data_end, app_rd_data, app_ecc_multiple_err, rd_buf_full, rd_data_buf_addr_r, // Inputs rst, clk, rd_data_en, rd_data_addr, rd_data_offset, rd_data_end, rd_data, ecc_multiple, rd_accepted ); input rst; input clk; output wire ram_init_done_r; output wire [3:0] ram_init_addr; // rd_buf_indx points to the status and data storage rams for // reading data out to the app. reg [5:0] rd_buf_indx_r; (* keep = "true", max_fanout = 10 *) reg ram_init_done_r_lcl /* synthesis syn_maxfan = 10 */; assign ram_init_done_r = ram_init_done_r_lcl; wire app_rd_data_valid_ns; wire single_data; reg [5:0] rd_buf_indx_ns; generate begin : rd_buf_indx wire upd_rd_buf_indx = ~ram_init_done_r_lcl || app_rd_data_valid_ns; // Loop through all status write addresses once after rst. Initializes // the status and pointer RAMs. wire ram_init_done_ns = ~rst && (ram_init_done_r_lcl || (rd_buf_indx_r[4:0] == 5'h1f)); always @(posedge clk) ram_init_done_r_lcl <= #TCQ ram_init_done_ns; always @(/*AS*/rd_buf_indx_r or rst or single_data or upd_rd_buf_indx) begin rd_buf_indx_ns = rd_buf_indx_r; if (rst) rd_buf_indx_ns = 6'b0; else if (upd_rd_buf_indx) rd_buf_indx_ns = // need to use every slot of RAMB32 if all address bits are used rd_buf_indx_r + 6'h1 + (DATA_BUF_ADDR_WIDTH == 5 ? 0 : single_data); end always @(posedge clk) rd_buf_indx_r <= #TCQ rd_buf_indx_ns; end endgenerate assign ram_init_addr = rd_buf_indx_r[3:0]; input rd_data_en; input [DATA_BUF_ADDR_WIDTH-1:0] rd_data_addr; input rd_data_offset; input rd_data_end; input [APP_DATA_WIDTH-1:0] rd_data; (* keep = "true", max_fanout = 10 *) output reg app_rd_data_valid /* synthesis syn_maxfan = 10 */; output reg app_rd_data_end; output reg [APP_DATA_WIDTH-1:0] app_rd_data; input [3:0] ecc_multiple; reg [2*nCK_PER_CLK-1:0] app_ecc_multiple_err_r = 'b0; output wire [2*nCK_PER_CLK-1:0] app_ecc_multiple_err; assign app_ecc_multiple_err = app_ecc_multiple_err_r; input rd_accepted; output wire rd_buf_full; output wire [DATA_BUF_ADDR_WIDTH-1:0] rd_data_buf_addr_r; // Compute dimensions of read data buffer. Depending on width of // DQ bus and DRAM CK // to fabric ratio, number of RAM32Ms is variable. RAM32Ms are used in // single write, single read, 6 bit wide mode. localparam RD_BUF_WIDTH = APP_DATA_WIDTH + (ECC == "OFF" ? 0 : 2*nCK_PER_CLK); localparam FULL_RAM_CNT = (RD_BUF_WIDTH/6); localparam REMAINDER = RD_BUF_WIDTH % 6; localparam RAM_CNT = FULL_RAM_CNT + ((REMAINDER == 0 ) ? 0 : 1); localparam RAM_WIDTH = (RAM_CNT*6); generate if (ORDERING == "STRICT") begin : strict_mode assign app_rd_data_valid_ns = 1'b0; assign single_data = 1'b0; assign rd_buf_full = 1'b0; reg [DATA_BUF_ADDR_WIDTH-1:0] rd_data_buf_addr_r_lcl; wire [DATA_BUF_ADDR_WIDTH-1:0] rd_data_buf_addr_ns = rst ? 0 : rd_data_buf_addr_r_lcl + rd_accepted; always @(posedge clk) rd_data_buf_addr_r_lcl <= #TCQ rd_data_buf_addr_ns; assign rd_data_buf_addr_r = rd_data_buf_addr_ns; // app_* signals required to be registered. if (ECC == "OFF") begin : ecc_off always @(/*AS*/rd_data) app_rd_data = rd_data; always @(/*AS*/rd_data_en) app_rd_data_valid = rd_data_en; always @(/*AS*/rd_data_end) app_rd_data_end = rd_data_end; end else begin : ecc_on always @(posedge clk) app_rd_data <= #TCQ rd_data; always @(posedge clk) app_rd_data_valid <= #TCQ rd_data_en; always @(posedge clk) app_rd_data_end <= #TCQ rd_data_end; always @(posedge clk) app_ecc_multiple_err_r <= #TCQ ecc_multiple; end end else begin : not_strict_mode (* keep = "true", max_fanout = 10 *) wire rd_buf_we = ~ram_init_done_r_lcl || rd_data_en /* synthesis syn_maxfan = 10 */; // In configurations where read data is returned in a single fabric cycle // the offset is always zero and we can use the bit to get a deeper // FIFO. The RAMB32 has 5 address bits, so when the DATA_BUF_ADDR_WIDTH // is set to use them all, discard the offset. Otherwise, include the // offset. wire [4:0] rd_buf_wr_addr = DATA_BUF_ADDR_WIDTH == 5 ? rd_data_addr : {rd_data_addr, rd_data_offset}; wire [1:0] rd_status; // Instantiate status RAM. One bit for status and one for "end". begin : status_ram // Turns out read to write back status is a timing path. Update // the status in the ram on the state following the read. Bypass // the write data into the status read path. wire [4:0] status_ram_wr_addr_ns = ram_init_done_r_lcl ? rd_buf_wr_addr : rd_buf_indx_r[4:0]; reg [4:0] status_ram_wr_addr_r; always @(posedge clk) status_ram_wr_addr_r <= #TCQ status_ram_wr_addr_ns; wire [1:0] wr_status; // Not guaranteed to write second status bit. If it is written, always // copy in the first status bit. reg wr_status_r1; always @(posedge clk) wr_status_r1 <= #TCQ wr_status[0]; wire [1:0] status_ram_wr_data_ns = ram_init_done_r_lcl ? {rd_data_end, ~(rd_data_offset ? wr_status_r1 : wr_status[0])} : 2'b0; reg [1:0] status_ram_wr_data_r; always @(posedge clk) status_ram_wr_data_r <= #TCQ status_ram_wr_data_ns; reg rd_buf_we_r1; always @(posedge clk) rd_buf_we_r1 <= #TCQ rd_buf_we; RAM32M #(.INIT_A(64'h0000000000000000), .INIT_B(64'h0000000000000000), .INIT_C(64'h0000000000000000), .INIT_D(64'h0000000000000000) ) RAM32M0 ( .DOA(rd_status), .DOB(), .DOC(wr_status), .DOD(), .DIA(status_ram_wr_data_r), .DIB(2'b0), .DIC(status_ram_wr_data_r), .DID(status_ram_wr_data_r), .ADDRA(rd_buf_indx_r[4:0]), .ADDRB(5'b0), .ADDRC(status_ram_wr_addr_ns), .ADDRD(status_ram_wr_addr_r), .WE(rd_buf_we_r1), .WCLK(clk) ); end // block: status_ram wire [RAM_WIDTH-1:0] rd_buf_out_data; begin : rd_buf wire [RAM_WIDTH-1:0] rd_buf_in_data; if (REMAINDER == 0) if (ECC == "OFF") assign rd_buf_in_data = rd_data; else assign rd_buf_in_data = {ecc_multiple, rd_data}; else if (ECC == "OFF") assign rd_buf_in_data = {{6-REMAINDER{1'b0}}, rd_data}; else assign rd_buf_in_data = {{6-REMAINDER{1'b0}}, ecc_multiple, rd_data}; // Dedicated copy for driving distributed RAM. (* keep = "true" *) reg [4:0] rd_buf_indx_copy_r /* synthesis syn_keep = 1 */; always @(posedge clk) rd_buf_indx_copy_r <= #TCQ rd_buf_indx_ns[4:0]; genvar i; for (i=0; i<RAM_CNT; i=i+1) begin : rd_buffer_ram RAM32M #(.INIT_A(64'h0000000000000000), .INIT_B(64'h0000000000000000), .INIT_C(64'h0000000000000000), .INIT_D(64'h0000000000000000) ) RAM32M0 ( .DOA(rd_buf_out_data[((i*6)+4)+:2]), .DOB(rd_buf_out_data[((i*6)+2)+:2]), .DOC(rd_buf_out_data[((i*6)+0)+:2]), .DOD(), .DIA(rd_buf_in_data[((i*6)+4)+:2]), .DIB(rd_buf_in_data[((i*6)+2)+:2]), .DIC(rd_buf_in_data[((i*6)+0)+:2]), .DID(2'b0), .ADDRA(rd_buf_indx_copy_r[4:0]), .ADDRB(rd_buf_indx_copy_r[4:0]), .ADDRC(rd_buf_indx_copy_r[4:0]), .ADDRD(rd_buf_wr_addr), .WE(rd_buf_we), .WCLK(clk) ); end // block: rd_buffer_ram end wire rd_data_rdy = (rd_status[0] == rd_buf_indx_r[5]); (* keep = "true", max_fanout = 10 *) wire bypass = rd_data_en && (rd_buf_wr_addr[4:0] == rd_buf_indx_r[4:0]) /* synthesis syn_maxfan = 10 */; assign app_rd_data_valid_ns = ram_init_done_r_lcl && (bypass || rd_data_rdy); wire app_rd_data_end_ns = bypass ? rd_data_end : rd_status[1]; always @(posedge clk) app_rd_data_valid <= #TCQ app_rd_data_valid_ns; always @(posedge clk) app_rd_data_end <= #TCQ app_rd_data_end_ns; assign single_data = app_rd_data_valid_ns && app_rd_data_end_ns && ~rd_buf_indx_r[0]; wire [APP_DATA_WIDTH-1:0] app_rd_data_ns = bypass ? rd_data : rd_buf_out_data[APP_DATA_WIDTH-1:0]; always @(posedge clk) app_rd_data <= #TCQ app_rd_data_ns; if (ECC != "OFF") begin : assign_app_ecc_multiple wire [3:0] app_ecc_multiple_err_ns = bypass ? ecc_multiple : rd_buf_out_data[APP_DATA_WIDTH+:4]; always @(posedge clk) app_ecc_multiple_err_r <= #TCQ app_ecc_multiple_err_ns; end //Added to fix timing. The signal app_rd_data_valid has //a very high fanout. So making a dedicated copy for usage //with the occ_cnt counter. (* equivalent_register_removal = "no" *) reg app_rd_data_valid_copy; always @(posedge clk) app_rd_data_valid_copy <= #TCQ app_rd_data_valid_ns; // Keep track of how many entries in the queue hold data. wire free_rd_buf = app_rd_data_valid_copy && app_rd_data_end; //changed to use registered version //of the signals in ordered to fix timing reg [DATA_BUF_ADDR_WIDTH:0] occ_cnt_r; wire [DATA_BUF_ADDR_WIDTH:0] occ_minus_one = occ_cnt_r - 1; wire [DATA_BUF_ADDR_WIDTH:0] occ_plus_one = occ_cnt_r + 1; begin : occupied_counter reg [DATA_BUF_ADDR_WIDTH:0] occ_cnt_ns; always @(/*AS*/free_rd_buf or occ_cnt_r or rd_accepted or rst or occ_minus_one or occ_plus_one) begin occ_cnt_ns = occ_cnt_r; if (rst) occ_cnt_ns = 0; else case ({rd_accepted, free_rd_buf}) 2'b01 : occ_cnt_ns = occ_minus_one; 2'b10 : occ_cnt_ns = occ_plus_one; endcase // case ({wr_data_end, new_rd_data}) end always @(posedge clk) occ_cnt_r <= #TCQ occ_cnt_ns; assign rd_buf_full = occ_cnt_ns[DATA_BUF_ADDR_WIDTH]; `ifdef MC_SVA rd_data_buffer_full: cover property (@(posedge clk) (~rst && rd_buf_full)); rd_data_buffer_inc_dec_15: cover property (@(posedge clk) (~rst && rd_accepted && free_rd_buf && (occ_cnt_r == 'hf))); rd_data_underflow: assert property (@(posedge clk) (rst || !((occ_cnt_r == 'b0) && (occ_cnt_ns == 'h1f)))); rd_data_overflow: assert property (@(posedge clk) (rst || !((occ_cnt_r == 'h10) && (occ_cnt_ns == 'h11)))); `endif end // block: occupied_counter // Generate the data_buf_address written into the memory controller // for reads. Increment with each accepted read, and rollover at 0xf. reg [DATA_BUF_ADDR_WIDTH-1:0] rd_data_buf_addr_r_lcl; assign rd_data_buf_addr_r = rd_data_buf_addr_r_lcl; begin : data_buf_addr reg [DATA_BUF_ADDR_WIDTH-1:0] rd_data_buf_addr_ns; always @(/*AS*/rd_accepted or rd_data_buf_addr_r_lcl or rst) begin rd_data_buf_addr_ns = rd_data_buf_addr_r_lcl; if (rst) rd_data_buf_addr_ns = 0; else if (rd_accepted) rd_data_buf_addr_ns = rd_data_buf_addr_r_lcl + 1; end always @(posedge clk) rd_data_buf_addr_r_lcl <= #TCQ rd_data_buf_addr_ns; end // block: data_buf_addr end // block: not_strict_mode endgenerate endmodule // ui_rd_data // Local Variables: // verilog-library-directories:(".") // End:
//----------------------------------------------------------------------------- // Copyright (C) 2014 iZsh <izsh at fail0verflow.com> // // This code is licensed to you under the terms of the GNU GPL, version 2 or, // at your option, any later version. See the LICENSE.txt file for the text of // the license. //----------------------------------------------------------------------------- // // There are two modes: // - lf_ed_toggle_mode == 0: the output is set low (resp. high) when a low // (resp. high) edge/peak is detected, with hysteresis // - lf_ed_toggle_mode == 1: the output is toggling whenever an edge/peak // is detected. // That way you can detect two consecutive edges/peaks at the same level (L/H) // // Output: // - ssp_frame (wired to TIOA1 on the arm) for the edge detection/state // - ssp_clk: cross_lo `include "lp20khz_1MSa_iir_filter.v" `include "lf_edge_detect.v" module lo_edge_detect( input pck0, input pck_divclk, output pwr_lo, output pwr_hi, output pwr_oe1, output pwr_oe2, output pwr_oe3, output pwr_oe4, input [7:0] adc_d, output adc_clk, output ssp_frame, input ssp_dout, output ssp_clk, input cross_lo, output dbg, input lf_field, input lf_ed_toggle_mode, input [7:0] lf_ed_threshold ); wire tag_modulation = ssp_dout & !lf_field; wire reader_modulation = !ssp_dout & lf_field & pck_divclk; // No logic, straight through. assign pwr_oe1 = 1'b0; // not used in LF mode assign pwr_oe3 = 1'b0; // base antenna load = 33 Ohms // when modulating, add another 33 Ohms and 10k Ohms in parallel: assign pwr_oe2 = tag_modulation; assign pwr_oe4 = tag_modulation; assign ssp_clk = cross_lo; assign pwr_lo = reader_modulation; assign pwr_hi = 1'b0; // filter the ADC values wire data_rdy; wire [7:0] adc_filtered; assign adc_clk = pck0; lp20khz_1MSa_iir_filter adc_filter(pck0, adc_d, data_rdy, adc_filtered); // detect edges wire [7:0] high_threshold, highz_threshold, lowz_threshold, low_threshold; wire [7:0] max, min; wire edge_state, edge_toggle; lf_edge_detect lf_ed(pck0, adc_filtered, lf_ed_threshold, max, min, high_threshold, highz_threshold, lowz_threshold, low_threshold, edge_state, edge_toggle); assign dbg = lf_ed_toggle_mode ? edge_toggle : edge_state; assign ssp_frame = lf_ed_toggle_mode ? edge_toggle : edge_state; endmodule
//----------------------------------------------------------------------------- // Copyright (C) 2014 iZsh <izsh at fail0verflow.com> // // This code is licensed to you under the terms of the GNU GPL, version 2 or, // at your option, any later version. See the LICENSE.txt file for the text of // the license. //----------------------------------------------------------------------------- // // There are two modes: // - lf_ed_toggle_mode == 0: the output is set low (resp. high) when a low // (resp. high) edge/peak is detected, with hysteresis // - lf_ed_toggle_mode == 1: the output is toggling whenever an edge/peak // is detected. // That way you can detect two consecutive edges/peaks at the same level (L/H) // // Output: // - ssp_frame (wired to TIOA1 on the arm) for the edge detection/state // - ssp_clk: cross_lo `include "lp20khz_1MSa_iir_filter.v" `include "lf_edge_detect.v" module lo_edge_detect( input pck0, input pck_divclk, output pwr_lo, output pwr_hi, output pwr_oe1, output pwr_oe2, output pwr_oe3, output pwr_oe4, input [7:0] adc_d, output adc_clk, output ssp_frame, input ssp_dout, output ssp_clk, input cross_lo, output dbg, input lf_field, input lf_ed_toggle_mode, input [7:0] lf_ed_threshold ); wire tag_modulation = ssp_dout & !lf_field; wire reader_modulation = !ssp_dout & lf_field & pck_divclk; // No logic, straight through. assign pwr_oe1 = 1'b0; // not used in LF mode assign pwr_oe3 = 1'b0; // base antenna load = 33 Ohms // when modulating, add another 33 Ohms and 10k Ohms in parallel: assign pwr_oe2 = tag_modulation; assign pwr_oe4 = tag_modulation; assign ssp_clk = cross_lo; assign pwr_lo = reader_modulation; assign pwr_hi = 1'b0; // filter the ADC values wire data_rdy; wire [7:0] adc_filtered; assign adc_clk = pck0; lp20khz_1MSa_iir_filter adc_filter(pck0, adc_d, data_rdy, adc_filtered); // detect edges wire [7:0] high_threshold, highz_threshold, lowz_threshold, low_threshold; wire [7:0] max, min; wire edge_state, edge_toggle; lf_edge_detect lf_ed(pck0, adc_filtered, lf_ed_threshold, max, min, high_threshold, highz_threshold, lowz_threshold, low_threshold, edge_state, edge_toggle); assign dbg = lf_ed_toggle_mode ? edge_toggle : edge_state; assign ssp_frame = lf_ed_toggle_mode ? edge_toggle : edge_state; endmodule
//----------------------------------------------------------------------------- // Copyright (C) 2014 iZsh <izsh at fail0verflow.com> // // This code is licensed to you under the terms of the GNU GPL, version 2 or, // at your option, any later version. See the LICENSE.txt file for the text of // the license. //----------------------------------------------------------------------------- // // There are two modes: // - lf_ed_toggle_mode == 0: the output is set low (resp. high) when a low // (resp. high) edge/peak is detected, with hysteresis // - lf_ed_toggle_mode == 1: the output is toggling whenever an edge/peak // is detected. // That way you can detect two consecutive edges/peaks at the same level (L/H) // // Output: // - ssp_frame (wired to TIOA1 on the arm) for the edge detection/state // - ssp_clk: cross_lo `include "lp20khz_1MSa_iir_filter.v" `include "lf_edge_detect.v" module lo_edge_detect( input pck0, input pck_divclk, output pwr_lo, output pwr_hi, output pwr_oe1, output pwr_oe2, output pwr_oe3, output pwr_oe4, input [7:0] adc_d, output adc_clk, output ssp_frame, input ssp_dout, output ssp_clk, input cross_lo, output dbg, input lf_field, input lf_ed_toggle_mode, input [7:0] lf_ed_threshold ); wire tag_modulation = ssp_dout & !lf_field; wire reader_modulation = !ssp_dout & lf_field & pck_divclk; // No logic, straight through. assign pwr_oe1 = 1'b0; // not used in LF mode assign pwr_oe3 = 1'b0; // base antenna load = 33 Ohms // when modulating, add another 33 Ohms and 10k Ohms in parallel: assign pwr_oe2 = tag_modulation; assign pwr_oe4 = tag_modulation; assign ssp_clk = cross_lo; assign pwr_lo = reader_modulation; assign pwr_hi = 1'b0; // filter the ADC values wire data_rdy; wire [7:0] adc_filtered; assign adc_clk = pck0; lp20khz_1MSa_iir_filter adc_filter(pck0, adc_d, data_rdy, adc_filtered); // detect edges wire [7:0] high_threshold, highz_threshold, lowz_threshold, low_threshold; wire [7:0] max, min; wire edge_state, edge_toggle; lf_edge_detect lf_ed(pck0, adc_filtered, lf_ed_threshold, max, min, high_threshold, highz_threshold, lowz_threshold, low_threshold, edge_state, edge_toggle); assign dbg = lf_ed_toggle_mode ? edge_toggle : edge_state; assign ssp_frame = lf_ed_toggle_mode ? edge_toggle : edge_state; endmodule
//----------------------------------------------------------------------------- // Copyright (C) 2014 iZsh <izsh at fail0verflow.com> // // This code is licensed to you under the terms of the GNU GPL, version 2 or, // at your option, any later version. See the LICENSE.txt file for the text of // the license. //----------------------------------------------------------------------------- // // There are two modes: // - lf_ed_toggle_mode == 0: the output is set low (resp. high) when a low // (resp. high) edge/peak is detected, with hysteresis // - lf_ed_toggle_mode == 1: the output is toggling whenever an edge/peak // is detected. // That way you can detect two consecutive edges/peaks at the same level (L/H) // // Output: // - ssp_frame (wired to TIOA1 on the arm) for the edge detection/state // - ssp_clk: cross_lo `include "lp20khz_1MSa_iir_filter.v" `include "lf_edge_detect.v" module lo_edge_detect( input pck0, input pck_divclk, output pwr_lo, output pwr_hi, output pwr_oe1, output pwr_oe2, output pwr_oe3, output pwr_oe4, input [7:0] adc_d, output adc_clk, output ssp_frame, input ssp_dout, output ssp_clk, input cross_lo, output dbg, input lf_field, input lf_ed_toggle_mode, input [7:0] lf_ed_threshold ); wire tag_modulation = ssp_dout & !lf_field; wire reader_modulation = !ssp_dout & lf_field & pck_divclk; // No logic, straight through. assign pwr_oe1 = 1'b0; // not used in LF mode assign pwr_oe3 = 1'b0; // base antenna load = 33 Ohms // when modulating, add another 33 Ohms and 10k Ohms in parallel: assign pwr_oe2 = tag_modulation; assign pwr_oe4 = tag_modulation; assign ssp_clk = cross_lo; assign pwr_lo = reader_modulation; assign pwr_hi = 1'b0; // filter the ADC values wire data_rdy; wire [7:0] adc_filtered; assign adc_clk = pck0; lp20khz_1MSa_iir_filter adc_filter(pck0, adc_d, data_rdy, adc_filtered); // detect edges wire [7:0] high_threshold, highz_threshold, lowz_threshold, low_threshold; wire [7:0] max, min; wire edge_state, edge_toggle; lf_edge_detect lf_ed(pck0, adc_filtered, lf_ed_threshold, max, min, high_threshold, highz_threshold, lowz_threshold, low_threshold, edge_state, edge_toggle); assign dbg = lf_ed_toggle_mode ? edge_toggle : edge_state; assign ssp_frame = lf_ed_toggle_mode ? edge_toggle : edge_state; endmodule
//***************************************************************************** // (c) Copyright 2008 - 2013 Xilinx, Inc. All rights reserved. // // This file contains confidential and proprietary information // of Xilinx, Inc. and is protected under U.S. and // international copyright and other intellectual property // laws. // // DISCLAIMER // This disclaimer is not a license and does not grant any // rights to the materials distributed herewith. Except as // otherwise provided in a valid license issued to you by // Xilinx, and to the maximum extent permitted by applicable // law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND // WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES // AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING // BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- // INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and // (2) Xilinx shall not be liable (whether in contract or tort, // including negligence, or under any other theory of // liability) for any loss or damage of any kind or nature // related to, arising under or in connection with these // materials, including for any direct, or any indirect, // special, incidental, or consequential loss or damage // (including loss of data, profits, goodwill, or any type of // loss or damage suffered as a result of any action brought // by a third party) even if such damage or loss was // reasonably foreseeable or Xilinx had been advised of the // possibility of the same. // // CRITICAL APPLICATIONS // Xilinx products are not designed or intended to be fail- // safe, or for use in any application requiring fail-safe // performance, such as life-support or safety devices or // systems, Class III medical devices, nuclear facilities, // applications related to the deployment of airbags, or any // other applications that could lead to death, personal // injury, or severe property or environmental damage // (individually and collectively, "Critical // Applications"). Customer assumes the sole risk and // liability of any use of Xilinx products in Critical // Applications, subject only to applicable laws and // regulations governing limitations on product liability. // // THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS // PART OF THIS FILE AT ALL TIMES. // //***************************************************************************** // ____ ____ // / /\/ / // /___/ \ / Vendor : Xilinx // \ \ \/ Version : %version // \ \ Application : MIG // / / Filename : rank_mach.v // /___/ /\ Date Last Modified : $date$ // \ \ / \ Date Created : Tue Jun 30 2009 // \___\/\___\ // //Device : 7-Series //Design Name : DDR3 SDRAM //Purpose : //Reference : //Revision History : //***************************************************************************** // Top level rank machine structural block. This block // instantiates a configurable number of rank controller blocks. `timescale 1ps/1ps module mig_7series_v1_9_rank_mach # ( parameter BURST_MODE = "8", parameter CS_WIDTH = 4, parameter DRAM_TYPE = "DDR3", parameter MAINT_PRESCALER_DIV = 40, parameter nBANK_MACHS = 4, parameter nCKESR = 4, parameter nCK_PER_CLK = 2, parameter CL = 5, parameter CWL = 5, parameter DQRD2DQWR_DLY = 2, parameter nFAW = 30, parameter nREFRESH_BANK = 8, parameter nRRD = 4, parameter nWTR = 4, parameter PERIODIC_RD_TIMER_DIV = 20, parameter RANK_BM_BV_WIDTH = 16, parameter RANK_WIDTH = 2, parameter RANKS = 4, parameter REFRESH_TIMER_DIV = 39, parameter ZQ_TIMER_DIV = 640000 ) (/*AUTOARG*/ // Outputs periodic_rd_rank_r, periodic_rd_r, maint_req_r, inhbt_act_faw_r, inhbt_rd, inhbt_wr, maint_rank_r, maint_zq_r, maint_sre_r, maint_srx_r, app_sr_active, app_ref_ack, app_zq_ack, col_rd_wr, maint_ref_zq_wip, // Inputs wr_this_rank_r, slot_1_present, slot_0_present, sending_row, sending_col, rst, rd_this_rank_r, rank_busy_r, periodic_rd_ack_r, maint_wip_r, insert_maint_r1, init_calib_complete, clk, app_zq_req, app_sr_req, app_ref_req, app_periodic_rd_req, act_this_rank_r ); /*AUTOINPUT*/ // Beginning of automatic inputs (from unused autoinst inputs) input [RANK_BM_BV_WIDTH-1:0] act_this_rank_r; // To rank_cntrl0 of rank_cntrl.v input app_periodic_rd_req; // To rank_cntrl0 of rank_cntrl.v input app_ref_req; // To rank_cntrl0 of rank_cntrl.v input app_zq_req; // To rank_common0 of rank_common.v input app_sr_req; // To rank_common0 of rank_common.v input clk; // To rank_cntrl0 of rank_cntrl.v, ... input col_rd_wr; // To rank_cntrl0 of rank_cntrl.v, ... input init_calib_complete; // To rank_cntrl0 of rank_cntrl.v, ... input insert_maint_r1; // To rank_cntrl0 of rank_cntrl.v, ... input maint_wip_r; // To rank_common0 of rank_common.v input periodic_rd_ack_r; // To rank_common0 of rank_common.v input [(RANKS*nBANK_MACHS)-1:0] rank_busy_r; // To rank_cntrl0 of rank_cntrl.v input [RANK_BM_BV_WIDTH-1:0] rd_this_rank_r; // To rank_cntrl0 of rank_cntrl.v input rst; // To rank_cntrl0 of rank_cntrl.v, ... input [nBANK_MACHS-1:0] sending_col; // To rank_cntrl0 of rank_cntrl.v input [nBANK_MACHS-1:0] sending_row; // To rank_cntrl0 of rank_cntrl.v input [7:0] slot_0_present; // To rank_common0 of rank_common.v input [7:0] slot_1_present; // To rank_common0 of rank_common.v input [RANK_BM_BV_WIDTH-1:0] wr_this_rank_r; // To rank_cntrl0 of rank_cntrl.v // End of automatics /*AUTOOUTPUT*/ // Beginning of automatic outputs (from unused autoinst outputs) output maint_req_r; // From rank_common0 of rank_common.v output periodic_rd_r; // From rank_common0 of rank_common.v output [RANK_WIDTH-1:0] periodic_rd_rank_r; // From rank_common0 of rank_common.v // End of automatics /*AUTOWIRE*/ // Beginning of automatic wires (for undeclared instantiated-module outputs) wire maint_prescaler_tick_r; // From rank_common0 of rank_common.v wire refresh_tick; // From rank_common0 of rank_common.v // End of automatics output [RANKS-1:0] inhbt_act_faw_r; output [RANKS-1:0] inhbt_rd; output [RANKS-1:0] inhbt_wr; output [RANK_WIDTH-1:0] maint_rank_r; output maint_zq_r; output maint_sre_r; output maint_srx_r; output app_sr_active; output app_ref_ack; output app_zq_ack; output maint_ref_zq_wip; wire [RANKS-1:0] refresh_request; wire [RANKS-1:0] periodic_rd_request; wire [RANKS-1:0] clear_periodic_rd_request; genvar ID; generate for (ID=0; ID<RANKS; ID=ID+1) begin:rank_cntrl mig_7series_v1_9_rank_cntrl # (/*AUTOINSTPARAM*/ // Parameters .BURST_MODE (BURST_MODE), .ID (ID), .nBANK_MACHS (nBANK_MACHS), .nCK_PER_CLK (nCK_PER_CLK), .CL (CL), .CWL (CWL), .DQRD2DQWR_DLY (DQRD2DQWR_DLY), .nFAW (nFAW), .nREFRESH_BANK (nREFRESH_BANK), .nRRD (nRRD), .nWTR (nWTR), .PERIODIC_RD_TIMER_DIV (PERIODIC_RD_TIMER_DIV), .RANK_BM_BV_WIDTH (RANK_BM_BV_WIDTH), .RANK_WIDTH (RANK_WIDTH), .RANKS (RANKS), .REFRESH_TIMER_DIV (REFRESH_TIMER_DIV)) rank_cntrl0 (.clear_periodic_rd_request (clear_periodic_rd_request[ID]), .inhbt_act_faw_r (inhbt_act_faw_r[ID]), .inhbt_rd (inhbt_rd[ID]), .inhbt_wr (inhbt_wr[ID]), .periodic_rd_request (periodic_rd_request[ID]), .refresh_request (refresh_request[ID]), /*AUTOINST*/ // Inputs .clk (clk), .rst (rst), .col_rd_wr (col_rd_wr), .sending_row (sending_row[nBANK_MACHS-1:0]), .act_this_rank_r (act_this_rank_r[RANK_BM_BV_WIDTH-1:0]), .sending_col (sending_col[nBANK_MACHS-1:0]), .wr_this_rank_r (wr_this_rank_r[RANK_BM_BV_WIDTH-1:0]), .app_ref_req (app_ref_req), .init_calib_complete (init_calib_complete), .rank_busy_r (rank_busy_r[(RANKS*nBANK_MACHS)-1:0]), .refresh_tick (refresh_tick), .insert_maint_r1 (insert_maint_r1), .maint_zq_r (maint_zq_r), .maint_sre_r (maint_sre_r), .maint_srx_r (maint_srx_r), .maint_rank_r (maint_rank_r[RANK_WIDTH-1:0]), .app_periodic_rd_req (app_periodic_rd_req), .maint_prescaler_tick_r (maint_prescaler_tick_r), .rd_this_rank_r (rd_this_rank_r[RANK_BM_BV_WIDTH-1:0])); end endgenerate mig_7series_v1_9_rank_common # (/*AUTOINSTPARAM*/ // Parameters .DRAM_TYPE (DRAM_TYPE), .MAINT_PRESCALER_DIV (MAINT_PRESCALER_DIV), .nBANK_MACHS (nBANK_MACHS), .nCKESR (nCKESR), .nCK_PER_CLK (nCK_PER_CLK), .PERIODIC_RD_TIMER_DIV (PERIODIC_RD_TIMER_DIV), .RANK_WIDTH (RANK_WIDTH), .RANKS (RANKS), .REFRESH_TIMER_DIV (REFRESH_TIMER_DIV), .ZQ_TIMER_DIV (ZQ_TIMER_DIV)) rank_common0 (.clear_periodic_rd_request (clear_periodic_rd_request[RANKS-1:0]), /*AUTOINST*/ // Outputs .maint_prescaler_tick_r (maint_prescaler_tick_r), .refresh_tick (refresh_tick), .maint_zq_r (maint_zq_r), .maint_sre_r (maint_sre_r), .maint_srx_r (maint_srx_r), .maint_req_r (maint_req_r), .maint_rank_r (maint_rank_r[RANK_WIDTH-1:0]), .maint_ref_zq_wip (maint_ref_zq_wip), .periodic_rd_r (periodic_rd_r), .periodic_rd_rank_r (periodic_rd_rank_r[RANK_WIDTH-1:0]), // Inputs .clk (clk), .rst (rst), .init_calib_complete (init_calib_complete), .app_ref_req (app_ref_req), .app_ref_ack (app_ref_ack), .app_zq_req (app_zq_req), .app_zq_ack (app_zq_ack), .app_sr_req (app_sr_req), .app_sr_active (app_sr_active), .insert_maint_r1 (insert_maint_r1), .refresh_request (refresh_request[RANKS-1:0]), .maint_wip_r (maint_wip_r), .slot_0_present (slot_0_present[7:0]), .slot_1_present (slot_1_present[7:0]), .periodic_rd_request (periodic_rd_request[RANKS-1:0]), .periodic_rd_ack_r (periodic_rd_ack_r)); endmodule
//***************************************************************************** // (c) Copyright 2008 - 2013 Xilinx, Inc. All rights reserved. // // This file contains confidential and proprietary information // of Xilinx, Inc. and is protected under U.S. and // international copyright and other intellectual property // laws. // // DISCLAIMER // This disclaimer is not a license and does not grant any // rights to the materials distributed herewith. Except as // otherwise provided in a valid license issued to you by // Xilinx, and to the maximum extent permitted by applicable // law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND // WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES // AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING // BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- // INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and // (2) Xilinx shall not be liable (whether in contract or tort, // including negligence, or under any other theory of // liability) for any loss or damage of any kind or nature // related to, arising under or in connection with these // materials, including for any direct, or any indirect, // special, incidental, or consequential loss or damage // (including loss of data, profits, goodwill, or any type of // loss or damage suffered as a result of any action brought // by a third party) even if such damage or loss was // reasonably foreseeable or Xilinx had been advised of the // possibility of the same. // // CRITICAL APPLICATIONS // Xilinx products are not designed or intended to be fail- // safe, or for use in any application requiring fail-safe // performance, such as life-support or safety devices or // systems, Class III medical devices, nuclear facilities, // applications related to the deployment of airbags, or any // other applications that could lead to death, personal // injury, or severe property or environmental damage // (individually and collectively, "Critical // Applications"). Customer assumes the sole risk and // liability of any use of Xilinx products in Critical // Applications, subject only to applicable laws and // regulations governing limitations on product liability. // // THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS // PART OF THIS FILE AT ALL TIMES. // //***************************************************************************** // ____ ____ // / /\/ / // /___/ \ / Vendor : Xilinx // \ \ \/ Version : %version // \ \ Application : MIG // / / Filename : rank_mach.v // /___/ /\ Date Last Modified : $date$ // \ \ / \ Date Created : Tue Jun 30 2009 // \___\/\___\ // //Device : 7-Series //Design Name : DDR3 SDRAM //Purpose : //Reference : //Revision History : //***************************************************************************** // Top level rank machine structural block. This block // instantiates a configurable number of rank controller blocks. `timescale 1ps/1ps module mig_7series_v1_9_rank_mach # ( parameter BURST_MODE = "8", parameter CS_WIDTH = 4, parameter DRAM_TYPE = "DDR3", parameter MAINT_PRESCALER_DIV = 40, parameter nBANK_MACHS = 4, parameter nCKESR = 4, parameter nCK_PER_CLK = 2, parameter CL = 5, parameter CWL = 5, parameter DQRD2DQWR_DLY = 2, parameter nFAW = 30, parameter nREFRESH_BANK = 8, parameter nRRD = 4, parameter nWTR = 4, parameter PERIODIC_RD_TIMER_DIV = 20, parameter RANK_BM_BV_WIDTH = 16, parameter RANK_WIDTH = 2, parameter RANKS = 4, parameter REFRESH_TIMER_DIV = 39, parameter ZQ_TIMER_DIV = 640000 ) (/*AUTOARG*/ // Outputs periodic_rd_rank_r, periodic_rd_r, maint_req_r, inhbt_act_faw_r, inhbt_rd, inhbt_wr, maint_rank_r, maint_zq_r, maint_sre_r, maint_srx_r, app_sr_active, app_ref_ack, app_zq_ack, col_rd_wr, maint_ref_zq_wip, // Inputs wr_this_rank_r, slot_1_present, slot_0_present, sending_row, sending_col, rst, rd_this_rank_r, rank_busy_r, periodic_rd_ack_r, maint_wip_r, insert_maint_r1, init_calib_complete, clk, app_zq_req, app_sr_req, app_ref_req, app_periodic_rd_req, act_this_rank_r ); /*AUTOINPUT*/ // Beginning of automatic inputs (from unused autoinst inputs) input [RANK_BM_BV_WIDTH-1:0] act_this_rank_r; // To rank_cntrl0 of rank_cntrl.v input app_periodic_rd_req; // To rank_cntrl0 of rank_cntrl.v input app_ref_req; // To rank_cntrl0 of rank_cntrl.v input app_zq_req; // To rank_common0 of rank_common.v input app_sr_req; // To rank_common0 of rank_common.v input clk; // To rank_cntrl0 of rank_cntrl.v, ... input col_rd_wr; // To rank_cntrl0 of rank_cntrl.v, ... input init_calib_complete; // To rank_cntrl0 of rank_cntrl.v, ... input insert_maint_r1; // To rank_cntrl0 of rank_cntrl.v, ... input maint_wip_r; // To rank_common0 of rank_common.v input periodic_rd_ack_r; // To rank_common0 of rank_common.v input [(RANKS*nBANK_MACHS)-1:0] rank_busy_r; // To rank_cntrl0 of rank_cntrl.v input [RANK_BM_BV_WIDTH-1:0] rd_this_rank_r; // To rank_cntrl0 of rank_cntrl.v input rst; // To rank_cntrl0 of rank_cntrl.v, ... input [nBANK_MACHS-1:0] sending_col; // To rank_cntrl0 of rank_cntrl.v input [nBANK_MACHS-1:0] sending_row; // To rank_cntrl0 of rank_cntrl.v input [7:0] slot_0_present; // To rank_common0 of rank_common.v input [7:0] slot_1_present; // To rank_common0 of rank_common.v input [RANK_BM_BV_WIDTH-1:0] wr_this_rank_r; // To rank_cntrl0 of rank_cntrl.v // End of automatics /*AUTOOUTPUT*/ // Beginning of automatic outputs (from unused autoinst outputs) output maint_req_r; // From rank_common0 of rank_common.v output periodic_rd_r; // From rank_common0 of rank_common.v output [RANK_WIDTH-1:0] periodic_rd_rank_r; // From rank_common0 of rank_common.v // End of automatics /*AUTOWIRE*/ // Beginning of automatic wires (for undeclared instantiated-module outputs) wire maint_prescaler_tick_r; // From rank_common0 of rank_common.v wire refresh_tick; // From rank_common0 of rank_common.v // End of automatics output [RANKS-1:0] inhbt_act_faw_r; output [RANKS-1:0] inhbt_rd; output [RANKS-1:0] inhbt_wr; output [RANK_WIDTH-1:0] maint_rank_r; output maint_zq_r; output maint_sre_r; output maint_srx_r; output app_sr_active; output app_ref_ack; output app_zq_ack; output maint_ref_zq_wip; wire [RANKS-1:0] refresh_request; wire [RANKS-1:0] periodic_rd_request; wire [RANKS-1:0] clear_periodic_rd_request; genvar ID; generate for (ID=0; ID<RANKS; ID=ID+1) begin:rank_cntrl mig_7series_v1_9_rank_cntrl # (/*AUTOINSTPARAM*/ // Parameters .BURST_MODE (BURST_MODE), .ID (ID), .nBANK_MACHS (nBANK_MACHS), .nCK_PER_CLK (nCK_PER_CLK), .CL (CL), .CWL (CWL), .DQRD2DQWR_DLY (DQRD2DQWR_DLY), .nFAW (nFAW), .nREFRESH_BANK (nREFRESH_BANK), .nRRD (nRRD), .nWTR (nWTR), .PERIODIC_RD_TIMER_DIV (PERIODIC_RD_TIMER_DIV), .RANK_BM_BV_WIDTH (RANK_BM_BV_WIDTH), .RANK_WIDTH (RANK_WIDTH), .RANKS (RANKS), .REFRESH_TIMER_DIV (REFRESH_TIMER_DIV)) rank_cntrl0 (.clear_periodic_rd_request (clear_periodic_rd_request[ID]), .inhbt_act_faw_r (inhbt_act_faw_r[ID]), .inhbt_rd (inhbt_rd[ID]), .inhbt_wr (inhbt_wr[ID]), .periodic_rd_request (periodic_rd_request[ID]), .refresh_request (refresh_request[ID]), /*AUTOINST*/ // Inputs .clk (clk), .rst (rst), .col_rd_wr (col_rd_wr), .sending_row (sending_row[nBANK_MACHS-1:0]), .act_this_rank_r (act_this_rank_r[RANK_BM_BV_WIDTH-1:0]), .sending_col (sending_col[nBANK_MACHS-1:0]), .wr_this_rank_r (wr_this_rank_r[RANK_BM_BV_WIDTH-1:0]), .app_ref_req (app_ref_req), .init_calib_complete (init_calib_complete), .rank_busy_r (rank_busy_r[(RANKS*nBANK_MACHS)-1:0]), .refresh_tick (refresh_tick), .insert_maint_r1 (insert_maint_r1), .maint_zq_r (maint_zq_r), .maint_sre_r (maint_sre_r), .maint_srx_r (maint_srx_r), .maint_rank_r (maint_rank_r[RANK_WIDTH-1:0]), .app_periodic_rd_req (app_periodic_rd_req), .maint_prescaler_tick_r (maint_prescaler_tick_r), .rd_this_rank_r (rd_this_rank_r[RANK_BM_BV_WIDTH-1:0])); end endgenerate mig_7series_v1_9_rank_common # (/*AUTOINSTPARAM*/ // Parameters .DRAM_TYPE (DRAM_TYPE), .MAINT_PRESCALER_DIV (MAINT_PRESCALER_DIV), .nBANK_MACHS (nBANK_MACHS), .nCKESR (nCKESR), .nCK_PER_CLK (nCK_PER_CLK), .PERIODIC_RD_TIMER_DIV (PERIODIC_RD_TIMER_DIV), .RANK_WIDTH (RANK_WIDTH), .RANKS (RANKS), .REFRESH_TIMER_DIV (REFRESH_TIMER_DIV), .ZQ_TIMER_DIV (ZQ_TIMER_DIV)) rank_common0 (.clear_periodic_rd_request (clear_periodic_rd_request[RANKS-1:0]), /*AUTOINST*/ // Outputs .maint_prescaler_tick_r (maint_prescaler_tick_r), .refresh_tick (refresh_tick), .maint_zq_r (maint_zq_r), .maint_sre_r (maint_sre_r), .maint_srx_r (maint_srx_r), .maint_req_r (maint_req_r), .maint_rank_r (maint_rank_r[RANK_WIDTH-1:0]), .maint_ref_zq_wip (maint_ref_zq_wip), .periodic_rd_r (periodic_rd_r), .periodic_rd_rank_r (periodic_rd_rank_r[RANK_WIDTH-1:0]), // Inputs .clk (clk), .rst (rst), .init_calib_complete (init_calib_complete), .app_ref_req (app_ref_req), .app_ref_ack (app_ref_ack), .app_zq_req (app_zq_req), .app_zq_ack (app_zq_ack), .app_sr_req (app_sr_req), .app_sr_active (app_sr_active), .insert_maint_r1 (insert_maint_r1), .refresh_request (refresh_request[RANKS-1:0]), .maint_wip_r (maint_wip_r), .slot_0_present (slot_0_present[7:0]), .slot_1_present (slot_1_present[7:0]), .periodic_rd_request (periodic_rd_request[RANKS-1:0]), .periodic_rd_ack_r (periodic_rd_ack_r)); endmodule
(************************************************************************) (* v * The Coq Proof Assistant / The Coq Development Team *) (* <O___,, * INRIA - CNRS - LIX - LRI - PPS - Copyright 1999-2015 *) (* \VV/ **************************************************************) (* // * This file is distributed under the terms of the *) (* * GNU Lesser General Public License Version 2.1 *) (************************************************************************) (** Extraction to Ocaml : use of basic Ocaml types *) Extract Inductive bool => bool [ true false ]. Extract Inductive option => option [ Some None ]. Extract Inductive unit => unit [ "()" ]. Extract Inductive list => list [ "[]" "( :: )" ]. Extract Inductive prod => "( * )" [ "" ]. (** NB: The "" above is a hack, but produce nicer code than "(,)" *) (** Mapping sumbool to bool and sumor to option is not always nicer, but it helps when realizing stuff like [lt_eq_lt_dec] *) Extract Inductive sumbool => bool [ true false ]. Extract Inductive sumor => option [ Some None ]. (** Restore lazyness of andb, orb. NB: without these Extract Constant, andb/orb would be inlined by extraction in order to have lazyness, producing inelegant (if ... then ... else false) and (if ... then true else ...). *) Extract Inlined Constant andb => "(&&)". Extract Inlined Constant orb => "(||)".
(************************************************************************) (* v * The Coq Proof Assistant / The Coq Development Team *) (* <O___,, * INRIA - CNRS - LIX - LRI - PPS - Copyright 1999-2015 *) (* \VV/ **************************************************************) (* // * This file is distributed under the terms of the *) (* * GNU Lesser General Public License Version 2.1 *) (************************************************************************) (** Extraction to Ocaml : use of basic Ocaml types *) Extract Inductive bool => bool [ true false ]. Extract Inductive option => option [ Some None ]. Extract Inductive unit => unit [ "()" ]. Extract Inductive list => list [ "[]" "( :: )" ]. Extract Inductive prod => "( * )" [ "" ]. (** NB: The "" above is a hack, but produce nicer code than "(,)" *) (** Mapping sumbool to bool and sumor to option is not always nicer, but it helps when realizing stuff like [lt_eq_lt_dec] *) Extract Inductive sumbool => bool [ true false ]. Extract Inductive sumor => option [ Some None ]. (** Restore lazyness of andb, orb. NB: without these Extract Constant, andb/orb would be inlined by extraction in order to have lazyness, producing inelegant (if ... then ... else false) and (if ... then true else ...). *) Extract Inlined Constant andb => "(&&)". Extract Inlined Constant orb => "(||)".