module_content
stringlengths
18
1.05M
module sky130_fd_sc_hs__clkinv_1 ( Y, A ); output Y; input A; // Voltage supply signals supply1 VPWR; supply0 VGND; sky130_fd_sc_hs__clkinv base ( .Y(Y), .A(A) ); endmodule
module sky130_fd_sc_ls__dlymetal6s2s ( X, A ); output X; input A; // Voltage supply signals supply1 VPWR; supply0 VGND; supply1 VPB ; supply0 VNB ; endmodule
module top ( input wire clk, input wire [7:0] sw, output wire [7:0] led, input wire jc1, // unused output wire jc2, input wire jc3, // unused input wire jc4 ); // ============================================================================ // Reset generator wire CLK; BUFG bufgctrl(.I(clk), .O(CLK)); reg [3:0] rst_sr; initial rst_sr <= 4'hF; always @(posedge CLK) if (sw[0]) rst_sr <= 4'hF; else rst_sr <= rst_sr >> 1; wire RST = rst_sr[0]; // ============================================================================ // The tester mmcme2_test # ( .FEEDBACK ("INTERNAL"), .CLKFBOUT_MULT_F (10.750), .CLKOUT0_DIVIDE_F (10.250) ) mmcme2_test ( .CLK (clk), .RST (RST), .CLKFBOUT (), .CLKFBIN (), .I_PWRDWN (sw[1]), .I_CLKINSEL (sw[2]), .O_LOCKED (led[6]), .O_CNT (led[5:0]) ); assign led [7] = |sw[7:3]; assign jc2 = jc4; endmodule
module top( // clocks input fclk, output clkz_out, input clkz_in, // z80 input iorq_n, input mreq_n, input rd_n, input wr_n, input m1_n, input rfsh_n, output int_n, output nmi_n, output wait_n, output res, inout [7:0] d, input [15:0] a, // zxbus and related output csrom, output romoe_n, output romwe_n, output rompg0_n, output dos_n, // aka rompg1 output rompg2, output rompg3, output rompg4, input iorqge1, input iorqge2, output iorq1_n, output iorq2_n, // DRAM inout [15:0] rd, output [9:0] ra, output rwe_n, output rucas_n, output rlcas_n, output rras0_n, output rras1_n, // video output [1:0] vred, output [1:0] vgrn, output [1:0] vblu, output vhsync, output vvsync, output vcsync, // AY control and audio/tape output ay_clk, output ay_bdir, output ay_bc1, output beep, // IDE output [2:0] ide_a, inout [15:0] ide_d, output ide_dir, input ide_rdy, output ide_cs0_n, output ide_cs1_n, output ide_rs_n, output ide_rd_n, output ide_wr_n, // VG93 and diskdrive output vg_clk, output vg_cs_n, output vg_res_n, output vg_hrdy, output vg_rclk, output vg_rawr, output [1:0] vg_a, // disk drive selection output vg_wrd, output vg_side, input step, input vg_sl, input vg_sr, input vg_tr43, input rdat_b_n, input vg_wf_de, input vg_drq, input vg_irq, input vg_wd, // serial links (atmega-fpga, sdcard) output sdcs_n, output sddo, output sdclk, input sddi, input spics_n, input spick, input spido, output spidi, output spiint_n ); wire dos; wire zclk; // z80 clock for short wire zpos,zneg; wire rst_n; // global reset wire rrdy; wire [15:0] rddata; wire [4:0] rompg; wire [7:0] zports_dout; wire zports_dataout; wire porthit; wire [39:0] kbd_data; wire [ 7:0] mus_data; wire kbd_stb,mus_xstb,mus_ystb,mus_btnstb,kj_stb; wire [ 4:0] kbd_port_data; wire [ 4:0] kj_port_data; wire [ 7:0] mus_port_data; wire [7:0] wait_read,wait_write; wire wait_rnw; wire wait_start_gluclock; wire wait_start_comport; wire wait_end; wire [7:0] gluclock_addr; wire [2:0] comport_addr; wire [6:0] waits; // config signals wire [7:0] not_used; wire cfg_vga_on; // nmi signals wire gen_nmi; wire clr_nmi; wire in_nmi; wire [1:0] set_nmi; wire imm_nmi; // breakpoint signals wire brk_ena; wire [15:0] brk_addr; wire tape_in; wire [15:0] ideout; wire [15:0] idein; wire idedataout; wire [7:0] zmem_dout; wire zmem_dataout; reg [3:0] ayclk_gen; wire [7:0] received; wire [7:0] tobesent; wire intrq,drq; wire vg_wrFF; assign zclk = clkz_in; // RESETTER wire genrst; resetter myrst( .clk(fclk), .rst_in_n(~genrst), .rst_out_n(rst_n) ); defparam myrst.RST_CNT_SIZE = 6; assign nmi_n=gen_nmi ? 1'b0 : 1'bZ; assign res= ~rst_n; assign ide_rs_n = rst_n; assign ide_d = idedataout ? ideout : 16'hZZZZ; assign idein = ide_d; assign ide_dir = ~idedataout; wire [7:0] peff7; wire [7:0] p7ffd; wire romrw_en; wire cpm_n; wire fnt_wr; wire cpu_req,cpu_rnw,cpu_wrbsel,cpu_strobe; wire [20:0] cpu_addr; wire [15:0] cpu_rddata; wire [7:0] cpu_wrdata; wire cbeg,post_cbeg,pre_cend,cend; wire go; // AVR SDcard control wire avr_lock_claim, avr_lock_grant, avr_sdcs_n, avr_sd_start; wire [7:0] avr_sd_datain; wire [7:0] avr_sd_dataout; // ZX SDcard control wire zx_sdcs_n_val, zx_sdcs_n_stb, zx_sd_start; wire [7:0] zx_sd_datain; wire [7:0] zx_sd_dataout; wire tape_read; // data for tapein wire beeper_mux; // what is mixed to FPGA beeper output - beeper (0) or tapeout (1) wire [2:0] atm_scr_mode; wire atm_turbo; wire beeper_wr, covox_wr; wire [5:0] palcolor; // palette readback wire [1:0] int_turbo; wire cpu_next; wire cpu_stall; wire external_port; //AY control always @(posedge fclk) begin ayclk_gen <= ayclk_gen + 4'd1; end assign ay_clk = ayclk_gen[3]; // fix ATM2-style ROM addressing for PENT-like ROM layout. // this causes compications when writing to the flashROM from Z80 // and need to split and re-build old ATM romfiles before burning in // flash // wire [1:0] adr_fix; // assign adr_fix = ~{ rompg[0], rompg[1] }; // assign rompg0_n = ~adr_fix[0]; // assign dos_n = adr_fix[1]; // assign rompg2 = 1'b0;//rompg[2]; // assign rompg3 = 1'b0;//rompg[3]; // assign rompg4 = 1'b0;//rompg[4]; assign rompg0_n = ~rompg[0]; assign dos_n = rompg[1]; assign rompg2 = rompg[2]; assign rompg3 = rompg[3]; assign rompg4 = rompg[4]; wire [3:0] zclk_stall; zclock zclock ( .fclk(fclk), .rst_n(rst_n), .zclk(zclk), .rfsh_n(rfsh_n), .zclk_out(clkz_out), .zpos(zpos), .zneg(zneg), .turbo( {atm_turbo,~(peff7[4])} ), .pre_cend(pre_cend), .cbeg(cbeg), .zclk_stall( cpu_stall | (|zclk_stall) ), .int_turbo(int_turbo), .external_port(external_port), .iorq_n(iorq_n), .m1_n(m1_n) ); wire [7:0] dout_ram; wire ena_ram; wire [7:0] dout_ports; wire ena_ports; wire [3:0] border; wire drive_ff; wire atm_palwr; wire [5:0] atm_paldata; wire [7:0] fontrom_readback; wire int_start; // data bus out: either RAM data or internal ports data or 0xFF with unused ports assign d = ena_ram ? dout_ram : ( ena_ports ? dout_ports : ( drive_ff ? 8'hFF : 8'bZZZZZZZZ ) ); zbus zxbus( .iorq_n(iorq_n), .rd_n(rd_n), .wr_n(wr_n), .m1_n(m1_n), .iorq1_n(iorq1_n), .iorq2_n(iorq2_n), .iorqge1(iorqge1), .iorqge2(iorqge2), .porthit(porthit), .drive_ff(drive_ff) ); ///////////////////////////////////// // ATM memory pagers instantiation // ///////////////////////////////////// wire pager_off; wire pent1m_ROM; wire [ 5:0] pent1m_page; wire pent1m_ram0_0; wire pent1m_1m_on; wire atmF7_wr_fclk; wire [3:0] dos_turn_off, dos_turn_on; wire [ 7:0] page [0:3]; wire [ 3:0] romnram; // for reading back data via xxBE port wire [ 7:0] rd_pages [0:7]; wire [ 7:0] rd_ramnrom; wire [ 7:0] rd_dos7ffd; generate genvar i; for(i=0;i<4;i=i+1) begin : instantiate_atm_pagers atm_pager #( .ADDR(i) ) atm_pager( .rst_n(rst_n), .fclk (fclk), .zpos (zpos), .zneg (zneg), .za(a), .zd(d), .mreq_n(mreq_n), .rd_n (rd_n), .m1_n (m1_n), .pager_off(pager_off), .pent1m_ROM (pent1m_ROM), .pent1m_page (pent1m_page), .pent1m_ram0_0(pent1m_ram0_0), .pent1m_1m_on (pent1m_1m_on), .in_nmi(in_nmi), .atmF7_wr(atmF7_wr_fclk), .dos(dos), .dos_turn_on (dos_turn_on[i]), .dos_turn_off(dos_turn_off[i]), .zclk_stall(zclk_stall[i]), .page (page[i]), .romnram(romnram[i]), .rd_page0 (rd_pages[i ]), .rd_page1 (rd_pages[i+4]), .rd_ramnrom( {rd_ramnrom[i+4], rd_ramnrom[i]} ), .rd_dos7ffd( {rd_dos7ffd[i+4], rd_dos7ffd[i]} ) ); end endgenerate /////////////////////////// // DOS signal controller // /////////////////////////// zdos zdos( .rst_n(rst_n), .fclk(fclk), .dos_turn_on ( |dos_turn_on ), .dos_turn_off( |dos_turn_off ), .cpm_n(cpm_n), .dos(dos) ); /////////////////////////// // Z80 memory controller // /////////////////////////// zmem z80mem ( .fclk (fclk ), .rst_n(rst_n), .zpos(zpos), .zneg(zneg), .cbeg (cbeg ), .post_cbeg(post_cbeg), .pre_cend (pre_cend ), .cend (cend ), .za (a ), .zd_in (d ), .zd_out(dout_ram), .zd_ena(ena_ram ), .m1_n (m1_n ), .rfsh_n(rfsh_n ), .iorq_n(iorq_n ), .mreq_n(mreq_n ), .rd_n (rd_n ), .wr_n (wr_n ), .win0_romnram(romnram[0]), .win1_romnram(romnram[1]), .win2_romnram(romnram[2]), .win3_romnram(romnram[3]), .win0_page(page[0]), .win1_page(page[1]), .win2_page(page[2]), .win3_page(page[3]), .romrw_en(romrw_en), .rompg (rompg ), .romoe_n(romoe_n), .romwe_n(romwe_n), .csrom (csrom ), .cpu_req (cpu_req ), .cpu_rnw (cpu_rnw ), .cpu_wrbsel(cpu_wrbsel), .cpu_strobe(cpu_strobe), .cpu_addr (cpu_addr ), .cpu_wrdata(cpu_wrdata), .cpu_rddata(cpu_rddata), .cpu_stall (cpu_stall ), .cpu_next (cpu_next ), .int_turbo(int_turbo) ); wire [20:0] daddr; wire dreq; wire drnw; wire [15:0] drddata; wire [15:0] dwrdata; wire [1:0] dbsel; dram dram( .clk(fclk), .rst_n(rst_n), .addr(daddr), .req(dreq), .rnw(drnw), .cbeg(cbeg), .rrdy(drrdy), .rddata(drddata), .wrdata(dwrdata), .bsel(dbsel), .ra(ra), .rd(rd), .rwe_n(rwe_n), .rucas_n(rucas_n), .rlcas_n(rlcas_n), .rras0_n(rras0_n), .rras1_n(rras1_n) ); wire [1:0] bw; wire [20:0] video_addr; wire [15:0] video_data; wire video_strobe; wire video_next; arbiter dramarb( .clk(fclk), .rst_n(rst_n), .dram_addr(daddr), .dram_req(dreq), .dram_rnw(drnw), .dram_cbeg(cbeg), .dram_rrdy(drrdy), .dram_bsel(dbsel), .dram_rddata(drddata), .dram_wrdata(dwrdata), .post_cbeg(post_cbeg), .pre_cend (pre_cend ), .cend (cend ), .go(go), .bw(bw), .video_addr(video_addr), .video_data(video_data), .video_strobe(video_strobe), .video_next(video_next), //.cpu_waitcyc(cpu_waitcyc), .cpu_next (cpu_next), .cpu_req(cpu_req), .cpu_rnw(cpu_rnw), .cpu_addr(cpu_addr), .cpu_wrbsel(cpu_wrbsel), .cpu_wrdata(cpu_wrdata), .cpu_rddata(cpu_rddata), .cpu_strobe(cpu_strobe) ); video_top video_top( .clk(fclk), .vred(vred), .vgrn(vgrn), .vblu(vblu), .vhsync(vhsync), .vvsync(vvsync), .vcsync(vcsync), .zxborder(border), .pent_vmode( {peff7[0],peff7[5]} ), .atm_vmode (atm_scr_mode), .scr_page(p7ffd[3]), .vga_on(cfg_vga_on), .cbeg (cbeg ), .post_cbeg(post_cbeg), .pre_cend (pre_cend ), .cend (cend ), .video_go (go ), .video_bw (bw ), .video_addr (video_addr ), .video_data (video_data ), .video_strobe(video_strobe), .video_next (video_next ), .atm_palwr (atm_palwr ), .atm_paldata(atm_paldata), .int_start(int_start), .fnt_a (a[10:0]), .fnt_d (d ), .fnt_wr(fnt_wr ), .palcolor(palcolor), .fontrom_readback(fontrom_readback) ); slavespi slavespi( .fclk(fclk), .rst_n(rst_n), .spics_n(spics_n), .spidi(spidi), .spido(spido), .spick(spick), .status_in({/* wait_rnw */ wr_n, waits[6:0]}), .genrst(genrst), .kbd_out(kbd_data), .kbd_stb(kbd_stb), .mus_out(mus_data), .mus_xstb(mus_xstb), .mus_ystb(mus_ystb), .mus_btnstb(mus_btnstb), .kj_stb(kj_stb), .gluclock_addr(gluclock_addr), .comport_addr (comport_addr), .wait_write(wait_write), .wait_read(wait_read), .wait_rnw(wait_rnw), .wait_end(wait_end), .config0( { not_used[7:4], beeper_mux, tape_read, set_nmi[0], cfg_vga_on} ), .sd_lock_out(avr_lock_claim), .sd_lock_in (avr_lock_grant), .sd_cs_n (avr_sdcs_n ), .sd_start (avr_sd_start ), .sd_datain (avr_sd_datain ), .sd_dataout (avr_sd_dataout) ); zkbdmus zkbdmus( .fclk(fclk), .rst_n(rst_n), .kbd_in(kbd_data), .kbd_stb(kbd_stb), .mus_in(mus_data), .mus_xstb(mus_xstb), .mus_ystb(mus_ystb), .mus_btnstb(mus_btnstb), .kj_stb(kj_stb), .kj_data(kj_port_data), .zah(a[15:8]), .kbd_data(kbd_port_data), .mus_data(mus_port_data) ); zports zports( .zclk(zclk), .fclk(fclk), .rst_n(rst_n), .zpos(zpos), .zneg(zneg), .din(d), .dout(dout_ports), .dataout(ena_ports), .a(a), .iorq_n(iorq_n), .rd_n(rd_n), .wr_n(wr_n), .porthit(porthit), .ay_bdir(ay_bdir), .ay_bc1(ay_bc1), .border(border), .p7ffd(p7ffd), .peff7(peff7), .mreq_n(mreq_n), .m1_n(m1_n), .dos(dos), .vg_intrq(intrq), .vg_drq(drq), .vg_wrFF(vg_wrFF), .vg_cs_n(vg_cs_n), .idein(idein), .ideout(ideout), .idedataout(idedataout), .ide_a(ide_a), .ide_cs0_n(ide_cs0_n), .ide_cs1_n(ide_cs1_n), .ide_wr_n(ide_wr_n), .ide_rd_n(ide_rd_n), .sd_cs_n_val(zx_sdcs_n_val), .sd_cs_n_stb(zx_sdcs_n_stb), .sd_start (zx_sd_start ), .sd_datain (zx_sd_datain ), .sd_dataout (zx_sd_dataout), .keys_in(kbd_port_data), .mus_in (mus_port_data), .kj_in (kj_port_data ), .tape_read(tape_read), .gluclock_addr(gluclock_addr), .comport_addr (comport_addr ), .wait_start_gluclock(wait_start_gluclock), .wait_start_comport (wait_start_comport ), .wait_rnw (wait_rnw ), .wait_write(wait_write), `ifndef SIMULATE .wait_read (wait_read ), `else .wait_read(8'hFF), `endif .atmF7_wr_fclk(atmF7_wr_fclk), .atm_scr_mode(atm_scr_mode), .atm_turbo (atm_turbo), .atm_pen (pager_off), .atm_cpm_n (cpm_n), .atm_pen2 (atm_pen2), .romrw_en(romrw_en), .pent1m_ram0_0(pent1m_ram0_0), .pent1m_1m_on (pent1m_1m_on), .pent1m_page (pent1m_page), .pent1m_ROM (pent1m_ROM), .atm_palwr (atm_palwr ), .atm_paldata(atm_paldata), .beeper_wr(beeper_wr), .covox_wr (covox_wr ), .fnt_wr(fnt_wr), .clr_nmi(clr_nmi), .pages(~{ rd_pages[7], rd_pages[6], rd_pages[5], rd_pages[4], rd_pages[3], rd_pages[2], rd_pages[1], rd_pages[0] }), .ramnroms( rd_ramnrom ), .dos7ffds( rd_dos7ffd ), .palcolor(palcolor), .fontrom_readback(fontrom_readback), .external_port(external_port), .set_nmi(set_nmi[1]), .brk_ena (brk_ena ), .brk_addr(brk_addr) ); zint zint( .fclk(fclk), .zpos(zpos), .zneg(zneg), .int_start(int_start), .iorq_n(iorq_n), .m1_n (m1_n ), .int_n(int_n) ); znmi znmi ( .rst_n(rst_n), .fclk(fclk), .zpos(zpos), .zneg(zneg), .rfsh_n(rfsh_n), .m1_n (m1_n ), .mreq_n(mreq_n), .csrom (csrom ), .a (a ), .int_start(int_start), .set_nmi(set_nmi), .imm_nmi(imm_nmi), .clr_nmi(clr_nmi), .in_nmi (in_nmi ), .gen_nmi(gen_nmi) ); zbreak zbreak ( .rst_n(rst_n), .fclk(fclk), .zpos(zpos), .zneg(zneg), .m1_n (m1_n ), .mreq_n(mreq_n), .a (a ), .imm_nmi(imm_nmi), .brk_ena (brk_ena ), .brk_addr(brk_addr) ); zwait zwait( .wait_start_gluclock(wait_start_gluclock), .wait_start_comport (wait_start_comport), .wait_end(wait_end), .rst_n(rst_n), .wait_n(wait_n), .waits(waits), .spiint_n(spiint_n) ); wire [1:0] vg_ddrv; assign vg_a[0] = vg_ddrv[0] ? 1'b1 : 1'b0; // possibly open drain? assign vg_a[1] = vg_ddrv[1] ? 1'b1 : 1'b0; vg93 vgshka( .zclk(zclk), .rst_n(rst_n), .fclk(fclk), .vg_clk(vg_clk), .vg_res_n(vg_res_n), .din(d), .intrq(intrq), .drq(drq), .vg_wrFF(vg_wrFF), .vg_hrdy(vg_hrdy), .vg_rclk(vg_rclk), .vg_rawr(vg_rawr), .vg_a(vg_ddrv), .vg_wrd(vg_wrd), .vg_side(vg_side), .step(step), .vg_sl(vg_sl), .vg_sr(vg_sr), .vg_tr43(vg_tr43), .rdat_n(rdat_b_n), .vg_wf_de(vg_wf_de), .vg_drq(vg_drq), .vg_irq(vg_irq), .vg_wd(vg_wd) ); // spi2 zspi( .clock(fclk), .sck(sdclk), .sdo(sddo), .sdi(sddi), .start(sd_start), // .speed(2'b00), .din(sd_datain), .dout(sd_dataout) ); spihub spihub( .fclk (fclk ), .rst_n(rst_n), .sdcs_n(sdcs_n), .sdclk (sdclk ), .sddo (sddo ), .sddi (sddi ), .zx_sdcs_n_val(zx_sdcs_n_val), .zx_sdcs_n_stb(zx_sdcs_n_stb), .zx_sd_start (zx_sd_start ), .zx_sd_datain (zx_sd_datain ), .zx_sd_dataout(zx_sd_dataout), .avr_lock_in (avr_lock_claim), .avr_lock_out (avr_lock_grant), .avr_sdcs_n (avr_sdcs_n ), .avr_sd_start (avr_sd_start ), .avr_sd_datain (avr_sd_datain ), .avr_sd_dataout(avr_sd_dataout) ); ////////////////////////////////////// // sound: beeper, tapeout and covox // ////////////////////////////////////// sound sound( .clk(fclk), .din(d), .beeper_wr(beeper_wr), .covox_wr (covox_wr ), .beeper_mux(beeper_mux), .sound_bit(beep) ); endmodule
module sky130_fd_sc_hs__sedfxbp ( Q , Q_N , CLK , D , DE , SCD , SCE , VPWR, VGND ); // Module ports output Q ; output Q_N ; input CLK ; input D ; input DE ; input SCD ; input SCE ; input VPWR; input VGND; // Local signals wire buf_Q ; wire mux_out; wire de_d ; // Delay Name Output Other arguments sky130_fd_sc_hs__u_mux_2_1 u_mux_20 (mux_out, de_d, SCD, SCE ); sky130_fd_sc_hs__u_mux_2_1 u_mux_21 (de_d , buf_Q, D, DE ); sky130_fd_sc_hs__u_df_p_pg `UNIT_DELAY u_df_p_pg0 (buf_Q , mux_out, CLK, VPWR, VGND); buf buf0 (Q , buf_Q ); not not0 (Q_N , buf_Q ); endmodule
module ad_perfect_shuffle #( parameter NUM_GROUPS = 2, parameter WORDS_PER_GROUP = 2, parameter WORD_WIDTH = 8 ) ( input [NUM_GROUPS*WORDS_PER_GROUP*WORD_WIDTH-1:0] data_in, output [NUM_GROUPS*WORDS_PER_GROUP*WORD_WIDTH-1:0] data_out ); /* * Performs the perfect shuffle operation. * * The perfect shuffle splits the input vector into NUM_GROUPS groups and then * each group in WORDS_PER_GROUP. The output vector consists of WORDS_PER_GROUP * groups and each group has NUM_GROUPS words. The data is remapped, so that * the i-th word of the j-th word in the output vector is the j-th word of the * i-th group of the input vector. * The inverse operation of the perfect shuffle is the perfect shuffle with * both parameters swapped. * I.e. [perfect_suffle B A [perfect_shuffle A B data]] == data * * Examples: * NUM_GROUPS = 2, WORDS_PER_GROUP = 4 * [A B C D a b c d] => [A a B b C c D d] * NUM_GROUPS = 4, WORDS_PER_GROUP = 2 * [A a B b C c D d] => [A B C D a b c d] * NUM_GROUPS = 3, WORDS_PER_GROUP = 2 * [A B a b 1 2] => [A a 1 B b 2] */ generate genvar i; genvar j; for (i = 0; i < NUM_GROUPS; i = i + 1) begin: shuffle_outer for (j = 0; j < WORDS_PER_GROUP; j = j + 1) begin: shuffle_inner localparam src_lsb = (j + i * WORDS_PER_GROUP) * WORD_WIDTH; localparam dst_lsb = (i + j * NUM_GROUPS) * WORD_WIDTH; assign data_out[dst_lsb+:WORD_WIDTH] = data_in[src_lsb+:WORD_WIDTH]; end end endgenerate endmodule
module system_acl_iface ( input wire config_clk_clk, // config_clk.clk input wire reset_n, // global_reset.reset_n input wire kernel_pll_refclk_clk, // kernel_pll_refclk.clk output wire kernel_clk_clk, // kernel_clk.clk output wire kernel_reset_reset_n, // kernel_reset.reset_n output wire kernel_clk2x_clk, // kernel_clk2x.clk output wire kernel_mem0_waitrequest, // kernel_mem0.waitrequest output wire [255:0] kernel_mem0_readdata, // .readdata output wire kernel_mem0_readdatavalid, // .readdatavalid input wire [4:0] kernel_mem0_burstcount, // .burstcount input wire [255:0] kernel_mem0_writedata, // .writedata input wire [29:0] kernel_mem0_address, // .address input wire kernel_mem0_write, // .write input wire kernel_mem0_read, // .read input wire [31:0] kernel_mem0_byteenable, // .byteenable input wire kernel_mem0_debugaccess, // .debugaccess output wire acl_kernel_clk_kernel_pll_locked_export, // acl_kernel_clk_kernel_pll_locked.export output wire kernel_clk_snoop_clk, // kernel_clk_snoop.clk output wire [14:0] memory_mem_a, // memory.mem_a output wire [2:0] memory_mem_ba, // .mem_ba output wire memory_mem_ck, // .mem_ck output wire memory_mem_ck_n, // .mem_ck_n output wire memory_mem_cke, // .mem_cke output wire memory_mem_cs_n, // .mem_cs_n output wire memory_mem_ras_n, // .mem_ras_n output wire memory_mem_cas_n, // .mem_cas_n output wire memory_mem_we_n, // .mem_we_n output wire memory_mem_reset_n, // .mem_reset_n inout wire [31:0] memory_mem_dq, // .mem_dq inout wire [3:0] memory_mem_dqs, // .mem_dqs inout wire [3:0] memory_mem_dqs_n, // .mem_dqs_n output wire memory_mem_odt, // .mem_odt output wire [3:0] memory_mem_dm, // .mem_dm input wire memory_oct_rzqin, // .oct_rzqin output wire peripheral_hps_io_emac1_inst_TX_CLK, // peripheral.hps_io_emac1_inst_TX_CLK output wire peripheral_hps_io_emac1_inst_TXD0, // .hps_io_emac1_inst_TXD0 output wire peripheral_hps_io_emac1_inst_TXD1, // .hps_io_emac1_inst_TXD1 output wire peripheral_hps_io_emac1_inst_TXD2, // .hps_io_emac1_inst_TXD2 output wire peripheral_hps_io_emac1_inst_TXD3, // .hps_io_emac1_inst_TXD3 input wire peripheral_hps_io_emac1_inst_RXD0, // .hps_io_emac1_inst_RXD0 inout wire peripheral_hps_io_emac1_inst_MDIO, // .hps_io_emac1_inst_MDIO output wire peripheral_hps_io_emac1_inst_MDC, // .hps_io_emac1_inst_MDC input wire peripheral_hps_io_emac1_inst_RX_CTL, // .hps_io_emac1_inst_RX_CTL output wire peripheral_hps_io_emac1_inst_TX_CTL, // .hps_io_emac1_inst_TX_CTL input wire peripheral_hps_io_emac1_inst_RX_CLK, // .hps_io_emac1_inst_RX_CLK input wire peripheral_hps_io_emac1_inst_RXD1, // .hps_io_emac1_inst_RXD1 input wire peripheral_hps_io_emac1_inst_RXD2, // .hps_io_emac1_inst_RXD2 input wire peripheral_hps_io_emac1_inst_RXD3, // .hps_io_emac1_inst_RXD3 inout wire peripheral_hps_io_sdio_inst_CMD, // .hps_io_sdio_inst_CMD inout wire peripheral_hps_io_sdio_inst_D0, // .hps_io_sdio_inst_D0 inout wire peripheral_hps_io_sdio_inst_D1, // .hps_io_sdio_inst_D1 output wire peripheral_hps_io_sdio_inst_CLK, // .hps_io_sdio_inst_CLK inout wire peripheral_hps_io_sdio_inst_D2, // .hps_io_sdio_inst_D2 inout wire peripheral_hps_io_sdio_inst_D3, // .hps_io_sdio_inst_D3 input wire peripheral_hps_io_uart0_inst_RX, // .hps_io_uart0_inst_RX output wire peripheral_hps_io_uart0_inst_TX, // .hps_io_uart0_inst_TX inout wire peripheral_hps_io_i2c1_inst_SDA, // .hps_io_i2c1_inst_SDA inout wire peripheral_hps_io_i2c1_inst_SCL, // .hps_io_i2c1_inst_SCL inout wire peripheral_hps_io_gpio_inst_GPIO53, // .hps_io_gpio_inst_GPIO53 output wire [1:0] acl_internal_memorg_kernel_mode, // acl_internal_memorg_kernel.mode input wire [0:0] kernel_irq_irq, // kernel_irq.irq input wire kernel_cra_waitrequest, // kernel_cra.waitrequest input wire [63:0] kernel_cra_readdata, // .readdata input wire kernel_cra_readdatavalid, // .readdatavalid output wire [0:0] kernel_cra_burstcount, // .burstcount output wire [63:0] kernel_cra_writedata, // .writedata output wire [29:0] kernel_cra_address, // .address output wire kernel_cra_write, // .write output wire kernel_cra_read, // .read output wire [7:0] kernel_cra_byteenable, // .byteenable output wire kernel_cra_debugaccess, // .debugaccess output wire [1:0] kernel_interface_acl_bsp_memorg_host_mode // kernel_interface_acl_bsp_memorg_host.mode ); wire pll_outclk0_clk; // pll:outclk_0 -> [address_span_extender_kernel:clk, clock_cross_kernel_mem1:m0_clk, hps:f2h_sdram0_clk, mm_interconnect_1:pll_outclk0_clk, mm_interconnect_2:pll_outclk0_clk, rst_controller_001:clk, rst_controller_003:clk] wire [1:0] hps_h2f_lw_axi_master_awburst; // hps:h2f_lw_AWBURST -> mm_interconnect_0:hps_h2f_lw_axi_master_awburst wire [3:0] hps_h2f_lw_axi_master_arlen; // hps:h2f_lw_ARLEN -> mm_interconnect_0:hps_h2f_lw_axi_master_arlen wire [3:0] hps_h2f_lw_axi_master_wstrb; // hps:h2f_lw_WSTRB -> mm_interconnect_0:hps_h2f_lw_axi_master_wstrb wire hps_h2f_lw_axi_master_wready; // mm_interconnect_0:hps_h2f_lw_axi_master_wready -> hps:h2f_lw_WREADY wire [11:0] hps_h2f_lw_axi_master_rid; // mm_interconnect_0:hps_h2f_lw_axi_master_rid -> hps:h2f_lw_RID wire hps_h2f_lw_axi_master_rready; // hps:h2f_lw_RREADY -> mm_interconnect_0:hps_h2f_lw_axi_master_rready wire [3:0] hps_h2f_lw_axi_master_awlen; // hps:h2f_lw_AWLEN -> mm_interconnect_0:hps_h2f_lw_axi_master_awlen wire [11:0] hps_h2f_lw_axi_master_wid; // hps:h2f_lw_WID -> mm_interconnect_0:hps_h2f_lw_axi_master_wid wire [3:0] hps_h2f_lw_axi_master_arcache; // hps:h2f_lw_ARCACHE -> mm_interconnect_0:hps_h2f_lw_axi_master_arcache wire hps_h2f_lw_axi_master_wvalid; // hps:h2f_lw_WVALID -> mm_interconnect_0:hps_h2f_lw_axi_master_wvalid wire [20:0] hps_h2f_lw_axi_master_araddr; // hps:h2f_lw_ARADDR -> mm_interconnect_0:hps_h2f_lw_axi_master_araddr wire [2:0] hps_h2f_lw_axi_master_arprot; // hps:h2f_lw_ARPROT -> mm_interconnect_0:hps_h2f_lw_axi_master_arprot wire [2:0] hps_h2f_lw_axi_master_awprot; // hps:h2f_lw_AWPROT -> mm_interconnect_0:hps_h2f_lw_axi_master_awprot wire [31:0] hps_h2f_lw_axi_master_wdata; // hps:h2f_lw_WDATA -> mm_interconnect_0:hps_h2f_lw_axi_master_wdata wire hps_h2f_lw_axi_master_arvalid; // hps:h2f_lw_ARVALID -> mm_interconnect_0:hps_h2f_lw_axi_master_arvalid wire [3:0] hps_h2f_lw_axi_master_awcache; // hps:h2f_lw_AWCACHE -> mm_interconnect_0:hps_h2f_lw_axi_master_awcache wire [11:0] hps_h2f_lw_axi_master_arid; // hps:h2f_lw_ARID -> mm_interconnect_0:hps_h2f_lw_axi_master_arid wire [1:0] hps_h2f_lw_axi_master_arlock; // hps:h2f_lw_ARLOCK -> mm_interconnect_0:hps_h2f_lw_axi_master_arlock wire [1:0] hps_h2f_lw_axi_master_awlock; // hps:h2f_lw_AWLOCK -> mm_interconnect_0:hps_h2f_lw_axi_master_awlock wire [20:0] hps_h2f_lw_axi_master_awaddr; // hps:h2f_lw_AWADDR -> mm_interconnect_0:hps_h2f_lw_axi_master_awaddr wire [1:0] hps_h2f_lw_axi_master_bresp; // mm_interconnect_0:hps_h2f_lw_axi_master_bresp -> hps:h2f_lw_BRESP wire hps_h2f_lw_axi_master_arready; // mm_interconnect_0:hps_h2f_lw_axi_master_arready -> hps:h2f_lw_ARREADY wire [31:0] hps_h2f_lw_axi_master_rdata; // mm_interconnect_0:hps_h2f_lw_axi_master_rdata -> hps:h2f_lw_RDATA wire hps_h2f_lw_axi_master_awready; // mm_interconnect_0:hps_h2f_lw_axi_master_awready -> hps:h2f_lw_AWREADY wire [1:0] hps_h2f_lw_axi_master_arburst; // hps:h2f_lw_ARBURST -> mm_interconnect_0:hps_h2f_lw_axi_master_arburst wire [2:0] hps_h2f_lw_axi_master_arsize; // hps:h2f_lw_ARSIZE -> mm_interconnect_0:hps_h2f_lw_axi_master_arsize wire hps_h2f_lw_axi_master_bready; // hps:h2f_lw_BREADY -> mm_interconnect_0:hps_h2f_lw_axi_master_bready wire hps_h2f_lw_axi_master_rlast; // mm_interconnect_0:hps_h2f_lw_axi_master_rlast -> hps:h2f_lw_RLAST wire hps_h2f_lw_axi_master_wlast; // hps:h2f_lw_WLAST -> mm_interconnect_0:hps_h2f_lw_axi_master_wlast wire [1:0] hps_h2f_lw_axi_master_rresp; // mm_interconnect_0:hps_h2f_lw_axi_master_rresp -> hps:h2f_lw_RRESP wire [11:0] hps_h2f_lw_axi_master_awid; // hps:h2f_lw_AWID -> mm_interconnect_0:hps_h2f_lw_axi_master_awid wire [11:0] hps_h2f_lw_axi_master_bid; // mm_interconnect_0:hps_h2f_lw_axi_master_bid -> hps:h2f_lw_BID wire hps_h2f_lw_axi_master_bvalid; // mm_interconnect_0:hps_h2f_lw_axi_master_bvalid -> hps:h2f_lw_BVALID wire [2:0] hps_h2f_lw_axi_master_awsize; // hps:h2f_lw_AWSIZE -> mm_interconnect_0:hps_h2f_lw_axi_master_awsize wire hps_h2f_lw_axi_master_awvalid; // hps:h2f_lw_AWVALID -> mm_interconnect_0:hps_h2f_lw_axi_master_awvalid wire hps_h2f_lw_axi_master_rvalid; // mm_interconnect_0:hps_h2f_lw_axi_master_rvalid -> hps:h2f_lw_RVALID wire [31:0] mm_interconnect_0_version_id_s_readdata; // version_id:slave_readdata -> mm_interconnect_0:version_id_s_readdata wire mm_interconnect_0_version_id_s_read; // mm_interconnect_0:version_id_s_read -> version_id:slave_read wire [31:0] mm_interconnect_0_acl_kernel_interface_kernel_cntrl_readdata; // acl_kernel_interface:kernel_cntrl_readdata -> mm_interconnect_0:acl_kernel_interface_kernel_cntrl_readdata wire mm_interconnect_0_acl_kernel_interface_kernel_cntrl_waitrequest; // acl_kernel_interface:kernel_cntrl_waitrequest -> mm_interconnect_0:acl_kernel_interface_kernel_cntrl_waitrequest wire mm_interconnect_0_acl_kernel_interface_kernel_cntrl_debugaccess; // mm_interconnect_0:acl_kernel_interface_kernel_cntrl_debugaccess -> acl_kernel_interface:kernel_cntrl_debugaccess wire [13:0] mm_interconnect_0_acl_kernel_interface_kernel_cntrl_address; // mm_interconnect_0:acl_kernel_interface_kernel_cntrl_address -> acl_kernel_interface:kernel_cntrl_address wire mm_interconnect_0_acl_kernel_interface_kernel_cntrl_read; // mm_interconnect_0:acl_kernel_interface_kernel_cntrl_read -> acl_kernel_interface:kernel_cntrl_read wire [3:0] mm_interconnect_0_acl_kernel_interface_kernel_cntrl_byteenable; // mm_interconnect_0:acl_kernel_interface_kernel_cntrl_byteenable -> acl_kernel_interface:kernel_cntrl_byteenable wire mm_interconnect_0_acl_kernel_interface_kernel_cntrl_readdatavalid; // acl_kernel_interface:kernel_cntrl_readdatavalid -> mm_interconnect_0:acl_kernel_interface_kernel_cntrl_readdatavalid wire mm_interconnect_0_acl_kernel_interface_kernel_cntrl_write; // mm_interconnect_0:acl_kernel_interface_kernel_cntrl_write -> acl_kernel_interface:kernel_cntrl_write wire [31:0] mm_interconnect_0_acl_kernel_interface_kernel_cntrl_writedata; // mm_interconnect_0:acl_kernel_interface_kernel_cntrl_writedata -> acl_kernel_interface:kernel_cntrl_writedata wire [0:0] mm_interconnect_0_acl_kernel_interface_kernel_cntrl_burstcount; // mm_interconnect_0:acl_kernel_interface_kernel_cntrl_burstcount -> acl_kernel_interface:kernel_cntrl_burstcount wire [31:0] mm_interconnect_0_acl_kernel_clk_ctrl_readdata; // acl_kernel_clk:ctrl_readdata -> mm_interconnect_0:acl_kernel_clk_ctrl_readdata wire mm_interconnect_0_acl_kernel_clk_ctrl_waitrequest; // acl_kernel_clk:ctrl_waitrequest -> mm_interconnect_0:acl_kernel_clk_ctrl_waitrequest wire mm_interconnect_0_acl_kernel_clk_ctrl_debugaccess; // mm_interconnect_0:acl_kernel_clk_ctrl_debugaccess -> acl_kernel_clk:ctrl_debugaccess wire [10:0] mm_interconnect_0_acl_kernel_clk_ctrl_address; // mm_interconnect_0:acl_kernel_clk_ctrl_address -> acl_kernel_clk:ctrl_address wire mm_interconnect_0_acl_kernel_clk_ctrl_read; // mm_interconnect_0:acl_kernel_clk_ctrl_read -> acl_kernel_clk:ctrl_read wire [3:0] mm_interconnect_0_acl_kernel_clk_ctrl_byteenable; // mm_interconnect_0:acl_kernel_clk_ctrl_byteenable -> acl_kernel_clk:ctrl_byteenable wire mm_interconnect_0_acl_kernel_clk_ctrl_readdatavalid; // acl_kernel_clk:ctrl_readdatavalid -> mm_interconnect_0:acl_kernel_clk_ctrl_readdatavalid wire mm_interconnect_0_acl_kernel_clk_ctrl_write; // mm_interconnect_0:acl_kernel_clk_ctrl_write -> acl_kernel_clk:ctrl_write wire [31:0] mm_interconnect_0_acl_kernel_clk_ctrl_writedata; // mm_interconnect_0:acl_kernel_clk_ctrl_writedata -> acl_kernel_clk:ctrl_writedata wire [0:0] mm_interconnect_0_acl_kernel_clk_ctrl_burstcount; // mm_interconnect_0:acl_kernel_clk_ctrl_burstcount -> acl_kernel_clk:ctrl_burstcount wire clock_cross_kernel_mem1_m0_waitrequest; // mm_interconnect_1:clock_cross_kernel_mem1_m0_waitrequest -> clock_cross_kernel_mem1:m0_waitrequest wire [255:0] clock_cross_kernel_mem1_m0_readdata; // mm_interconnect_1:clock_cross_kernel_mem1_m0_readdata -> clock_cross_kernel_mem1:m0_readdata wire clock_cross_kernel_mem1_m0_debugaccess; // clock_cross_kernel_mem1:m0_debugaccess -> mm_interconnect_1:clock_cross_kernel_mem1_m0_debugaccess wire [29:0] clock_cross_kernel_mem1_m0_address; // clock_cross_kernel_mem1:m0_address -> mm_interconnect_1:clock_cross_kernel_mem1_m0_address wire clock_cross_kernel_mem1_m0_read; // clock_cross_kernel_mem1:m0_read -> mm_interconnect_1:clock_cross_kernel_mem1_m0_read wire [31:0] clock_cross_kernel_mem1_m0_byteenable; // clock_cross_kernel_mem1:m0_byteenable -> mm_interconnect_1:clock_cross_kernel_mem1_m0_byteenable wire clock_cross_kernel_mem1_m0_readdatavalid; // mm_interconnect_1:clock_cross_kernel_mem1_m0_readdatavalid -> clock_cross_kernel_mem1:m0_readdatavalid wire [255:0] clock_cross_kernel_mem1_m0_writedata; // clock_cross_kernel_mem1:m0_writedata -> mm_interconnect_1:clock_cross_kernel_mem1_m0_writedata wire clock_cross_kernel_mem1_m0_write; // clock_cross_kernel_mem1:m0_write -> mm_interconnect_1:clock_cross_kernel_mem1_m0_write wire [4:0] clock_cross_kernel_mem1_m0_burstcount; // clock_cross_kernel_mem1:m0_burstcount -> mm_interconnect_1:clock_cross_kernel_mem1_m0_burstcount wire [255:0] mm_interconnect_1_address_span_extender_kernel_windowed_slave_readdata; // address_span_extender_kernel:avs_s0_readdata -> mm_interconnect_1:address_span_extender_kernel_windowed_slave_readdata wire mm_interconnect_1_address_span_extender_kernel_windowed_slave_waitrequest; // address_span_extender_kernel:avs_s0_waitrequest -> mm_interconnect_1:address_span_extender_kernel_windowed_slave_waitrequest wire [24:0] mm_interconnect_1_address_span_extender_kernel_windowed_slave_address; // mm_interconnect_1:address_span_extender_kernel_windowed_slave_address -> address_span_extender_kernel:avs_s0_address wire mm_interconnect_1_address_span_extender_kernel_windowed_slave_read; // mm_interconnect_1:address_span_extender_kernel_windowed_slave_read -> address_span_extender_kernel:avs_s0_read wire [31:0] mm_interconnect_1_address_span_extender_kernel_windowed_slave_byteenable; // mm_interconnect_1:address_span_extender_kernel_windowed_slave_byteenable -> address_span_extender_kernel:avs_s0_byteenable wire mm_interconnect_1_address_span_extender_kernel_windowed_slave_readdatavalid; // address_span_extender_kernel:avs_s0_readdatavalid -> mm_interconnect_1:address_span_extender_kernel_windowed_slave_readdatavalid wire mm_interconnect_1_address_span_extender_kernel_windowed_slave_write; // mm_interconnect_1:address_span_extender_kernel_windowed_slave_write -> address_span_extender_kernel:avs_s0_write wire [255:0] mm_interconnect_1_address_span_extender_kernel_windowed_slave_writedata; // mm_interconnect_1:address_span_extender_kernel_windowed_slave_writedata -> address_span_extender_kernel:avs_s0_writedata wire [4:0] mm_interconnect_1_address_span_extender_kernel_windowed_slave_burstcount; // mm_interconnect_1:address_span_extender_kernel_windowed_slave_burstcount -> address_span_extender_kernel:avs_s0_burstcount wire address_span_extender_kernel_expanded_master_waitrequest; // mm_interconnect_2:address_span_extender_kernel_expanded_master_waitrequest -> address_span_extender_kernel:avm_m0_waitrequest wire [255:0] address_span_extender_kernel_expanded_master_readdata; // mm_interconnect_2:address_span_extender_kernel_expanded_master_readdata -> address_span_extender_kernel:avm_m0_readdata wire [31:0] address_span_extender_kernel_expanded_master_address; // address_span_extender_kernel:avm_m0_address -> mm_interconnect_2:address_span_extender_kernel_expanded_master_address wire address_span_extender_kernel_expanded_master_read; // address_span_extender_kernel:avm_m0_read -> mm_interconnect_2:address_span_extender_kernel_expanded_master_read wire [31:0] address_span_extender_kernel_expanded_master_byteenable; // address_span_extender_kernel:avm_m0_byteenable -> mm_interconnect_2:address_span_extender_kernel_expanded_master_byteenable wire address_span_extender_kernel_expanded_master_readdatavalid; // mm_interconnect_2:address_span_extender_kernel_expanded_master_readdatavalid -> address_span_extender_kernel:avm_m0_readdatavalid wire address_span_extender_kernel_expanded_master_write; // address_span_extender_kernel:avm_m0_write -> mm_interconnect_2:address_span_extender_kernel_expanded_master_write wire [255:0] address_span_extender_kernel_expanded_master_writedata; // address_span_extender_kernel:avm_m0_writedata -> mm_interconnect_2:address_span_extender_kernel_expanded_master_writedata wire [4:0] address_span_extender_kernel_expanded_master_burstcount; // address_span_extender_kernel:avm_m0_burstcount -> mm_interconnect_2:address_span_extender_kernel_expanded_master_burstcount wire [255:0] mm_interconnect_2_hps_f2h_sdram0_data_readdata; // hps:f2h_sdram0_READDATA -> mm_interconnect_2:hps_f2h_sdram0_data_readdata wire mm_interconnect_2_hps_f2h_sdram0_data_waitrequest; // hps:f2h_sdram0_WAITREQUEST -> mm_interconnect_2:hps_f2h_sdram0_data_waitrequest wire [26:0] mm_interconnect_2_hps_f2h_sdram0_data_address; // mm_interconnect_2:hps_f2h_sdram0_data_address -> hps:f2h_sdram0_ADDRESS wire mm_interconnect_2_hps_f2h_sdram0_data_read; // mm_interconnect_2:hps_f2h_sdram0_data_read -> hps:f2h_sdram0_READ wire [31:0] mm_interconnect_2_hps_f2h_sdram0_data_byteenable; // mm_interconnect_2:hps_f2h_sdram0_data_byteenable -> hps:f2h_sdram0_BYTEENABLE wire mm_interconnect_2_hps_f2h_sdram0_data_readdatavalid; // hps:f2h_sdram0_READDATAVALID -> mm_interconnect_2:hps_f2h_sdram0_data_readdatavalid wire mm_interconnect_2_hps_f2h_sdram0_data_write; // mm_interconnect_2:hps_f2h_sdram0_data_write -> hps:f2h_sdram0_WRITE wire [255:0] mm_interconnect_2_hps_f2h_sdram0_data_writedata; // mm_interconnect_2:hps_f2h_sdram0_data_writedata -> hps:f2h_sdram0_WRITEDATA wire [7:0] mm_interconnect_2_hps_f2h_sdram0_data_burstcount; // mm_interconnect_2:hps_f2h_sdram0_data_burstcount -> hps:f2h_sdram0_BURSTCOUNT wire irq_mapper_receiver0_irq; // acl_kernel_interface:kernel_irq_to_host_irq -> irq_mapper:receiver0_irq wire [31:0] hps_f2h_irq0_irq; // irq_mapper:sender_irq -> hps:f2h_irq_p0 wire [31:0] hps_f2h_irq1_irq; // irq_mapper_001:sender_irq -> hps:f2h_irq_p1 wire rst_controller_reset_out_reset; // rst_controller:reset_out -> [acl_kernel_clk:reset_reset_n, acl_kernel_interface:reset_reset_n, acl_kernel_interface:sw_reset_in_reset, mm_interconnect_0:version_id_clk_reset_reset_bridge_in_reset_reset, version_id:resetn] wire rst_controller_001_reset_out_reset; // rst_controller_001:reset_out -> [address_span_extender_kernel:reset, clock_cross_kernel_mem1:m0_reset, mm_interconnect_1:clock_cross_kernel_mem1_m0_reset_reset_bridge_in_reset_reset, mm_interconnect_2:address_span_extender_kernel_reset_reset_bridge_in_reset_reset] wire acl_kernel_interface_sw_reset_export_reset; // acl_kernel_interface:sw_reset_export_reset_n -> rst_controller_001:reset_in0 wire rst_controller_002_reset_out_reset; // rst_controller_002:reset_out -> mm_interconnect_0:hps_h2f_lw_axi_master_agent_clk_reset_reset_bridge_in_reset_reset wire hps_h2f_reset_reset; // hps:h2f_rst_n -> [rst_controller_002:reset_in0, rst_controller_003:reset_in0] wire rst_controller_003_reset_out_reset; // rst_controller_003:reset_out -> mm_interconnect_2:hps_f2h_sdram0_data_translator_reset_reset_bridge_in_reset_reset system_acl_iface_acl_kernel_clk acl_kernel_clk ( .kernel_clk2x_clk (kernel_clk2x_clk), // kernel_clk2x.clk .pll_refclk_clk (kernel_pll_refclk_clk), // pll_refclk.clk .ctrl_waitrequest (mm_interconnect_0_acl_kernel_clk_ctrl_waitrequest), // ctrl.waitrequest .ctrl_readdata (mm_interconnect_0_acl_kernel_clk_ctrl_readdata), // .readdata .ctrl_readdatavalid (mm_interconnect_0_acl_kernel_clk_ctrl_readdatavalid), // .readdatavalid .ctrl_burstcount (mm_interconnect_0_acl_kernel_clk_ctrl_burstcount), // .burstcount .ctrl_writedata (mm_interconnect_0_acl_kernel_clk_ctrl_writedata), // .writedata .ctrl_address (mm_interconnect_0_acl_kernel_clk_ctrl_address), // .address .ctrl_write (mm_interconnect_0_acl_kernel_clk_ctrl_write), // .write .ctrl_read (mm_interconnect_0_acl_kernel_clk_ctrl_read), // .read .ctrl_byteenable (mm_interconnect_0_acl_kernel_clk_ctrl_byteenable), // .byteenable .ctrl_debugaccess (mm_interconnect_0_acl_kernel_clk_ctrl_debugaccess), // .debugaccess .kernel_clk_clk (kernel_clk_clk), // kernel_clk.clk .kernel_pll_locked_export (acl_kernel_clk_kernel_pll_locked_export), // kernel_pll_locked.export .clk_clk (config_clk_clk), // clk.clk .reset_reset_n (~rst_controller_reset_out_reset) // reset.reset_n ); altera_avalon_mm_clock_crossing_bridge #( .DATA_WIDTH (256), .SYMBOL_WIDTH (8), .HDL_ADDR_WIDTH (30), .BURSTCOUNT_WIDTH (5), .COMMAND_FIFO_DEPTH (64), .RESPONSE_FIFO_DEPTH (64), .MASTER_SYNC_DEPTH (2), .SLAVE_SYNC_DEPTH (2) ) clock_cross_kernel_mem1 ( .m0_clk (pll_outclk0_clk), // m0_clk.clk .m0_reset (rst_controller_001_reset_out_reset), // m0_reset.reset .s0_clk (kernel_clk_clk), // s0_clk.clk .s0_reset (~kernel_reset_reset_n), // s0_reset.reset .s0_waitrequest (kernel_mem0_waitrequest), // s0.waitrequest .s0_readdata (kernel_mem0_readdata), // .readdata .s0_readdatavalid (kernel_mem0_readdatavalid), // .readdatavalid .s0_burstcount (kernel_mem0_burstcount), // .burstcount .s0_writedata (kernel_mem0_writedata), // .writedata .s0_address (kernel_mem0_address), // .address .s0_write (kernel_mem0_write), // .write .s0_read (kernel_mem0_read), // .read .s0_byteenable (kernel_mem0_byteenable), // .byteenable .s0_debugaccess (kernel_mem0_debugaccess), // .debugaccess .m0_waitrequest (clock_cross_kernel_mem1_m0_waitrequest), // m0.waitrequest .m0_readdata (clock_cross_kernel_mem1_m0_readdata), // .readdata .m0_readdatavalid (clock_cross_kernel_mem1_m0_readdatavalid), // .readdatavalid .m0_burstcount (clock_cross_kernel_mem1_m0_burstcount), // .burstcount .m0_writedata (clock_cross_kernel_mem1_m0_writedata), // .writedata .m0_address (clock_cross_kernel_mem1_m0_address), // .address .m0_write (clock_cross_kernel_mem1_m0_write), // .write .m0_read (clock_cross_kernel_mem1_m0_read), // .read .m0_byteenable (clock_cross_kernel_mem1_m0_byteenable), // .byteenable .m0_debugaccess (clock_cross_kernel_mem1_m0_debugaccess) // .debugaccess ); version_id #( .WIDTH (32), .VERSION_ID (-1597521440) ) version_id ( .clk (config_clk_clk), // clk.clk .resetn (~rst_controller_reset_out_reset), // clk_reset.reset_n .slave_read (mm_interconnect_0_version_id_s_read), // s.read .slave_readdata (mm_interconnect_0_version_id_s_readdata) // .readdata ); system_acl_iface_hps #( .F2S_Width (0), .S2F_Width (0) ) hps ( .mem_a (memory_mem_a), // memory.mem_a .mem_ba (memory_mem_ba), // .mem_ba .mem_ck (memory_mem_ck), // .mem_ck .mem_ck_n (memory_mem_ck_n), // .mem_ck_n .mem_cke (memory_mem_cke), // .mem_cke .mem_cs_n (memory_mem_cs_n), // .mem_cs_n .mem_ras_n (memory_mem_ras_n), // .mem_ras_n .mem_cas_n (memory_mem_cas_n), // .mem_cas_n .mem_we_n (memory_mem_we_n), // .mem_we_n .mem_reset_n (memory_mem_reset_n), // .mem_reset_n .mem_dq (memory_mem_dq), // .mem_dq .mem_dqs (memory_mem_dqs), // .mem_dqs .mem_dqs_n (memory_mem_dqs_n), // .mem_dqs_n .mem_odt (memory_mem_odt), // .mem_odt .mem_dm (memory_mem_dm), // .mem_dm .oct_rzqin (memory_oct_rzqin), // .oct_rzqin .hps_io_emac1_inst_TX_CLK (peripheral_hps_io_emac1_inst_TX_CLK), // hps_io.hps_io_emac1_inst_TX_CLK .hps_io_emac1_inst_TXD0 (peripheral_hps_io_emac1_inst_TXD0), // .hps_io_emac1_inst_TXD0 .hps_io_emac1_inst_TXD1 (peripheral_hps_io_emac1_inst_TXD1), // .hps_io_emac1_inst_TXD1 .hps_io_emac1_inst_TXD2 (peripheral_hps_io_emac1_inst_TXD2), // .hps_io_emac1_inst_TXD2 .hps_io_emac1_inst_TXD3 (peripheral_hps_io_emac1_inst_TXD3), // .hps_io_emac1_inst_TXD3 .hps_io_emac1_inst_RXD0 (peripheral_hps_io_emac1_inst_RXD0), // .hps_io_emac1_inst_RXD0 .hps_io_emac1_inst_MDIO (peripheral_hps_io_emac1_inst_MDIO), // .hps_io_emac1_inst_MDIO .hps_io_emac1_inst_MDC (peripheral_hps_io_emac1_inst_MDC), // .hps_io_emac1_inst_MDC .hps_io_emac1_inst_RX_CTL (peripheral_hps_io_emac1_inst_RX_CTL), // .hps_io_emac1_inst_RX_CTL .hps_io_emac1_inst_TX_CTL (peripheral_hps_io_emac1_inst_TX_CTL), // .hps_io_emac1_inst_TX_CTL .hps_io_emac1_inst_RX_CLK (peripheral_hps_io_emac1_inst_RX_CLK), // .hps_io_emac1_inst_RX_CLK .hps_io_emac1_inst_RXD1 (peripheral_hps_io_emac1_inst_RXD1), // .hps_io_emac1_inst_RXD1 .hps_io_emac1_inst_RXD2 (peripheral_hps_io_emac1_inst_RXD2), // .hps_io_emac1_inst_RXD2 .hps_io_emac1_inst_RXD3 (peripheral_hps_io_emac1_inst_RXD3), // .hps_io_emac1_inst_RXD3 .hps_io_sdio_inst_CMD (peripheral_hps_io_sdio_inst_CMD), // .hps_io_sdio_inst_CMD .hps_io_sdio_inst_D0 (peripheral_hps_io_sdio_inst_D0), // .hps_io_sdio_inst_D0 .hps_io_sdio_inst_D1 (peripheral_hps_io_sdio_inst_D1), // .hps_io_sdio_inst_D1 .hps_io_sdio_inst_CLK (peripheral_hps_io_sdio_inst_CLK), // .hps_io_sdio_inst_CLK .hps_io_sdio_inst_D2 (peripheral_hps_io_sdio_inst_D2), // .hps_io_sdio_inst_D2 .hps_io_sdio_inst_D3 (peripheral_hps_io_sdio_inst_D3), // .hps_io_sdio_inst_D3 .hps_io_uart0_inst_RX (peripheral_hps_io_uart0_inst_RX), // .hps_io_uart0_inst_RX .hps_io_uart0_inst_TX (peripheral_hps_io_uart0_inst_TX), // .hps_io_uart0_inst_TX .hps_io_i2c1_inst_SDA (peripheral_hps_io_i2c1_inst_SDA), // .hps_io_i2c1_inst_SDA .hps_io_i2c1_inst_SCL (peripheral_hps_io_i2c1_inst_SCL), // .hps_io_i2c1_inst_SCL .hps_io_gpio_inst_GPIO53 (peripheral_hps_io_gpio_inst_GPIO53), // .hps_io_gpio_inst_GPIO53 .h2f_rst_n (hps_h2f_reset_reset), // h2f_reset.reset_n .f2h_sdram0_clk (pll_outclk0_clk), // f2h_sdram0_clock.clk .f2h_sdram0_ADDRESS (mm_interconnect_2_hps_f2h_sdram0_data_address), // f2h_sdram0_data.address .f2h_sdram0_BURSTCOUNT (mm_interconnect_2_hps_f2h_sdram0_data_burstcount), // .burstcount .f2h_sdram0_WAITREQUEST (mm_interconnect_2_hps_f2h_sdram0_data_waitrequest), // .waitrequest .f2h_sdram0_READDATA (mm_interconnect_2_hps_f2h_sdram0_data_readdata), // .readdata .f2h_sdram0_READDATAVALID (mm_interconnect_2_hps_f2h_sdram0_data_readdatavalid), // .readdatavalid .f2h_sdram0_READ (mm_interconnect_2_hps_f2h_sdram0_data_read), // .read .f2h_sdram0_WRITEDATA (mm_interconnect_2_hps_f2h_sdram0_data_writedata), // .writedata .f2h_sdram0_BYTEENABLE (mm_interconnect_2_hps_f2h_sdram0_data_byteenable), // .byteenable .f2h_sdram0_WRITE (mm_interconnect_2_hps_f2h_sdram0_data_write), // .write .h2f_lw_axi_clk (config_clk_clk), // h2f_lw_axi_clock.clk .h2f_lw_AWID (hps_h2f_lw_axi_master_awid), // h2f_lw_axi_master.awid .h2f_lw_AWADDR (hps_h2f_lw_axi_master_awaddr), // .awaddr .h2f_lw_AWLEN (hps_h2f_lw_axi_master_awlen), // .awlen .h2f_lw_AWSIZE (hps_h2f_lw_axi_master_awsize), // .awsize .h2f_lw_AWBURST (hps_h2f_lw_axi_master_awburst), // .awburst .h2f_lw_AWLOCK (hps_h2f_lw_axi_master_awlock), // .awlock .h2f_lw_AWCACHE (hps_h2f_lw_axi_master_awcache), // .awcache .h2f_lw_AWPROT (hps_h2f_lw_axi_master_awprot), // .awprot .h2f_lw_AWVALID (hps_h2f_lw_axi_master_awvalid), // .awvalid .h2f_lw_AWREADY (hps_h2f_lw_axi_master_awready), // .awready .h2f_lw_WID (hps_h2f_lw_axi_master_wid), // .wid .h2f_lw_WDATA (hps_h2f_lw_axi_master_wdata), // .wdata .h2f_lw_WSTRB (hps_h2f_lw_axi_master_wstrb), // .wstrb .h2f_lw_WLAST (hps_h2f_lw_axi_master_wlast), // .wlast .h2f_lw_WVALID (hps_h2f_lw_axi_master_wvalid), // .wvalid .h2f_lw_WREADY (hps_h2f_lw_axi_master_wready), // .wready .h2f_lw_BID (hps_h2f_lw_axi_master_bid), // .bid .h2f_lw_BRESP (hps_h2f_lw_axi_master_bresp), // .bresp .h2f_lw_BVALID (hps_h2f_lw_axi_master_bvalid), // .bvalid .h2f_lw_BREADY (hps_h2f_lw_axi_master_bready), // .bready .h2f_lw_ARID (hps_h2f_lw_axi_master_arid), // .arid .h2f_lw_ARADDR (hps_h2f_lw_axi_master_araddr), // .araddr .h2f_lw_ARLEN (hps_h2f_lw_axi_master_arlen), // .arlen .h2f_lw_ARSIZE (hps_h2f_lw_axi_master_arsize), // .arsize .h2f_lw_ARBURST (hps_h2f_lw_axi_master_arburst), // .arburst .h2f_lw_ARLOCK (hps_h2f_lw_axi_master_arlock), // .arlock .h2f_lw_ARCACHE (hps_h2f_lw_axi_master_arcache), // .arcache .h2f_lw_ARPROT (hps_h2f_lw_axi_master_arprot), // .arprot .h2f_lw_ARVALID (hps_h2f_lw_axi_master_arvalid), // .arvalid .h2f_lw_ARREADY (hps_h2f_lw_axi_master_arready), // .arready .h2f_lw_RID (hps_h2f_lw_axi_master_rid), // .rid .h2f_lw_RDATA (hps_h2f_lw_axi_master_rdata), // .rdata .h2f_lw_RRESP (hps_h2f_lw_axi_master_rresp), // .rresp .h2f_lw_RLAST (hps_h2f_lw_axi_master_rlast), // .rlast .h2f_lw_RVALID (hps_h2f_lw_axi_master_rvalid), // .rvalid .h2f_lw_RREADY (hps_h2f_lw_axi_master_rready), // .rready .f2h_irq_p0 (hps_f2h_irq0_irq), // f2h_irq0.irq .f2h_irq_p1 (hps_f2h_irq1_irq) // f2h_irq1.irq ); system_acl_iface_acl_kernel_interface acl_kernel_interface ( .clk_clk (config_clk_clk), // clk.clk .reset_reset_n (~rst_controller_reset_out_reset), // reset.reset_n .kernel_cntrl_waitrequest (mm_interconnect_0_acl_kernel_interface_kernel_cntrl_waitrequest), // kernel_cntrl.waitrequest .kernel_cntrl_readdata (mm_interconnect_0_acl_kernel_interface_kernel_cntrl_readdata), // .readdata .kernel_cntrl_readdatavalid (mm_interconnect_0_acl_kernel_interface_kernel_cntrl_readdatavalid), // .readdatavalid .kernel_cntrl_burstcount (mm_interconnect_0_acl_kernel_interface_kernel_cntrl_burstcount), // .burstcount .kernel_cntrl_writedata (mm_interconnect_0_acl_kernel_interface_kernel_cntrl_writedata), // .writedata .kernel_cntrl_address (mm_interconnect_0_acl_kernel_interface_kernel_cntrl_address), // .address .kernel_cntrl_write (mm_interconnect_0_acl_kernel_interface_kernel_cntrl_write), // .write .kernel_cntrl_read (mm_interconnect_0_acl_kernel_interface_kernel_cntrl_read), // .read .kernel_cntrl_byteenable (mm_interconnect_0_acl_kernel_interface_kernel_cntrl_byteenable), // .byteenable .kernel_cntrl_debugaccess (mm_interconnect_0_acl_kernel_interface_kernel_cntrl_debugaccess), // .debugaccess .kernel_cra_waitrequest (kernel_cra_waitrequest), // kernel_cra.waitrequest .kernel_cra_readdata (kernel_cra_readdata), // .readdata .kernel_cra_readdatavalid (kernel_cra_readdatavalid), // .readdatavalid .kernel_cra_burstcount (kernel_cra_burstcount), // .burstcount .kernel_cra_writedata (kernel_cra_writedata), // .writedata .kernel_cra_address (kernel_cra_address), // .address .kernel_cra_write (kernel_cra_write), // .write .kernel_cra_read (kernel_cra_read), // .read .kernel_cra_byteenable (kernel_cra_byteenable), // .byteenable .kernel_cra_debugaccess (kernel_cra_debugaccess), // .debugaccess .kernel_irq_from_kernel_irq (kernel_irq_irq), // kernel_irq_from_kernel.irq .acl_bsp_memorg_kernel_mode (acl_internal_memorg_kernel_mode), // acl_bsp_memorg_kernel.mode .acl_bsp_memorg_host_mode (kernel_interface_acl_bsp_memorg_host_mode), // acl_bsp_memorg_host.mode .sw_reset_in_reset (rst_controller_reset_out_reset), // sw_reset_in.reset .kernel_clk_clk (kernel_clk_clk), // kernel_clk.clk .sw_reset_export_reset_n (acl_kernel_interface_sw_reset_export_reset), // sw_reset_export.reset_n .kernel_reset_reset_n (kernel_reset_reset_n), // kernel_reset.reset_n .kernel_irq_to_host_irq (irq_mapper_receiver0_irq) // kernel_irq_to_host.irq ); system_acl_iface_pll pll ( .refclk (config_clk_clk), // refclk.clk .rst (~reset_n), // reset.reset .outclk_0 (pll_outclk0_clk), // outclk0.clk .locked () // (terminated) ); altera_address_span_extender #( .DATA_WIDTH (256), .BYTEENABLE_WIDTH (32), .MASTER_ADDRESS_WIDTH (32), .SLAVE_ADDRESS_WIDTH (25), .SLAVE_ADDRESS_SHIFT (5), .BURSTCOUNT_WIDTH (5), .CNTL_ADDRESS_WIDTH (1), .SUB_WINDOW_COUNT (1), .MASTER_ADDRESS_DEF (64'b0000000000000000000000000000000000000000000000000000000000000000) ) address_span_extender_kernel ( .clk (pll_outclk0_clk), // clock.clk .reset (rst_controller_001_reset_out_reset), // reset.reset .avs_s0_address (mm_interconnect_1_address_span_extender_kernel_windowed_slave_address), // windowed_slave.address .avs_s0_read (mm_interconnect_1_address_span_extender_kernel_windowed_slave_read), // .read .avs_s0_readdata (mm_interconnect_1_address_span_extender_kernel_windowed_slave_readdata), // .readdata .avs_s0_write (mm_interconnect_1_address_span_extender_kernel_windowed_slave_write), // .write .avs_s0_writedata (mm_interconnect_1_address_span_extender_kernel_windowed_slave_writedata), // .writedata .avs_s0_readdatavalid (mm_interconnect_1_address_span_extender_kernel_windowed_slave_readdatavalid), // .readdatavalid .avs_s0_waitrequest (mm_interconnect_1_address_span_extender_kernel_windowed_slave_waitrequest), // .waitrequest .avs_s0_byteenable (mm_interconnect_1_address_span_extender_kernel_windowed_slave_byteenable), // .byteenable .avs_s0_burstcount (mm_interconnect_1_address_span_extender_kernel_windowed_slave_burstcount), // .burstcount .avm_m0_address (address_span_extender_kernel_expanded_master_address), // expanded_master.address .avm_m0_read (address_span_extender_kernel_expanded_master_read), // .read .avm_m0_waitrequest (address_span_extender_kernel_expanded_master_waitrequest), // .waitrequest .avm_m0_readdata (address_span_extender_kernel_expanded_master_readdata), // .readdata .avm_m0_write (address_span_extender_kernel_expanded_master_write), // .write .avm_m0_writedata (address_span_extender_kernel_expanded_master_writedata), // .writedata .avm_m0_readdatavalid (address_span_extender_kernel_expanded_master_readdatavalid), // .readdatavalid .avm_m0_byteenable (address_span_extender_kernel_expanded_master_byteenable), // .byteenable .avm_m0_burstcount (address_span_extender_kernel_expanded_master_burstcount), // .burstcount .avs_cntl_address (1'b0), // (terminated) .avs_cntl_read (1'b0), // (terminated) .avs_cntl_readdata (), // (terminated) .avs_cntl_write (1'b0), // (terminated) .avs_cntl_writedata (64'b0000000000000000000000000000000000000000000000000000000000000000), // (terminated) .avs_cntl_byteenable (8'b00000000) // (terminated) ); system_acl_iface_mm_interconnect_0 mm_interconnect_0 ( .hps_h2f_lw_axi_master_awid (hps_h2f_lw_axi_master_awid), // hps_h2f_lw_axi_master.awid .hps_h2f_lw_axi_master_awaddr (hps_h2f_lw_axi_master_awaddr), // .awaddr .hps_h2f_lw_axi_master_awlen (hps_h2f_lw_axi_master_awlen), // .awlen .hps_h2f_lw_axi_master_awsize (hps_h2f_lw_axi_master_awsize), // .awsize .hps_h2f_lw_axi_master_awburst (hps_h2f_lw_axi_master_awburst), // .awburst .hps_h2f_lw_axi_master_awlock (hps_h2f_lw_axi_master_awlock), // .awlock .hps_h2f_lw_axi_master_awcache (hps_h2f_lw_axi_master_awcache), // .awcache .hps_h2f_lw_axi_master_awprot (hps_h2f_lw_axi_master_awprot), // .awprot .hps_h2f_lw_axi_master_awvalid (hps_h2f_lw_axi_master_awvalid), // .awvalid .hps_h2f_lw_axi_master_awready (hps_h2f_lw_axi_master_awready), // .awready .hps_h2f_lw_axi_master_wid (hps_h2f_lw_axi_master_wid), // .wid .hps_h2f_lw_axi_master_wdata (hps_h2f_lw_axi_master_wdata), // .wdata .hps_h2f_lw_axi_master_wstrb (hps_h2f_lw_axi_master_wstrb), // .wstrb .hps_h2f_lw_axi_master_wlast (hps_h2f_lw_axi_master_wlast), // .wlast .hps_h2f_lw_axi_master_wvalid (hps_h2f_lw_axi_master_wvalid), // .wvalid .hps_h2f_lw_axi_master_wready (hps_h2f_lw_axi_master_wready), // .wready .hps_h2f_lw_axi_master_bid (hps_h2f_lw_axi_master_bid), // .bid .hps_h2f_lw_axi_master_bresp (hps_h2f_lw_axi_master_bresp), // .bresp .hps_h2f_lw_axi_master_bvalid (hps_h2f_lw_axi_master_bvalid), // .bvalid .hps_h2f_lw_axi_master_bready (hps_h2f_lw_axi_master_bready), // .bready .hps_h2f_lw_axi_master_arid (hps_h2f_lw_axi_master_arid), // .arid .hps_h2f_lw_axi_master_araddr (hps_h2f_lw_axi_master_araddr), // .araddr .hps_h2f_lw_axi_master_arlen (hps_h2f_lw_axi_master_arlen), // .arlen .hps_h2f_lw_axi_master_arsize (hps_h2f_lw_axi_master_arsize), // .arsize .hps_h2f_lw_axi_master_arburst (hps_h2f_lw_axi_master_arburst), // .arburst .hps_h2f_lw_axi_master_arlock (hps_h2f_lw_axi_master_arlock), // .arlock .hps_h2f_lw_axi_master_arcache (hps_h2f_lw_axi_master_arcache), // .arcache .hps_h2f_lw_axi_master_arprot (hps_h2f_lw_axi_master_arprot), // .arprot .hps_h2f_lw_axi_master_arvalid (hps_h2f_lw_axi_master_arvalid), // .arvalid .hps_h2f_lw_axi_master_arready (hps_h2f_lw_axi_master_arready), // .arready .hps_h2f_lw_axi_master_rid (hps_h2f_lw_axi_master_rid), // .rid .hps_h2f_lw_axi_master_rdata (hps_h2f_lw_axi_master_rdata), // .rdata .hps_h2f_lw_axi_master_rresp (hps_h2f_lw_axi_master_rresp), // .rresp .hps_h2f_lw_axi_master_rlast (hps_h2f_lw_axi_master_rlast), // .rlast .hps_h2f_lw_axi_master_rvalid (hps_h2f_lw_axi_master_rvalid), // .rvalid .hps_h2f_lw_axi_master_rready (hps_h2f_lw_axi_master_rready), // .rready .config_clk_out_clk_clk (config_clk_clk), // config_clk_out_clk.clk .hps_h2f_lw_axi_master_agent_clk_reset_reset_bridge_in_reset_reset (rst_controller_002_reset_out_reset), // hps_h2f_lw_axi_master_agent_clk_reset_reset_bridge_in_reset.reset .version_id_clk_reset_reset_bridge_in_reset_reset (rst_controller_reset_out_reset), // version_id_clk_reset_reset_bridge_in_reset.reset .acl_kernel_clk_ctrl_address (mm_interconnect_0_acl_kernel_clk_ctrl_address), // acl_kernel_clk_ctrl.address .acl_kernel_clk_ctrl_write (mm_interconnect_0_acl_kernel_clk_ctrl_write), // .write .acl_kernel_clk_ctrl_read (mm_interconnect_0_acl_kernel_clk_ctrl_read), // .read .acl_kernel_clk_ctrl_readdata (mm_interconnect_0_acl_kernel_clk_ctrl_readdata), // .readdata .acl_kernel_clk_ctrl_writedata (mm_interconnect_0_acl_kernel_clk_ctrl_writedata), // .writedata .acl_kernel_clk_ctrl_burstcount (mm_interconnect_0_acl_kernel_clk_ctrl_burstcount), // .burstcount .acl_kernel_clk_ctrl_byteenable (mm_interconnect_0_acl_kernel_clk_ctrl_byteenable), // .byteenable .acl_kernel_clk_ctrl_readdatavalid (mm_interconnect_0_acl_kernel_clk_ctrl_readdatavalid), // .readdatavalid .acl_kernel_clk_ctrl_waitrequest (mm_interconnect_0_acl_kernel_clk_ctrl_waitrequest), // .waitrequest .acl_kernel_clk_ctrl_debugaccess (mm_interconnect_0_acl_kernel_clk_ctrl_debugaccess), // .debugaccess .acl_kernel_interface_kernel_cntrl_address (mm_interconnect_0_acl_kernel_interface_kernel_cntrl_address), // acl_kernel_interface_kernel_cntrl.address .acl_kernel_interface_kernel_cntrl_write (mm_interconnect_0_acl_kernel_interface_kernel_cntrl_write), // .write .acl_kernel_interface_kernel_cntrl_read (mm_interconnect_0_acl_kernel_interface_kernel_cntrl_read), // .read .acl_kernel_interface_kernel_cntrl_readdata (mm_interconnect_0_acl_kernel_interface_kernel_cntrl_readdata), // .readdata .acl_kernel_interface_kernel_cntrl_writedata (mm_interconnect_0_acl_kernel_interface_kernel_cntrl_writedata), // .writedata .acl_kernel_interface_kernel_cntrl_burstcount (mm_interconnect_0_acl_kernel_interface_kernel_cntrl_burstcount), // .burstcount .acl_kernel_interface_kernel_cntrl_byteenable (mm_interconnect_0_acl_kernel_interface_kernel_cntrl_byteenable), // .byteenable .acl_kernel_interface_kernel_cntrl_readdatavalid (mm_interconnect_0_acl_kernel_interface_kernel_cntrl_readdatavalid), // .readdatavalid .acl_kernel_interface_kernel_cntrl_waitrequest (mm_interconnect_0_acl_kernel_interface_kernel_cntrl_waitrequest), // .waitrequest .acl_kernel_interface_kernel_cntrl_debugaccess (mm_interconnect_0_acl_kernel_interface_kernel_cntrl_debugaccess), // .debugaccess .version_id_s_read (mm_interconnect_0_version_id_s_read), // version_id_s.read .version_id_s_readdata (mm_interconnect_0_version_id_s_readdata) // .readdata ); system_acl_iface_mm_interconnect_1 mm_interconnect_1 ( .pll_outclk0_clk (pll_outclk0_clk), // pll_outclk0.clk .clock_cross_kernel_mem1_m0_reset_reset_bridge_in_reset_reset (rst_controller_001_reset_out_reset), // clock_cross_kernel_mem1_m0_reset_reset_bridge_in_reset.reset .clock_cross_kernel_mem1_m0_address (clock_cross_kernel_mem1_m0_address), // clock_cross_kernel_mem1_m0.address .clock_cross_kernel_mem1_m0_waitrequest (clock_cross_kernel_mem1_m0_waitrequest), // .waitrequest .clock_cross_kernel_mem1_m0_burstcount (clock_cross_kernel_mem1_m0_burstcount), // .burstcount .clock_cross_kernel_mem1_m0_byteenable (clock_cross_kernel_mem1_m0_byteenable), // .byteenable .clock_cross_kernel_mem1_m0_read (clock_cross_kernel_mem1_m0_read), // .read .clock_cross_kernel_mem1_m0_readdata (clock_cross_kernel_mem1_m0_readdata), // .readdata .clock_cross_kernel_mem1_m0_readdatavalid (clock_cross_kernel_mem1_m0_readdatavalid), // .readdatavalid .clock_cross_kernel_mem1_m0_write (clock_cross_kernel_mem1_m0_write), // .write .clock_cross_kernel_mem1_m0_writedata (clock_cross_kernel_mem1_m0_writedata), // .writedata .clock_cross_kernel_mem1_m0_debugaccess (clock_cross_kernel_mem1_m0_debugaccess), // .debugaccess .address_span_extender_kernel_windowed_slave_address (mm_interconnect_1_address_span_extender_kernel_windowed_slave_address), // address_span_extender_kernel_windowed_slave.address .address_span_extender_kernel_windowed_slave_write (mm_interconnect_1_address_span_extender_kernel_windowed_slave_write), // .write .address_span_extender_kernel_windowed_slave_read (mm_interconnect_1_address_span_extender_kernel_windowed_slave_read), // .read .address_span_extender_kernel_windowed_slave_readdata (mm_interconnect_1_address_span_extender_kernel_windowed_slave_readdata), // .readdata .address_span_extender_kernel_windowed_slave_writedata (mm_interconnect_1_address_span_extender_kernel_windowed_slave_writedata), // .writedata .address_span_extender_kernel_windowed_slave_burstcount (mm_interconnect_1_address_span_extender_kernel_windowed_slave_burstcount), // .burstcount .address_span_extender_kernel_windowed_slave_byteenable (mm_interconnect_1_address_span_extender_kernel_windowed_slave_byteenable), // .byteenable .address_span_extender_kernel_windowed_slave_readdatavalid (mm_interconnect_1_address_span_extender_kernel_windowed_slave_readdatavalid), // .readdatavalid .address_span_extender_kernel_windowed_slave_waitrequest (mm_interconnect_1_address_span_extender_kernel_windowed_slave_waitrequest) // .waitrequest ); system_acl_iface_mm_interconnect_2 mm_interconnect_2 ( .pll_outclk0_clk (pll_outclk0_clk), // pll_outclk0.clk .address_span_extender_kernel_reset_reset_bridge_in_reset_reset (rst_controller_001_reset_out_reset), // address_span_extender_kernel_reset_reset_bridge_in_reset.reset .hps_f2h_sdram0_data_translator_reset_reset_bridge_in_reset_reset (rst_controller_003_reset_out_reset), // hps_f2h_sdram0_data_translator_reset_reset_bridge_in_reset.reset .address_span_extender_kernel_expanded_master_address (address_span_extender_kernel_expanded_master_address), // address_span_extender_kernel_expanded_master.address .address_span_extender_kernel_expanded_master_waitrequest (address_span_extender_kernel_expanded_master_waitrequest), // .waitrequest .address_span_extender_kernel_expanded_master_burstcount (address_span_extender_kernel_expanded_master_burstcount), // .burstcount .address_span_extender_kernel_expanded_master_byteenable (address_span_extender_kernel_expanded_master_byteenable), // .byteenable .address_span_extender_kernel_expanded_master_read (address_span_extender_kernel_expanded_master_read), // .read .address_span_extender_kernel_expanded_master_readdata (address_span_extender_kernel_expanded_master_readdata), // .readdata .address_span_extender_kernel_expanded_master_readdatavalid (address_span_extender_kernel_expanded_master_readdatavalid), // .readdatavalid .address_span_extender_kernel_expanded_master_write (address_span_extender_kernel_expanded_master_write), // .write .address_span_extender_kernel_expanded_master_writedata (address_span_extender_kernel_expanded_master_writedata), // .writedata .hps_f2h_sdram0_data_address (mm_interconnect_2_hps_f2h_sdram0_data_address), // hps_f2h_sdram0_data.address .hps_f2h_sdram0_data_write (mm_interconnect_2_hps_f2h_sdram0_data_write), // .write .hps_f2h_sdram0_data_read (mm_interconnect_2_hps_f2h_sdram0_data_read), // .read .hps_f2h_sdram0_data_readdata (mm_interconnect_2_hps_f2h_sdram0_data_readdata), // .readdata .hps_f2h_sdram0_data_writedata (mm_interconnect_2_hps_f2h_sdram0_data_writedata), // .writedata .hps_f2h_sdram0_data_burstcount (mm_interconnect_2_hps_f2h_sdram0_data_burstcount), // .burstcount .hps_f2h_sdram0_data_byteenable (mm_interconnect_2_hps_f2h_sdram0_data_byteenable), // .byteenable .hps_f2h_sdram0_data_readdatavalid (mm_interconnect_2_hps_f2h_sdram0_data_readdatavalid), // .readdatavalid .hps_f2h_sdram0_data_waitrequest (mm_interconnect_2_hps_f2h_sdram0_data_waitrequest) // .waitrequest ); system_acl_iface_irq_mapper irq_mapper ( .clk (), // clk.clk .reset (), // clk_reset.reset .receiver0_irq (irq_mapper_receiver0_irq), // receiver0.irq .sender_irq (hps_f2h_irq0_irq) // sender.irq ); system_acl_iface_irq_mapper_001 irq_mapper_001 ( .clk (), // clk.clk .reset (), // clk_reset.reset .sender_irq (hps_f2h_irq1_irq) // sender.irq ); altera_reset_controller #( .NUM_RESET_INPUTS (1), .OUTPUT_RESET_SYNC_EDGES ("deassert"), .SYNC_DEPTH (2), .RESET_REQUEST_PRESENT (0), .RESET_REQ_WAIT_TIME (1), .MIN_RST_ASSERTION_TIME (3), .RESET_REQ_EARLY_DSRT_TIME (1), .USE_RESET_REQUEST_IN0 (0), .USE_RESET_REQUEST_IN1 (0), .USE_RESET_REQUEST_IN2 (0), .USE_RESET_REQUEST_IN3 (0), .USE_RESET_REQUEST_IN4 (0), .USE_RESET_REQUEST_IN5 (0), .USE_RESET_REQUEST_IN6 (0), .USE_RESET_REQUEST_IN7 (0), .USE_RESET_REQUEST_IN8 (0), .USE_RESET_REQUEST_IN9 (0), .USE_RESET_REQUEST_IN10 (0), .USE_RESET_REQUEST_IN11 (0), .USE_RESET_REQUEST_IN12 (0), .USE_RESET_REQUEST_IN13 (0), .USE_RESET_REQUEST_IN14 (0), .USE_RESET_REQUEST_IN15 (0), .ADAPT_RESET_REQUEST (0) ) rst_controller ( .reset_in0 (~reset_n), // reset_in0.reset .clk (config_clk_clk), // clk.clk .reset_out (rst_controller_reset_out_reset), // reset_out.reset .reset_req (), // (terminated) .reset_req_in0 (1'b0), // (terminated) .reset_in1 (1'b0), // (terminated) .reset_req_in1 (1'b0), // (terminated) .reset_in2 (1'b0), // (terminated) .reset_req_in2 (1'b0), // (terminated) .reset_in3 (1'b0), // (terminated) .reset_req_in3 (1'b0), // (terminated) .reset_in4 (1'b0), // (terminated) .reset_req_in4 (1'b0), // (terminated) .reset_in5 (1'b0), // (terminated) .reset_req_in5 (1'b0), // (terminated) .reset_in6 (1'b0), // (terminated) .reset_req_in6 (1'b0), // (terminated) .reset_in7 (1'b0), // (terminated) .reset_req_in7 (1'b0), // (terminated) .reset_in8 (1'b0), // (terminated) .reset_req_in8 (1'b0), // (terminated) .reset_in9 (1'b0), // (terminated) .reset_req_in9 (1'b0), // (terminated) .reset_in10 (1'b0), // (terminated) .reset_req_in10 (1'b0), // (terminated) .reset_in11 (1'b0), // (terminated) .reset_req_in11 (1'b0), // (terminated) .reset_in12 (1'b0), // (terminated) .reset_req_in12 (1'b0), // (terminated) .reset_in13 (1'b0), // (terminated) .reset_req_in13 (1'b0), // (terminated) .reset_in14 (1'b0), // (terminated) .reset_req_in14 (1'b0), // (terminated) .reset_in15 (1'b0), // (terminated) .reset_req_in15 (1'b0) // (terminated) ); altera_reset_controller #( .NUM_RESET_INPUTS (1), .OUTPUT_RESET_SYNC_EDGES ("deassert"), .SYNC_DEPTH (2), .RESET_REQUEST_PRESENT (0), .RESET_REQ_WAIT_TIME (1), .MIN_RST_ASSERTION_TIME (3), .RESET_REQ_EARLY_DSRT_TIME (1), .USE_RESET_REQUEST_IN0 (0), .USE_RESET_REQUEST_IN1 (0), .USE_RESET_REQUEST_IN2 (0), .USE_RESET_REQUEST_IN3 (0), .USE_RESET_REQUEST_IN4 (0), .USE_RESET_REQUEST_IN5 (0), .USE_RESET_REQUEST_IN6 (0), .USE_RESET_REQUEST_IN7 (0), .USE_RESET_REQUEST_IN8 (0), .USE_RESET_REQUEST_IN9 (0), .USE_RESET_REQUEST_IN10 (0), .USE_RESET_REQUEST_IN11 (0), .USE_RESET_REQUEST_IN12 (0), .USE_RESET_REQUEST_IN13 (0), .USE_RESET_REQUEST_IN14 (0), .USE_RESET_REQUEST_IN15 (0), .ADAPT_RESET_REQUEST (0) ) rst_controller_001 ( .reset_in0 (~acl_kernel_interface_sw_reset_export_reset), // reset_in0.reset .clk (pll_outclk0_clk), // clk.clk .reset_out (rst_controller_001_reset_out_reset), // reset_out.reset .reset_req (), // (terminated) .reset_req_in0 (1'b0), // (terminated) .reset_in1 (1'b0), // (terminated) .reset_req_in1 (1'b0), // (terminated) .reset_in2 (1'b0), // (terminated) .reset_req_in2 (1'b0), // (terminated) .reset_in3 (1'b0), // (terminated) .reset_req_in3 (1'b0), // (terminated) .reset_in4 (1'b0), // (terminated) .reset_req_in4 (1'b0), // (terminated) .reset_in5 (1'b0), // (terminated) .reset_req_in5 (1'b0), // (terminated) .reset_in6 (1'b0), // (terminated) .reset_req_in6 (1'b0), // (terminated) .reset_in7 (1'b0), // (terminated) .reset_req_in7 (1'b0), // (terminated) .reset_in8 (1'b0), // (terminated) .reset_req_in8 (1'b0), // (terminated) .reset_in9 (1'b0), // (terminated) .reset_req_in9 (1'b0), // (terminated) .reset_in10 (1'b0), // (terminated) .reset_req_in10 (1'b0), // (terminated) .reset_in11 (1'b0), // (terminated) .reset_req_in11 (1'b0), // (terminated) .reset_in12 (1'b0), // (terminated) .reset_req_in12 (1'b0), // (terminated) .reset_in13 (1'b0), // (terminated) .reset_req_in13 (1'b0), // (terminated) .reset_in14 (1'b0), // (terminated) .reset_req_in14 (1'b0), // (terminated) .reset_in15 (1'b0), // (terminated) .reset_req_in15 (1'b0) // (terminated) ); altera_reset_controller #( .NUM_RESET_INPUTS (1), .OUTPUT_RESET_SYNC_EDGES ("deassert"), .SYNC_DEPTH (2), .RESET_REQUEST_PRESENT (0), .RESET_REQ_WAIT_TIME (1), .MIN_RST_ASSERTION_TIME (3), .RESET_REQ_EARLY_DSRT_TIME (1), .USE_RESET_REQUEST_IN0 (0), .USE_RESET_REQUEST_IN1 (0), .USE_RESET_REQUEST_IN2 (0), .USE_RESET_REQUEST_IN3 (0), .USE_RESET_REQUEST_IN4 (0), .USE_RESET_REQUEST_IN5 (0), .USE_RESET_REQUEST_IN6 (0), .USE_RESET_REQUEST_IN7 (0), .USE_RESET_REQUEST_IN8 (0), .USE_RESET_REQUEST_IN9 (0), .USE_RESET_REQUEST_IN10 (0), .USE_RESET_REQUEST_IN11 (0), .USE_RESET_REQUEST_IN12 (0), .USE_RESET_REQUEST_IN13 (0), .USE_RESET_REQUEST_IN14 (0), .USE_RESET_REQUEST_IN15 (0), .ADAPT_RESET_REQUEST (0) ) rst_controller_002 ( .reset_in0 (~hps_h2f_reset_reset), // reset_in0.reset .clk (config_clk_clk), // clk.clk .reset_out (rst_controller_002_reset_out_reset), // reset_out.reset .reset_req (), // (terminated) .reset_req_in0 (1'b0), // (terminated) .reset_in1 (1'b0), // (terminated) .reset_req_in1 (1'b0), // (terminated) .reset_in2 (1'b0), // (terminated) .reset_req_in2 (1'b0), // (terminated) .reset_in3 (1'b0), // (terminated) .reset_req_in3 (1'b0), // (terminated) .reset_in4 (1'b0), // (terminated) .reset_req_in4 (1'b0), // (terminated) .reset_in5 (1'b0), // (terminated) .reset_req_in5 (1'b0), // (terminated) .reset_in6 (1'b0), // (terminated) .reset_req_in6 (1'b0), // (terminated) .reset_in7 (1'b0), // (terminated) .reset_req_in7 (1'b0), // (terminated) .reset_in8 (1'b0), // (terminated) .reset_req_in8 (1'b0), // (terminated) .reset_in9 (1'b0), // (terminated) .reset_req_in9 (1'b0), // (terminated) .reset_in10 (1'b0), // (terminated) .reset_req_in10 (1'b0), // (terminated) .reset_in11 (1'b0), // (terminated) .reset_req_in11 (1'b0), // (terminated) .reset_in12 (1'b0), // (terminated) .reset_req_in12 (1'b0), // (terminated) .reset_in13 (1'b0), // (terminated) .reset_req_in13 (1'b0), // (terminated) .reset_in14 (1'b0), // (terminated) .reset_req_in14 (1'b0), // (terminated) .reset_in15 (1'b0), // (terminated) .reset_req_in15 (1'b0) // (terminated) ); altera_reset_controller #( .NUM_RESET_INPUTS (1), .OUTPUT_RESET_SYNC_EDGES ("deassert"), .SYNC_DEPTH (2), .RESET_REQUEST_PRESENT (0), .RESET_REQ_WAIT_TIME (1), .MIN_RST_ASSERTION_TIME (3), .RESET_REQ_EARLY_DSRT_TIME (1), .USE_RESET_REQUEST_IN0 (0), .USE_RESET_REQUEST_IN1 (0), .USE_RESET_REQUEST_IN2 (0), .USE_RESET_REQUEST_IN3 (0), .USE_RESET_REQUEST_IN4 (0), .USE_RESET_REQUEST_IN5 (0), .USE_RESET_REQUEST_IN6 (0), .USE_RESET_REQUEST_IN7 (0), .USE_RESET_REQUEST_IN8 (0), .USE_RESET_REQUEST_IN9 (0), .USE_RESET_REQUEST_IN10 (0), .USE_RESET_REQUEST_IN11 (0), .USE_RESET_REQUEST_IN12 (0), .USE_RESET_REQUEST_IN13 (0), .USE_RESET_REQUEST_IN14 (0), .USE_RESET_REQUEST_IN15 (0), .ADAPT_RESET_REQUEST (0) ) rst_controller_003 ( .reset_in0 (~hps_h2f_reset_reset), // reset_in0.reset .clk (pll_outclk0_clk), // clk.clk .reset_out (rst_controller_003_reset_out_reset), // reset_out.reset .reset_req (), // (terminated) .reset_req_in0 (1'b0), // (terminated) .reset_in1 (1'b0), // (terminated) .reset_req_in1 (1'b0), // (terminated) .reset_in2 (1'b0), // (terminated) .reset_req_in2 (1'b0), // (terminated) .reset_in3 (1'b0), // (terminated) .reset_req_in3 (1'b0), // (terminated) .reset_in4 (1'b0), // (terminated) .reset_req_in4 (1'b0), // (terminated) .reset_in5 (1'b0), // (terminated) .reset_req_in5 (1'b0), // (terminated) .reset_in6 (1'b0), // (terminated) .reset_req_in6 (1'b0), // (terminated) .reset_in7 (1'b0), // (terminated) .reset_req_in7 (1'b0), // (terminated) .reset_in8 (1'b0), // (terminated) .reset_req_in8 (1'b0), // (terminated) .reset_in9 (1'b0), // (terminated) .reset_req_in9 (1'b0), // (terminated) .reset_in10 (1'b0), // (terminated) .reset_req_in10 (1'b0), // (terminated) .reset_in11 (1'b0), // (terminated) .reset_req_in11 (1'b0), // (terminated) .reset_in12 (1'b0), // (terminated) .reset_req_in12 (1'b0), // (terminated) .reset_in13 (1'b0), // (terminated) .reset_req_in13 (1'b0), // (terminated) .reset_in14 (1'b0), // (terminated) .reset_req_in14 (1'b0), // (terminated) .reset_in15 (1'b0), // (terminated) .reset_req_in15 (1'b0) // (terminated) ); assign kernel_clk_snoop_clk = kernel_clk_clk; endmodule
module counter #(parameter C_MAX_VALUE = 10, parameter C_SAT_VALUE = 10, parameter C_RST_VALUE = 0) ( input CLK, input RST_IN, input ENABLE, output [clog2s(C_MAX_VALUE+1)-1:0] VALUE ); `include "functions.vh" wire wEnable; reg [clog2s(C_MAX_VALUE+1)-1:0] wCtrValue; reg [clog2s(C_MAX_VALUE+1)-1:0] rCtrValue; /* verilator lint_off WIDTH */ assign wEnable = ENABLE & (C_SAT_VALUE > rCtrValue); /* verilator lint_on WIDTH */ assign VALUE = rCtrValue; always @(posedge CLK) begin if(RST_IN) begin rCtrValue <= C_RST_VALUE; end else if(wEnable) begin rCtrValue <= rCtrValue + 1; end end endmodule
module .idelayctrl_refclk (), .phy_dout (phy_dout), .phy_cmd_wr_en (phy_cmd_wr_en), .phy_data_wr_en (phy_data_wr_en), .phy_rd_en (phy_rd_en), .phy_ctl_wd (phy_ctl_wd_temp), .phy_ctl_wr (phy_ctl_wr_temp), .if_empty_def (phy_if_empty_def), .if_rst (phy_if_reset), .phyGo ('b1), .aux_in_1 (aux_in_1), .aux_in_2 (aux_in_2), // No support yet for different data offsets for different I/O banks // (possible use in supporting wider range of skew among bytes) .data_offset_1 (data_offset_1_temp), .data_offset_2 (data_offset_2_temp), .cke_in (), .if_a_empty (), .if_empty (if_empty), .if_empty_or (), .if_empty_and (), .of_ctl_a_full (), // .of_data_a_full (phy_data_full), .of_ctl_full (phy_cmd_full), .of_data_full (), .pre_data_a_full (phy_pre_data_a_full), .idelay_ld (idelay_ld), .idelay_ce (idelay_ce), .idelay_inc (idelay_inc), .input_sink (), .phy_din (phy_din), .phy_ctl_a_full (), .phy_ctl_full (phy_ctl_full_temp), .mem_dq_out (mem_dq_out), .mem_dq_ts (mem_dq_ts), .mem_dq_in (mem_dq_in), .mem_dqs_out (mem_dqs_out), .mem_dqs_ts (mem_dqs_ts), .mem_dqs_in (mem_dqs_in), .aux_out (aux_out), .phy_ctl_ready (), .rst_out (), .ddr_clk (ddr_clk), //.rclk (), .mcGo (phy_mc_go), .phy_write_calib (phy_write_calib), .phy_read_calib (phy_read_calib), .calib_sel (calib_sel), .calib_in_common (calib_in_common), .calib_zero_inputs (calib_zero_inputs), .calib_zero_ctrl (calib_zero_ctrl), .calib_zero_lanes ('b0), .po_fine_enable (po_fine_enable), .po_coarse_enable (po_coarse_enable), .po_fine_inc (po_fine_inc), .po_coarse_inc (po_coarse_inc), .po_counter_load_en (po_counter_load_en), .po_sel_fine_oclk_delay (po_sel_fine_oclk_delay), .po_counter_load_val (po_counter_load_val), .po_counter_read_en (po_counter_read_en), .po_coarse_overflow (), .po_fine_overflow (), .po_counter_read_val (po_counter_read_val), .pi_rst_dqs_find (pi_rst_dqs_find), .pi_fine_enable (pi_fine_enable), .pi_fine_inc (pi_fine_inc), .pi_counter_load_en (pi_counter_load_en), .pi_counter_read_en (dbg_pi_counter_read_en), .pi_counter_load_val (pi_counter_load_val), .pi_fine_overflow (), .pi_counter_read_val (pi_counter_read_val), .pi_phase_locked (pi_phase_locked), .pi_phase_locked_all (pi_phase_locked_all), .pi_dqs_found (), .pi_dqs_found_any (pi_dqs_found), .pi_dqs_found_all (pi_dqs_found_all), .pi_dqs_found_lanes (dbg_pi_dqs_found_lanes_phy4lanes), // Currently not being used. May be used in future if periodic // reads become a requirement. This output could be used to signal // a catastrophic failure in read capture and the need for // re-calibration. .pi_dqs_out_of_range (pi_dqs_out_of_range) ,.ref_dll_lock (ref_dll_lock) ,.pi_phase_locked_lanes (dbg_pi_phase_locked_phy4lanes) // ,.rst_phaser_ref (rst_phaser_ref) ); endmodule
module TRELLIS_SLICE( input A0, B0, C0, D0, input A1, B1, C1, D1, input M0, M1, input FCI, FXA, FXB, input CLK, LSR, CE, input DI0, DI1, input WD0, WD1, input WAD0, WAD1, WAD2, WAD3, input WRE, WCK, output F0, Q0, output F1, Q1, output FCO, OFX0, OFX1, output WDO0, WDO1, WDO2, WDO3, output WADO0, WADO1, WADO2, WADO3 ); parameter MODE = "LOGIC"; parameter GSR = "ENABLED"; parameter SRMODE = "LSR_OVER_CE"; parameter [127:0] CEMUX = "1"; parameter CLKMUX = "CLK"; parameter LSRMUX = "LSR"; parameter LUT0_INITVAL = 16'h0000; parameter LUT1_INITVAL = 16'h0000; parameter REG0_SD = "0"; parameter REG1_SD = "0"; parameter REG0_REGSET = "RESET"; parameter REG1_REGSET = "RESET"; parameter [127:0] CCU2_INJECT1_0 = "NO"; parameter [127:0] CCU2_INJECT1_1 = "NO"; parameter WREMUX = "WRE"; function [15:0] permute_initval; input [15:0] initval; integer i; begin for (i = 0; i < 16; i = i + 1) begin permute_initval[{i[0], i[2], i[1], i[3]}] = initval[i]; end end endfunction generate if (MODE == "LOGIC") begin // LUTs LUT4 #( .INIT(LUT0_INITVAL) ) lut4_0 ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0) ); LUT4 #( .INIT(LUT1_INITVAL) ) lut4_1 ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1) ); // LUT expansion muxes PFUMX lut5_mux (.ALUT(F1), .BLUT(F0), .C0(M0), .Z(OFX0)); L6MUX21 lutx_mux (.D0(FXA), .D1(FXB), .SD(M1), .Z(OFX1)); end else if (MODE == "CCU2") begin CCU2C #( .INIT0(LUT0_INITVAL), .INIT1(LUT1_INITVAL), .INJECT1_0(CCU2_INJECT1_0), .INJECT1_1(CCU2_INJECT1_1) ) ccu2c_i ( .CIN(FCI), .A0(A0), .B0(B0), .C0(C0), .D0(D0), .A1(A1), .B1(B1), .C1(C1), .D1(D1), .S0(F0), .S1(F1), .COUT(FCO) ); end else if (MODE == "RAMW") begin assign WDO0 = C1; assign WDO1 = A1; assign WDO2 = D1; assign WDO3 = B1; assign WADO0 = D0; assign WADO1 = B0; assign WADO2 = C0; assign WADO3 = A0; end else if (MODE == "DPRAM") begin TRELLIS_RAM16X2 #( .INITVAL_0(permute_initval(LUT0_INITVAL)), .INITVAL_1(permute_initval(LUT1_INITVAL)), .WREMUX(WREMUX) ) ram_i ( .DI0(WD0), .DI1(WD1), .WAD0(WAD0), .WAD1(WAD1), .WAD2(WAD2), .WAD3(WAD3), .WRE(WRE), .WCK(WCK), .RAD0(D0), .RAD1(B0), .RAD2(C0), .RAD3(A0), .DO0(F0), .DO1(F1) ); // TODO: confirm RAD and INITVAL ordering // DPRAM mode contract? always @(*) begin assert(A0==A1); assert(B0==B1); assert(C0==C1); assert(D0==D1); end end else begin ERROR_UNKNOWN_SLICE_MODE error(); end endgenerate // FF input selection muxes wire muxdi0 = (REG0_SD == "1") ? DI0 : M0; wire muxdi1 = (REG1_SD == "1") ? DI1 : M1; // Flipflops TRELLIS_FF #( .GSR(GSR), .CEMUX(CEMUX), .CLKMUX(CLKMUX), .LSRMUX(LSRMUX), .SRMODE(SRMODE), .REGSET(REG0_REGSET) ) ff_0 ( .CLK(CLK), .LSR(LSR), .CE(CE), .DI(muxdi0), .Q(Q0) ); TRELLIS_FF #( .GSR(GSR), .CEMUX(CEMUX), .CLKMUX(CLKMUX), .LSRMUX(LSRMUX), .SRMODE(SRMODE), .REGSET(REG1_REGSET) ) ff_1 ( .CLK(CLK), .LSR(LSR), .CE(CE), .DI(muxdi1), .Q(Q1) ); endmodule
module sky130_fd_sc_hd__clkdlybuf4s50 ( X, A ); // Module ports output X; input A; // Local signals wire buf0_out_X; // Name Output Other arguments buf buf0 (buf0_out_X, A ); buf buf1 (X , buf0_out_X ); endmodule
module receiver_logic #( parameter DSIZE = 10 ) ( input wire RESET, input wire WCLK, input wire FCLK, input wire FCLK2X, input wire BUS_CLK, input wire RX_DATA, input wire read, output wire [23:0] data, output wire empty, output reg rx_fifo_full, output wire rec_sync_ready, output reg [7:0] lost_data_cnt, output reg [7:0] decoder_err_cnt, output reg [15:0] fifo_size, input wire invert_rx_data, input wire enable_rx, input wire FIFO_CLK ); wire RESET_WCLK; flag_domain_crossing reset_domain_crossing_wclk_inst ( .CLK_A(BUS_CLK), .CLK_B(WCLK), .FLAG_IN_CLK_A(RESET), .FLAG_OUT_CLK_B(RESET_WCLK) ); wire RESET_FCLK; flag_domain_crossing reset_domain_crossing_fclk_inst ( .CLK_A(BUS_CLK), .CLK_B(FCLK), .FLAG_IN_CLK_A(RESET), .FLAG_OUT_CLK_B(RESET_FCLK) ); wire RESET_FIFO_CLK; flag_domain_crossing reset_domain_crossing_fifo_clk_inst ( .CLK_A(BUS_CLK), .CLK_B(FIFO_CLK), .FLAG_IN_CLK_A(RESET), .FLAG_OUT_CLK_B(RESET_FIFO_CLK) ); // data to clock phase alignment wire RX_DATA_SYNC; //, USEAOUT, USEBOUT, USECOUT, USEDOUT; sync_master sync_master_inst( .clk(FCLK), // clock input .clk_2x(FCLK2X), // clock 90 input .datain(RX_DATA), // data inputs .rst(RESET_FCLK), // reset input .useaout(), // useA output for cascade .usebout(), // useB output for cascade .usecout(), // useC output for cascade .usedout(), // useD output for cascade .ctrlout(), // ctrl outputs for cascade .sdataout(RX_DATA_SYNC) ); reg RX_DATA_SYNC_BUF, RX_DATA_SYNC_BUF2; always @(posedge FCLK) begin RX_DATA_SYNC_BUF <= RX_DATA_SYNC; RX_DATA_SYNC_BUF2 <= RX_DATA_SYNC_BUF; end // 8b/10b record sync wire [9:0] data_8b10b; reg decoder_err; rec_sync #( .DSIZE(DSIZE) ) rec_sync_inst ( .reset(RESET_WCLK), .datain(invert_rx_data ? ~RX_DATA_SYNC_BUF2 : RX_DATA_SYNC_BUF2), .data(data_8b10b), .WCLK(WCLK), .FCLK(FCLK), .rec_sync_ready(rec_sync_ready), .decoder_err(decoder_err) ); wire write_8b10b; assign write_8b10b = rec_sync_ready & enable_rx; reg [9:0] data_to_dec; integer i; always @(*) begin for (i=0; i<10; i=i+1) data_to_dec[(10-1)-i] = data_8b10b[i]; end reg dispin; wire dispout; always @(posedge WCLK) begin if(RESET_WCLK) dispin <= 1'b0; else// if(write_8b10b) dispin <= dispout; // if(RESET_WCLK) // dispin <= 1'b0; // else // if(write_8b10b) // dispin <= ~dispout; // else // dispin <= dispin; end wire dec_k; wire [7:0] dec_data; wire code_err, disp_err; decode_8b10b decode_8b10b_inst ( .datain(data_to_dec), .dispin(dispin), .dataout({dec_k,dec_data}), // control character, data out .dispout(dispout), .code_err(code_err), .disp_err(disp_err) ); always @(posedge WCLK) begin if(RESET_WCLK) decoder_err <= 1'b0; else decoder_err <= code_err | disp_err; end // Invalid symbols may or may not cause // disparity errors depending on the symbol // itself and the disparities of the previous and // subsequent symbols. For this reason, // DISP_ERR should always be combined // with CODE_ERR to detect all errors. always @(posedge WCLK) begin if(RESET_WCLK) decoder_err_cnt <= 0; else if(decoder_err && write_8b10b && decoder_err_cnt != 8'hff) decoder_err_cnt <= decoder_err_cnt + 1; end reg [2:0] byte_sel; always @(posedge WCLK) begin if(RESET_WCLK || (write_8b10b && dec_k) || (write_8b10b && dec_k==0 && byte_sel==2)) byte_sel <= 0; else if(write_8b10b) byte_sel <= byte_sel + 1; // if(RESET_WCLK || (write_8b10b && dec_k) || (write_8b10b && dec_k==0 && byte_sel==2)) // byte_sel <= 0; // else // if(write_8b10b) // byte_sel <= byte_sel + 1; // else // byte_sel <= byte_sel; end reg [7:0] data_dec_in [2:0]; always @(posedge WCLK) begin for (i=0; i<3; i=i+1) data_dec_in[i] <= data_dec_in[i]; if(RESET_WCLK) for (i=0; i<3; i=i+1) data_dec_in[i] <= 8'b0; else if(write_8b10b && dec_k==0) data_dec_in[byte_sel] <= dec_data; end reg cdc_fifo_write; always @(posedge WCLK) begin if(RESET_WCLK) cdc_fifo_write <= 0; else if(write_8b10b && dec_k==0 && byte_sel==2) cdc_fifo_write <= 1; else cdc_fifo_write <= 0; end wire [23:0] cdc_data_out; wire [23:0] wdata; assign wdata = {data_dec_in[0], data_dec_in[1], data_dec_in[2]}; // generate long reset reg [3:0] rst_cnt_wclk; reg RST_LONG_WCLK; always @(posedge WCLK) begin if (RESET_WCLK) rst_cnt_wclk <= 4'b1111; // start value else if (rst_cnt_wclk != 0) rst_cnt_wclk <= rst_cnt_wclk - 1; RST_LONG_WCLK <= |rst_cnt_wclk; end reg [3:0] rst_cnt_fifo_clk; reg RST_LONG_FIFO_CLK; always @(posedge FIFO_CLK) begin if (RESET_FIFO_CLK) rst_cnt_fifo_clk <= 4'b1111; // start value else if (rst_cnt_fifo_clk != 0) rst_cnt_fifo_clk <= rst_cnt_fifo_clk - 1; RST_LONG_FIFO_CLK <= |rst_cnt_fifo_clk; end wire wfull; wire fifo_full, cdc_fifo_empty; cdc_syncfifo #( .DSIZE(24), .ASIZE(2) ) cdc_syncfifo_i ( .rdata(cdc_data_out), .wfull(wfull), .rempty(cdc_fifo_empty), .wdata(wdata), .winc(cdc_fifo_write), .wclk(WCLK), .wrst(RST_LONG_WCLK), .rinc(!fifo_full), .rclk(FIFO_CLK), .rrst(RST_LONG_FIFO_CLK) ); wire [10:0] fifo_size_int; gerneric_fifo #( .DATA_SIZE(24), .DEPTH(2048) ) fifo_i ( .clk(FIFO_CLK), .reset(RST_LONG_FIFO_CLK), .write(!cdc_fifo_empty), .read(read), .data_in(cdc_data_out), .full(fifo_full), .empty(empty), .data_out(data), .size(fifo_size_int) ); always @(posedge WCLK) begin if (wfull && cdc_fifo_write) begin // write when FIFO full rx_fifo_full <= 1'b1; end else if (!wfull && cdc_fifo_write) begin // write when FIFO not full rx_fifo_full <= 1'b0; end end always @(posedge WCLK) begin if (RESET_WCLK) lost_data_cnt <= 0; else if (wfull && cdc_fifo_write && lost_data_cnt != 8'b1111_1111) lost_data_cnt <= lost_data_cnt + 1; end always @(posedge FIFO_CLK) begin fifo_size <= {5'b0, fifo_size_int}; end `ifdef SYNTHESIS_NOT wire [35:0] control_bus; chipscope_icon ichipscope_icon ( .CONTROL0(control_bus) ); chipscope_ila ichipscope_ila ( .CONTROL(control_bus), .CLK(FCLK), .TRIG0({dec_k, dec_data, data_to_dec, rec_sync_ready, 1'b0, USEAOUT, USEBOUT, USECOUT, USEDOUT, RX_DATA_SYNC, RX_DATA}) ); `endif endmodule
module clk_200_400_exdes #( parameter TCQ = 100 ) (// Clock in ports input CLK_IN1, // Reset that only drives logic in example design input COUNTER_RESET, // High bits of counters driven by clocks output COUNT, // Status and control signals input RESET, output LOCKED ); // Parameters for the counters //------------------------------- // Counter width localparam C_W = 16; // When the clock goes out of lock, reset the counters wire reset_int = !LOCKED || RESET || COUNTER_RESET; reg rst_sync; reg rst_sync_int; reg rst_sync_int1; reg rst_sync_int2; // Declare the clocks and counter wire clk_int; wire clk; reg [C_W-1:0] counter; // Insert BUFGs on all input clocks that don't already have them //-------------------------------------------------------------- BUFG clkin1_buf (.O (clk_in1_buf), .I (CLK_IN1)); // Instantiation of the clocking network //-------------------------------------- clk_200_400 clknetwork (// Clock in ports .CLK_IN1 (clk_in1_buf), // Clock out ports .CLK_OUT1 (clk_int), // Status and control signals .RESET (RESET), .LOCKED (LOCKED)); // Connect the output clocks to the design //----------------------------------------- assign clk = clk_int; // Reset synchronizer //----------------------------------- always @(posedge reset_int or posedge clk) begin if (reset_int) begin rst_sync <= 1'b1; rst_sync_int <= 1'b1; rst_sync_int1 <= 1'b1; rst_sync_int2 <= 1'b1; end else begin rst_sync <= 1'b0; rst_sync_int <= rst_sync; rst_sync_int1 <= rst_sync_int; rst_sync_int2 <= rst_sync_int1; end end // Output clock sampling //----------------------------------- always @(posedge clk or posedge rst_sync_int2) begin if (rst_sync_int2) begin counter <= #TCQ { C_W { 1'b 0 } }; end else begin counter <= #TCQ counter + 1'b 1; end end // alias the high bit to the output assign COUNT = counter[C_W-1]; endmodule
module th33 ( y, a, b, c ); output y; input a, b, c; specify specparam CDS_LIBNAME = "static"; specparam CDS_CELLNAME = "th33"; specparam CDS_VIEWNAME = "schematic"; endspecify nfet_b N6 ( .d(net44), .g(y), .s(cds_globals.gnd_), .b(cds_globals.gnd_)); nfet_b N5 ( .d(net32), .g(b), .s(net44), .b(cds_globals.gnd_)); nfet_b N4 ( .d(net035), .g(c), .s(cds_globals.gnd_), .b(cds_globals.gnd_)); nfet_b N15 ( .d(net32), .g(c), .s(net44), .b(cds_globals.gnd_)); nfet_b N10 ( .d(net32), .g(a), .s(net44), .b(cds_globals.gnd_)); nfet_b N2 ( .d(net038), .g(b), .s(net035), .b(cds_globals.gnd_)); nfet_b N1 ( .d(net32), .g(a), .s(net038), .b(cds_globals.gnd_)); pfet_b P12 ( .b(cds_globals.vdd_), .g(c), .s(cds_globals.vdd_), .d(net036)); pfet_b P5 ( .b(cds_globals.vdd_), .g(b), .s(cds_globals.vdd_), .d(net036)); pfet_b P4 ( .b(cds_globals.vdd_), .g(a), .s(cds_globals.vdd_), .d(net036)); pfet_b P3 ( .b(cds_globals.vdd_), .g(y), .s(net036), .d(net32)); pfet_b P2 ( .b(cds_globals.vdd_), .g(c), .s(net34), .d(net32)); pfet_b P1 ( .b(cds_globals.vdd_), .g(b), .s(net49), .d(net34)); pfet_b P0 ( .b(cds_globals.vdd_), .g(a), .s(cds_globals.vdd_), .d(net49)); inv I2 ( y, net32); endmodule
module avlst_n_to_1 #( parameter N = 8, parameter DATA_W = 16, )( input csi_clk, input rsi_reset, output asi_ready, input asi_valid, input [N*DATA_W-1:0] asi_data, input aso_ready, output aso_valid, output [DATA_W-1:0] aso_data ); reg ready; reg valid; reg [DATA_W-1:0] data; reg [DATA_W-1:0] buffer [0:N-1]; assign asi_ready = read; assign aso_valid = valid; assign aso_data = data; reg fval; always@(posedge csi_clk, posedge rsi_reset) begin if(rsi_reset == 1'b1) fval <= 1'b0; else if(asi_valid == 1'b1) fval <= 1'b1; if(cnt == 3'h7) end genvar i; generate for(i=0; i<N; i++) begin : main always@(posedge csi_clk, posedge rsi_reset) begin if(rsi_reset == 1'b1) buffer[i] <= {DATA_W{1'b0}}; else begin if(asi_valid == 1'b1) buffer[i] <= asi_data[(i+1)*DATA_W-1:i*DATA_W]; end end end endgenerate always@(posedge csi_clk, posedge rsi_reset) begin if(rsi_reset == 1'b1) begin valid <= 1'b0; end valid <= fval & end always@(posedge csi_clk, posedge rsi_reset) begin if(rsi_reset == 1'b1) begin data <= {DATA_W{1'b0}}; end data <= buffer[cnt]; end reg [2:0] cnt; always@(posedge csi_clk, posedge rsi_reset) begin if(rsi_reset == 1'b1) cnt <= 3'h0; else begin if(aso_ready == 1'b1 && src_valid == 1'b1) cnt <= cnt+3'h1; end end endmodule
module sky130_fd_sc_ms__ebufn ( //# {{data|Data Signals}} input A , output Z , //# {{control|Control Signals}} input TE_B ); // Voltage supply signals supply1 VPWR; supply0 VGND; supply1 VPB ; supply0 VNB ; endmodule
module sky130_fd_sc_ls__einvn_8 ( Z , A , TE_B, VPWR, VGND, VPB , VNB ); output Z ; input A ; input TE_B; input VPWR; input VGND; input VPB ; input VNB ; sky130_fd_sc_ls__einvn base ( .Z(Z), .A(A), .TE_B(TE_B), .VPWR(VPWR), .VGND(VGND), .VPB(VPB), .VNB(VNB) ); endmodule
module sky130_fd_sc_ls__einvn_8 ( Z , A , TE_B ); output Z ; input A ; input TE_B; // Voltage supply signals supply1 VPWR; supply0 VGND; supply1 VPB ; supply0 VNB ; sky130_fd_sc_ls__einvn base ( .Z(Z), .A(A), .TE_B(TE_B) ); endmodule
module Dlatch (q,qbar,clock,data); output q, qbar; input clock, data; wire nand1, nand2; wire databar; not #1 (databar,data); nand #1 (nand1,clock, data); nand #1 (nand2,clock, databar); nand #1 (qbar,nand2,q); nand #1 (q,nand1,qbar); endmodule
module m555(clock); parameter InitDelay = 10, Ton = 50, Toff = 50; output clock; reg clock; initial begin #InitDelay clock = 1; end always begin #Ton clock = ~clock; #Toff clock = ~clock; end endmodule
module testD(q, qbar, clock, data); input q, qbar, clock; output data; reg data; initial begin $monitor ($time, " q = %d, qbar = %d, clock = %d, data = %d", q, qbar, clock, data); data = 0; #25 data = 1; #100 data = 0; #50 data = 1; #50 data = 0; #100 data = 1; #50 data = 0; #50 data = 1; #100 $finish; /* $finish simulation after 100 time simulation units */ end endmodule
module testBenchD; wire clock, q, qbar, data; m555 clk(clock); Dlatch dl(q, qbar, clock, data); testD td(q, qbar, clock, data); endmodule
module hps_design ( input wire clk_clk, // clk.clk output wire [14:0] hps_ddr_mem_a, // hps_ddr.mem_a output wire [2:0] hps_ddr_mem_ba, // .mem_ba output wire hps_ddr_mem_ck, // .mem_ck output wire hps_ddr_mem_ck_n, // .mem_ck_n output wire hps_ddr_mem_cke, // .mem_cke output wire hps_ddr_mem_cs_n, // .mem_cs_n output wire hps_ddr_mem_ras_n, // .mem_ras_n output wire hps_ddr_mem_cas_n, // .mem_cas_n output wire hps_ddr_mem_we_n, // .mem_we_n output wire hps_ddr_mem_reset_n, // .mem_reset_n inout wire [31:0] hps_ddr_mem_dq, // .mem_dq inout wire [3:0] hps_ddr_mem_dqs, // .mem_dqs inout wire [3:0] hps_ddr_mem_dqs_n, // .mem_dqs_n output wire hps_ddr_mem_odt, // .mem_odt output wire [3:0] hps_ddr_mem_dm, // .mem_dm input wire hps_ddr_oct_rzqin, // .oct_rzqin output wire ledr_export, // ledr.export output wire pll_0_sdram_clk // pll_0_sdram.clk ); wire pll_0_outclk0_clk; // pll_0:outclk_0 -> [SMP_HPS:h2f_lw_axi_clk, mm_interconnect_0:pll_0_outclk0_clk, pio_0:clk, rst_controller:clk, rst_controller_001:clk] wire smp_hps_h2f_reset_reset; // SMP_HPS:h2f_rst_n -> [pll_0:rst, rst_controller:reset_in0, rst_controller_001:reset_in0] wire [1:0] smp_hps_h2f_lw_axi_master_awburst; // SMP_HPS:h2f_lw_AWBURST -> mm_interconnect_0:SMP_HPS_h2f_lw_axi_master_awburst wire [3:0] smp_hps_h2f_lw_axi_master_arlen; // SMP_HPS:h2f_lw_ARLEN -> mm_interconnect_0:SMP_HPS_h2f_lw_axi_master_arlen wire [3:0] smp_hps_h2f_lw_axi_master_wstrb; // SMP_HPS:h2f_lw_WSTRB -> mm_interconnect_0:SMP_HPS_h2f_lw_axi_master_wstrb wire smp_hps_h2f_lw_axi_master_wready; // mm_interconnect_0:SMP_HPS_h2f_lw_axi_master_wready -> SMP_HPS:h2f_lw_WREADY wire [11:0] smp_hps_h2f_lw_axi_master_rid; // mm_interconnect_0:SMP_HPS_h2f_lw_axi_master_rid -> SMP_HPS:h2f_lw_RID wire smp_hps_h2f_lw_axi_master_rready; // SMP_HPS:h2f_lw_RREADY -> mm_interconnect_0:SMP_HPS_h2f_lw_axi_master_rready wire [3:0] smp_hps_h2f_lw_axi_master_awlen; // SMP_HPS:h2f_lw_AWLEN -> mm_interconnect_0:SMP_HPS_h2f_lw_axi_master_awlen wire [11:0] smp_hps_h2f_lw_axi_master_wid; // SMP_HPS:h2f_lw_WID -> mm_interconnect_0:SMP_HPS_h2f_lw_axi_master_wid wire [3:0] smp_hps_h2f_lw_axi_master_arcache; // SMP_HPS:h2f_lw_ARCACHE -> mm_interconnect_0:SMP_HPS_h2f_lw_axi_master_arcache wire smp_hps_h2f_lw_axi_master_wvalid; // SMP_HPS:h2f_lw_WVALID -> mm_interconnect_0:SMP_HPS_h2f_lw_axi_master_wvalid wire [20:0] smp_hps_h2f_lw_axi_master_araddr; // SMP_HPS:h2f_lw_ARADDR -> mm_interconnect_0:SMP_HPS_h2f_lw_axi_master_araddr wire [2:0] smp_hps_h2f_lw_axi_master_arprot; // SMP_HPS:h2f_lw_ARPROT -> mm_interconnect_0:SMP_HPS_h2f_lw_axi_master_arprot wire [2:0] smp_hps_h2f_lw_axi_master_awprot; // SMP_HPS:h2f_lw_AWPROT -> mm_interconnect_0:SMP_HPS_h2f_lw_axi_master_awprot wire [31:0] smp_hps_h2f_lw_axi_master_wdata; // SMP_HPS:h2f_lw_WDATA -> mm_interconnect_0:SMP_HPS_h2f_lw_axi_master_wdata wire smp_hps_h2f_lw_axi_master_arvalid; // SMP_HPS:h2f_lw_ARVALID -> mm_interconnect_0:SMP_HPS_h2f_lw_axi_master_arvalid wire [3:0] smp_hps_h2f_lw_axi_master_awcache; // SMP_HPS:h2f_lw_AWCACHE -> mm_interconnect_0:SMP_HPS_h2f_lw_axi_master_awcache wire [11:0] smp_hps_h2f_lw_axi_master_arid; // SMP_HPS:h2f_lw_ARID -> mm_interconnect_0:SMP_HPS_h2f_lw_axi_master_arid wire [1:0] smp_hps_h2f_lw_axi_master_arlock; // SMP_HPS:h2f_lw_ARLOCK -> mm_interconnect_0:SMP_HPS_h2f_lw_axi_master_arlock wire [1:0] smp_hps_h2f_lw_axi_master_awlock; // SMP_HPS:h2f_lw_AWLOCK -> mm_interconnect_0:SMP_HPS_h2f_lw_axi_master_awlock wire [20:0] smp_hps_h2f_lw_axi_master_awaddr; // SMP_HPS:h2f_lw_AWADDR -> mm_interconnect_0:SMP_HPS_h2f_lw_axi_master_awaddr wire [1:0] smp_hps_h2f_lw_axi_master_bresp; // mm_interconnect_0:SMP_HPS_h2f_lw_axi_master_bresp -> SMP_HPS:h2f_lw_BRESP wire smp_hps_h2f_lw_axi_master_arready; // mm_interconnect_0:SMP_HPS_h2f_lw_axi_master_arready -> SMP_HPS:h2f_lw_ARREADY wire [31:0] smp_hps_h2f_lw_axi_master_rdata; // mm_interconnect_0:SMP_HPS_h2f_lw_axi_master_rdata -> SMP_HPS:h2f_lw_RDATA wire smp_hps_h2f_lw_axi_master_awready; // mm_interconnect_0:SMP_HPS_h2f_lw_axi_master_awready -> SMP_HPS:h2f_lw_AWREADY wire [1:0] smp_hps_h2f_lw_axi_master_arburst; // SMP_HPS:h2f_lw_ARBURST -> mm_interconnect_0:SMP_HPS_h2f_lw_axi_master_arburst wire [2:0] smp_hps_h2f_lw_axi_master_arsize; // SMP_HPS:h2f_lw_ARSIZE -> mm_interconnect_0:SMP_HPS_h2f_lw_axi_master_arsize wire smp_hps_h2f_lw_axi_master_bready; // SMP_HPS:h2f_lw_BREADY -> mm_interconnect_0:SMP_HPS_h2f_lw_axi_master_bready wire smp_hps_h2f_lw_axi_master_rlast; // mm_interconnect_0:SMP_HPS_h2f_lw_axi_master_rlast -> SMP_HPS:h2f_lw_RLAST wire smp_hps_h2f_lw_axi_master_wlast; // SMP_HPS:h2f_lw_WLAST -> mm_interconnect_0:SMP_HPS_h2f_lw_axi_master_wlast wire [1:0] smp_hps_h2f_lw_axi_master_rresp; // mm_interconnect_0:SMP_HPS_h2f_lw_axi_master_rresp -> SMP_HPS:h2f_lw_RRESP wire [11:0] smp_hps_h2f_lw_axi_master_awid; // SMP_HPS:h2f_lw_AWID -> mm_interconnect_0:SMP_HPS_h2f_lw_axi_master_awid wire [11:0] smp_hps_h2f_lw_axi_master_bid; // mm_interconnect_0:SMP_HPS_h2f_lw_axi_master_bid -> SMP_HPS:h2f_lw_BID wire smp_hps_h2f_lw_axi_master_bvalid; // mm_interconnect_0:SMP_HPS_h2f_lw_axi_master_bvalid -> SMP_HPS:h2f_lw_BVALID wire [2:0] smp_hps_h2f_lw_axi_master_awsize; // SMP_HPS:h2f_lw_AWSIZE -> mm_interconnect_0:SMP_HPS_h2f_lw_axi_master_awsize wire smp_hps_h2f_lw_axi_master_awvalid; // SMP_HPS:h2f_lw_AWVALID -> mm_interconnect_0:SMP_HPS_h2f_lw_axi_master_awvalid wire smp_hps_h2f_lw_axi_master_rvalid; // mm_interconnect_0:SMP_HPS_h2f_lw_axi_master_rvalid -> SMP_HPS:h2f_lw_RVALID wire mm_interconnect_0_pio_0_s1_chipselect; // mm_interconnect_0:pio_0_s1_chipselect -> pio_0:chipselect wire [31:0] mm_interconnect_0_pio_0_s1_readdata; // pio_0:readdata -> mm_interconnect_0:pio_0_s1_readdata wire [1:0] mm_interconnect_0_pio_0_s1_address; // mm_interconnect_0:pio_0_s1_address -> pio_0:address wire mm_interconnect_0_pio_0_s1_write; // mm_interconnect_0:pio_0_s1_write -> pio_0:write_n wire [31:0] mm_interconnect_0_pio_0_s1_writedata; // mm_interconnect_0:pio_0_s1_writedata -> pio_0:writedata wire rst_controller_reset_out_reset; // rst_controller:reset_out -> [mm_interconnect_0:pio_0_reset_reset_bridge_in_reset_reset, pio_0:reset_n] wire rst_controller_001_reset_out_reset; // rst_controller_001:reset_out -> mm_interconnect_0:SMP_HPS_h2f_lw_axi_master_agent_clk_reset_reset_bridge_in_reset_reset hps_design_SMP_HPS #( .F2S_Width (0), .S2F_Width (0) ) smp_hps ( .mem_a (hps_ddr_mem_a), // memory.mem_a .mem_ba (hps_ddr_mem_ba), // .mem_ba .mem_ck (hps_ddr_mem_ck), // .mem_ck .mem_ck_n (hps_ddr_mem_ck_n), // .mem_ck_n .mem_cke (hps_ddr_mem_cke), // .mem_cke .mem_cs_n (hps_ddr_mem_cs_n), // .mem_cs_n .mem_ras_n (hps_ddr_mem_ras_n), // .mem_ras_n .mem_cas_n (hps_ddr_mem_cas_n), // .mem_cas_n .mem_we_n (hps_ddr_mem_we_n), // .mem_we_n .mem_reset_n (hps_ddr_mem_reset_n), // .mem_reset_n .mem_dq (hps_ddr_mem_dq), // .mem_dq .mem_dqs (hps_ddr_mem_dqs), // .mem_dqs .mem_dqs_n (hps_ddr_mem_dqs_n), // .mem_dqs_n .mem_odt (hps_ddr_mem_odt), // .mem_odt .mem_dm (hps_ddr_mem_dm), // .mem_dm .oct_rzqin (hps_ddr_oct_rzqin), // .oct_rzqin .h2f_rst_n (smp_hps_h2f_reset_reset), // h2f_reset.reset_n .h2f_lw_axi_clk (pll_0_outclk0_clk), // h2f_lw_axi_clock.clk .h2f_lw_AWID (smp_hps_h2f_lw_axi_master_awid), // h2f_lw_axi_master.awid .h2f_lw_AWADDR (smp_hps_h2f_lw_axi_master_awaddr), // .awaddr .h2f_lw_AWLEN (smp_hps_h2f_lw_axi_master_awlen), // .awlen .h2f_lw_AWSIZE (smp_hps_h2f_lw_axi_master_awsize), // .awsize .h2f_lw_AWBURST (smp_hps_h2f_lw_axi_master_awburst), // .awburst .h2f_lw_AWLOCK (smp_hps_h2f_lw_axi_master_awlock), // .awlock .h2f_lw_AWCACHE (smp_hps_h2f_lw_axi_master_awcache), // .awcache .h2f_lw_AWPROT (smp_hps_h2f_lw_axi_master_awprot), // .awprot .h2f_lw_AWVALID (smp_hps_h2f_lw_axi_master_awvalid), // .awvalid .h2f_lw_AWREADY (smp_hps_h2f_lw_axi_master_awready), // .awready .h2f_lw_WID (smp_hps_h2f_lw_axi_master_wid), // .wid .h2f_lw_WDATA (smp_hps_h2f_lw_axi_master_wdata), // .wdata .h2f_lw_WSTRB (smp_hps_h2f_lw_axi_master_wstrb), // .wstrb .h2f_lw_WLAST (smp_hps_h2f_lw_axi_master_wlast), // .wlast .h2f_lw_WVALID (smp_hps_h2f_lw_axi_master_wvalid), // .wvalid .h2f_lw_WREADY (smp_hps_h2f_lw_axi_master_wready), // .wready .h2f_lw_BID (smp_hps_h2f_lw_axi_master_bid), // .bid .h2f_lw_BRESP (smp_hps_h2f_lw_axi_master_bresp), // .bresp .h2f_lw_BVALID (smp_hps_h2f_lw_axi_master_bvalid), // .bvalid .h2f_lw_BREADY (smp_hps_h2f_lw_axi_master_bready), // .bready .h2f_lw_ARID (smp_hps_h2f_lw_axi_master_arid), // .arid .h2f_lw_ARADDR (smp_hps_h2f_lw_axi_master_araddr), // .araddr .h2f_lw_ARLEN (smp_hps_h2f_lw_axi_master_arlen), // .arlen .h2f_lw_ARSIZE (smp_hps_h2f_lw_axi_master_arsize), // .arsize .h2f_lw_ARBURST (smp_hps_h2f_lw_axi_master_arburst), // .arburst .h2f_lw_ARLOCK (smp_hps_h2f_lw_axi_master_arlock), // .arlock .h2f_lw_ARCACHE (smp_hps_h2f_lw_axi_master_arcache), // .arcache .h2f_lw_ARPROT (smp_hps_h2f_lw_axi_master_arprot), // .arprot .h2f_lw_ARVALID (smp_hps_h2f_lw_axi_master_arvalid), // .arvalid .h2f_lw_ARREADY (smp_hps_h2f_lw_axi_master_arready), // .arready .h2f_lw_RID (smp_hps_h2f_lw_axi_master_rid), // .rid .h2f_lw_RDATA (smp_hps_h2f_lw_axi_master_rdata), // .rdata .h2f_lw_RRESP (smp_hps_h2f_lw_axi_master_rresp), // .rresp .h2f_lw_RLAST (smp_hps_h2f_lw_axi_master_rlast), // .rlast .h2f_lw_RVALID (smp_hps_h2f_lw_axi_master_rvalid), // .rvalid .h2f_lw_RREADY (smp_hps_h2f_lw_axi_master_rready) // .rready ); hps_design_pio_0 pio_0 ( .clk (pll_0_outclk0_clk), // clk.clk .reset_n (~rst_controller_reset_out_reset), // reset.reset_n .address (mm_interconnect_0_pio_0_s1_address), // s1.address .write_n (~mm_interconnect_0_pio_0_s1_write), // .write_n .writedata (mm_interconnect_0_pio_0_s1_writedata), // .writedata .chipselect (mm_interconnect_0_pio_0_s1_chipselect), // .chipselect .readdata (mm_interconnect_0_pio_0_s1_readdata), // .readdata .out_port (ledr_export) // external_connection.export ); hps_design_pll_0 pll_0 ( .refclk (clk_clk), // refclk.clk .rst (~smp_hps_h2f_reset_reset), // reset.reset .outclk_0 (pll_0_outclk0_clk), // outclk0.clk .outclk_1 (), // outclk1.clk .outclk_2 (pll_0_sdram_clk), // outclk2.clk .locked () // (terminated) ); hps_design_mm_interconnect_0 mm_interconnect_0 ( .SMP_HPS_h2f_lw_axi_master_awid (smp_hps_h2f_lw_axi_master_awid), // SMP_HPS_h2f_lw_axi_master.awid .SMP_HPS_h2f_lw_axi_master_awaddr (smp_hps_h2f_lw_axi_master_awaddr), // .awaddr .SMP_HPS_h2f_lw_axi_master_awlen (smp_hps_h2f_lw_axi_master_awlen), // .awlen .SMP_HPS_h2f_lw_axi_master_awsize (smp_hps_h2f_lw_axi_master_awsize), // .awsize .SMP_HPS_h2f_lw_axi_master_awburst (smp_hps_h2f_lw_axi_master_awburst), // .awburst .SMP_HPS_h2f_lw_axi_master_awlock (smp_hps_h2f_lw_axi_master_awlock), // .awlock .SMP_HPS_h2f_lw_axi_master_awcache (smp_hps_h2f_lw_axi_master_awcache), // .awcache .SMP_HPS_h2f_lw_axi_master_awprot (smp_hps_h2f_lw_axi_master_awprot), // .awprot .SMP_HPS_h2f_lw_axi_master_awvalid (smp_hps_h2f_lw_axi_master_awvalid), // .awvalid .SMP_HPS_h2f_lw_axi_master_awready (smp_hps_h2f_lw_axi_master_awready), // .awready .SMP_HPS_h2f_lw_axi_master_wid (smp_hps_h2f_lw_axi_master_wid), // .wid .SMP_HPS_h2f_lw_axi_master_wdata (smp_hps_h2f_lw_axi_master_wdata), // .wdata .SMP_HPS_h2f_lw_axi_master_wstrb (smp_hps_h2f_lw_axi_master_wstrb), // .wstrb .SMP_HPS_h2f_lw_axi_master_wlast (smp_hps_h2f_lw_axi_master_wlast), // .wlast .SMP_HPS_h2f_lw_axi_master_wvalid (smp_hps_h2f_lw_axi_master_wvalid), // .wvalid .SMP_HPS_h2f_lw_axi_master_wready (smp_hps_h2f_lw_axi_master_wready), // .wready .SMP_HPS_h2f_lw_axi_master_bid (smp_hps_h2f_lw_axi_master_bid), // .bid .SMP_HPS_h2f_lw_axi_master_bresp (smp_hps_h2f_lw_axi_master_bresp), // .bresp .SMP_HPS_h2f_lw_axi_master_bvalid (smp_hps_h2f_lw_axi_master_bvalid), // .bvalid .SMP_HPS_h2f_lw_axi_master_bready (smp_hps_h2f_lw_axi_master_bready), // .bready .SMP_HPS_h2f_lw_axi_master_arid (smp_hps_h2f_lw_axi_master_arid), // .arid .SMP_HPS_h2f_lw_axi_master_araddr (smp_hps_h2f_lw_axi_master_araddr), // .araddr .SMP_HPS_h2f_lw_axi_master_arlen (smp_hps_h2f_lw_axi_master_arlen), // .arlen .SMP_HPS_h2f_lw_axi_master_arsize (smp_hps_h2f_lw_axi_master_arsize), // .arsize .SMP_HPS_h2f_lw_axi_master_arburst (smp_hps_h2f_lw_axi_master_arburst), // .arburst .SMP_HPS_h2f_lw_axi_master_arlock (smp_hps_h2f_lw_axi_master_arlock), // .arlock .SMP_HPS_h2f_lw_axi_master_arcache (smp_hps_h2f_lw_axi_master_arcache), // .arcache .SMP_HPS_h2f_lw_axi_master_arprot (smp_hps_h2f_lw_axi_master_arprot), // .arprot .SMP_HPS_h2f_lw_axi_master_arvalid (smp_hps_h2f_lw_axi_master_arvalid), // .arvalid .SMP_HPS_h2f_lw_axi_master_arready (smp_hps_h2f_lw_axi_master_arready), // .arready .SMP_HPS_h2f_lw_axi_master_rid (smp_hps_h2f_lw_axi_master_rid), // .rid .SMP_HPS_h2f_lw_axi_master_rdata (smp_hps_h2f_lw_axi_master_rdata), // .rdata .SMP_HPS_h2f_lw_axi_master_rresp (smp_hps_h2f_lw_axi_master_rresp), // .rresp .SMP_HPS_h2f_lw_axi_master_rlast (smp_hps_h2f_lw_axi_master_rlast), // .rlast .SMP_HPS_h2f_lw_axi_master_rvalid (smp_hps_h2f_lw_axi_master_rvalid), // .rvalid .SMP_HPS_h2f_lw_axi_master_rready (smp_hps_h2f_lw_axi_master_rready), // .rready .pll_0_outclk0_clk (pll_0_outclk0_clk), // pll_0_outclk0.clk .pio_0_reset_reset_bridge_in_reset_reset (rst_controller_reset_out_reset), // pio_0_reset_reset_bridge_in_reset.reset .SMP_HPS_h2f_lw_axi_master_agent_clk_reset_reset_bridge_in_reset_reset (rst_controller_001_reset_out_reset), // SMP_HPS_h2f_lw_axi_master_agent_clk_reset_reset_bridge_in_reset.reset .pio_0_s1_address (mm_interconnect_0_pio_0_s1_address), // pio_0_s1.address .pio_0_s1_write (mm_interconnect_0_pio_0_s1_write), // .write .pio_0_s1_readdata (mm_interconnect_0_pio_0_s1_readdata), // .readdata .pio_0_s1_writedata (mm_interconnect_0_pio_0_s1_writedata), // .writedata .pio_0_s1_chipselect (mm_interconnect_0_pio_0_s1_chipselect) // .chipselect ); altera_reset_controller #( .NUM_RESET_INPUTS (1), .OUTPUT_RESET_SYNC_EDGES ("deassert"), .SYNC_DEPTH (2), .RESET_REQUEST_PRESENT (0), .RESET_REQ_WAIT_TIME (1), .MIN_RST_ASSERTION_TIME (3), .RESET_REQ_EARLY_DSRT_TIME (1), .USE_RESET_REQUEST_IN0 (0), .USE_RESET_REQUEST_IN1 (0), .USE_RESET_REQUEST_IN2 (0), .USE_RESET_REQUEST_IN3 (0), .USE_RESET_REQUEST_IN4 (0), .USE_RESET_REQUEST_IN5 (0), .USE_RESET_REQUEST_IN6 (0), .USE_RESET_REQUEST_IN7 (0), .USE_RESET_REQUEST_IN8 (0), .USE_RESET_REQUEST_IN9 (0), .USE_RESET_REQUEST_IN10 (0), .USE_RESET_REQUEST_IN11 (0), .USE_RESET_REQUEST_IN12 (0), .USE_RESET_REQUEST_IN13 (0), .USE_RESET_REQUEST_IN14 (0), .USE_RESET_REQUEST_IN15 (0), .ADAPT_RESET_REQUEST (0) ) rst_controller ( .reset_in0 (~smp_hps_h2f_reset_reset), // reset_in0.reset .clk (pll_0_outclk0_clk), // clk.clk .reset_out (rst_controller_reset_out_reset), // reset_out.reset .reset_req (), // (terminated) .reset_req_in0 (1'b0), // (terminated) .reset_in1 (1'b0), // (terminated) .reset_req_in1 (1'b0), // (terminated) .reset_in2 (1'b0), // (terminated) .reset_req_in2 (1'b0), // (terminated) .reset_in3 (1'b0), // (terminated) .reset_req_in3 (1'b0), // (terminated) .reset_in4 (1'b0), // (terminated) .reset_req_in4 (1'b0), // (terminated) .reset_in5 (1'b0), // (terminated) .reset_req_in5 (1'b0), // (terminated) .reset_in6 (1'b0), // (terminated) .reset_req_in6 (1'b0), // (terminated) .reset_in7 (1'b0), // (terminated) .reset_req_in7 (1'b0), // (terminated) .reset_in8 (1'b0), // (terminated) .reset_req_in8 (1'b0), // (terminated) .reset_in9 (1'b0), // (terminated) .reset_req_in9 (1'b0), // (terminated) .reset_in10 (1'b0), // (terminated) .reset_req_in10 (1'b0), // (terminated) .reset_in11 (1'b0), // (terminated) .reset_req_in11 (1'b0), // (terminated) .reset_in12 (1'b0), // (terminated) .reset_req_in12 (1'b0), // (terminated) .reset_in13 (1'b0), // (terminated) .reset_req_in13 (1'b0), // (terminated) .reset_in14 (1'b0), // (terminated) .reset_req_in14 (1'b0), // (terminated) .reset_in15 (1'b0), // (terminated) .reset_req_in15 (1'b0) // (terminated) ); altera_reset_controller #( .NUM_RESET_INPUTS (1), .OUTPUT_RESET_SYNC_EDGES ("deassert"), .SYNC_DEPTH (2), .RESET_REQUEST_PRESENT (0), .RESET_REQ_WAIT_TIME (1), .MIN_RST_ASSERTION_TIME (3), .RESET_REQ_EARLY_DSRT_TIME (1), .USE_RESET_REQUEST_IN0 (0), .USE_RESET_REQUEST_IN1 (0), .USE_RESET_REQUEST_IN2 (0), .USE_RESET_REQUEST_IN3 (0), .USE_RESET_REQUEST_IN4 (0), .USE_RESET_REQUEST_IN5 (0), .USE_RESET_REQUEST_IN6 (0), .USE_RESET_REQUEST_IN7 (0), .USE_RESET_REQUEST_IN8 (0), .USE_RESET_REQUEST_IN9 (0), .USE_RESET_REQUEST_IN10 (0), .USE_RESET_REQUEST_IN11 (0), .USE_RESET_REQUEST_IN12 (0), .USE_RESET_REQUEST_IN13 (0), .USE_RESET_REQUEST_IN14 (0), .USE_RESET_REQUEST_IN15 (0), .ADAPT_RESET_REQUEST (0) ) rst_controller_001 ( .reset_in0 (~smp_hps_h2f_reset_reset), // reset_in0.reset .clk (pll_0_outclk0_clk), // clk.clk .reset_out (rst_controller_001_reset_out_reset), // reset_out.reset .reset_req (), // (terminated) .reset_req_in0 (1'b0), // (terminated) .reset_in1 (1'b0), // (terminated) .reset_req_in1 (1'b0), // (terminated) .reset_in2 (1'b0), // (terminated) .reset_req_in2 (1'b0), // (terminated) .reset_in3 (1'b0), // (terminated) .reset_req_in3 (1'b0), // (terminated) .reset_in4 (1'b0), // (terminated) .reset_req_in4 (1'b0), // (terminated) .reset_in5 (1'b0), // (terminated) .reset_req_in5 (1'b0), // (terminated) .reset_in6 (1'b0), // (terminated) .reset_req_in6 (1'b0), // (terminated) .reset_in7 (1'b0), // (terminated) .reset_req_in7 (1'b0), // (terminated) .reset_in8 (1'b0), // (terminated) .reset_req_in8 (1'b0), // (terminated) .reset_in9 (1'b0), // (terminated) .reset_req_in9 (1'b0), // (terminated) .reset_in10 (1'b0), // (terminated) .reset_req_in10 (1'b0), // (terminated) .reset_in11 (1'b0), // (terminated) .reset_req_in11 (1'b0), // (terminated) .reset_in12 (1'b0), // (terminated) .reset_req_in12 (1'b0), // (terminated) .reset_in13 (1'b0), // (terminated) .reset_req_in13 (1'b0), // (terminated) .reset_in14 (1'b0), // (terminated) .reset_req_in14 (1'b0), // (terminated) .reset_in15 (1'b0), // (terminated) .reset_req_in15 (1'b0) // (terminated) ); endmodule
module true_dual_port_ram_single_clock #(parameter DATA_WIDTH=8, parameter ADDR_WIDTH=6) ( input [(DATA_WIDTH-1):0] data_a, data_b, input [(ADDR_WIDTH-1):0] addr_a, addr_b, input we_a, we_b, clk, output reg [(DATA_WIDTH-1):0] q_a, q_b ); // Declare the RAM variable reg [DATA_WIDTH-1:0] ram[2**ADDR_WIDTH-1:0]; // Port A always @ (posedge clk) begin if (we_a) begin ram[addr_a] <= data_a; q_a <= data_a; end else begin q_a <= ram[addr_a]; end end // Port B always @ (posedge clk) begin if (we_b) begin ram[addr_b] <= data_b; q_b <= data_b; end else begin q_b <= ram[addr_b]; end end endmodule
module ram_2port ( address_a, address_b, clock, data_a, data_b, wren_a, wren_b, q_a, q_b); input [4:0] address_a; input [4:0] address_b; input clock; input [31:0] data_a; input [31:0] data_b; input wren_a; input wren_b; output [31:0] q_a; output [31:0] q_b; `ifndef ALTERA_RESERVED_QIS // synopsys translate_off `endif tri1 clock; tri0 wren_a; tri0 wren_b; `ifndef ALTERA_RESERVED_QIS // synopsys translate_on `endif wire [31:0] sub_wire0; wire [31:0] sub_wire1; wire [31:0] q_a = sub_wire0[31:0]; wire [31:0] q_b = sub_wire1[31:0]; altsyncram altsyncram_component ( .address_a (address_a), .address_b (address_b), .clock0 (clock), .data_a (data_a), .data_b (data_b), .wren_a (wren_a), .wren_b (wren_b), .q_a (sub_wire0), .q_b (sub_wire1), .aclr0 (1'b0), .aclr1 (1'b0), .addressstall_a (1'b0), .addressstall_b (1'b0), .byteena_a (1'b1), .byteena_b (1'b1), .clock1 (1'b1), .clocken0 (1'b1), .clocken1 (1'b1), .clocken2 (1'b1), .clocken3 (1'b1), .eccstatus (), .rden_a (1'b1), .rden_b (1'b1)); defparam altsyncram_component.address_reg_b = "CLOCK0", altsyncram_component.clock_enable_input_a = "BYPASS", altsyncram_component.clock_enable_input_b = "BYPASS", altsyncram_component.clock_enable_output_a = "BYPASS", altsyncram_component.clock_enable_output_b = "BYPASS", altsyncram_component.indata_reg_b = "CLOCK0", `ifdef NO_PLI altsyncram_component.init_file = "../../program/program.rif" `else altsyncram_component.init_file = "../../program/program.hex" `endif , altsyncram_component.intended_device_family = "MAX 10", altsyncram_component.lpm_type = "altsyncram", altsyncram_component.numwords_a = 32, altsyncram_component.numwords_b = 32, altsyncram_component.operation_mode = "BIDIR_DUAL_PORT", altsyncram_component.outdata_aclr_a = "NONE", altsyncram_component.outdata_aclr_b = "NONE", altsyncram_component.outdata_reg_a = "UNREGISTERED", altsyncram_component.outdata_reg_b = "UNREGISTERED", altsyncram_component.power_up_uninitialized = "FALSE", altsyncram_component.ram_block_type = "M9K", altsyncram_component.read_during_write_mode_mixed_ports = "DONT_CARE", altsyncram_component.read_during_write_mode_port_a = "NEW_DATA_WITH_NBE_READ", altsyncram_component.read_during_write_mode_port_b = "NEW_DATA_WITH_NBE_READ", altsyncram_component.widthad_a = 5, altsyncram_component.widthad_b = 5, altsyncram_component.width_a = 32, altsyncram_component.width_b = 32, altsyncram_component.width_byteena_a = 1, altsyncram_component.width_byteena_b = 1, altsyncram_component.wrcontrol_wraddress_reg_b = "CLOCK0"; endmodule
module TB_MDF; // Inputs reg clk; reg rst; reg [3:0] entrada; // Outputs wire salida; wire [15:0]q; // Instantiate the Unit Under Test (UUT) MDF uut ( .clk(clk), .rst(rst), .entrada(entrada), .salida(salida), .q(q) ); initial begin // Initialize Inputs clk = 0; rst = 0; entrada = 0; // Wait 100 ns for global reset to finish #10; entrada = 4'b1111; #10000; entrada = 4'b1010; end always #1000 clk = ~clk; /* initial begin $monitor("%d,\t%b,\t%b,\t%b,\t%d",$time, clk,rst,div,clkd); end initial #500 $finish; */ endmodule
module layer_wrapper( // layer controller CLK, RESETn, INT_VECTOR, CLR_INT_EXTERNAL, // mbus CLKIN, CLKOUT, DIN, DOUT ); parameter RF_DEPTH = 64; // this number is intend to be less than LC_RF_DEPTH parameter ROM_DEPTH = 64; parameter ADDRESS = 20'hccccc; parameter LC_RF_DATA_WIDTH =24; parameter LC_RF_DEPTH = 128; // 1 ~ 2^8 parameter LC_MEM_DATA_WIDTH = 32; // should ALWAYS less than DATA_WIDTH parameter LC_MEM_ADDR_WIDTH = 32; // should ALWAYS less than DATA_WIDTH parameter LC_MEM_DEPTH = 65536; // 1 ~ 2^30 parameter LC_INT_DEPTH = 8; input CLK; input RESETn; input [LC_INT_DEPTH-1:0] INT_VECTOR; output [LC_INT_DEPTH-1:0] CLR_INT_EXTERNAL; input CLKIN; output CLKOUT; input DIN; output DOUT; // from layer controller, need isolation // Mem wire mem_req_out, mem_write; wire [LC_MEM_DATA_WIDTH-1:0] mem_dout; wire [LC_MEM_ADDR_WIDTH-3:0] mem_aout; // RF wire [LC_RF_DATA_WIDTH-1:0] rf_dout; wire [LC_RF_DEPTH-1:0] rf_load; // Mbus wire [`ADDR_WIDTH-1:0] tx_addr; wire [`DATA_WIDTH-1:0] tx_data; wire tx_req, tx_priority, tx_pend, tx_resp_ack; wire tx_ack, tx_succ, tx_fail; // Interrupt wire [LC_INT_DEPTH-1:0] clr_int; // unknown state when power if off // Mem reg mem_req_out_f_lc, mem_write_f_lc; reg [LC_MEM_DATA_WIDTH-1:0] mem_dout_f_lc; reg [LC_MEM_ADDR_WIDTH-3:0] mem_aout_f_lc; // RF reg [LC_RF_DATA_WIDTH-1:0] rf_dout_f_lc; reg [LC_RF_DEPTH-1:0] rf_load_f_lc; // Mbus reg [`ADDR_WIDTH-1:0] tx_addr_f_lc; reg [`DATA_WIDTH-1:0] tx_data_f_lc; reg tx_req_f_lc, priority_f_lc, tx_pend_f_lc, tx_resp_ack_f_lc; reg rx_ack_f_lc; // Interrupt reg [LC_INT_DEPTH-1:0] clr_int_f_lc; // output from isolation // Mem wire mem_req_out_t_mem, mem_write_t_mem; wire [LC_MEM_DATA_WIDTH-1:0] mem_dout_t_mem; wire [LC_MEM_ADDR_WIDTH-3:0] mem_aout_t_mem; // RF wire [LC_RF_DATA_WIDTH-1:0] rf_dout_t_rf; wire [LC_RF_DEPTH-1:0] rf_load_t_rf; // Mbus wire [`ADDR_WIDTH-1:0] tx_addr_t_mbus; wire [`DATA_WIDTH-1:0] tx_data_t_mbus; wire tx_req_t_mbus, priority_t_mbus, tx_pend_t_mbus, tx_resp_ack_t_mbus; wire rx_ack_t_mbus; // To layer controller, doesn't need isolation // MEM wire mem_ack_f_mem; wire [LC_MEM_DATA_WIDTH-1:0] mem_data_f_mem; // RF wire [LC_RF_DATA_WIDTH*RF_DEPTH-1:0] rf_dout_f_rf; // ROM wire [LC_RF_DATA_WIDTH*ROM_DEPTH-1:0] sensor_dat_f_rom; wire [`FUNC_WIDTH*LC_INT_DEPTH-1:0] int_func_id_f_rom; wire [(`DATA_WIDTH<<1)*LC_INT_DEPTH-1:0] int_payload_f_rom; // Mbus wire [`ADDR_WIDTH-1:0] rx_addr; wire [`DATA_WIDTH-1:0] rx_data; wire rx_req, rx_fail, rx_pend, rx_broadcast; wire rx_ack; // Power signals wire lc_pwr_on, lc_release_clk, lc_release_rst, lc_release_iso; // interrupt, clock wire req_int = (INT_VECTOR>0)? 1'b1 : 1'b0; wire CLK_LC = (CLK&(~lc_release_clk)); // always on isolation layer_ctrl_isolation #( .LC_RF_DATA_WIDTH(LC_RF_DATA_WIDTH), .LC_RF_DEPTH(LC_RF_DEPTH), .LC_MEM_DATA_WIDTH(LC_MEM_DATA_WIDTH), .LC_MEM_ADDR_WIDTH(LC_MEM_ADDR_WIDTH), .LC_INT_DEPTH(LC_INT_DEPTH)) lc_iso0( .LC_ISOLATION(lc_release_iso), // Interface with MBus .TX_ADDR_FROM_LC(tx_addr_f_lc), .TX_DATA_FROM_LC(tx_data_f_lc), .TX_PEND_FROM_LC(tx_pend_f_lc), .TX_REQ_FROM_LC(tx_req_f_lc), .PRIORITY_FROM_LC(priority_f_lc), .RX_ACK_FROM_LC(rx_ack_f_lc), .TX_RESP_ACK_FROM_LC(tx_resp_ack_f_lc), // Interface with Registers .RF_DATA_FROM_LC(rf_dout_f_lc), .RF_LOAD_FROM_LC(rf_load_f_lc), // Interface with MEM .MEM_REQ_OUT_FROM_LC(mem_req_out_f_lc), .MEM_WRITE_FROM_LC(mem_write_f_lc), .MEM_DOUT_FROM_LC(mem_dout_f_lc), .MEM_AOUT_FROM_LC(mem_aout_f_lc), // Interrupt .CLR_INT_FROM_LC(clr_int_f_lc), // Interface with MBus .TX_ADDR_TO_MBUS(tx_addr_t_mbus), .TX_DATA_TO_MBUS(tx_data_t_mbus), .TX_PEND_TO_MBUS(tx_pend_t_mbus), .TX_REQ_TO_MBUS(tx_req_t_mbus), .PRIORITY_TO_MBUS(priority_t_mbus), .RX_ACK_TO_MBUS(rx_ack_t_mbus), .TX_RESP_ACK_TO_MBUS(tx_resp_ack_t_mbus), // Interface with Registers .RF_DATA_TO_RF(rf_dout_t_rf), .RF_LOAD_TO_RF(rf_load_t_rf), // Interface with MEM .MEM_REQ_OUT_TO_MEM(mem_req_out_t_mem), .MEM_WRITE_TO_MEM(mem_write_t_mem), .MEM_DOUT_TO_MEM(mem_dout_t_mem), .MEM_AOUT_TO_MEM(mem_aout_t_mem), // Interrupt .CLR_INT_EXTERNAL(CLR_INT_EXTERNAL) ); layer_ctrl #(.LC_RF_DATA_WIDTH(LC_RF_DATA_WIDTH), .LC_RF_DEPTH(LC_RF_DEPTH), .LC_MEM_DATA_WIDTH(LC_MEM_DATA_WIDTH), .LC_MEM_ADDR_WIDTH(LC_MEM_ADDR_WIDTH), .LC_MEM_DEPTH(LC_MEM_DEPTH), .LC_INT_DEPTH(LC_INT_DEPTH)) lc0( .CLK(CLK_LC), .RESETn(RESETn), // Interface with MBus .TX_ADDR(tx_addr), .TX_DATA(tx_data), .TX_PEND(tx_pend), .TX_REQ(tx_req), .TX_ACK(tx_ack), .TX_PRIORITY(tx_priority), .RX_ADDR(rx_addr), .RX_DATA(rx_data), .RX_PEND(rx_pend), .RX_REQ(rx_req), .RX_ACK(rx_ack), .RX_BROADCAST(rx_broadcast), .RX_FAIL(rx_fail), .TX_FAIL(tx_fail), .TX_SUCC(tx_succ), .TX_RESP_ACK(tx_resp_ack), .RELEASE_RST_FROM_MBUS(lc_release_rst), // Interface with Registers .REG_RD_DATA({sensor_dat_f_rom, rf_dout_f_rf}), .REG_WR_DATA(rf_dout), .REG_WR_EN(rf_load), // Interface with MEM .MEM_REQ_OUT(mem_req_out), .MEM_WRITE(mem_write), .MEM_ACK_IN(mem_ack_f_mem), .MEM_WR_DATA(mem_dout), .MEM_RD_DATA(mem_data_f_mem), .MEM_ADDR(mem_aout), // Interrupt .INT_VECTOR(INT_VECTOR), .CLR_INT(clr_int), .INT_FU_ID(int_func_id_f_rom), .INT_CMD(int_payload_f_rom) ); mbus_layer_wrapper #(.ADDRESS(ADDRESS)) mbus_node0 (.CLKIN(CLKIN), .CLKOUT(CLKOUT), .RESETn(RESETn), .DIN(DIN), .DOUT(DOUT), .TX_ADDR(tx_addr_t_mbus), .TX_DATA(tx_data_t_mbus), .TX_REQ(tx_req_t_mbus), .TX_ACK(tx_ack), .TX_PEND(tx_pend_t_mbus), .TX_PRIORITY(priority_t_mbus), .RX_ADDR(rx_addr), .RX_DATA(rx_data), .RX_REQ(rx_req), .RX_ACK(rx_ack_t_mbus), .RX_FAIL(rx_fail), .RX_PEND(rx_pend), .TX_SUCC(tx_succ), .TX_FAIL(tx_fail), .TX_RESP_ACK(tx_resp_ack_t_mbus), .RX_BROADCAST(rx_broadcast), .LC_POWER_ON(lc_pwr_on), .LC_RELEASE_CLK(lc_release_clk), .LC_RELEASE_RST(lc_release_rst), .LC_RELEASE_ISO(lc_release_iso), .REQ_INT(req_int)); always @ * begin // LC is power off, output from LC should be XXXX if (lc_pwr_on) begin tx_addr_f_lc = {(`ADDR_WIDTH){1'bx}}; tx_data_f_lc = {(`DATA_WIDTH){1'bx}}; tx_pend_f_lc = 1'bx; tx_req_f_lc = 1'bx; priority_f_lc = 1'bx; rx_ack_f_lc = 1'bx; tx_resp_ack_f_lc = 1'bx; end else begin tx_addr_f_lc = tx_addr; tx_data_f_lc = tx_data; tx_pend_f_lc = tx_pend; tx_req_f_lc = tx_req; priority_f_lc = tx_priority; rx_ack_f_lc = rx_ack; tx_resp_ack_f_lc = tx_resp_ack; end end always @ * begin if (lc_pwr_on) begin rf_dout_f_lc= {(LC_RF_DATA_WIDTH){1'bx}}; rf_load_f_lc = {(LC_RF_DEPTH){1'bx}}; end else begin rf_dout_f_lc = rf_dout; rf_load_f_lc = rf_load; end end always @ * begin if (lc_pwr_on) begin mem_req_out_f_lc = 1'bx; mem_write_f_lc = 1'bx; mem_dout_f_lc = {(LC_MEM_DATA_WIDTH){1'bx}}; mem_aout_f_lc = {(LC_MEM_ADDR_WIDTH-2){1'bx}}; end else begin mem_req_out_f_lc = mem_req_out; mem_write_f_lc = mem_write; mem_dout_f_lc = mem_dout; mem_aout_f_lc = mem_aout; end end always @ * begin if (lc_pwr_on) begin clr_int_f_lc = 1'bx; end else begin clr_int_f_lc = clr_int; end end // always on MEM mem_ctrl #(.MEM_DEPTH(LC_MEM_DEPTH), .LC_MEM_DATA_WIDTH(LC_MEM_DATA_WIDTH), .LC_MEM_ADDR_WIDTH(LC_MEM_ADDR_WIDTH)) mem0( .CLK(CLK), .RESETn(RESETn), .ADDR(mem_aout_t_mem), .DATA_IN(mem_dout_t_mem), .MEM_REQ(mem_req_out_t_mem), .MEM_WRITE(mem_write_t_mem), .DATA_OUT(mem_data_f_mem), .MEM_ACK_OUT(mem_ack_f_mem) ); // always on RF rf_ctrl #(.RF_DEPTH(RF_DEPTH)) rf0( .RESETn(RESETn), .DIN(rf_dout_t_rf), .LOAD(rf_load_t_rf[RF_DEPTH-1:0]), .DOUT(rf_dout_f_rf) ); // always on sensor roms sensor_rom #(.ROM_DEPTH(ROM_DEPTH)) r0( .DOUT(sensor_dat_f_rom) ); // always on interrupt command roms int_action_rom #(.LC_INT_DEPTH(LC_INT_DEPTH), .LC_RF_DEPTH(LC_RF_DEPTH), .LC_MEM_DEPTH(LC_MEM_DEPTH)) ir0( .int_func_id(int_func_id_f_rom), .int_payload(int_payload_f_rom) ); endmodule
module obc_lower( clka, wea, addra, dina, douta ); input clka; input [0 : 0] wea; input [9 : 0] addra; input [7 : 0] dina; output [7 : 0] douta; // synthesis translate_off BLK_MEM_GEN_V7_3 #( .C_ADDRA_WIDTH(10), .C_ADDRB_WIDTH(10), .C_ALGORITHM(1), .C_AXI_ID_WIDTH(4), .C_AXI_SLAVE_TYPE(0), .C_AXI_TYPE(1), .C_BYTE_SIZE(9), .C_COMMON_CLK(0), .C_DEFAULT_DATA("0"), .C_DISABLE_WARN_BHV_COLL(0), .C_DISABLE_WARN_BHV_RANGE(0), .C_ENABLE_32BIT_ADDRESS(0), .C_FAMILY("spartan3"), .C_HAS_AXI_ID(0), .C_HAS_ENA(0), .C_HAS_ENB(0), .C_HAS_INJECTERR(0), .C_HAS_MEM_OUTPUT_REGS_A(0), .C_HAS_MEM_OUTPUT_REGS_B(0), .C_HAS_MUX_OUTPUT_REGS_A(0), .C_HAS_MUX_OUTPUT_REGS_B(0), .C_HAS_REGCEA(0), .C_HAS_REGCEB(0), .C_HAS_RSTA(0), .C_HAS_RSTB(0), .C_HAS_SOFTECC_INPUT_REGS_A(0), .C_HAS_SOFTECC_OUTPUT_REGS_B(0), .C_INIT_FILE("BlankString"), .C_INIT_FILE_NAME("no_coe_file_loaded"), .C_INITA_VAL("0"), .C_INITB_VAL("0"), .C_INTERFACE_TYPE(0), .C_LOAD_INIT_FILE(0), .C_MEM_TYPE(0), .C_MUX_PIPELINE_STAGES(0), .C_PRIM_TYPE(1), .C_READ_DEPTH_A(1024), .C_READ_DEPTH_B(1024), .C_READ_WIDTH_A(8), .C_READ_WIDTH_B(8), .C_RST_PRIORITY_A("CE"), .C_RST_PRIORITY_B("CE"), .C_RST_TYPE("SYNC"), .C_RSTRAM_A(0), .C_RSTRAM_B(0), .C_SIM_COLLISION_CHECK("ALL"), .C_USE_BRAM_BLOCK(0), .C_USE_BYTE_WEA(0), .C_USE_BYTE_WEB(0), .C_USE_DEFAULT_DATA(0), .C_USE_ECC(0), .C_USE_SOFTECC(0), .C_WEA_WIDTH(1), .C_WEB_WIDTH(1), .C_WRITE_DEPTH_A(1024), .C_WRITE_DEPTH_B(1024), .C_WRITE_MODE_A("WRITE_FIRST"), .C_WRITE_MODE_B("WRITE_FIRST"), .C_WRITE_WIDTH_A(8), .C_WRITE_WIDTH_B(8), .C_XDEVICEFAMILY("spartan3") ) inst ( .CLKA(clka), .WEA(wea), .ADDRA(addra), .DINA(dina), .DOUTA(douta), .RSTA(), .ENA(), .REGCEA(), .CLKB(), .RSTB(), .ENB(), .REGCEB(), .WEB(), .ADDRB(), .DINB(), .DOUTB(), .INJECTSBITERR(), .INJECTDBITERR(), .SBITERR(), .DBITERR(), .RDADDRECC(), .S_ACLK(), .S_ARESETN(), .S_AXI_AWID(), .S_AXI_AWADDR(), .S_AXI_AWLEN(), .S_AXI_AWSIZE(), .S_AXI_AWBURST(), .S_AXI_AWVALID(), .S_AXI_AWREADY(), .S_AXI_WDATA(), .S_AXI_WSTRB(), .S_AXI_WLAST(), .S_AXI_WVALID(), .S_AXI_WREADY(), .S_AXI_BID(), .S_AXI_BRESP(), .S_AXI_BVALID(), .S_AXI_BREADY(), .S_AXI_ARID(), .S_AXI_ARADDR(), .S_AXI_ARLEN(), .S_AXI_ARSIZE(), .S_AXI_ARBURST(), .S_AXI_ARVALID(), .S_AXI_ARREADY(), .S_AXI_RID(), .S_AXI_RDATA(), .S_AXI_RRESP(), .S_AXI_RLAST(), .S_AXI_RVALID(), .S_AXI_RREADY(), .S_AXI_INJECTSBITERR(), .S_AXI_INJECTDBITERR(), .S_AXI_SBITERR(), .S_AXI_DBITERR(), .S_AXI_RDADDRECC() ); // synthesis translate_on endmodule
module sky130_fd_sc_hdll__udp_mux_2to1 ( //# {{data|Data Signals}} input A0, input A1, output X , //# {{control|Control Signals}} input S ); endmodule
module wb_intercon (input wb_clk_i, input wb_rst_i, input [31:0] wb_dbg_adr_i, input [31:0] wb_dbg_dat_i, input [3:0] wb_dbg_sel_i, input wb_dbg_we_i, input wb_dbg_cyc_i, input wb_dbg_stb_i, input [2:0] wb_dbg_cti_i, input [1:0] wb_dbg_bte_i, output [31:0] wb_dbg_dat_o, output wb_dbg_ack_o, output wb_dbg_err_o, output wb_dbg_rty_o, input [31:0] wb_or1k_i_adr_i, input [31:0] wb_or1k_i_dat_i, input [3:0] wb_or1k_i_sel_i, input wb_or1k_i_we_i, input wb_or1k_i_cyc_i, input wb_or1k_i_stb_i, input [2:0] wb_or1k_i_cti_i, input [1:0] wb_or1k_i_bte_i, output [31:0] wb_or1k_i_dat_o, output wb_or1k_i_ack_o, output wb_or1k_i_err_o, output wb_or1k_i_rty_o, input [31:0] wb_or1k_d_adr_i, input [31:0] wb_or1k_d_dat_i, input [3:0] wb_or1k_d_sel_i, input wb_or1k_d_we_i, input wb_or1k_d_cyc_i, input wb_or1k_d_stb_i, input [2:0] wb_or1k_d_cti_i, input [1:0] wb_or1k_d_bte_i, output [31:0] wb_or1k_d_dat_o, output wb_or1k_d_ack_o, output wb_or1k_d_err_o, output wb_or1k_d_rty_o, output [31:0] wb_uart0_adr_o, output [7:0] wb_uart0_dat_o, output [3:0] wb_uart0_sel_o, output wb_uart0_we_o, output wb_uart0_cyc_o, output wb_uart0_stb_o, output [2:0] wb_uart0_cti_o, output [1:0] wb_uart0_bte_o, input [7:0] wb_uart0_dat_i, input wb_uart0_ack_i, input wb_uart0_err_i, input wb_uart0_rty_i, output [31:0] wb_sdram_dbus_adr_o, output [31:0] wb_sdram_dbus_dat_o, output [3:0] wb_sdram_dbus_sel_o, output wb_sdram_dbus_we_o, output wb_sdram_dbus_cyc_o, output wb_sdram_dbus_stb_o, output [2:0] wb_sdram_dbus_cti_o, output [1:0] wb_sdram_dbus_bte_o, input [31:0] wb_sdram_dbus_dat_i, input wb_sdram_dbus_ack_i, input wb_sdram_dbus_err_i, input wb_sdram_dbus_rty_i, output [31:0] wb_gpio0_adr_o, output [7:0] wb_gpio0_dat_o, output [3:0] wb_gpio0_sel_o, output wb_gpio0_we_o, output wb_gpio0_cyc_o, output wb_gpio0_stb_o, output [2:0] wb_gpio0_cti_o, output [1:0] wb_gpio0_bte_o, input [7:0] wb_gpio0_dat_i, input wb_gpio0_ack_i, input wb_gpio0_err_i, input wb_gpio0_rty_i, output [31:0] wb_rom0_adr_o, output [31:0] wb_rom0_dat_o, output [3:0] wb_rom0_sel_o, output wb_rom0_we_o, output wb_rom0_cyc_o, output wb_rom0_stb_o, output [2:0] wb_rom0_cti_o, output [1:0] wb_rom0_bte_o, input [31:0] wb_rom0_dat_i, input wb_rom0_ack_i, input wb_rom0_err_i, input wb_rom0_rty_i, output [31:0] wb_sdram_ibus_adr_o, output [31:0] wb_sdram_ibus_dat_o, output [3:0] wb_sdram_ibus_sel_o, output wb_sdram_ibus_we_o, output wb_sdram_ibus_cyc_o, output wb_sdram_ibus_stb_o, output [2:0] wb_sdram_ibus_cti_o, output [1:0] wb_sdram_ibus_bte_o, input [31:0] wb_sdram_ibus_dat_i, input wb_sdram_ibus_ack_i, input wb_sdram_ibus_err_i, input wb_sdram_ibus_rty_i); wire [31:0] wb_m2s_dbg_sdram_dbus_adr; wire [31:0] wb_m2s_dbg_sdram_dbus_dat; wire [3:0] wb_m2s_dbg_sdram_dbus_sel; wire wb_m2s_dbg_sdram_dbus_we; wire wb_m2s_dbg_sdram_dbus_cyc; wire wb_m2s_dbg_sdram_dbus_stb; wire [2:0] wb_m2s_dbg_sdram_dbus_cti; wire [1:0] wb_m2s_dbg_sdram_dbus_bte; wire [31:0] wb_s2m_dbg_sdram_dbus_dat; wire wb_s2m_dbg_sdram_dbus_ack; wire wb_s2m_dbg_sdram_dbus_err; wire wb_s2m_dbg_sdram_dbus_rty; wire [31:0] wb_m2s_dbg_uart0_adr; wire [31:0] wb_m2s_dbg_uart0_dat; wire [3:0] wb_m2s_dbg_uart0_sel; wire wb_m2s_dbg_uart0_we; wire wb_m2s_dbg_uart0_cyc; wire wb_m2s_dbg_uart0_stb; wire [2:0] wb_m2s_dbg_uart0_cti; wire [1:0] wb_m2s_dbg_uart0_bte; wire [31:0] wb_s2m_dbg_uart0_dat; wire wb_s2m_dbg_uart0_ack; wire wb_s2m_dbg_uart0_err; wire wb_s2m_dbg_uart0_rty; wire [31:0] wb_m2s_dbg_gpio0_adr; wire [31:0] wb_m2s_dbg_gpio0_dat; wire [3:0] wb_m2s_dbg_gpio0_sel; wire wb_m2s_dbg_gpio0_we; wire wb_m2s_dbg_gpio0_cyc; wire wb_m2s_dbg_gpio0_stb; wire [2:0] wb_m2s_dbg_gpio0_cti; wire [1:0] wb_m2s_dbg_gpio0_bte; wire [31:0] wb_s2m_dbg_gpio0_dat; wire wb_s2m_dbg_gpio0_ack; wire wb_s2m_dbg_gpio0_err; wire wb_s2m_dbg_gpio0_rty; wire [31:0] wb_m2s_or1k_d_sdram_dbus_adr; wire [31:0] wb_m2s_or1k_d_sdram_dbus_dat; wire [3:0] wb_m2s_or1k_d_sdram_dbus_sel; wire wb_m2s_or1k_d_sdram_dbus_we; wire wb_m2s_or1k_d_sdram_dbus_cyc; wire wb_m2s_or1k_d_sdram_dbus_stb; wire [2:0] wb_m2s_or1k_d_sdram_dbus_cti; wire [1:0] wb_m2s_or1k_d_sdram_dbus_bte; wire [31:0] wb_s2m_or1k_d_sdram_dbus_dat; wire wb_s2m_or1k_d_sdram_dbus_ack; wire wb_s2m_or1k_d_sdram_dbus_err; wire wb_s2m_or1k_d_sdram_dbus_rty; wire [31:0] wb_m2s_or1k_d_uart0_adr; wire [31:0] wb_m2s_or1k_d_uart0_dat; wire [3:0] wb_m2s_or1k_d_uart0_sel; wire wb_m2s_or1k_d_uart0_we; wire wb_m2s_or1k_d_uart0_cyc; wire wb_m2s_or1k_d_uart0_stb; wire [2:0] wb_m2s_or1k_d_uart0_cti; wire [1:0] wb_m2s_or1k_d_uart0_bte; wire [31:0] wb_s2m_or1k_d_uart0_dat; wire wb_s2m_or1k_d_uart0_ack; wire wb_s2m_or1k_d_uart0_err; wire wb_s2m_or1k_d_uart0_rty; wire [31:0] wb_m2s_or1k_d_gpio0_adr; wire [31:0] wb_m2s_or1k_d_gpio0_dat; wire [3:0] wb_m2s_or1k_d_gpio0_sel; wire wb_m2s_or1k_d_gpio0_we; wire wb_m2s_or1k_d_gpio0_cyc; wire wb_m2s_or1k_d_gpio0_stb; wire [2:0] wb_m2s_or1k_d_gpio0_cti; wire [1:0] wb_m2s_or1k_d_gpio0_bte; wire [31:0] wb_s2m_or1k_d_gpio0_dat; wire wb_s2m_or1k_d_gpio0_ack; wire wb_s2m_or1k_d_gpio0_err; wire wb_s2m_or1k_d_gpio0_rty; wire [31:0] wb_m2s_resize_uart0_adr; wire [31:0] wb_m2s_resize_uart0_dat; wire [3:0] wb_m2s_resize_uart0_sel; wire wb_m2s_resize_uart0_we; wire wb_m2s_resize_uart0_cyc; wire wb_m2s_resize_uart0_stb; wire [2:0] wb_m2s_resize_uart0_cti; wire [1:0] wb_m2s_resize_uart0_bte; wire [31:0] wb_s2m_resize_uart0_dat; wire wb_s2m_resize_uart0_ack; wire wb_s2m_resize_uart0_err; wire wb_s2m_resize_uart0_rty; wire [31:0] wb_m2s_resize_gpio0_adr; wire [31:0] wb_m2s_resize_gpio0_dat; wire [3:0] wb_m2s_resize_gpio0_sel; wire wb_m2s_resize_gpio0_we; wire wb_m2s_resize_gpio0_cyc; wire wb_m2s_resize_gpio0_stb; wire [2:0] wb_m2s_resize_gpio0_cti; wire [1:0] wb_m2s_resize_gpio0_bte; wire [31:0] wb_s2m_resize_gpio0_dat; wire wb_s2m_resize_gpio0_ack; wire wb_s2m_resize_gpio0_err; wire wb_s2m_resize_gpio0_rty; wb_mux #(.num_slaves (3), .MATCH_ADDR ({32'h00000000, 32'h90000000, 32'h91000000}), .MATCH_MASK ({32'hfe000000, 32'hffffffe0, 32'hfffffffe})) wb_mux_dbg (.wb_clk_i (wb_clk_i), .wb_rst_i (wb_rst_i), .wbm_adr_i (wb_dbg_adr_i), .wbm_dat_i (wb_dbg_dat_i), .wbm_sel_i (wb_dbg_sel_i), .wbm_we_i (wb_dbg_we_i), .wbm_cyc_i (wb_dbg_cyc_i), .wbm_stb_i (wb_dbg_stb_i), .wbm_cti_i (wb_dbg_cti_i), .wbm_bte_i (wb_dbg_bte_i), .wbm_dat_o (wb_dbg_dat_o), .wbm_ack_o (wb_dbg_ack_o), .wbm_err_o (wb_dbg_err_o), .wbm_rty_o (wb_dbg_rty_o), .wbs_adr_o ({wb_m2s_dbg_sdram_dbus_adr, wb_m2s_dbg_uart0_adr, wb_m2s_dbg_gpio0_adr}), .wbs_dat_o ({wb_m2s_dbg_sdram_dbus_dat, wb_m2s_dbg_uart0_dat, wb_m2s_dbg_gpio0_dat}), .wbs_sel_o ({wb_m2s_dbg_sdram_dbus_sel, wb_m2s_dbg_uart0_sel, wb_m2s_dbg_gpio0_sel}), .wbs_we_o ({wb_m2s_dbg_sdram_dbus_we, wb_m2s_dbg_uart0_we, wb_m2s_dbg_gpio0_we}), .wbs_cyc_o ({wb_m2s_dbg_sdram_dbus_cyc, wb_m2s_dbg_uart0_cyc, wb_m2s_dbg_gpio0_cyc}), .wbs_stb_o ({wb_m2s_dbg_sdram_dbus_stb, wb_m2s_dbg_uart0_stb, wb_m2s_dbg_gpio0_stb}), .wbs_cti_o ({wb_m2s_dbg_sdram_dbus_cti, wb_m2s_dbg_uart0_cti, wb_m2s_dbg_gpio0_cti}), .wbs_bte_o ({wb_m2s_dbg_sdram_dbus_bte, wb_m2s_dbg_uart0_bte, wb_m2s_dbg_gpio0_bte}), .wbs_dat_i ({wb_s2m_dbg_sdram_dbus_dat, wb_s2m_dbg_uart0_dat, wb_s2m_dbg_gpio0_dat}), .wbs_ack_i ({wb_s2m_dbg_sdram_dbus_ack, wb_s2m_dbg_uart0_ack, wb_s2m_dbg_gpio0_ack}), .wbs_err_i ({wb_s2m_dbg_sdram_dbus_err, wb_s2m_dbg_uart0_err, wb_s2m_dbg_gpio0_err}), .wbs_rty_i ({wb_s2m_dbg_sdram_dbus_rty, wb_s2m_dbg_uart0_rty, wb_s2m_dbg_gpio0_rty})); wb_mux #(.num_slaves (2), .MATCH_ADDR ({32'h00000000, 32'hf0000100}), .MATCH_MASK ({32'hfe000000, 32'hffffffc0})) wb_mux_or1k_i (.wb_clk_i (wb_clk_i), .wb_rst_i (wb_rst_i), .wbm_adr_i (wb_or1k_i_adr_i), .wbm_dat_i (wb_or1k_i_dat_i), .wbm_sel_i (wb_or1k_i_sel_i), .wbm_we_i (wb_or1k_i_we_i), .wbm_cyc_i (wb_or1k_i_cyc_i), .wbm_stb_i (wb_or1k_i_stb_i), .wbm_cti_i (wb_or1k_i_cti_i), .wbm_bte_i (wb_or1k_i_bte_i), .wbm_dat_o (wb_or1k_i_dat_o), .wbm_ack_o (wb_or1k_i_ack_o), .wbm_err_o (wb_or1k_i_err_o), .wbm_rty_o (wb_or1k_i_rty_o), .wbs_adr_o ({wb_sdram_ibus_adr_o, wb_rom0_adr_o}), .wbs_dat_o ({wb_sdram_ibus_dat_o, wb_rom0_dat_o}), .wbs_sel_o ({wb_sdram_ibus_sel_o, wb_rom0_sel_o}), .wbs_we_o ({wb_sdram_ibus_we_o, wb_rom0_we_o}), .wbs_cyc_o ({wb_sdram_ibus_cyc_o, wb_rom0_cyc_o}), .wbs_stb_o ({wb_sdram_ibus_stb_o, wb_rom0_stb_o}), .wbs_cti_o ({wb_sdram_ibus_cti_o, wb_rom0_cti_o}), .wbs_bte_o ({wb_sdram_ibus_bte_o, wb_rom0_bte_o}), .wbs_dat_i ({wb_sdram_ibus_dat_i, wb_rom0_dat_i}), .wbs_ack_i ({wb_sdram_ibus_ack_i, wb_rom0_ack_i}), .wbs_err_i ({wb_sdram_ibus_err_i, wb_rom0_err_i}), .wbs_rty_i ({wb_sdram_ibus_rty_i, wb_rom0_rty_i})); wb_mux #(.num_slaves (3), .MATCH_ADDR ({32'h00000000, 32'h90000000, 32'h91000000}), .MATCH_MASK ({32'hfe000000, 32'hffffffe0, 32'hfffffffe})) wb_mux_or1k_d (.wb_clk_i (wb_clk_i), .wb_rst_i (wb_rst_i), .wbm_adr_i (wb_or1k_d_adr_i), .wbm_dat_i (wb_or1k_d_dat_i), .wbm_sel_i (wb_or1k_d_sel_i), .wbm_we_i (wb_or1k_d_we_i), .wbm_cyc_i (wb_or1k_d_cyc_i), .wbm_stb_i (wb_or1k_d_stb_i), .wbm_cti_i (wb_or1k_d_cti_i), .wbm_bte_i (wb_or1k_d_bte_i), .wbm_dat_o (wb_or1k_d_dat_o), .wbm_ack_o (wb_or1k_d_ack_o), .wbm_err_o (wb_or1k_d_err_o), .wbm_rty_o (wb_or1k_d_rty_o), .wbs_adr_o ({wb_m2s_or1k_d_sdram_dbus_adr, wb_m2s_or1k_d_uart0_adr, wb_m2s_or1k_d_gpio0_adr}), .wbs_dat_o ({wb_m2s_or1k_d_sdram_dbus_dat, wb_m2s_or1k_d_uart0_dat, wb_m2s_or1k_d_gpio0_dat}), .wbs_sel_o ({wb_m2s_or1k_d_sdram_dbus_sel, wb_m2s_or1k_d_uart0_sel, wb_m2s_or1k_d_gpio0_sel}), .wbs_we_o ({wb_m2s_or1k_d_sdram_dbus_we, wb_m2s_or1k_d_uart0_we, wb_m2s_or1k_d_gpio0_we}), .wbs_cyc_o ({wb_m2s_or1k_d_sdram_dbus_cyc, wb_m2s_or1k_d_uart0_cyc, wb_m2s_or1k_d_gpio0_cyc}), .wbs_stb_o ({wb_m2s_or1k_d_sdram_dbus_stb, wb_m2s_or1k_d_uart0_stb, wb_m2s_or1k_d_gpio0_stb}), .wbs_cti_o ({wb_m2s_or1k_d_sdram_dbus_cti, wb_m2s_or1k_d_uart0_cti, wb_m2s_or1k_d_gpio0_cti}), .wbs_bte_o ({wb_m2s_or1k_d_sdram_dbus_bte, wb_m2s_or1k_d_uart0_bte, wb_m2s_or1k_d_gpio0_bte}), .wbs_dat_i ({wb_s2m_or1k_d_sdram_dbus_dat, wb_s2m_or1k_d_uart0_dat, wb_s2m_or1k_d_gpio0_dat}), .wbs_ack_i ({wb_s2m_or1k_d_sdram_dbus_ack, wb_s2m_or1k_d_uart0_ack, wb_s2m_or1k_d_gpio0_ack}), .wbs_err_i ({wb_s2m_or1k_d_sdram_dbus_err, wb_s2m_or1k_d_uart0_err, wb_s2m_or1k_d_gpio0_err}), .wbs_rty_i ({wb_s2m_or1k_d_sdram_dbus_rty, wb_s2m_or1k_d_uart0_rty, wb_s2m_or1k_d_gpio0_rty})); wb_arbiter #(.num_masters (2)) wb_arbiter_uart0 (.wb_clk_i (wb_clk_i), .wb_rst_i (wb_rst_i), .wbm_adr_i ({wb_m2s_or1k_d_uart0_adr, wb_m2s_dbg_uart0_adr}), .wbm_dat_i ({wb_m2s_or1k_d_uart0_dat, wb_m2s_dbg_uart0_dat}), .wbm_sel_i ({wb_m2s_or1k_d_uart0_sel, wb_m2s_dbg_uart0_sel}), .wbm_we_i ({wb_m2s_or1k_d_uart0_we, wb_m2s_dbg_uart0_we}), .wbm_cyc_i ({wb_m2s_or1k_d_uart0_cyc, wb_m2s_dbg_uart0_cyc}), .wbm_stb_i ({wb_m2s_or1k_d_uart0_stb, wb_m2s_dbg_uart0_stb}), .wbm_cti_i ({wb_m2s_or1k_d_uart0_cti, wb_m2s_dbg_uart0_cti}), .wbm_bte_i ({wb_m2s_or1k_d_uart0_bte, wb_m2s_dbg_uart0_bte}), .wbm_dat_o ({wb_s2m_or1k_d_uart0_dat, wb_s2m_dbg_uart0_dat}), .wbm_ack_o ({wb_s2m_or1k_d_uart0_ack, wb_s2m_dbg_uart0_ack}), .wbm_err_o ({wb_s2m_or1k_d_uart0_err, wb_s2m_dbg_uart0_err}), .wbm_rty_o ({wb_s2m_or1k_d_uart0_rty, wb_s2m_dbg_uart0_rty}), .wbs_adr_o (wb_m2s_resize_uart0_adr), .wbs_dat_o (wb_m2s_resize_uart0_dat), .wbs_sel_o (wb_m2s_resize_uart0_sel), .wbs_we_o (wb_m2s_resize_uart0_we), .wbs_cyc_o (wb_m2s_resize_uart0_cyc), .wbs_stb_o (wb_m2s_resize_uart0_stb), .wbs_cti_o (wb_m2s_resize_uart0_cti), .wbs_bte_o (wb_m2s_resize_uart0_bte), .wbs_dat_i (wb_s2m_resize_uart0_dat), .wbs_ack_i (wb_s2m_resize_uart0_ack), .wbs_err_i (wb_s2m_resize_uart0_err), .wbs_rty_i (wb_s2m_resize_uart0_rty)); wb_data_resize #(.aw (32), .mdw (32), .sdw (8)) wb_data_resize_uart0 (.wbm_adr_i (wb_m2s_resize_uart0_adr), .wbm_dat_i (wb_m2s_resize_uart0_dat), .wbm_sel_i (wb_m2s_resize_uart0_sel), .wbm_we_i (wb_m2s_resize_uart0_we), .wbm_cyc_i (wb_m2s_resize_uart0_cyc), .wbm_stb_i (wb_m2s_resize_uart0_stb), .wbm_cti_i (wb_m2s_resize_uart0_cti), .wbm_bte_i (wb_m2s_resize_uart0_bte), .wbm_dat_o (wb_s2m_resize_uart0_dat), .wbm_ack_o (wb_s2m_resize_uart0_ack), .wbm_err_o (wb_s2m_resize_uart0_err), .wbm_rty_o (wb_s2m_resize_uart0_rty), .wbs_adr_o (wb_uart0_adr_o), .wbs_dat_o (wb_uart0_dat_o), .wbs_we_o (wb_uart0_we_o), .wbs_cyc_o (wb_uart0_cyc_o), .wbs_stb_o (wb_uart0_stb_o), .wbs_cti_o (wb_uart0_cti_o), .wbs_bte_o (wb_uart0_bte_o), .wbs_dat_i (wb_uart0_dat_i), .wbs_ack_i (wb_uart0_ack_i), .wbs_err_i (wb_uart0_err_i), .wbs_rty_i (wb_uart0_rty_i)); wb_arbiter #(.num_masters (2)) wb_arbiter_sdram_dbus (.wb_clk_i (wb_clk_i), .wb_rst_i (wb_rst_i), .wbm_adr_i ({wb_m2s_or1k_d_sdram_dbus_adr, wb_m2s_dbg_sdram_dbus_adr}), .wbm_dat_i ({wb_m2s_or1k_d_sdram_dbus_dat, wb_m2s_dbg_sdram_dbus_dat}), .wbm_sel_i ({wb_m2s_or1k_d_sdram_dbus_sel, wb_m2s_dbg_sdram_dbus_sel}), .wbm_we_i ({wb_m2s_or1k_d_sdram_dbus_we, wb_m2s_dbg_sdram_dbus_we}), .wbm_cyc_i ({wb_m2s_or1k_d_sdram_dbus_cyc, wb_m2s_dbg_sdram_dbus_cyc}), .wbm_stb_i ({wb_m2s_or1k_d_sdram_dbus_stb, wb_m2s_dbg_sdram_dbus_stb}), .wbm_cti_i ({wb_m2s_or1k_d_sdram_dbus_cti, wb_m2s_dbg_sdram_dbus_cti}), .wbm_bte_i ({wb_m2s_or1k_d_sdram_dbus_bte, wb_m2s_dbg_sdram_dbus_bte}), .wbm_dat_o ({wb_s2m_or1k_d_sdram_dbus_dat, wb_s2m_dbg_sdram_dbus_dat}), .wbm_ack_o ({wb_s2m_or1k_d_sdram_dbus_ack, wb_s2m_dbg_sdram_dbus_ack}), .wbm_err_o ({wb_s2m_or1k_d_sdram_dbus_err, wb_s2m_dbg_sdram_dbus_err}), .wbm_rty_o ({wb_s2m_or1k_d_sdram_dbus_rty, wb_s2m_dbg_sdram_dbus_rty}), .wbs_adr_o (wb_sdram_dbus_adr_o), .wbs_dat_o (wb_sdram_dbus_dat_o), .wbs_sel_o (wb_sdram_dbus_sel_o), .wbs_we_o (wb_sdram_dbus_we_o), .wbs_cyc_o (wb_sdram_dbus_cyc_o), .wbs_stb_o (wb_sdram_dbus_stb_o), .wbs_cti_o (wb_sdram_dbus_cti_o), .wbs_bte_o (wb_sdram_dbus_bte_o), .wbs_dat_i (wb_sdram_dbus_dat_i), .wbs_ack_i (wb_sdram_dbus_ack_i), .wbs_err_i (wb_sdram_dbus_err_i), .wbs_rty_i (wb_sdram_dbus_rty_i)); wb_arbiter #(.num_masters (2)) wb_arbiter_gpio0 (.wb_clk_i (wb_clk_i), .wb_rst_i (wb_rst_i), .wbm_adr_i ({wb_m2s_or1k_d_gpio0_adr, wb_m2s_dbg_gpio0_adr}), .wbm_dat_i ({wb_m2s_or1k_d_gpio0_dat, wb_m2s_dbg_gpio0_dat}), .wbm_sel_i ({wb_m2s_or1k_d_gpio0_sel, wb_m2s_dbg_gpio0_sel}), .wbm_we_i ({wb_m2s_or1k_d_gpio0_we, wb_m2s_dbg_gpio0_we}), .wbm_cyc_i ({wb_m2s_or1k_d_gpio0_cyc, wb_m2s_dbg_gpio0_cyc}), .wbm_stb_i ({wb_m2s_or1k_d_gpio0_stb, wb_m2s_dbg_gpio0_stb}), .wbm_cti_i ({wb_m2s_or1k_d_gpio0_cti, wb_m2s_dbg_gpio0_cti}), .wbm_bte_i ({wb_m2s_or1k_d_gpio0_bte, wb_m2s_dbg_gpio0_bte}), .wbm_dat_o ({wb_s2m_or1k_d_gpio0_dat, wb_s2m_dbg_gpio0_dat}), .wbm_ack_o ({wb_s2m_or1k_d_gpio0_ack, wb_s2m_dbg_gpio0_ack}), .wbm_err_o ({wb_s2m_or1k_d_gpio0_err, wb_s2m_dbg_gpio0_err}), .wbm_rty_o ({wb_s2m_or1k_d_gpio0_rty, wb_s2m_dbg_gpio0_rty}), .wbs_adr_o (wb_m2s_resize_gpio0_adr), .wbs_dat_o (wb_m2s_resize_gpio0_dat), .wbs_sel_o (wb_m2s_resize_gpio0_sel), .wbs_we_o (wb_m2s_resize_gpio0_we), .wbs_cyc_o (wb_m2s_resize_gpio0_cyc), .wbs_stb_o (wb_m2s_resize_gpio0_stb), .wbs_cti_o (wb_m2s_resize_gpio0_cti), .wbs_bte_o (wb_m2s_resize_gpio0_bte), .wbs_dat_i (wb_s2m_resize_gpio0_dat), .wbs_ack_i (wb_s2m_resize_gpio0_ack), .wbs_err_i (wb_s2m_resize_gpio0_err), .wbs_rty_i (wb_s2m_resize_gpio0_rty)); wb_data_resize #(.aw (32), .mdw (32), .sdw (8)) wb_data_resize_gpio0 (.wbm_adr_i (wb_m2s_resize_gpio0_adr), .wbm_dat_i (wb_m2s_resize_gpio0_dat), .wbm_sel_i (wb_m2s_resize_gpio0_sel), .wbm_we_i (wb_m2s_resize_gpio0_we), .wbm_cyc_i (wb_m2s_resize_gpio0_cyc), .wbm_stb_i (wb_m2s_resize_gpio0_stb), .wbm_cti_i (wb_m2s_resize_gpio0_cti), .wbm_bte_i (wb_m2s_resize_gpio0_bte), .wbm_dat_o (wb_s2m_resize_gpio0_dat), .wbm_ack_o (wb_s2m_resize_gpio0_ack), .wbm_err_o (wb_s2m_resize_gpio0_err), .wbm_rty_o (wb_s2m_resize_gpio0_rty), .wbs_adr_o (wb_gpio0_adr_o), .wbs_dat_o (wb_gpio0_dat_o), .wbs_we_o (wb_gpio0_we_o), .wbs_cyc_o (wb_gpio0_cyc_o), .wbs_stb_o (wb_gpio0_stb_o), .wbs_cti_o (wb_gpio0_cti_o), .wbs_bte_o (wb_gpio0_bte_o), .wbs_dat_i (wb_gpio0_dat_i), .wbs_ack_i (wb_gpio0_ack_i), .wbs_err_i (wb_gpio0_err_i), .wbs_rty_i (wb_gpio0_rty_i)); endmodule
module jt51_pm( input [6:0] kc_I, input [5:0] kf_I, input [8:0] mod_I, input add, output reg [12:0] kcex ); reg [9:0] lim; reg [13:0] kcex0, kcex1; reg [1:0] extra; reg [6:0] kcin; reg carry; always @(*) begin: kc_input_cleaner { carry, kcin } = kc_I[1:0]==2'd3 ? { 1'b0, kc_I } + 8'd1 : {1'b0,kc_I}; end always @(*) begin : addition lim = { 1'd0, mod_I } + { 4'd0, kf_I }; case( kcin[3:0] ) default: if( lim>=10'd448 ) extra = 2'd2; else if( lim>=10'd256 ) extra = 2'd1; else extra = 2'd0; 4'd1,4'd5,4'd9,4'd13: if( lim>=10'd384 ) extra = 2'd2; else if( lim>=10'd192 ) extra = 2'd1; else extra = 2'd0; 4'd2,4'd6,4'd10,4'd14: if( lim>=10'd512 ) extra = 2'd3; else if( lim>=10'd320 ) extra = 2'd2; else if( lim>=10'd128 ) extra = 2'd1; else extra = 2'd0; endcase kcex0 = {1'b0,kcin,kf_I} + { 4'd0, extra, 6'd0 } + { 1'd0, mod_I }; kcex1 = kcex0[7:6]==2'd3 ? kcex0 + 14'd64 : kcex0; end reg signed [9:0] slim; reg [1:0] sextra; reg [13:0] skcex0, skcex1; always @(*) begin : subtraction slim = { 1'd0, mod_I } - { 4'd0, kf_I }; case( kcin[3:0] ) default: if( slim>=10'sd449 ) sextra = 2'd3; else if( slim>=10'sd257 ) sextra = 2'd2; else if( slim>=10'sd65 ) sextra = 2'd1; else sextra = 2'd0; 4'd1,4'd5,4'd9,4'd13: if( slim>=10'sd321 ) sextra = 2'd2; else if( slim>=10'sd129 ) sextra = 2'd1; else sextra = 2'd0; 4'd2,4'd6,4'd10,4'd14: if( slim>=10'sd385 ) sextra = 2'd2; else if( slim>=10'sd193 ) sextra = 2'd1; else sextra = 2'd0; endcase skcex0 = {1'b0,kcin,kf_I} - { 4'd0, sextra, 6'd0 } - { 1'd0, mod_I }; skcex1 = skcex0[7:6]==2'd3 ? skcex0 - 14'd64 : skcex0; end always @(*) begin : mux if ( add ) kcex = kcex1[13] | carry ? {3'd7, 4'd14, 6'd63} : kcex1[12:0]; else kcex = carry ? {3'd7, 4'd14, 6'd63} : (skcex1[13] ? 13'd0 : skcex1[12:0]); end endmodule
module bsg_nor3 #(parameter `BSG_INV_PARAM(width_p) , parameter harden_p=0 ) (input [width_p-1:0] a_i , input [width_p-1:0] b_i , input [width_p-1:0] c_i , output [width_p-1:0] o ); `bsg_nor3_macro(34) else `bsg_nor3_macro(33) else `bsg_nor3_macro(32) else `bsg_nor3_macro(31) else `bsg_nor3_macro(30) else `bsg_nor3_macro(29) else `bsg_nor3_macro(28) else `bsg_nor3_macro(27) else `bsg_nor3_macro(26) else `bsg_nor3_macro(25) else `bsg_nor3_macro(24) else `bsg_nor3_macro(23) else `bsg_nor3_macro(22) else `bsg_nor3_macro(21) else `bsg_nor3_macro(20) else `bsg_nor3_macro(19) else `bsg_nor3_macro(18) else `bsg_nor3_macro(17) else `bsg_nor3_macro(16) else `bsg_nor3_macro(15) else `bsg_nor3_macro(14) else `bsg_nor3_macro(13) else `bsg_nor3_macro(12) else `bsg_nor3_macro(11) else `bsg_nor3_macro(10) else `bsg_nor3_macro(9) else `bsg_nor3_macro(8) else `bsg_nor3_macro(7) else `bsg_nor3_macro(6) else `bsg_nor3_macro(5) else `bsg_nor3_macro(4) else `bsg_nor3_macro(3) else `bsg_nor3_macro(2) else `bsg_nor3_macro(1) else begin :notmacro initial assert(harden_p==0) else $error("## %m wanted to harden but no macro"); assign o = ~(a_i | b_i | c_i); end endmodule
module FIFO ( reserve, // reserve space constant wr_sync_cntrl, // Config input for wr side synchronizer clk_wr, // clock coming from write side of FIFO -- write signals data_in, // data to be written wr_valid, // write side data is valid for writing to FIFO delay_sel, // choose one/two delay cell for input data wr_request, // low= Full or utilizing reserve space, else NOT FULL async_empty, // true if empty, but referenced to write side reset, // synchronous to read clock -------------------------- clk_rd, // clock coming from read side of FIFO -- read signals data_out, // data to be read empty, // FIFO is EMPTY (combinational in from 1st stage of FIFO) rd_request, // asks the FIFO for data async_full, // true if FIFO is in reserve, but referenced to read side rd_sync_cntrl, // Config input for rd side synchronizer nap, // no increment read pointer signal fifo_util // FIFO utilization, used for DVFS ); // I/O %%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%% input [1:0] delay_sel; input [`DATA_WIDTH_M1:0] data_in; input [`ADDR_WIDTH_M1:0] reserve; input [2:0] wr_sync_cntrl; input [2:0] rd_sync_cntrl; input clk_wr, clk_rd, reset, wr_valid, rd_request, nap; output [`DATA_WIDTH_M1:0] data_out; output [1:0] fifo_util; output empty, wr_request, async_full, async_empty; // Internal Wires %%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%% wire [`ADDR_WIDTH_M1:0] temp_adder_out, // temporary address out rd_ptr_on_wr, wr_ptr_gray; // write pointer in gray code wire [`DATA_WIDTH_M1:0] data_out_c; // data out from memory wire wr_conv_temp1, // temporary wires used in gray wr_conv_temp2, //to binary conversions rd_conv_temp1, rd_conv_temp2, rd_en, // read inable flag rd_inc; // read increment flag // Internal Registers %%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%% reg [`ADDR_WIDTH_M1:0] wr_ptr, // write pointer rd_ptr, // read pointer rd_ptr_gray, // read pointer in gray code wr_ptr_gray_d1, // delayed write ptr in gray code wr_ptr_gray_d2, rd_ptr_gray_d1, // delayed read ptr in gray code rd_ptr_gray_d2, rd_ptr_gray_on_wr, wr_ptr_gray_on_rd, wr_ptr_on_rd, wr_Reg1, // registered pointers wr_Reg2, wr_Reg3, wr_Reg4, wr_RegS, rd_Reg1, rd_Reg2, rd_Reg3, rd_Reg4, rd_RegS; reg [`DATA_WIDTH_M1:0] data_out, // data output data_in_d, data_inREG1, // registered data in values data_inREG2, data_inREG3; reg wr_hold_r, // delayed write hold value wr_valid_d, validREG1, // registered valid values validREG2, validREG3; // Main %%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%% // Write Logic %%% // Temporary wires used in the Gray Code to Binary Converter assign wr_conv_temp1 = (rd_ptr_gray_on_wr[1]^rd_ptr_gray_on_wr[2]); assign wr_conv_temp2 = (rd_ptr_gray_on_wr[1]^(~rd_ptr_gray_on_wr[2])); // Reserve Logic Calculation, if the MSB is 1, hold. //Accordingly assign the wr request output and async full assign temp_adder_out = wr_ptr_on_rd - rd_ptr + {1'b0, reserve}; assign async_full = temp_adder_out[`ADDR_WIDTH_M1]; assign wr_request = ~wr_hold_r; // Asynchronous Communication of RD address pointer from RD side to WR side always @(wr_sync_cntrl or rd_ptr_gray_d2 or wr_Reg1 or wr_Reg2 or wr_Reg3 or wr_Reg4) begin case(wr_sync_cntrl) 3'b000: wr_RegS = rd_ptr_gray_d2; 3'b100: wr_RegS = wr_Reg1; 3'b101: wr_RegS = wr_Reg2; 3'b110: wr_RegS = wr_Reg3; 3'b111: wr_RegS = wr_Reg4; default: wr_RegS = 7'bxxxxxxx; endcase end always @(posedge clk_wr or posedge reset) begin // Binary Incrementer %% // Asynchronous Communication of RD address pointer from RD side to //WR side %% if (reset) begin //reset address FFs wr_ptr <= 7'b0000000; wr_ptr_gray_d1 <= #1 7'b0000000; wr_ptr_gray_d2 <= #1 7'b0000000; wr_hold_r <= #1 1'b0; wr_Reg1 <= 7'b0000000; wr_Reg2 <= 7'b0000000; wr_Reg3 <= 7'b0000000; wr_Reg4 <= 7'b0000000; rd_ptr_gray_on_wr <= 7'b0000000; // Insert delay to avoid the holdtime violation case (delay_sel) 0: begin wr_valid_d <= wr_valid; data_in_d <= data_in; end 1: begin wr_valid_d <= validREG1; data_in_d <= data_inREG1; end 2: begin wr_valid_d <= validREG2; data_in_d <= data_inREG2; end 3: begin wr_valid_d <= validREG3; data_in_d <= data_inREG3; end default: begin wr_valid_d <= wr_valid; data_in_d <= data_in; end endcase end else begin wr_ptr <= #1 wr_ptr + wr_valid_d; wr_ptr_gray_d1 <= #1 wr_ptr_gray; wr_ptr_gray_d2 <= #1 wr_ptr_gray_d1; wr_hold_r <= #1 async_full; wr_Reg1 <= #1 rd_ptr_gray_d2; wr_Reg2 <= #1 wr_Reg1; wr_Reg3 <= #1 wr_Reg2; wr_Reg4 <= #1 wr_Reg3; validREG1 <= #1 wr_valid; validREG2 <= #1 validREG1; validREG3 <= #1 validREG2; data_inREG1 <= #1 data_in; data_inREG2 <= #1 data_inREG1; data_inREG3 <= #1 data_inREG2; rd_ptr_gray_on_wr <= wr_RegS; // Insert delay to avoid the holdtime violation case (delay_sel) 0: begin wr_valid_d <= wr_valid; data_in_d <= data_in; end 1: begin wr_valid_d <= validREG1; data_in_d <= data_inREG1; end 2: begin wr_valid_d <= validREG2; data_in_d <= data_inREG2; end 3: begin wr_valid_d <= validREG3; data_in_d <= data_inREG3; end default: begin wr_valid_d <= wr_valid; data_in_d <= data_in; end endcase end end // Binary to Gray Code Converter %% assign wr_ptr_gray[0] = wr_ptr[0]^wr_ptr[1]; assign wr_ptr_gray[1] = wr_ptr[1]^wr_ptr[2]; assign wr_ptr_gray[2] = wr_ptr[2]^wr_ptr[3]; assign wr_ptr_gray[3] = wr_ptr[3]^wr_ptr[4]; assign wr_ptr_gray[4] = wr_ptr[4]^wr_ptr[5]; assign wr_ptr_gray[5] = wr_ptr[5]^wr_ptr[6]; assign wr_ptr_gray[6] = wr_ptr[6]; // Gray Code to Binary Converter %% assign rd_ptr_on_wr[6] = rd_ptr_gray_on_wr[6]; assign rd_ptr_on_wr[5] = rd_ptr_gray_on_wr[5]^rd_ptr_gray_on_wr[6]; assign rd_ptr_on_wr[4] = rd_ptr_gray_on_wr[4]^rd_ptr_on_wr[5]; assign rd_ptr_on_wr[3] = rd_ptr_gray_on_wr[3]^rd_ptr_on_wr[4]; assign rd_ptr_on_wr[2] = rd_ptr_on_wr[3] ? ~rd_ptr_gray_on_wr[2] : rd_ptr_gray_on_wr[2]; assign rd_ptr_on_wr[1] = rd_ptr_on_wr[3] ? wr_conv_temp2 : wr_conv_temp1; assign rd_ptr_on_wr[0] = rd_ptr_on_wr[3] ? wr_conv_temp2^rd_ptr_gray_on_wr[0] : wr_conv_temp1^rd_ptr_gray_on_wr[0]; // Read Logic %%% // Temporary wires used in the Gray Code to Binary Converter assign rd_conv_temp1 = (wr_ptr_gray_on_rd[1]^wr_ptr_gray_on_rd[2]); assign rd_conv_temp2 = (wr_ptr_gray_on_rd[1]^(~wr_ptr_gray_on_rd[2])); // Read Enable Logic assign rd_en = ~empty & rd_request; // Increment Enable Logic assign rd_inc = rd_en & ~nap; // Empty Logic, see if the next value for the read pointer would be empty assign empty = (rd_ptr + 1 == wr_ptr_on_rd) & ~nap; // Asynchronous Communication of WR address pointer from WR side to RD side always @(rd_sync_cntrl or wr_ptr_gray_d2 or rd_Reg1 or rd_Reg2 or rd_Reg3 or rd_Reg4) begin case(rd_sync_cntrl) 3'b000: rd_RegS = wr_ptr_gray_d2; 3'b100: rd_RegS = rd_Reg1; 3'b101: rd_RegS = rd_Reg2; 3'b110: rd_RegS = rd_Reg3; 3'b111: rd_RegS = rd_Reg4; default: rd_RegS = 7'bxxxxxxx; endcase end always @(posedge clk_rd) begin // Binary Incrementers %% if (reset) begin rd_ptr <= #1 7'b1111111; wr_ptr_on_rd = 7'b0000000; rd_ptr_gray <= #1 7'b0000000; rd_ptr_gray_d1 <= #1 7'b0000000; rd_ptr_gray_d2 <= #1 7'b0000000; end else begin rd_ptr <= #1 rd_ptr + rd_inc; rd_ptr_gray_d1 <= #1 rd_ptr_gray; rd_ptr_gray_d2 <= #1 rd_ptr_gray_d1; end // Binary to Gray Code Converter %% rd_ptr_gray[0] <= rd_ptr[0]^rd_ptr[1]; rd_ptr_gray[1] <= rd_ptr[1]^rd_ptr[2]; rd_ptr_gray[2] <= rd_ptr[2]^rd_ptr[3]; rd_ptr_gray[3] <= rd_ptr[3]^rd_ptr[4]; rd_ptr_gray[4] <= rd_ptr[4]^rd_ptr[5]; rd_ptr_gray[5] <= rd_ptr[5]^rd_ptr[6]; rd_ptr_gray[6] <= rd_ptr[6]; // Asynchronous Communication of WR address ptr from WR side to RD side %% if (reset) begin rd_Reg1 <= 7'b0000000; rd_Reg2 <= 7'b0000000; rd_Reg3 <= 7'b0000000; rd_Reg4 <= 7'b0000000; wr_ptr_gray_on_rd <= 7'b0000000; end else begin rd_Reg1 <= #1 wr_ptr_gray_d2; rd_Reg2 <= #1 rd_Reg1; rd_Reg3 <= #1 rd_Reg2; rd_Reg4 <= #1 rd_Reg3; wr_ptr_gray_on_rd <= rd_RegS; end // Gray Code to Binary Converter %% wr_ptr_on_rd[6] = wr_ptr_gray_on_rd[6]; wr_ptr_on_rd[5] = wr_ptr_gray_on_rd[5]^wr_ptr_gray_on_rd[6]; wr_ptr_on_rd[4] = wr_ptr_gray_on_rd[4]^wr_ptr_on_rd[5]; wr_ptr_on_rd[3] = wr_ptr_gray_on_rd[3]^wr_ptr_on_rd[4]; wr_ptr_on_rd[2] = wr_ptr_on_rd[3] ? ~wr_ptr_gray_on_rd[2] : wr_ptr_gray_on_rd[2]; wr_ptr_on_rd[1] = wr_ptr_on_rd[3] ? rd_conv_temp2 : rd_conv_temp1; wr_ptr_on_rd[0] = wr_ptr_on_rd[3] ? rd_conv_temp2^wr_ptr_gray_on_rd[0] : rd_conv_temp1^wr_ptr_gray_on_rd[0]; // Register the SRAM output data_out <= #1 data_out_c; end // Asychronous Empty Logic, used for asynchrnous wake assign async_empty = (wr_ptr == rd_ptr_on_wr); // FIFO utilization used by Dynamic Voltage and Frequency Scaling logic %%% wire [6:0] fifo_util_temp; assign fifo_util_temp = wr_ptr - rd_ptr - 1; reg [1:0] fifo_util; always @ ( fifo_util_temp ) begin if( fifo_util_temp[6] == 1'b1 ) begin // util = 64 fifo_util = 2'b11; end else begin fifo_util = fifo_util_temp[5:4]; // util = 0 to 63 end end //SRAM Memory Definition SRAM SRAM ( .wr_en(wr_valid_d), // write enable .clk_wr(clk_wr), // clock coming from write side of FIFO .wr_ptr(wr_ptr), // write pointer .data_in(data_in_d), // data to be written into the SRAM .rd_en(rd_en), // read enable .clk_rd(clk_rd), // clock coming from read side of FIFO .rd_ptr(rd_ptr), // read pointer .data_out(data_out_c) // data to be read from the SRAM ); endmodule
module lights_switches ( // inputs: address, clk, in_port, reset_n, // outputs: readdata ) ; output [ 31: 0] readdata; input [ 1: 0] address; input clk; input [ 7: 0] in_port; input reset_n; wire clk_en; wire [ 7: 0] data_in; wire [ 7: 0] read_mux_out; reg [ 31: 0] readdata; assign clk_en = 1; //s1, which is an e_avalon_slave assign read_mux_out = {8 {(address == 0)}} & data_in; always @(posedge clk or negedge reset_n) begin if (reset_n == 0) readdata <= 0; else if (clk_en) readdata <= {32'b0 | read_mux_out}; end assign data_in = in_port; endmodule
module sv_mult27_mult_add_cfq3 ( clock0, ena0, dataa, datab, result) ; parameter REPRESENTATION = "UNSIGNED"; input clock0; input ena0; input [26:0] dataa; input [26:0] datab; output [53:0] result; `ifndef ALTERA_RESERVED_QIS // synopsys translate_off `endif tri1 clock0; tri0 [26:0] dataa; tri0 [26:0] datab; `ifndef ALTERA_RESERVED_QIS // synopsys translate_on `endif wire [53:0] wire_altera_mult_add1_result; wire ena1; wire ena2; wire ena3; altera_mult_add altera_mult_add1 ( .chainout_sat_overflow(), .clock0(clock0), .dataa(dataa), .datab(datab), .ena0(ena0), .ena1(ena1), .ena2(ena2), .ena3(ena3), .mult0_is_saturated(), .mult1_is_saturated(), .mult2_is_saturated(), .mult3_is_saturated(), .overflow(), .result(wire_altera_mult_add1_result), .scanouta(), .scanoutb(), .accum_sload(1'b0), .aclr0(1'b0), .aclr1(1'b0), .aclr2(1'b0), .aclr3(1'b0), .addnsub1(1'b1), .addnsub1_round(1'b0), .addnsub3(1'b1), .addnsub3_round(1'b0), .chainin({1{1'b0}}), .chainout_round(1'b0), .chainout_saturate(1'b0), .clock1(1'b1), .clock2(1'b1), .clock3(1'b1), .coefsel0({3{1'b0}}), .coefsel1({3{1'b0}}), .coefsel2({3{1'b0}}), .coefsel3({3{1'b0}}), .datac({22{1'b0}}), .mult01_round(1'b0), .mult01_saturation(1'b0), .mult23_round(1'b0), .mult23_saturation(1'b0), .output_round(1'b0), .output_saturate(1'b0), .rotate(1'b0), .scanina({27{1'b0}}), .scaninb({27{1'b0}}), .shift_right(1'b0), .signa(1'b0), .signb(1'b0), .sourcea({1{1'b0}}), .sourceb({1{1'b0}}), .zero_chainout(1'b0), .zero_loopback(1'b0) ); defparam altera_mult_add1.accum_direction = "ADD", altera_mult_add1.accum_sload_aclr = "ACLR0", altera_mult_add1.accum_sload_pipeline_aclr = "ACLR0", altera_mult_add1.accum_sload_pipeline_register = "CLOCK0", altera_mult_add1.accum_sload_register = "UNREGISTERED", altera_mult_add1.accumulator = "NO", altera_mult_add1.adder1_rounding = "NO", altera_mult_add1.adder3_rounding = "NO", altera_mult_add1.addnsub1_round_aclr = "ACLR0", altera_mult_add1.addnsub1_round_pipeline_aclr = "ACLR0", altera_mult_add1.addnsub1_round_pipeline_register = "CLOCK0", altera_mult_add1.addnsub1_round_register = "CLOCK0", altera_mult_add1.addnsub3_round_aclr = "ACLR0", altera_mult_add1.addnsub3_round_pipeline_aclr = "ACLR0", altera_mult_add1.addnsub3_round_pipeline_register = "CLOCK0", altera_mult_add1.addnsub3_round_register = "CLOCK0", altera_mult_add1.addnsub_multiplier_aclr1 = "ACLR0", altera_mult_add1.addnsub_multiplier_aclr3 = "ACLR0", altera_mult_add1.addnsub_multiplier_pipeline_aclr1 = "ACLR0", altera_mult_add1.addnsub_multiplier_pipeline_aclr3 = "ACLR0", altera_mult_add1.addnsub_multiplier_pipeline_register1 = "UNREGISTERED", altera_mult_add1.addnsub_multiplier_pipeline_register3 = "CLOCK0", altera_mult_add1.addnsub_multiplier_register1 = "CLOCK0", altera_mult_add1.addnsub_multiplier_register3 = "CLOCK0", altera_mult_add1.chainout_aclr = "ACLR0", altera_mult_add1.chainout_adder = "NO", altera_mult_add1.chainout_register = "CLOCK0", altera_mult_add1.chainout_round_aclr = "ACLR0", altera_mult_add1.chainout_round_output_aclr = "ACLR0", altera_mult_add1.chainout_round_output_register = "CLOCK0", altera_mult_add1.chainout_round_pipeline_aclr = "ACLR0", altera_mult_add1.chainout_round_pipeline_register = "CLOCK0", altera_mult_add1.chainout_round_register = "CLOCK0", altera_mult_add1.chainout_rounding = "NO", altera_mult_add1.chainout_saturate_aclr = "ACLR0", altera_mult_add1.chainout_saturate_output_aclr = "ACLR0", altera_mult_add1.chainout_saturate_output_register = "CLOCK0", altera_mult_add1.chainout_saturate_pipeline_aclr = "ACLR0", altera_mult_add1.chainout_saturate_pipeline_register = "CLOCK0", altera_mult_add1.chainout_saturate_register = "CLOCK0", altera_mult_add1.chainout_saturation = "NO", altera_mult_add1.coef0_0 = 0, altera_mult_add1.coef0_1 = 0, altera_mult_add1.coef0_2 = 0, altera_mult_add1.coef0_3 = 0, altera_mult_add1.coef0_4 = 0, altera_mult_add1.coef0_5 = 0, altera_mult_add1.coef0_6 = 0, altera_mult_add1.coef0_7 = 0, altera_mult_add1.coef1_0 = 0, altera_mult_add1.coef1_1 = 0, altera_mult_add1.coef1_2 = 0, altera_mult_add1.coef1_3 = 0, altera_mult_add1.coef1_4 = 0, altera_mult_add1.coef1_5 = 0, altera_mult_add1.coef1_6 = 0, altera_mult_add1.coef1_7 = 0, altera_mult_add1.coef2_0 = 0, altera_mult_add1.coef2_1 = 0, altera_mult_add1.coef2_2 = 0, altera_mult_add1.coef2_3 = 0, altera_mult_add1.coef2_4 = 0, altera_mult_add1.coef2_5 = 0, altera_mult_add1.coef2_6 = 0, altera_mult_add1.coef2_7 = 0, altera_mult_add1.coef3_0 = 0, altera_mult_add1.coef3_1 = 0, altera_mult_add1.coef3_2 = 0, altera_mult_add1.coef3_3 = 0, altera_mult_add1.coef3_4 = 0, altera_mult_add1.coef3_5 = 0, altera_mult_add1.coef3_6 = 0, altera_mult_add1.coef3_7 = 0, altera_mult_add1.coefsel0_aclr = "ACLR0", altera_mult_add1.coefsel0_register = "UNREGISTERED", altera_mult_add1.coefsel1_aclr = "ACLR0", altera_mult_add1.coefsel1_register = "CLOCK0", altera_mult_add1.coefsel2_aclr = "ACLR0", altera_mult_add1.coefsel2_register = "CLOCK0", altera_mult_add1.coefsel3_aclr = "ACLR0", altera_mult_add1.coefsel3_register = "CLOCK0", altera_mult_add1.dedicated_multiplier_circuitry = "AUTO", altera_mult_add1.double_accum = "NO", altera_mult_add1.dsp_block_balancing = "Auto", altera_mult_add1.extra_latency = 0, altera_mult_add1.input_aclr_a0 = "ACLR0", altera_mult_add1.input_aclr_a1 = "ACLR0", altera_mult_add1.input_aclr_a2 = "ACLR0", altera_mult_add1.input_aclr_a3 = "ACLR0", altera_mult_add1.input_aclr_b0 = "ACLR0", altera_mult_add1.input_aclr_b1 = "ACLR0", altera_mult_add1.input_aclr_b2 = "ACLR0", altera_mult_add1.input_aclr_b3 = "ACLR0", altera_mult_add1.input_aclr_c0 = "ACLR0", altera_mult_add1.input_aclr_c1 = "ACLR0", altera_mult_add1.input_aclr_c2 = "ACLR0", altera_mult_add1.input_aclr_c3 = "ACLR0", altera_mult_add1.input_register_a0 = "CLOCK0", altera_mult_add1.input_register_a1 = "CLOCK0", altera_mult_add1.input_register_a2 = "CLOCK0", altera_mult_add1.input_register_a3 = "CLOCK0", altera_mult_add1.input_register_b0 = "CLOCK0", altera_mult_add1.input_register_b1 = "CLOCK0", altera_mult_add1.input_register_b2 = "CLOCK0", altera_mult_add1.input_register_b3 = "CLOCK0", altera_mult_add1.input_register_c0 = "UNREGISTERED", altera_mult_add1.input_register_c1 = "CLOCK0", altera_mult_add1.input_register_c2 = "CLOCK0", altera_mult_add1.input_register_c3 = "CLOCK0", altera_mult_add1.input_source_a0 = "DATAA", altera_mult_add1.input_source_a1 = "DATAA", altera_mult_add1.input_source_a2 = "DATAA", altera_mult_add1.input_source_a3 = "DATAA", altera_mult_add1.input_source_b0 = "DATAB", altera_mult_add1.input_source_b1 = "DATAB", altera_mult_add1.input_source_b2 = "DATAB", altera_mult_add1.input_source_b3 = "DATAB", altera_mult_add1.loadconst_control_aclr = "ACLR0", altera_mult_add1.loadconst_control_register = "CLOCK0", altera_mult_add1.loadconst_value = 64, altera_mult_add1.mult01_round_aclr = "ACLR0", altera_mult_add1.mult01_round_register = "CLOCK0", altera_mult_add1.mult01_saturation_aclr = "ACLR1", altera_mult_add1.mult01_saturation_register = "CLOCK0", altera_mult_add1.mult23_round_aclr = "ACLR0", altera_mult_add1.mult23_round_register = "CLOCK0", altera_mult_add1.mult23_saturation_aclr = "ACLR0", altera_mult_add1.mult23_saturation_register = "CLOCK0", altera_mult_add1.multiplier01_rounding = "NO", altera_mult_add1.multiplier01_saturation = "NO", altera_mult_add1.multiplier1_direction = "ADD", altera_mult_add1.multiplier23_rounding = "NO", altera_mult_add1.multiplier23_saturation = "NO", altera_mult_add1.multiplier3_direction = "ADD", altera_mult_add1.multiplier_aclr0 = "ACLR0", altera_mult_add1.multiplier_aclr1 = "ACLR0", altera_mult_add1.multiplier_aclr2 = "ACLR0", altera_mult_add1.multiplier_aclr3 = "ACLR0", altera_mult_add1.multiplier_register0 = "UNREGISTERED", altera_mult_add1.multiplier_register1 = "CLOCK0", altera_mult_add1.multiplier_register2 = "CLOCK0", altera_mult_add1.multiplier_register3 = "CLOCK0", altera_mult_add1.number_of_multipliers = 1, altera_mult_add1.output_aclr = "ACLR0", altera_mult_add1.output_register = "CLOCK0", altera_mult_add1.output_round_aclr = "ACLR0", altera_mult_add1.output_round_pipeline_aclr = "ACLR0", altera_mult_add1.output_round_pipeline_register = "CLOCK0", altera_mult_add1.output_round_register = "CLOCK0", altera_mult_add1.output_round_type = "NEAREST_INTEGER", altera_mult_add1.output_rounding = "NO", altera_mult_add1.output_saturate_aclr = "ACLR0", altera_mult_add1.output_saturate_pipeline_aclr = "ACLR0", altera_mult_add1.output_saturate_pipeline_register = "CLOCK0", altera_mult_add1.output_saturate_register = "CLOCK0", altera_mult_add1.output_saturate_type = "ASYMMETRIC", altera_mult_add1.output_saturation = "NO", altera_mult_add1.port_addnsub1 = "PORT_UNUSED", altera_mult_add1.port_addnsub3 = "PORT_UNUSED", altera_mult_add1.port_chainout_sat_is_overflow = "PORT_UNUSED", altera_mult_add1.port_output_is_overflow = "PORT_UNUSED", altera_mult_add1.port_signa = "PORT_UNUSED", altera_mult_add1.port_signb = "PORT_UNUSED", altera_mult_add1.preadder_direction_0 = "ADD", altera_mult_add1.preadder_direction_1 = "ADD", altera_mult_add1.preadder_direction_2 = "ADD", altera_mult_add1.preadder_direction_3 = "ADD", altera_mult_add1.preadder_mode = "SIMPLE", altera_mult_add1.representation_a = REPRESENTATION, altera_mult_add1.representation_b = REPRESENTATION, altera_mult_add1.rotate_aclr = "ACLR0", altera_mult_add1.rotate_output_aclr = "ACLR0", altera_mult_add1.rotate_output_register = "CLOCK0", altera_mult_add1.rotate_pipeline_aclr = "ACLR0", altera_mult_add1.rotate_pipeline_register = "CLOCK0", altera_mult_add1.rotate_register = "CLOCK0", altera_mult_add1.scanouta_aclr = "ACLR0", altera_mult_add1.scanouta_register = "UNREGISTERED", altera_mult_add1.selected_device_family = "Stratix V", altera_mult_add1.shift_mode = "NO", altera_mult_add1.shift_right_aclr = "ACLR0", altera_mult_add1.shift_right_output_aclr = "ACLR0", altera_mult_add1.shift_right_output_register = "CLOCK0", altera_mult_add1.shift_right_pipeline_aclr = "ACLR0", altera_mult_add1.shift_right_pipeline_register = "CLOCK0", altera_mult_add1.shift_right_register = "CLOCK0", altera_mult_add1.signed_aclr_a = "ACLR0", altera_mult_add1.signed_aclr_b = "ACLR0", altera_mult_add1.signed_pipeline_aclr_a = "ACLR0", altera_mult_add1.signed_pipeline_aclr_b = "ACLR0", altera_mult_add1.signed_pipeline_register_a = "UNREGISTERED", altera_mult_add1.signed_pipeline_register_b = "UNREGISTERED", altera_mult_add1.signed_register_a = "CLOCK0", altera_mult_add1.signed_register_b = "CLOCK0", altera_mult_add1.systolic_aclr1 = "ACLR0", altera_mult_add1.systolic_aclr3 = "ACLR0", altera_mult_add1.systolic_delay1 = "UNREGISTERED", altera_mult_add1.systolic_delay3 = "UNREGISTERED", altera_mult_add1.width_a = 27, altera_mult_add1.width_b = 27, altera_mult_add1.width_c = 22, altera_mult_add1.width_chainin = 1, altera_mult_add1.width_coef = 18, altera_mult_add1.width_msb = 17, altera_mult_add1.width_result = 54, altera_mult_add1.width_saturate_sign = 1, altera_mult_add1.zero_chainout_output_aclr = "ACLR0", altera_mult_add1.zero_chainout_output_register = "CLOCK0", altera_mult_add1.zero_loopback_aclr = "ACLR0", altera_mult_add1.zero_loopback_output_aclr = "ACLR0", altera_mult_add1.zero_loopback_output_register = "CLOCK0", altera_mult_add1.zero_loopback_pipeline_aclr = "ACLR0", altera_mult_add1.zero_loopback_pipeline_register = "CLOCK0", altera_mult_add1.zero_loopback_register = "CLOCK0", altera_mult_add1.lpm_type = "altera_mult_add"; assign ena1 = 1'b1, ena2 = 1'b1, ena3 = 1'b1, result = wire_altera_mult_add1_result; endmodule
module sv_mult27 ( clock0, ena0, dataa_0, datab_0, result); parameter REPRESENTATION = "UNSIGNED"; input clock0; input ena0; input [26:0] dataa_0; input [26:0] datab_0; output [53:0] result; `ifndef ALTERA_RESERVED_QIS // synopsys translate_off `endif tri1 clock0; tri0 [26:0] dataa_0; tri0 [26:0] datab_0; `ifndef ALTERA_RESERVED_QIS // synopsys translate_on `endif wire [53:0] sub_wire0; wire [53:0] result = sub_wire0[53:0]; sv_mult27_mult_add_cfq3 sv_mult27_mult_add_cfq3_component ( .clock0 (clock0), .ena0(ena0), .dataa (dataa_0), .datab (datab_0), .result (sub_wire0)); defparam sv_mult27_mult_add_cfq3_component.REPRESENTATION = REPRESENTATION; endmodule
module main( `ifdef MK2 /* Bus 1: PSRAM, 128Mbit, 16bit, 70ns */ output [22:0] ROM_ADDR, output ROM_CE, input MCU_OVR, /* debug */ output p113_out, `endif `ifdef MK3 input SNES_CIC_CLK, /* Bus 1: 2x PSRAM, 64Mbit, 16bit, 70ns */ output [21:0] ROM_ADDR, output ROM_1CE, output ROM_2CE, output ROM_ZZ, /* debug */ output PM6_out, output PN6_out, input PT5_in, `endif /* input clock */ input CLKIN, /* SNES signals */ input [23:0] SNES_ADDR_IN, input SNES_READ_IN, input SNES_WRITE_IN, input SNES_ROMSEL_IN, inout [7:0] SNES_DATA, input SNES_CPU_CLK_IN, input SNES_REFRESH, output SNES_IRQ, output SNES_DATABUS_OE, output SNES_DATABUS_DIR, input SNES_SYSCLK, input [7:0] SNES_PA_IN, input SNES_PARD_IN, input SNES_PAWR_IN, /* SRAM signals */ inout [15:0] ROM_DATA, output ROM_OE, output ROM_WE, output ROM_BHE, output ROM_BLE, /* Bus 2: SRAM, 4Mbit, 8bit, 45ns */ inout [7:0] RAM_DATA, output [18:0] RAM_ADDR, output RAM_OE, output RAM_WE, /* MCU signals */ input SPI_MOSI, inout SPI_MISO, input SPI_SS, input SPI_SCK, output MCU_RDY, output DAC_MCLK, output DAC_LRCK, output DAC_SDOUT, /* SD signals */ input [3:0] SD_DAT, inout SD_CMD, inout SD_CLK ); wire CLK2; wire [7:0] CX4_SNES_DATA_IN; wire [7:0] CX4_SNES_DATA_OUT; wire [7:0] spi_cmd_data; wire [7:0] spi_param_data; wire [7:0] spi_input_data; wire [31:0] spi_byte_cnt; wire [2:0] spi_bit_cnt; wire [23:0] MCU_ADDR; wire [2:0] MAPPER; wire [23:0] SAVERAM_MASK; wire [23:0] ROM_MASK; wire [7:0] SD_DMA_SRAM_DATA; wire [1:0] SD_DMA_TGT; wire [10:0] SD_DMA_PARTIAL_START; wire [10:0] SD_DMA_PARTIAL_END; wire [10:0] dac_addr; wire [2:0] dac_vol_select_out; wire [8:0] dac_ptr_addr; wire [7:0] msu_volumerq_out; wire [7:0] msu_status_out; wire [31:0] msu_addressrq_out; wire [15:0] msu_trackrq_out; wire [13:0] msu_write_addr; wire [13:0] msu_ptr_addr; wire [7:0] MSU_SNES_DATA_IN; wire [7:0] MSU_SNES_DATA_OUT; wire [5:0] msu_status_reset_bits; wire [5:0] msu_status_set_bits; wire [23:0] MAPPED_SNES_ADDR; wire ROM_ADDR0; wire [23:0] cx4_datrom_data; wire [9:0] cx4_datrom_addr; wire cx4_datrom_we; wire [8:0] snescmd_addr_mcu; wire [7:0] snescmd_data_out_mcu; wire [7:0] snescmd_data_in_mcu; reg [7:0] SNES_PARDr = 8'b11111111; reg [7:0] SNES_PAWRr = 8'b11111111; reg [7:0] SNES_READr = 8'b11111111; reg [7:0] SNES_WRITEr = 8'b11111111; reg [7:0] SNES_CPU_CLKr = 8'b00000000; reg [7:0] SNES_ROMSELr = 8'b11111111; reg [23:0] SNES_ADDRr [6:0]; reg [7:0] SNES_PAr [6:0]; reg [7:0] SNES_DATAr [4:0]; reg[17:0] SNES_DEAD_CNTr = 18'h00000; reg SNES_DEADr = 1; reg SNES_reset_strobe = 0; reg free_strobe = 0; wire [23:0] SNES_ADDR = (SNES_ADDRr[5] & SNES_ADDRr[4]); wire [7:0] SNES_PA = (SNES_PAr[5] & SNES_PAr[4]); wire [7:0] SNES_DATA_IN = (SNES_DATAr[3] & SNES_DATAr[2]); wire SNES_PARD_start = (SNES_PARDr[6:1] == 6'b111110); // Sample PAWR data earlier on CPU accesses, later on DMA accesses... wire SNES_PAWR_start = (SNES_PAWRr[7:1] == (({SNES_ADDR[22], SNES_ADDR[15:0]} == 17'h02100) ? 7'b1110000 : 7'b1000000)); wire SNES_PAWR_end = (SNES_PAWRr[6:1] == 6'b000001); wire SNES_RD_start = (SNES_READr[6:1] == 6'b111110); wire SNES_RD_end = (SNES_READr[6:1] == 6'b000001); wire SNES_WR_end = (SNES_WRITEr[6:1] == 6'b000001); wire SNES_cycle_start = (SNES_CPU_CLKr[6:1] == 6'b000001); wire SNES_cycle_end = (SNES_CPU_CLKr[6:1] == 6'b111110); wire SNES_WRITE = SNES_WRITEr[2] & SNES_WRITEr[1]; wire SNES_READ = SNES_READr[2] & SNES_READr[1]; wire SNES_CPU_CLK = SNES_CPU_CLKr[2] & SNES_CPU_CLKr[1]; wire SNES_PARD = SNES_PARDr[2] & SNES_PARDr[1]; wire SNES_PAWR = SNES_PAWRr[2] & SNES_PAWRr[1]; wire SNES_ROMSEL = (SNES_ROMSELr[5] & SNES_ROMSELr[4]); reg [7:0] BUS_DATA; always @(posedge CLK2) begin if(~SNES_READ) BUS_DATA <= SNES_DATA; else if(~SNES_WRITE) BUS_DATA <= SNES_DATA_IN; end wire free_slot = SNES_cycle_end | free_strobe; wire ROM_HIT; assign DCM_RST=0; always @(posedge CLK2) begin free_strobe <= 1'b0; if(SNES_cycle_start) free_strobe <= ~ROM_HIT; end always @(posedge CLK2) begin SNES_PARDr <= {SNES_PARDr[6:0], SNES_PARD_IN}; SNES_PAWRr <= {SNES_PAWRr[6:0], SNES_PAWR_IN}; SNES_READr <= {SNES_READr[6:0], SNES_READ_IN}; SNES_WRITEr <= {SNES_WRITEr[6:0], SNES_WRITE_IN}; SNES_CPU_CLKr <= {SNES_CPU_CLKr[6:0], SNES_CPU_CLK_IN}; SNES_ROMSELr <= {SNES_ROMSELr[6:0], SNES_ROMSEL_IN}; SNES_ADDRr[6] <= SNES_ADDRr[5]; SNES_ADDRr[5] <= SNES_ADDRr[4]; SNES_ADDRr[4] <= SNES_ADDRr[3]; SNES_ADDRr[3] <= SNES_ADDRr[2]; SNES_ADDRr[2] <= SNES_ADDRr[1]; SNES_ADDRr[1] <= SNES_ADDRr[0]; SNES_ADDRr[0] <= SNES_ADDR_IN; SNES_PAr[6] <= SNES_PAr[5]; SNES_PAr[5] <= SNES_PAr[4]; SNES_PAr[4] <= SNES_PAr[3]; SNES_PAr[3] <= SNES_PAr[2]; SNES_PAr[2] <= SNES_PAr[1]; SNES_PAr[1] <= SNES_PAr[0]; SNES_PAr[0] <= SNES_PA_IN; SNES_DATAr[4] <= SNES_DATAr[3]; SNES_DATAr[3] <= SNES_DATAr[2]; SNES_DATAr[2] <= SNES_DATAr[1]; SNES_DATAr[1] <= SNES_DATAr[0]; SNES_DATAr[0] <= SNES_DATA; end parameter ST_IDLE = 7'b0000001; parameter ST_MCU_RD_ADDR = 7'b0000010; parameter ST_MCU_RD_END = 7'b0000100; parameter ST_MCU_WR_ADDR = 7'b0001000; parameter ST_MCU_WR_END = 7'b0010000; parameter ST_CX4_RD_ADDR = 7'b0100000; parameter ST_CX4_RD_END = 7'b1000000; parameter ROM_CYCLE_LEN = 4'd6; parameter SNES_DEAD_TIMEOUT = 17'd80000; // 1ms reg [6:0] STATE; initial STATE = ST_IDLE; assign MSU_SNES_DATA_IN = BUS_DATA; assign CX4_SNES_DATA_IN = BUS_DATA; sd_dma snes_sd_dma( .CLK(CLK2), .SD_DAT(SD_DAT), .SD_CLK(SD_CLK), .SD_DMA_EN(SD_DMA_EN), .SD_DMA_STATUS(SD_DMA_STATUS), .SD_DMA_SRAM_WE(SD_DMA_SRAM_WE), .SD_DMA_SRAM_DATA(SD_DMA_SRAM_DATA), .SD_DMA_NEXTADDR(SD_DMA_NEXTADDR), .SD_DMA_PARTIAL(SD_DMA_PARTIAL), .SD_DMA_PARTIAL_START(SD_DMA_PARTIAL_START), .SD_DMA_PARTIAL_END(SD_DMA_PARTIAL_END), .SD_DMA_START_MID_BLOCK(SD_DMA_START_MID_BLOCK), .SD_DMA_END_MID_BLOCK(SD_DMA_END_MID_BLOCK) ); wire SD_DMA_TO_ROM = (SD_DMA_STATUS && (SD_DMA_TGT == 2'b00)); dac snes_dac( .clkin(CLK2), .sysclk(SNES_SYSCLK), .mclk_out(DAC_MCLK), .lrck_out(DAC_LRCK), .sdout(DAC_SDOUT), .we(SD_DMA_TGT==2'b01 ? SD_DMA_SRAM_WE : 1'b1), .pgm_address(dac_addr), .pgm_data(SD_DMA_SRAM_DATA), .DAC_STATUS(DAC_STATUS), .volume(msu_volumerq_out), .vol_latch(msu_volume_latch_out), .vol_select(dac_vol_select_out), .palmode(dac_palmode_out), .play(dac_play), .reset(dac_reset), .dac_address_ext(dac_ptr_addr) ); msu snes_msu ( .clkin(CLK2), .enable(msu_enable), .pgm_address(msu_write_addr), .pgm_data(SD_DMA_SRAM_DATA), .pgm_we(SD_DMA_TGT==2'b10 ? SD_DMA_SRAM_WE : 1'b1), .reg_addr(SNES_ADDR[2:0]), .reg_data_in(MSU_SNES_DATA_IN), .reg_data_out(MSU_SNES_DATA_OUT), .reg_oe_falling(SNES_RD_start), .reg_oe_rising(SNES_RD_end), .reg_we_rising(SNES_WR_end), .status_out(msu_status_out), .volume_out(msu_volumerq_out), .volume_latch_out(msu_volume_latch_out), .addr_out(msu_addressrq_out), .track_out(msu_trackrq_out), .status_reset_bits(msu_status_reset_bits), .status_set_bits(msu_status_set_bits), .status_reset_we(msu_status_reset_we), .msu_address_ext(msu_ptr_addr), .msu_address_ext_write(msu_addr_reset) ); spi snes_spi( .clk(CLK2), .MOSI(SPI_MOSI), .MISO(SPI_MISO), .SSEL(SPI_SS), .SCK(SPI_SCK), .cmd_ready(spi_cmd_ready), .param_ready(spi_param_ready), .cmd_data(spi_cmd_data), .param_data(spi_param_data), .endmessage(spi_endmessage), .startmessage(spi_startmessage), .input_data(spi_input_data), .byte_cnt(spi_byte_cnt), .bit_cnt(spi_bit_cnt) ); reg [7:0] MCU_DINr; wire [7:0] MCU_DOUT; wire [15:0] featurebits; wire [31:0] cheat_pgm_data; wire [7:0] cheat_data_out; wire [2:0] cheat_pgm_idx; wire [15:0] dsp_feat; wire [7:0] snescmd_data_in_mcu_dbg; wire feat_cmd_unlock = featurebits[5]; mcu_cmd snes_mcu_cmd( .clk(CLK2), .snes_sysclk(SNES_SYSCLK), .cmd_ready(spi_cmd_ready), .param_ready(spi_param_ready), .cmd_data(spi_cmd_data), .param_data(spi_param_data), .mcu_mapper(MAPPER), .mcu_write(MCU_WRITE), .mcu_data_in(MCU_DINr), .mcu_data_out(MCU_DOUT), .spi_byte_cnt(spi_byte_cnt), .spi_bit_cnt(spi_bit_cnt), .spi_data_out(spi_input_data), .addr_out(MCU_ADDR), .saveram_mask_out(SAVERAM_MASK), .rom_mask_out(ROM_MASK), .SD_DMA_EN(SD_DMA_EN), .SD_DMA_STATUS(SD_DMA_STATUS), .SD_DMA_NEXTADDR(SD_DMA_NEXTADDR), .SD_DMA_SRAM_DATA(SD_DMA_SRAM_DATA), .SD_DMA_SRAM_WE(SD_DMA_SRAM_WE), .SD_DMA_TGT(SD_DMA_TGT), .SD_DMA_PARTIAL(SD_DMA_PARTIAL), .SD_DMA_PARTIAL_START(SD_DMA_PARTIAL_START), .SD_DMA_PARTIAL_END(SD_DMA_PARTIAL_END), .SD_DMA_START_MID_BLOCK(SD_DMA_START_MID_BLOCK), .SD_DMA_END_MID_BLOCK(SD_DMA_END_MID_BLOCK), .dac_addr_out(dac_addr), .DAC_STATUS(DAC_STATUS), // .dac_volume_out(dac_volume), // .dac_volume_latch_out(dac_vol_latch), .dac_play_out(dac_play), .dac_reset_out(dac_reset), .dac_vol_select_out(dac_vol_select_out), .dac_palmode_out(dac_palmode_out), .dac_ptr_out(dac_ptr_addr), .msu_addr_out(msu_write_addr), .MSU_STATUS(msu_status_out), .msu_status_reset_out(msu_status_reset_bits), .msu_status_set_out(msu_status_set_bits), .msu_status_reset_we(msu_status_reset_we), .msu_volumerq(msu_volumerq_out), .msu_addressrq(msu_addressrq_out), .msu_trackrq(msu_trackrq_out), .msu_ptr_out(msu_ptr_addr), .msu_reset_out(msu_addr_reset), .mcu_rrq(MCU_RRQ), .mcu_wrq(MCU_WRQ), .mcu_rq_rdy(MCU_RDY), .featurebits_out(featurebits), .cx4_reset_out(cx4_reset), .region_out(mcu_region), .snescmd_addr_out(snescmd_addr_mcu), .snescmd_we_out(snescmd_we_mcu), .snescmd_data_out(snescmd_data_out_mcu), .snescmd_data_in(snescmd_data_in_mcu), .cheat_pgm_idx_out(cheat_pgm_idx), .cheat_pgm_data_out(cheat_pgm_data), .cheat_pgm_we_out(cheat_pgm_we), .dsp_feat_out(dsp_feat) ); address snes_addr( .CLK(CLK2), .MAPPER(MAPPER), .SNES_ADDR(SNES_ADDR), // requested address from SNES .SNES_PA(SNES_PA), .ROM_ADDR(MAPPED_SNES_ADDR), // Address to request from SRAM (active low) .ROM_HIT(ROM_HIT), .IS_SAVERAM(IS_SAVERAM), .IS_ROM(IS_ROM), .IS_WRITABLE(IS_WRITABLE), .SAVERAM_MASK(SAVERAM_MASK), .ROM_MASK(ROM_MASK), .featurebits(featurebits), //MSU-1 .msu_enable(msu_enable), //CX4 .cx4_enable(cx4_enable), .cx4_vect_enable(cx4_vect_enable), //region .r213f_enable(r213f_enable), //brightness fix .r2100_hit(r2100_hit), //CMD Interface .snescmd_enable(snescmd_enable), .nmicmd_enable(nmicmd_enable), .return_vector_enable(return_vector_enable), .branch1_enable(branch1_enable), .branch2_enable(branch2_enable) ); //always @(posedge CLK2) begin // non_hit_cycle <= 1'b0; // if(SNES_cycle_start) non_hit_cycle <= ~ROM_HIT; //end reg [7:0] CX4_DINr; wire [23:0] CX4_ADDR; wire [2:0] cx4_busy; cx4 snes_cx4 ( .DI(CX4_SNES_DATA_IN), .DO(CX4_SNES_DATA_OUT), .ADDR(SNES_ADDR[12:0]), .CS(cx4_enable), .SNES_VECT_EN(cx4_vect_enable), .reg_we_rising(SNES_WR_end), .CLK(CLK2), .BUS_DI(CX4_DINr), .BUS_ADDR(CX4_ADDR), .BUS_RRQ(CX4_RRQ), .BUS_RDY(CX4_RDY), .cx4_active(cx4_active), .cx4_busy_out(cx4_busy), .speed(dsp_feat[0]) ); reg pad_latch = 0; reg [4:0] pad_cnt = 0; reg snes_ajr = 0; cheat snes_cheat( .clk(CLK2), .SNES_ADDR(SNES_ADDR), .SNES_PA(SNES_PA), .SNES_DATA(SNES_DATA), .SNES_reset_strobe(SNES_reset_strobe), .SNES_cycle_start(SNES_cycle_start), .SNES_wr_strobe(SNES_WR_end), .SNES_rd_strobe(SNES_RD_start), .snescmd_enable(snescmd_enable), .nmicmd_enable(nmicmd_enable), .return_vector_enable(return_vector_enable), .branch1_enable(branch1_enable), .branch2_enable(branch2_enable), .pad_latch(pad_latch), .snes_ajr(snes_ajr), .pgm_idx(cheat_pgm_idx), .pgm_we(cheat_pgm_we), .pgm_in(cheat_pgm_data), .data_out(cheat_data_out), .cheat_hit(cheat_hit), .snescmd_unlock(snescmd_unlock) ); wire [7:0] snescmd_dout; reg [7:0] r213fr; reg r213f_forceread; reg [2:0] r213f_delay; reg [1:0] r213f_state; initial r213fr = 8'h55; initial r213f_forceread = 0; initial r213f_state = 2'b01; initial r213f_delay = 3'b000; reg [7:0] r2100r = 0; reg r2100_forcewrite = 0; reg r2100_forcewrite_pre = 0; wire [3:0] r2100_limit = featurebits[10:7]; wire [3:0] r2100_limited = (SNES_DATA[3:0] > r2100_limit) ? r2100_limit : SNES_DATA[3:0]; wire r2100_patch = featurebits[6]; wire r2100_enable = r2100_hit & (r2100_patch | ~(&r2100_limit)); wire snoop_4200_enable = {SNES_ADDR[22], SNES_ADDR[15:0]} == 17'h04200; wire r4016_enable = {SNES_ADDR[22], SNES_ADDR[15:0]} == 17'h04016; always @(posedge CLK2) begin r2100_forcewrite <= r2100_forcewrite_pre; end always @(posedge CLK2) begin if(SNES_WR_end & snoop_4200_enable) begin snes_ajr <= SNES_DATA[0]; end end always @(posedge CLK2) begin if(SNES_WR_end & r4016_enable) begin pad_latch <= 1'b1; pad_cnt <= 5'h0; end if(SNES_RD_start & r4016_enable) begin pad_cnt <= pad_cnt + 1; if(&pad_cnt[3:0]) begin pad_latch <= 1'b0; end end end assign SNES_DATA = (r213f_enable & ~SNES_PARD & ~r213f_forceread) ? r213fr :(r2100_enable & ~SNES_PAWR & r2100_forcewrite) ? r2100r :((~SNES_READ ^ (r213f_forceread & r213f_enable & ~SNES_PARD)) & ~(r2100_enable & ~SNES_PAWR & ~r2100_forcewrite & ~IS_ROM & ~IS_WRITABLE)) ? (msu_enable ? MSU_SNES_DATA_OUT :cx4_enable ? CX4_SNES_DATA_OUT :(cx4_active & cx4_vect_enable) ? CX4_SNES_DATA_OUT :(cheat_hit & ~feat_cmd_unlock) ? cheat_data_out :(snescmd_unlock | feat_cmd_unlock) & snescmd_enable ? snescmd_dout :(ROM_ADDR0 ? ROM_DATA[7:0] : ROM_DATA[15:8]) ): 8'bZ; reg [4:0] ST_MEM_DELAYr; reg MCU_RD_PENDr = 0; reg MCU_WR_PENDr = 0; reg CX4_RD_PENDr = 0; reg [23:0] ROM_ADDRr; reg [23:0] CX4_ADDRr; reg RQ_MCU_RDYr; initial RQ_MCU_RDYr = 1'b1; assign MCU_RDY = RQ_MCU_RDYr; reg RQ_CX4_RDYr; initial RQ_CX4_RDYr = 1'b1; assign CX4_RDY = RQ_CX4_RDYr; wire MCU_WE_HIT = |(STATE & ST_MCU_WR_ADDR); wire MCU_WR_HIT = |(STATE & (ST_MCU_WR_ADDR | ST_MCU_WR_END)); wire MCU_RD_HIT = |(STATE & (ST_MCU_RD_ADDR | ST_MCU_RD_END)); wire MCU_HIT = MCU_WR_HIT | MCU_RD_HIT; wire CX4_HIT = |(STATE & ST_CX4_RD_ADDR); `ifdef MK2 my_dcm snes_dcm( .CLKIN(CLKIN), .CLKFX(CLK2), .LOCKED(DCM_LOCKED), .RST(DCM_RST) ); assign ROM_ADDR = (SD_DMA_TO_ROM) ? MCU_ADDR[23:1] : MCU_HIT ? ROM_ADDRr[23:1] : CX4_HIT ? CX4_ADDRr[23:1] : MAPPED_SNES_ADDR[23:1]; assign ROM_ADDR0 = (SD_DMA_TO_ROM) ? MCU_ADDR[0] : MCU_HIT ? ROM_ADDRr[0] : CX4_HIT ? CX4_ADDRr[0] : MAPPED_SNES_ADDR[0]; assign ROM_CE = 1'b0; assign p113_out = 1'b0; snescmd_buf snescmd ( .clka(CLK2), // input clka .wea(SNES_WR_end & ((snescmd_unlock | feat_cmd_unlock) & snescmd_enable)), // input [0 : 0] wea .addra(SNES_ADDR[8:0]), // input [8 : 0] addra .dina(SNES_DATA), // input [7 : 0] dina .douta(snescmd_dout), // output [7 : 0] douta .clkb(CLK2), // input clkb .web(snescmd_we_mcu), // input [0 : 0] web .addrb(snescmd_addr_mcu), // input [8 : 0] addrb .dinb(snescmd_data_out_mcu), // input [7 : 0] dinb .doutb(snescmd_data_in_mcu) // output [7 : 0] doutb ); `endif `ifdef MK3 pll snes_pll( .inclk0(CLKIN), .c0(CLK2), .locked(DCM_LOCKED), .areset(DCM_RST) ); wire ROM_ADDR22; assign ROM_ADDR22 = (SD_DMA_TO_ROM) ? MCU_ADDR[1] : MCU_HIT ? ROM_ADDRr[1] : CX4_HIT ? CX4_ADDRr[1] : MAPPED_SNES_ADDR[1]; assign ROM_ADDR = (SD_DMA_TO_ROM) ? MCU_ADDR[23:2] : MCU_HIT ? ROM_ADDRr[23:2] : CX4_HIT ? CX4_ADDRr[23:2] : MAPPED_SNES_ADDR[23:2]; assign ROM_ADDR0 = (SD_DMA_TO_ROM) ? MCU_ADDR[0] : MCU_HIT ? ROM_ADDRr[0] : CX4_HIT ? CX4_ADDRr[0] : MAPPED_SNES_ADDR[0]; assign ROM_ZZ = 1'b1; assign ROM_1CE = ROM_ADDR22; assign ROM_2CE = ~ROM_ADDR22; snescmd_buf snescmd ( .clock(CLK2), // input clka .wren_a(SNES_WR_end & ((snescmd_unlock | feat_cmd_unlock) & snescmd_enable)), // input [0 : 0] wea .address_a(SNES_ADDR[8:0]), // input [8 : 0] addra .data_a(SNES_DATA), // input [7 : 0] dina .q_a(snescmd_dout), // output [7 : 0] douta .wren_b(snescmd_we_mcu), // input [0 : 0] web .address_b(snescmd_addr_mcu), // input [8 : 0] addrb .data_b(snescmd_data_out_mcu), // input [7 : 0] dinb .q_b(snescmd_data_in_mcu) // output [7 : 0] doutb ); `endif always @(posedge CLK2) begin if(cx4_active) begin if(CX4_RRQ) begin CX4_RD_PENDr <= 1'b1; RQ_CX4_RDYr <= 1'b0; CX4_ADDRr <= CX4_ADDR; end else if(STATE == ST_CX4_RD_END) begin CX4_RD_PENDr <= 1'b0; RQ_CX4_RDYr <= 1'b1; end end end always @(posedge CLK2) begin if(MCU_RRQ) begin MCU_RD_PENDr <= 1'b1; RQ_MCU_RDYr <= 1'b0; ROM_ADDRr <= MCU_ADDR; end else if(MCU_WRQ) begin MCU_WR_PENDr <= 1'b1; RQ_MCU_RDYr <= 1'b0; ROM_ADDRr <= MCU_ADDR; end else if(STATE & (ST_MCU_RD_END | ST_MCU_WR_END)) begin MCU_RD_PENDr <= 1'b0; MCU_WR_PENDr <= 1'b0; RQ_MCU_RDYr <= 1'b1; end end always @(posedge CLK2) begin if(~SNES_CPU_CLKr[1]) SNES_DEAD_CNTr <= SNES_DEAD_CNTr + 1; else SNES_DEAD_CNTr <= 17'h0; end always @(posedge CLK2) begin SNES_reset_strobe <= 1'b0; if(SNES_CPU_CLKr[1]) begin SNES_DEADr <= 1'b0; if(SNES_DEADr) SNES_reset_strobe <= 1'b1; end else if(SNES_DEAD_CNTr > SNES_DEAD_TIMEOUT) SNES_DEADr <= 1'b1; end always @(posedge CLK2) begin if(SNES_DEADr & SNES_CPU_CLKr[1]) STATE <= ST_IDLE; // interrupt+restart an ongoing MCU access when the SNES comes alive else case(STATE) ST_IDLE: begin STATE <= ST_IDLE; if(cx4_active) begin if (CX4_RD_PENDr) begin STATE <= ST_CX4_RD_ADDR; ST_MEM_DELAYr <= 16; end end else if(free_slot | SNES_DEADr) begin if(MCU_RD_PENDr) begin STATE <= ST_MCU_RD_ADDR; ST_MEM_DELAYr <= ROM_CYCLE_LEN; end else if(MCU_WR_PENDr) begin STATE <= ST_MCU_WR_ADDR; ST_MEM_DELAYr <= ROM_CYCLE_LEN; end end end ST_MCU_RD_ADDR: begin STATE <= ST_MCU_RD_ADDR; ST_MEM_DELAYr <= ST_MEM_DELAYr - 1; if(ST_MEM_DELAYr == 0) STATE <= ST_MCU_RD_END; MCU_DINr <= (ROM_ADDR0 ? ROM_DATA[7:0] : ROM_DATA[15:8]); end ST_MCU_WR_ADDR: begin STATE <= ST_MCU_WR_ADDR; ST_MEM_DELAYr <= ST_MEM_DELAYr - 1; if(ST_MEM_DELAYr == 0) STATE <= ST_MCU_WR_END; end ST_MCU_RD_END, ST_MCU_WR_END: begin STATE <= ST_IDLE; end ST_CX4_RD_ADDR: begin STATE <= ST_CX4_RD_ADDR; ST_MEM_DELAYr <= ST_MEM_DELAYr - 1; if(ST_MEM_DELAYr == 0) STATE <= ST_CX4_RD_END; CX4_DINr <= (ROM_ADDR0 ? ROM_DATA[7:0] : ROM_DATA[15:8]); end ST_CX4_RD_END: begin STATE <= ST_IDLE; end endcase end always @(posedge CLK2) begin if(SNES_cycle_end) r213f_forceread <= 1'b1; else if(SNES_PARD_start & r213f_enable) begin r213f_delay <= 3'b001; r213f_state <= 2'b10; end else if(r213f_state == 2'b10) begin r213f_delay <= r213f_delay - 1; if(r213f_delay == 3'b000) begin r213f_forceread <= 1'b0; r213f_state <= 2'b01; r213fr <= {SNES_DATA[7:5], mcu_region, SNES_DATA[3:0]}; end end end /********************************* * R2100 patching (experimental) * *********************************/ reg [3:0] r2100_bright = 0; reg [3:0] r2100_bright_orig = 0; always @(posedge CLK2) begin if(SNES_cycle_end) r2100_forcewrite_pre <= 1'b0; else if(SNES_PAWR_start & r2100_hit) begin if(r2100_patch & SNES_DATA[7]) begin // keep previous brightness during forced blanking so there is no DAC step r2100_forcewrite_pre <= 1'b1; r2100r <= {SNES_DATA[7], 3'b010, r2100_bright}; // 0xAx end else if (r2100_patch && SNES_DATA == 8'h00 && r2100r[7]) begin // extend forced blanking when game goes from blanking to brightness 0 (Star Fox top of screen) r2100_forcewrite_pre <= 1'b1; r2100r <= {1'b1, 3'b111, r2100_bright}; // 0xFx end else if (r2100_patch && SNES_DATA[3:0] < 4'h8 && r2100_bright_orig > 4'hd) begin // substitute big brightness changes with brightness 0 (so it is visible on 1CHIP) r2100_forcewrite_pre <= 1'b1; r2100r <= {SNES_DATA[7], 3'b011, 4'h0}; // 0x3x / 0xBx(!) end else if (r2100_patch | ~(&r2100_limit)) begin // save brightness, limit brightness r2100_bright <= r2100_limited; r2100_bright_orig <= SNES_DATA[3:0]; if (~(&r2100_limit) && SNES_DATA[3:0] > r2100_limit) begin r2100_forcewrite_pre <= 1'b1; r2100r <= {SNES_DATA[7], 3'b100, r2100_limited}; // 0x4x / 0xCx end end end end reg MCU_WRITE_1; always @(posedge CLK2) MCU_WRITE_1<= MCU_WRITE; assign ROM_DATA[7:0] = ROM_ADDR0 ?(SD_DMA_TO_ROM ? (!MCU_WRITE_1 ? MCU_DOUT : 8'bZ) : (ROM_HIT & ~SNES_WRITE) ? SNES_DATA : MCU_WR_HIT ? MCU_DOUT : 8'bZ ) :8'bZ; assign ROM_DATA[15:8] = ROM_ADDR0 ? 8'bZ :(SD_DMA_TO_ROM ? (!MCU_WRITE_1 ? MCU_DOUT : 8'bZ) : (ROM_HIT & ~SNES_WRITE) ? SNES_DATA : MCU_WR_HIT ? MCU_DOUT : 8'bZ ); assign ROM_WE = SD_DMA_TO_ROM ?MCU_WRITE : (ROM_HIT & IS_WRITABLE & SNES_CPU_CLK) ? SNES_WRITE : MCU_WE_HIT ? 1'b0 : 1'b1; // OE always active. Overridden by WE when needed. assign ROM_OE = 1'b0; assign ROM_BHE = ROM_ADDR0; assign ROM_BLE = ~ROM_ADDR0; assign SNES_DATABUS_OE = msu_enable ? 1'b0 : cx4_enable ? 1'b0 : (cx4_active & cx4_vect_enable) ? 1'b0 : (r213f_enable & ~SNES_PARD) ? 1'b0 : (r2100_enable & ~SNES_PAWR) ? 1'b0 : snoop_4200_enable ? SNES_WRITE : snescmd_enable ? (~(snescmd_unlock | feat_cmd_unlock) | (SNES_READ & SNES_WRITE)) : ((IS_ROM & SNES_ROMSEL) |(!IS_ROM & !IS_SAVERAM & !IS_WRITABLE) |(SNES_READ & SNES_WRITE) ); /* data bus direction: 0 = SNES -> FPGA; 1 = FPGA -> SNES * data bus is always SNES -> FPGA to avoid fighting except when: * a) the SNES wants to read * b) we want to force a value on the bus */ assign SNES_DATABUS_DIR = (~SNES_READ | (~SNES_PARD & (r213f_enable))) ? (1'b1 ^ (r213f_forceread & r213f_enable & ~SNES_PARD) ^ (r2100_enable & ~SNES_PAWR & ~r2100_forcewrite & ~IS_ROM & ~IS_WRITABLE)) : ((~SNES_PAWR & r2100_enable) ? r2100_forcewrite : 1'b0); assign SNES_IRQ = 1'b0; endmodule
module PmodJSTK_pmod_bridge_0_0 ( in0_I, in1_I, in2_I, in3_I, in0_O, in1_O, in2_O, in3_O, in0_T, in1_T, in2_T, in3_T, out0_I, out1_I, out2_I, out3_I, out4_I, out5_I, out6_I, out7_I, out0_O, out1_O, out2_O, out3_O, out4_O, out5_O, out6_O, out7_O, out0_T, out1_T, out2_T, out3_T, out4_T, out5_T, out6_T, out7_T ); (* X_INTERFACE_INFO = "xilinx.com:interface:spi:1.0 SPI_Top_Row SS_I" *) output wire in0_I; (* X_INTERFACE_INFO = "xilinx.com:interface:spi:1.0 SPI_Top_Row IO0_I" *) output wire in1_I; (* X_INTERFACE_INFO = "xilinx.com:interface:spi:1.0 SPI_Top_Row IO1_I" *) output wire in2_I; (* X_INTERFACE_INFO = "xilinx.com:interface:spi:1.0 SPI_Top_Row SCK_I" *) output wire in3_I; (* X_INTERFACE_INFO = "xilinx.com:interface:spi:1.0 SPI_Top_Row SS_O" *) input wire in0_O; (* X_INTERFACE_INFO = "xilinx.com:interface:spi:1.0 SPI_Top_Row IO0_O" *) input wire in1_O; (* X_INTERFACE_INFO = "xilinx.com:interface:spi:1.0 SPI_Top_Row IO1_O" *) input wire in2_O; (* X_INTERFACE_INFO = "xilinx.com:interface:spi:1.0 SPI_Top_Row SCK_O" *) input wire in3_O; (* X_INTERFACE_INFO = "xilinx.com:interface:spi:1.0 SPI_Top_Row SS_T" *) input wire in0_T; (* X_INTERFACE_INFO = "xilinx.com:interface:spi:1.0 SPI_Top_Row IO0_T" *) input wire in1_T; (* X_INTERFACE_INFO = "xilinx.com:interface:spi:1.0 SPI_Top_Row IO1_T" *) input wire in2_T; (* X_INTERFACE_INFO = "xilinx.com:interface:spi:1.0 SPI_Top_Row SCK_T" *) input wire in3_T; (* X_INTERFACE_INFO = "digilentinc.com:interface:pmod:1.0 Pmod_out PIN1_I" *) input wire out0_I; (* X_INTERFACE_INFO = "digilentinc.com:interface:pmod:1.0 Pmod_out PIN2_I" *) input wire out1_I; (* X_INTERFACE_INFO = "digilentinc.com:interface:pmod:1.0 Pmod_out PIN3_I" *) input wire out2_I; (* X_INTERFACE_INFO = "digilentinc.com:interface:pmod:1.0 Pmod_out PIN4_I" *) input wire out3_I; (* X_INTERFACE_INFO = "digilentinc.com:interface:pmod:1.0 Pmod_out PIN7_I" *) input wire out4_I; (* X_INTERFACE_INFO = "digilentinc.com:interface:pmod:1.0 Pmod_out PIN8_I" *) input wire out5_I; (* X_INTERFACE_INFO = "digilentinc.com:interface:pmod:1.0 Pmod_out PIN9_I" *) input wire out6_I; (* X_INTERFACE_INFO = "digilentinc.com:interface:pmod:1.0 Pmod_out PIN10_I" *) input wire out7_I; (* X_INTERFACE_INFO = "digilentinc.com:interface:pmod:1.0 Pmod_out PIN1_O" *) output wire out0_O; (* X_INTERFACE_INFO = "digilentinc.com:interface:pmod:1.0 Pmod_out PIN2_O" *) output wire out1_O; (* X_INTERFACE_INFO = "digilentinc.com:interface:pmod:1.0 Pmod_out PIN3_O" *) output wire out2_O; (* X_INTERFACE_INFO = "digilentinc.com:interface:pmod:1.0 Pmod_out PIN4_O" *) output wire out3_O; (* X_INTERFACE_INFO = "digilentinc.com:interface:pmod:1.0 Pmod_out PIN7_O" *) output wire out4_O; (* X_INTERFACE_INFO = "digilentinc.com:interface:pmod:1.0 Pmod_out PIN8_O" *) output wire out5_O; (* X_INTERFACE_INFO = "digilentinc.com:interface:pmod:1.0 Pmod_out PIN9_O" *) output wire out6_O; (* X_INTERFACE_INFO = "digilentinc.com:interface:pmod:1.0 Pmod_out PIN10_O" *) output wire out7_O; (* X_INTERFACE_INFO = "digilentinc.com:interface:pmod:1.0 Pmod_out PIN1_T" *) output wire out0_T; (* X_INTERFACE_INFO = "digilentinc.com:interface:pmod:1.0 Pmod_out PIN2_T" *) output wire out1_T; (* X_INTERFACE_INFO = "digilentinc.com:interface:pmod:1.0 Pmod_out PIN3_T" *) output wire out2_T; (* X_INTERFACE_INFO = "digilentinc.com:interface:pmod:1.0 Pmod_out PIN4_T" *) output wire out3_T; (* X_INTERFACE_INFO = "digilentinc.com:interface:pmod:1.0 Pmod_out PIN7_T" *) output wire out4_T; (* X_INTERFACE_INFO = "digilentinc.com:interface:pmod:1.0 Pmod_out PIN8_T" *) output wire out5_T; (* X_INTERFACE_INFO = "digilentinc.com:interface:pmod:1.0 Pmod_out PIN9_T" *) output wire out6_T; (* X_INTERFACE_INFO = "digilentinc.com:interface:pmod:1.0 Pmod_out PIN10_T" *) output wire out7_T; pmod_concat #( .Top_Row_Interface("SPI"), .Bottom_Row_Interface("Disabled") ) inst ( .in_top_bus_I(), .in_top_bus_O(4'B0), .in_top_bus_T(4'B0), .in_top_uart_gpio_bus_I(), .in_top_uart_gpio_bus_O(2'B1), .in_top_uart_gpio_bus_T(2'B1), .in_top_i2c_gpio_bus_I(), .in_top_i2c_gpio_bus_O(2'B1), .in_top_i2c_gpio_bus_T(2'B1), .in_bottom_bus_I(), .in_bottom_bus_O(4'B1), .in_bottom_bus_T(4'B1), .in_bottom_uart_gpio_bus_I(), .in_bottom_uart_gpio_bus_O(2'B1), .in_bottom_uart_gpio_bus_T(2'B1), .in_bottom_i2c_gpio_bus_I(), .in_bottom_i2c_gpio_bus_O(2'B1), .in_bottom_i2c_gpio_bus_T(2'B1), .in0_I(in0_I), .in1_I(in1_I), .in2_I(in2_I), .in3_I(in3_I), .in4_I(), .in5_I(), .in6_I(), .in7_I(), .in0_O(in0_O), .in1_O(in1_O), .in2_O(in2_O), .in3_O(in3_O), .in4_O(1'B1), .in5_O(1'B1), .in6_O(1'B1), .in7_O(1'B1), .in0_T(in0_T), .in1_T(in1_T), .in2_T(in2_T), .in3_T(in3_T), .in4_T(1'B1), .in5_T(1'B1), .in6_T(1'B1), .in7_T(1'B1), .out0_I(out0_I), .out1_I(out1_I), .out2_I(out2_I), .out3_I(out3_I), .out4_I(out4_I), .out5_I(out5_I), .out6_I(out6_I), .out7_I(out7_I), .out0_O(out0_O), .out1_O(out1_O), .out2_O(out2_O), .out3_O(out3_O), .out4_O(out4_O), .out5_O(out5_O), .out6_O(out6_O), .out7_O(out7_O), .out0_T(out0_T), .out1_T(out1_T), .out2_T(out2_T), .out3_T(out3_T), .out4_T(out4_T), .out5_T(out5_T), .out6_T(out6_T), .out7_T(out7_T) ); endmodule
module sky130_fd_sc_ms__udp_dff$PR ( //# {{data|Data Signals}} input D , output Q , //# {{control|Control Signals}} input RESET, //# {{clocks|Clocking}} input CLK ); endmodule
module sky130_fd_sc_hd__xor3 ( X , A , B , C , VPWR, VGND, VPB , VNB ); // Module ports output X ; input A ; input B ; input C ; input VPWR; input VGND; input VPB ; input VNB ; // Local signals wire xor0_out_X ; wire pwrgood_pp0_out_X; // Name Output Other arguments xor xor0 (xor0_out_X , A, B, C ); sky130_fd_sc_hd__udp_pwrgood_pp$PG pwrgood_pp0 (pwrgood_pp0_out_X, xor0_out_X, VPWR, VGND); buf buf0 (X , pwrgood_pp0_out_X ); endmodule
module sky130_fd_sc_hvl__lsbuflv2hv_symmetric ( X , A , VPWR , VGND , LVPWR, VPB , VNB ); // Module ports output X ; input A ; input VPWR ; input VGND ; input LVPWR; input VPB ; input VNB ; // Local signals wire pwrgood_pp0_out_A; wire buf0_out_X ; // Name Output Other arguments sky130_fd_sc_hvl__udp_pwrgood_pp$PG pwrgood_pp0 (pwrgood_pp0_out_A, A, LVPWR, VGND ); buf buf0 (buf0_out_X , pwrgood_pp0_out_A ); sky130_fd_sc_hvl__udp_pwrgood_pp$PG pwrgood_pp1 (X , buf0_out_X, VPWR, VGND); endmodule
module sky130_fd_sc_lp__or4_m ( X , A , B , C , D , VPWR, VGND, VPB , VNB ); output X ; input A ; input B ; input C ; input D ; input VPWR; input VGND; input VPB ; input VNB ; sky130_fd_sc_lp__or4 base ( .X(X), .A(A), .B(B), .C(C), .D(D), .VPWR(VPWR), .VGND(VGND), .VPB(VPB), .VNB(VNB) ); endmodule
module sky130_fd_sc_lp__or4_m ( X, A, B, C, D ); output X; input A; input B; input C; input D; // Voltage supply signals supply1 VPWR; supply0 VGND; supply1 VPB ; supply0 VNB ; sky130_fd_sc_lp__or4 base ( .X(X), .A(A), .B(B), .C(C), .D(D) ); endmodule
module SPIBusTest; // Main SPI interface. reg main_nss, main_sck, main_mosi; wire main_miso; // Secondary SPI interface. reg alt_nss, alt_sck, alt_mosi; wire alt_miso; // SPI memory bus interface. wire mem_nss, mem_sck, mem_mosi; reg mem_miso; // Instantiate the Unit Under Test (UUT). SPIBus spi_bus(main_nss, main_sck, main_mosi, main_miso, alt_nss, alt_sck, alt_mosi, alt_miso, mem_nss, mem_sck, mem_mosi, mem_miso); integer stage; // Keeps track of test progress. // Generate contents of |mem_miso| as the inverse of |mem_mosi|. always @ (*) mem_miso <= ~mem_mosi; initial begin main_nss = 0; main_sck = 0; main_mosi = 0; alt_nss = 1; alt_sck = 0; alt_mosi = 0; stage = 0; #1 main_nss = 1; #10 stage = 1; // Perform some memory bus accesses. #10 main_nss = 0; main_spi_transmit(8'h01); main_spi_transmit(8'h02); main_spi_transmit(8'h04); main_spi_transmit(8'h08); main_nss = 1; // Attempt a secondary SPI access, should go through. #10 alt_nss = 0; alt_spi_transmit(8'h11); alt_spi_transmit(8'h22); alt_spi_transmit(8'h44); alt_spi_transmit(8'h88); alt_nss = 1; #10 stage = 2; // Assert the main bus and the secondary bus at the same time. Neither // should have nSS access, but the main bus should have SCK and MISO access. #10 main_nss = 0; alt_nss = 0; main_spi_transmit(8'h01); main_spi_transmit(8'h02); main_spi_transmit(8'h04); main_spi_transmit(8'h08); alt_spi_transmit(8'h11); alt_spi_transmit(8'h22); alt_spi_transmit(8'h44); alt_spi_transmit(8'h88); main_nss = 1; alt_nss = 1; #10 stage = 3; end // Task to send a byte over primary SPI bus. task main_spi_transmit; input [`BYTE_WIDTH-1:0] data; integer i; begin main_sck = 0; #2 main_sck = 0; for (i = 0; i < `BYTE_WIDTH; i = i + 1) begin main_mosi = data[`BYTE_WIDTH - 1 - i]; #1 main_sck = 1; #1 main_sck = 0; end #2 main_sck = 0; main_mosi = 0; end endtask // Task to send a byte over secondary SPI bus. task alt_spi_transmit; input [`BYTE_WIDTH-1:0] data; integer i; begin alt_sck = 0; #2 alt_sck = 0; for (i = 0; i < `BYTE_WIDTH; i = i + 1) begin alt_mosi = data[`BYTE_WIDTH - 1 - i]; #1 alt_sck = 1; #1 alt_sck = 0; end #2 alt_sck = 0; alt_mosi = 0; end endtask endmodule
module fifo_test(); parameter WIDTH = 8; parameter DEPTH = 12; `include "dut.v" integer i; reg [WIDTH-1:0] tempdata; reg tempstart; reg tempend; initial begin #15 reset = 0; fork data_in.sync_write(8'hAA); data_in_start.sync_write(1); join for ( i = 1; i < 100; i = i + 1) begin data_in.sync_write(i); end fork data_in.sync_write(8'hAB); data_in_end.sync_write(1); join fork data_out.sync_read(tempdata); data_out_start.sync_read(tempstart); data_out_end.sync_read(tempend); join util.assert(tempdata == 8'hAA, "Reading FIFO Data"); util.assert(tempstart == 1, "Reading FIFO Start"); util.assert(tempend == 0, "Reading FIFO End"); for ( i = 1; i < 100; i = i + 1) begin fork data_out.sync_read(tempdata); data_out_start.sync_read(tempstart); data_out_end.sync_read(tempend); join util.assert(tempdata == i, "Reading FIFO Data"); util.assert(tempstart == 0, "Reading FIFO Start"); util.assert(tempend == 0, "Reading FIFO End"); end fork data_out.sync_read(tempdata); data_out_start.sync_read(tempstart); data_out_end.sync_read(tempend); join util.assert(tempdata == 8'hAB, "Reading FIFO Data"); util.assert(tempstart == 0, "Reading FIFO Start"); util.assert(tempend == 1, "Reading FIFO End"); $finish; end endmodule
module n64_readcmd_rx(input wire clk_4M, input wire din, input wire enable, output reg [31:0] ctrl_state, output wire ctrl_clk); // In sample window, we can read the value of the // last 8 samples of the input signal. // Sampling frequency is 4 MHz wire [6:0] sampling_window; shiftM #(.M(7), .INI(7'b1111111)) shift_sampling_reg ( .clk(clk_4M), .enable(enable), .serin(din), .data(sampling_window) ); // When we detect a falling edge at the oldest bit of // the sampling window, we already have our desired // bit at the newest position wire [32:0] ctrl_state_dirty; shiftM #(.M(33), .INI(33'b0)) shift_controller_state_reg ( .clk(~sampling_window[6]), .enable(enable), .serin(sampling_window[0]), .data(ctrl_state_dirty) ); // We need to update our 'ctrl_state' from 'ctrl_state_dirty' // at the right time, that is, when we finish reading // all of the 32 bits. wire output_en; counterM #(.M(32)) counter_signal_complete ( .clk(~sampling_window[6]), .reset(ctrl_clk), .empty(ctrl_clk) ); initial ctrl_state = 32'b0; always @(posedge ctrl_clk) ctrl_state <= ctrl_state_dirty[32:1]; endmodule
module sky130_fd_sc_lp__nor3_4 ( Y , A , B , C , VPWR, VGND, VPB , VNB ); output Y ; input A ; input B ; input C ; input VPWR; input VGND; input VPB ; input VNB ; sky130_fd_sc_lp__nor3 base ( .Y(Y), .A(A), .B(B), .C(C), .VPWR(VPWR), .VGND(VGND), .VPB(VPB), .VNB(VNB) ); endmodule
module sky130_fd_sc_lp__nor3_4 ( Y, A, B, C ); output Y; input A; input B; input C; // Voltage supply signals supply1 VPWR; supply0 VGND; supply1 VPB ; supply0 VNB ; sky130_fd_sc_lp__nor3 base ( .Y(Y), .A(A), .B(B), .C(C) ); endmodule
module sky130_fd_sc_ms__sdfrtn ( Q , CLK_N , D , SCD , SCE , RESET_B ); output Q ; input CLK_N ; input D ; input SCD ; input SCE ; input RESET_B; // Voltage supply signals supply1 VPWR; supply0 VGND; supply1 VPB ; supply0 VNB ; endmodule
module seven_seg_decoder(led_out,bin_in); output [6:0] led_out; input [3:0] bin_in; wire [3:0] bin_in_inv; assign bin_in_inv = ~bin_in; /* A => bin_in[3] * B => bin_in[2] * C => bin_in[1] * D => bin_in[0] */ // note: tmp variables use implicit nets //led_out[6] = A’B’C’ + A’BCD + ABC’D’ assign led_out[6] = (bin_in_inv[3] & bin_in_inv[2] & bin_in_inv[1]) | (bin_in_inv[3] & bin_in[2] & bin_in[1] & bin_in[0]) | (bin_in[3] & bin_in[2] & bin_in_inv[1] & bin_in_inv[0]); //led_out[5] = A’B’D + A’B’C + A’CD +ABC’D assign led_out[5] = (bin_in_inv[3] & bin_in_inv[2] & bin_in[0]) | (bin_in_inv[3] & bin_in_inv[2] & bin_in[1]) | (bin_in_inv[3] & bin_in[1] & bin_in[0]) | (bin_in[3] & bin_in[2] & bin_in_inv[1] & bin_in[0]); //led_out[4] = A’D + B’C’D + A’BC’ assign led_out[4] = (bin_in_inv[3] & bin_in[0]) | (bin_in_inv[2] & bin_in_inv[1] & bin_in[0]) | (bin_in_inv[3] & bin_in[2] & bin_in_inv[1]); //led_out[3] = B’C’D + BCD + A’BC’D’ + AB’CD’ assign led_out[3] = (bin_in_inv[2] & bin_in_inv[1] & bin_in[0]) | (bin_in[2] & bin_in[1] & bin_in[0]) | (bin_in_inv[3] & bin_in[2] & bin_in_inv[1] & bin_in_inv[0]) | (bin_in[3] & bin_in_inv[2] & bin_in[1] & bin_in_inv[0]); //led_out[2] = ABD’ + ABC + A’B’CD’ assign led_out[2] = (bin_in[3] & bin_in[2] & bin_in_inv[0]) | (bin_in[3] & bin_in[2] & bin_in[1]) | (bin_in_inv[3] & bin_in_inv[2] & bin_in[1] & bin_in_inv[0]); //led_out[1] = BCD’ + ACD + ABD’ + A’BC’D assign led_out[1] = (bin_in[2] & bin_in[1] & bin_in_inv[0]) | (bin_in[3] & bin_in[1] & bin_in[0]) | (bin_in[3] & bin_in[2] & bin_in_inv[0]) | (bin_in_inv[3] & bin_in[2] & bin_in_inv[1] & bin_in[0]); //led_out[0] = A’B’C’D + A’BC’D’ + AB’CD + ABC’D assign led_out[0] = (bin_in_inv[3] & bin_in_inv[2] & bin_in_inv[1] & bin_in[0]) | (bin_in_inv[3] & bin_in[2] & bin_in_inv[1] & bin_in_inv[0]) | (bin_in[3] & bin_in_inv[2] & bin_in[1] & bin_in[0]) | (bin_in[3] & bin_in[2] & bin_in_inv[1] & bin_in[0]); endmodule
module stimulus_seven_seg; reg [3:0] bin_in = 0000; wire [6:0] led_out; reg clk; // instantiate the seven segment decoder seven_seg_decoder s1(led_out,bin_in); // We'll make a counter that counts from 00 to 1111 // In order to do that, we'll need a clock initial clk = 1'b0; always #5 clk = ~clk; //toggle clock every 5 time units always @(posedge clk) bin_in = bin_in + 1; initial begin $monitor("At time",$time,"binary input=%b and hex output=%h\n",bin_in,led_out); #160 $stop; end endmodule
module altera_mult_add_opt2 ( aclr0, clock0, dataa, datab, result) /* synthesis synthesis_clearbox=1 */; input aclr0; input clock0; input [15:0] dataa; input [15:0] datab; output [15:0] result; `ifndef ALTERA_RESERVED_QIS // synopsys translate_off `endif tri0 aclr0; tri1 clock0; tri0 [15:0] dataa; tri0 [15:0] datab; `ifndef ALTERA_RESERVED_QIS // synopsys translate_on `endif wire [15:0] wire_altera_mult_add_rtl1_result; altera_mult_add_rtl altera_mult_add_rtl1 ( .aclr0(aclr0), .chainout_sat_overflow(), .clock0(clock0), .dataa(dataa), .datab(datab), .mult0_is_saturated(), .mult1_is_saturated(), .mult2_is_saturated(), .mult3_is_saturated(), .overflow(), .result(wire_altera_mult_add_rtl1_result), .scanouta(), .scanoutb(), .accum_sload(1'b0), .aclr1(1'b0), .aclr2(1'b0), .aclr3(1'b0), .addnsub1(1'b1), .addnsub1_round(1'b0), .addnsub3(1'b1), .addnsub3_round(1'b0), .chainin({1{1'b0}}), .chainout_round(1'b0), .chainout_saturate(1'b0), .clock1(1'b1), .clock2(1'b1), .clock3(1'b1), .coefsel0({3{1'b0}}), .coefsel1({3{1'b0}}), .coefsel2({3{1'b0}}), .coefsel3({3{1'b0}}), .datac({22{1'b0}}), .ena0(1'b1), .ena1(1'b1), .ena2(1'b1), .ena3(1'b1), .mult01_round(1'b0), .mult01_saturation(1'b0), .mult23_round(1'b0), .mult23_saturation(1'b0), .output_round(1'b0), .output_saturate(1'b0), .rotate(1'b0), .scanina({16{1'b0}}), .scaninb({16{1'b0}}), .shift_right(1'b0), .signa(1'b0), .signb(1'b0), .sload_accum(1'b0), .sourcea({1{1'b0}}), .sourceb({1{1'b0}}), .zero_chainout(1'b0), .zero_loopback(1'b0) ); defparam altera_mult_add_rtl1.accum_direction = "ADD", altera_mult_add_rtl1.accum_sload_aclr = "NONE", altera_mult_add_rtl1.accum_sload_pipeline_aclr = "NONE", altera_mult_add_rtl1.accum_sload_pipeline_register = "UNREGISTERED", altera_mult_add_rtl1.accum_sload_register = "UNREGISTERED", altera_mult_add_rtl1.accumulator = "NO", altera_mult_add_rtl1.adder1_rounding = "NO", altera_mult_add_rtl1.adder3_rounding = "NO", altera_mult_add_rtl1.addnsub1_round_aclr = "NONE", altera_mult_add_rtl1.addnsub1_round_pipeline_aclr = "NONE", altera_mult_add_rtl1.addnsub1_round_pipeline_register = "UNREGISTERED", altera_mult_add_rtl1.addnsub1_round_register = "UNREGISTERED", altera_mult_add_rtl1.addnsub3_round_aclr = "NONE", altera_mult_add_rtl1.addnsub3_round_pipeline_aclr = "NONE", altera_mult_add_rtl1.addnsub3_round_pipeline_register = "UNREGISTERED", altera_mult_add_rtl1.addnsub3_round_register = "UNREGISTERED", altera_mult_add_rtl1.addnsub_multiplier_aclr1 = "NONE", altera_mult_add_rtl1.addnsub_multiplier_aclr3 = "NONE", altera_mult_add_rtl1.addnsub_multiplier_pipeline_aclr1 = "ACLR0", altera_mult_add_rtl1.addnsub_multiplier_pipeline_aclr3 = "NONE", altera_mult_add_rtl1.addnsub_multiplier_pipeline_register1 = "CLOCK0", altera_mult_add_rtl1.addnsub_multiplier_pipeline_register3 = "UNREGISTERED", altera_mult_add_rtl1.addnsub_multiplier_register1 = "UNREGISTERED", altera_mult_add_rtl1.addnsub_multiplier_register3 = "UNREGISTERED", altera_mult_add_rtl1.chainout_aclr = "NONE", altera_mult_add_rtl1.chainout_adder = "NO", altera_mult_add_rtl1.chainout_register = "UNREGISTERED", altera_mult_add_rtl1.chainout_round_aclr = "NONE", altera_mult_add_rtl1.chainout_round_output_aclr = "NONE", altera_mult_add_rtl1.chainout_round_output_register = "UNREGISTERED", altera_mult_add_rtl1.chainout_round_pipeline_aclr = "NONE", altera_mult_add_rtl1.chainout_round_pipeline_register = "UNREGISTERED", altera_mult_add_rtl1.chainout_round_register = "UNREGISTERED", altera_mult_add_rtl1.chainout_rounding = "NO", altera_mult_add_rtl1.chainout_saturate_aclr = "NONE", altera_mult_add_rtl1.chainout_saturate_output_aclr = "NONE", altera_mult_add_rtl1.chainout_saturate_output_register = "UNREGISTERED", altera_mult_add_rtl1.chainout_saturate_pipeline_aclr = "NONE", altera_mult_add_rtl1.chainout_saturate_pipeline_register = "UNREGISTERED", altera_mult_add_rtl1.chainout_saturate_register = "UNREGISTERED", altera_mult_add_rtl1.chainout_saturation = "NO", altera_mult_add_rtl1.coef0_0 = 0, altera_mult_add_rtl1.coef0_1 = 0, altera_mult_add_rtl1.coef0_2 = 0, altera_mult_add_rtl1.coef0_3 = 0, altera_mult_add_rtl1.coef0_4 = 0, altera_mult_add_rtl1.coef0_5 = 0, altera_mult_add_rtl1.coef0_6 = 0, altera_mult_add_rtl1.coef0_7 = 0, altera_mult_add_rtl1.coef1_0 = 0, altera_mult_add_rtl1.coef1_1 = 0, altera_mult_add_rtl1.coef1_2 = 0, altera_mult_add_rtl1.coef1_3 = 0, altera_mult_add_rtl1.coef1_4 = 0, altera_mult_add_rtl1.coef1_5 = 0, altera_mult_add_rtl1.coef1_6 = 0, altera_mult_add_rtl1.coef1_7 = 0, altera_mult_add_rtl1.coef2_0 = 0, altera_mult_add_rtl1.coef2_1 = 0, altera_mult_add_rtl1.coef2_2 = 0, altera_mult_add_rtl1.coef2_3 = 0, altera_mult_add_rtl1.coef2_4 = 0, altera_mult_add_rtl1.coef2_5 = 0, altera_mult_add_rtl1.coef2_6 = 0, altera_mult_add_rtl1.coef2_7 = 0, altera_mult_add_rtl1.coef3_0 = 0, altera_mult_add_rtl1.coef3_1 = 0, altera_mult_add_rtl1.coef3_2 = 0, altera_mult_add_rtl1.coef3_3 = 0, altera_mult_add_rtl1.coef3_4 = 0, altera_mult_add_rtl1.coef3_5 = 0, altera_mult_add_rtl1.coef3_6 = 0, altera_mult_add_rtl1.coef3_7 = 0, altera_mult_add_rtl1.coefsel0_aclr = "NONE", altera_mult_add_rtl1.coefsel0_register = "UNREGISTERED", altera_mult_add_rtl1.coefsel1_aclr = "NONE", altera_mult_add_rtl1.coefsel1_register = "UNREGISTERED", altera_mult_add_rtl1.coefsel2_aclr = "NONE", altera_mult_add_rtl1.coefsel2_register = "UNREGISTERED", altera_mult_add_rtl1.coefsel3_aclr = "NONE", altera_mult_add_rtl1.coefsel3_register = "UNREGISTERED", altera_mult_add_rtl1.dedicated_multiplier_circuitry = "YES", altera_mult_add_rtl1.double_accum = "NO", altera_mult_add_rtl1.dsp_block_balancing = "Auto", altera_mult_add_rtl1.extra_latency = 0, altera_mult_add_rtl1.input_aclr_a0 = "NONE", altera_mult_add_rtl1.input_aclr_a1 = "NONE", altera_mult_add_rtl1.input_aclr_a2 = "NONE", altera_mult_add_rtl1.input_aclr_a3 = "NONE", altera_mult_add_rtl1.input_aclr_b0 = "NONE", altera_mult_add_rtl1.input_aclr_b1 = "NONE", altera_mult_add_rtl1.input_aclr_b2 = "NONE", altera_mult_add_rtl1.input_aclr_b3 = "NONE", altera_mult_add_rtl1.input_aclr_c0 = "NONE", altera_mult_add_rtl1.input_aclr_c1 = "NONE", altera_mult_add_rtl1.input_aclr_c2 = "NONE", altera_mult_add_rtl1.input_aclr_c3 = "NONE", altera_mult_add_rtl1.input_register_a0 = "UNREGISTERED", altera_mult_add_rtl1.input_register_a1 = "UNREGISTERED", altera_mult_add_rtl1.input_register_a2 = "UNREGISTERED", altera_mult_add_rtl1.input_register_a3 = "UNREGISTERED", altera_mult_add_rtl1.input_register_b0 = "UNREGISTERED", altera_mult_add_rtl1.input_register_b1 = "UNREGISTERED", altera_mult_add_rtl1.input_register_b2 = "UNREGISTERED", altera_mult_add_rtl1.input_register_b3 = "UNREGISTERED", altera_mult_add_rtl1.input_register_c0 = "UNREGISTERED", altera_mult_add_rtl1.input_register_c1 = "UNREGISTERED", altera_mult_add_rtl1.input_register_c2 = "UNREGISTERED", altera_mult_add_rtl1.input_register_c3 = "UNREGISTERED", altera_mult_add_rtl1.input_source_a0 = "DATAA", altera_mult_add_rtl1.input_source_a1 = "DATAA", altera_mult_add_rtl1.input_source_a2 = "DATAA", altera_mult_add_rtl1.input_source_a3 = "DATAA", altera_mult_add_rtl1.input_source_b0 = "DATAB", altera_mult_add_rtl1.input_source_b1 = "DATAB", altera_mult_add_rtl1.input_source_b2 = "DATAB", altera_mult_add_rtl1.input_source_b3 = "DATAB", altera_mult_add_rtl1.loadconst_control_aclr = "NONE", altera_mult_add_rtl1.loadconst_control_register = "UNREGISTERED", altera_mult_add_rtl1.loadconst_value = 64, altera_mult_add_rtl1.mult01_round_aclr = "NONE", altera_mult_add_rtl1.mult01_round_register = "UNREGISTERED", altera_mult_add_rtl1.mult01_saturation_aclr = "ACLR0", altera_mult_add_rtl1.mult01_saturation_register = "UNREGISTERED", altera_mult_add_rtl1.mult23_round_aclr = "NONE", altera_mult_add_rtl1.mult23_round_register = "UNREGISTERED", altera_mult_add_rtl1.mult23_saturation_aclr = "NONE", altera_mult_add_rtl1.mult23_saturation_register = "UNREGISTERED", altera_mult_add_rtl1.multiplier01_rounding = "NO", altera_mult_add_rtl1.multiplier01_saturation = "NO", altera_mult_add_rtl1.multiplier1_direction = "ADD", altera_mult_add_rtl1.multiplier23_rounding = "NO", altera_mult_add_rtl1.multiplier23_saturation = "NO", altera_mult_add_rtl1.multiplier3_direction = "ADD", altera_mult_add_rtl1.multiplier_aclr0 = "ACLR0", altera_mult_add_rtl1.multiplier_aclr1 = "NONE", altera_mult_add_rtl1.multiplier_aclr2 = "NONE", altera_mult_add_rtl1.multiplier_aclr3 = "NONE", altera_mult_add_rtl1.multiplier_register0 = "CLOCK0", altera_mult_add_rtl1.multiplier_register1 = "UNREGISTERED", altera_mult_add_rtl1.multiplier_register2 = "UNREGISTERED", altera_mult_add_rtl1.multiplier_register3 = "UNREGISTERED", altera_mult_add_rtl1.number_of_multipliers = 1, altera_mult_add_rtl1.output_aclr = "NONE", altera_mult_add_rtl1.output_register = "UNREGISTERED", altera_mult_add_rtl1.output_round_aclr = "NONE", altera_mult_add_rtl1.output_round_pipeline_aclr = "NONE", altera_mult_add_rtl1.output_round_pipeline_register = "UNREGISTERED", altera_mult_add_rtl1.output_round_register = "UNREGISTERED", altera_mult_add_rtl1.output_round_type = "NEAREST_INTEGER", altera_mult_add_rtl1.output_rounding = "NO", altera_mult_add_rtl1.output_saturate_aclr = "NONE", altera_mult_add_rtl1.output_saturate_pipeline_aclr = "NONE", altera_mult_add_rtl1.output_saturate_pipeline_register = "UNREGISTERED", altera_mult_add_rtl1.output_saturate_register = "UNREGISTERED", altera_mult_add_rtl1.output_saturate_type = "ASYMMETRIC", altera_mult_add_rtl1.output_saturation = "NO", altera_mult_add_rtl1.port_addnsub1 = "PORT_UNUSED", altera_mult_add_rtl1.port_addnsub3 = "PORT_UNUSED", altera_mult_add_rtl1.port_chainout_sat_is_overflow = "PORT_UNUSED", altera_mult_add_rtl1.port_output_is_overflow = "PORT_UNUSED", altera_mult_add_rtl1.port_signa = "PORT_UNUSED", altera_mult_add_rtl1.port_signb = "PORT_UNUSED", altera_mult_add_rtl1.preadder_direction_0 = "ADD", altera_mult_add_rtl1.preadder_direction_1 = "ADD", altera_mult_add_rtl1.preadder_direction_2 = "ADD", altera_mult_add_rtl1.preadder_direction_3 = "ADD", altera_mult_add_rtl1.preadder_mode = "SIMPLE", altera_mult_add_rtl1.representation_a = "UNSIGNED", altera_mult_add_rtl1.representation_b = "UNSIGNED", altera_mult_add_rtl1.rotate_aclr = "NONE", altera_mult_add_rtl1.rotate_output_aclr = "NONE", altera_mult_add_rtl1.rotate_output_register = "UNREGISTERED", altera_mult_add_rtl1.rotate_pipeline_aclr = "NONE", altera_mult_add_rtl1.rotate_pipeline_register = "UNREGISTERED", altera_mult_add_rtl1.rotate_register = "UNREGISTERED", altera_mult_add_rtl1.scanouta_aclr = "NONE", altera_mult_add_rtl1.scanouta_register = "UNREGISTERED", altera_mult_add_rtl1.selected_device_family = "Cyclone II", altera_mult_add_rtl1.shift_mode = "NO", altera_mult_add_rtl1.shift_right_aclr = "NONE", altera_mult_add_rtl1.shift_right_output_aclr = "NONE", altera_mult_add_rtl1.shift_right_output_register = "UNREGISTERED", altera_mult_add_rtl1.shift_right_pipeline_aclr = "NONE", altera_mult_add_rtl1.shift_right_pipeline_register = "UNREGISTERED", altera_mult_add_rtl1.shift_right_register = "UNREGISTERED", altera_mult_add_rtl1.signed_aclr_a = "NONE", altera_mult_add_rtl1.signed_aclr_b = "NONE", altera_mult_add_rtl1.signed_pipeline_aclr_a = "ACLR0", altera_mult_add_rtl1.signed_pipeline_aclr_b = "ACLR0", altera_mult_add_rtl1.signed_pipeline_register_a = "CLOCK0", altera_mult_add_rtl1.signed_pipeline_register_b = "CLOCK0", altera_mult_add_rtl1.signed_register_a = "UNREGISTERED", altera_mult_add_rtl1.signed_register_b = "UNREGISTERED", altera_mult_add_rtl1.systolic_aclr1 = "NONE", altera_mult_add_rtl1.systolic_aclr3 = "NONE", altera_mult_add_rtl1.systolic_delay1 = "UNREGISTERED", altera_mult_add_rtl1.systolic_delay3 = "UNREGISTERED", altera_mult_add_rtl1.use_sload_accum_port = "NO", altera_mult_add_rtl1.width_a = 16, altera_mult_add_rtl1.width_b = 16, altera_mult_add_rtl1.width_c = 22, altera_mult_add_rtl1.width_chainin = 1, altera_mult_add_rtl1.width_coef = 18, altera_mult_add_rtl1.width_msb = 17, altera_mult_add_rtl1.width_result = 16, altera_mult_add_rtl1.width_saturate_sign = 1, altera_mult_add_rtl1.zero_chainout_output_aclr = "NONE", altera_mult_add_rtl1.zero_chainout_output_register = "UNREGISTERED", altera_mult_add_rtl1.zero_loopback_aclr = "NONE", altera_mult_add_rtl1.zero_loopback_output_aclr = "NONE", altera_mult_add_rtl1.zero_loopback_output_register = "UNREGISTERED", altera_mult_add_rtl1.zero_loopback_pipeline_aclr = "NONE", altera_mult_add_rtl1.zero_loopback_pipeline_register = "UNREGISTERED", altera_mult_add_rtl1.zero_loopback_register = "UNREGISTERED", altera_mult_add_rtl1.lpm_type = "altera_mult_add_rtl"; assign result = wire_altera_mult_add_rtl1_result; endmodule
module sky130_fd_sc_hs__dlymetal6s4s ( //# {{data|Data Signals}} input A , output X , //# {{power|Power}} input VPWR, input VGND ); endmodule
module mmu_table_load( input wire iCLOCK, input wire inRESET, input wire iRESET_SYNC, //Load Req input wire iLD_REQ, input wire [31:0] iLD_ADDR, output wire oLD_BUSY, //Memory Pipe - REQ output wire oMEM_REQ, input wire iMEM_LOCK, output wire [31:0] oMEM_ADDR, //Memory Pipe - ACK input wire iMEM_VALID, input wire [63:0] iMEM_DATA, //DONE output wire oDONE_VALID, output wire [31:0] oDONE_DATA, output wire [11:0] oDONE_FLAG0, output wire [11:0] oDONE_FLAG1 ); reg [1:0] b_main_state; reg [31:0] b_req_addr; localparam PL_MAIN_STT_IDLE = 2'h0; localparam PL_MAIN_STT_REQ = 2'h1; localparam PL_MAIN_STT_WAITING = 2'h2; wire latch_condition = iLD_REQ && (b_main_state == PL_MAIN_STT_IDLE); always@(posedge iCLOCK or negedge inRESET)begin if(!inRESET)begin b_req_addr <= 32'h0; end else if(iRESET_SYNC)begin b_req_addr <= 32'h0; end else begin if(latch_condition)begin b_req_addr <= iLD_ADDR; end end end always@(posedge iCLOCK or negedge inRESET)begin if(!inRESET)begin b_main_state <= PL_MAIN_STT_IDLE; end else if(iRESET_SYNC)begin b_main_state <= PL_MAIN_STT_IDLE; end else begin case(b_main_state) PL_MAIN_STT_IDLE: begin if(latch_condition)begin if(iMEM_LOCK)begin b_main_state <= PL_MAIN_STT_REQ; end else begin b_main_state <= PL_MAIN_STT_WAITING; end end end PL_MAIN_STT_REQ: begin if(!iMEM_LOCK)begin b_main_state <= PL_MAIN_STT_WAITING; end end PL_MAIN_STT_WAITING: begin if(iMEM_VALID)begin b_main_state <= PL_MAIN_STT_IDLE; end end default: begin b_main_state <= PL_MAIN_STT_IDLE; end endcase end end /* reg b_buff_valid; reg [31:0] b_buff_data; reg [11:0] b_buff_flag0; reg [11:0] b_buff_flag1; always@(posedge iCLOCK or negedge inRESET)begin b_buff_valid <= (b_main_state == PL_MAIN_STT_WAITING) && iMEM_VALID; end always@(posedge iCLOCK or negedge inRESET)begin b_buff_data <= (b_req_addr[2])? iMEM_DATA[63:32] : iMEM_DATA[31:0]; b_buff_flag0 <= iMEM_DATA[11:0]; b_buff_flag1 <= iMEM_DATA[43:32]; end */ assign oLD_BUSY = (b_main_state != PL_MAIN_STT_IDLE); //Memory Pipe - REQ assign oMEM_REQ = (b_main_state == PL_MAIN_STT_REQ) || latch_condition; assign oMEM_ADDR = (b_main_state == PL_MAIN_STT_REQ)? b_req_addr : iLD_ADDR; //DONE assign oDONE_VALID = (b_main_state == PL_MAIN_STT_WAITING) && iMEM_VALID; assign oDONE_DATA = (b_req_addr[2])? iMEM_DATA[63:32] : iMEM_DATA[31:0]; assign oDONE_FLAG0 = iMEM_DATA[11:0]; assign oDONE_FLAG1 = iMEM_DATA[43:32]; /* assign oDONE_VALID = b_buff_valid; assign oDONE_DATA = b_buff_data; assign oDONE_FLAG0 = b_buff_flag0; assign oDONE_FLAG1 = b_buff_flag1; */ endmodule
module sky130_fd_sc_ls__o21a ( X , A1 , A2 , B1 , VPWR, VGND, VPB , VNB ); output X ; input A1 ; input A2 ; input B1 ; input VPWR; input VGND; input VPB ; input VNB ; endmodule
module register_ctrl_top( input I_sys_clk , input I_sys_rst , //=====================System usb_uart_if=========================== output O_usb_uart_tx_req , output [7 : 0] O_usb_uart_tx_data , input I_usb_uart_tx_full , output O_usb_uart_rx_req , input [7 : 0] I_usb_uart_rx_data , input I_usb_uart_rx_empty , //================================================================== output O_usb_dir , output O_motor_start , output tp , input I_key_start ); //======================================================================= reg R_usb_uart_rx_req ; reg R_usb_uart_rx_req_d1 ; reg R_tx_en ; reg [7 : 0] R_tx_data ; reg R_rx_en ; reg [7 : 0] R_rx_data ; reg R_usb_dir ; reg R_motor_start ; //======================================================================= always@(posedge I_sys_clk) begin if(I_sys_rst) begin R_usb_uart_rx_req <= 1'd0 ; end else if(I_usb_uart_rx_empty == 1'b0) // if has data .then read begin R_usb_uart_rx_req <= 1'd1 ; end else begin R_usb_uart_rx_req <= 1'd0 ; end // R_usb_uart_rx_req_d1 <= R_usb_uart_rx_req; end always@(posedge I_sys_clk) begin if(I_sys_rst) begin R_rx_en <= 1'd0 ; R_rx_data <= 8'd0 ; end else if(R_usb_uart_rx_req_d1 == 1'b1) begin R_rx_en <= 1'd1 ; R_rx_data <= I_usb_uart_rx_data ; end else begin R_rx_en <= 1'd0 ; end end always@(posedge I_sys_clk) begin if(I_sys_rst) begin R_tx_en <= 1'd0 ; R_tx_data <= 8'd0 ; end else if(I_usb_uart_tx_full==1'b0) // not full begin if(I_key_start==1'b1) begin R_tx_en <= 1'b1 ;//R_rx_en; R_tx_data <= 8'h55 ;//R_rx_data; end else begin R_tx_en <= 1'b0 ;//R_rx_en; end end else begin R_tx_en <= 1'b0 ; end end always@(posedge I_sys_clk) begin if(I_sys_rst) begin R_usb_dir <= 1'd0; //目前采用了默认值 R_motor_start <= 1'b0; end else if(R_rx_en) begin case(R_rx_data) 8'h00: begin R_usb_dir <= 1'b0; //wr TO USB end 8'hff: begin R_usb_dir <= 1'b1; // rd FROM USB end 8'h02: begin R_motor_start <= 1'b1; // motor end endcase end else begin R_motor_start <= 1'b0; end end assign O_usb_uart_rx_req = R_usb_uart_rx_req; assign O_usb_uart_tx_req = R_tx_en ; assign O_usb_uart_tx_data = R_tx_data; assign O_usb_dir = R_usb_dir ; assign O_motor_start = R_motor_start; assign tp = R_rx_en & (&R_rx_data) & O_motor_start & O_usb_dir; endmodule
module sky130_fd_sc_hs__decap ( //# {{power|Power}} input VPWR, input VGND ); endmodule
module PIO #( parameter C_DATA_WIDTH = 64, // RX/TX interface data width // Do not override parameters below this line parameter KEEP_WIDTH = C_DATA_WIDTH / 8, // TSTRB width parameter TCQ = 1 )( input user_clk, input user_reset, input user_lnk_up, // AXIS input s_axis_tx_tready, output [C_DATA_WIDTH-1:0] s_axis_tx_tdata, output [KEEP_WIDTH-1:0] s_axis_tx_tkeep, output s_axis_tx_tlast, output s_axis_tx_tvalid, output tx_src_dsc, input [C_DATA_WIDTH-1:0] m_axis_rx_tdata, input [KEEP_WIDTH-1:0] m_axis_rx_tkeep, input m_axis_rx_tlast, input m_axis_rx_tvalid, output m_axis_rx_tready, input [21:0] m_axis_rx_tuser, input cfg_to_turnoff, output cfg_turnoff_ok, input [15:0] cfg_completer_id ); // synthesis syn_hier = "hard" // Local wires wire req_compl; wire compl_done; reg pio_reset_n; always @(posedge user_clk) begin if (user_reset) pio_reset_n <= #TCQ 1'b0; else pio_reset_n <= #TCQ user_lnk_up; end // // PIO instance // PIO_EP #( .C_DATA_WIDTH( C_DATA_WIDTH ), .KEEP_WIDTH( KEEP_WIDTH ), .TCQ( TCQ ) ) PIO_EP_inst ( .clk( user_clk ), // I .rst_n( pio_reset_n ), // I .s_axis_tx_tready( s_axis_tx_tready ), // I .s_axis_tx_tdata( s_axis_tx_tdata ), // O .s_axis_tx_tkeep( s_axis_tx_tkeep ), // O .s_axis_tx_tlast( s_axis_tx_tlast ), // O .s_axis_tx_tvalid( s_axis_tx_tvalid ), // O .tx_src_dsc( tx_src_dsc ), // O .m_axis_rx_tdata( m_axis_rx_tdata ), // I .m_axis_rx_tkeep( m_axis_rx_tkeep ), // I .m_axis_rx_tlast( m_axis_rx_tlast ), // I .m_axis_rx_tvalid( m_axis_rx_tvalid ), // I .m_axis_rx_tready( m_axis_rx_tready ), // O .m_axis_rx_tuser ( m_axis_rx_tuser ), // I .req_compl(req_compl), // O .compl_done(compl_done), // O .cfg_completer_id ( cfg_completer_id ) // I [15:0] ); // // Turn-Off controller // PIO_TO_CTRL #( .TCQ( TCQ ) ) PIO_TO_inst ( .clk( user_clk ), // I .rst_n( pio_reset_n ), // I .req_compl( req_compl ), // I .compl_done( compl_done ), // I .cfg_to_turnoff( cfg_to_turnoff ), // I .cfg_turnoff_ok( cfg_turnoff_ok ) // O ); endmodule
module dispatch_general_register( //System input wire iCLOCK, input wire inRESET, input wire iRESET_SYNC, //Write Port input wire iWR_VALID, input wire [4:0] iWR_ADDR, input wire [31:0] iWR_DATA, //Read Port0 input wire [4:0] iRD0_ADDR, output wire [31:0] oRD0_DATA, //Read Port1 input wire [4:0] iRD1_ADDR, output wire [31:0] oRD1_DATA, //Debug Module output wire [31:0] oDEBUG_REG_OUT_GR0, output wire [31:0] oDEBUG_REG_OUT_GR1, output wire [31:0] oDEBUG_REG_OUT_GR2, output wire [31:0] oDEBUG_REG_OUT_GR3, output wire [31:0] oDEBUG_REG_OUT_GR4, output wire [31:0] oDEBUG_REG_OUT_GR5, output wire [31:0] oDEBUG_REG_OUT_GR6, output wire [31:0] oDEBUG_REG_OUT_GR7, output wire [31:0] oDEBUG_REG_OUT_GR8, output wire [31:0] oDEBUG_REG_OUT_GR9, output wire [31:0] oDEBUG_REG_OUT_GR10, output wire [31:0] oDEBUG_REG_OUT_GR11, output wire [31:0] oDEBUG_REG_OUT_GR12, output wire [31:0] oDEBUG_REG_OUT_GR13, output wire [31:0] oDEBUG_REG_OUT_GR14, output wire [31:0] oDEBUG_REG_OUT_GR15, output wire [31:0] oDEBUG_REG_OUT_GR16, output wire [31:0] oDEBUG_REG_OUT_GR17, output wire [31:0] oDEBUG_REG_OUT_GR18, output wire [31:0] oDEBUG_REG_OUT_GR19, output wire [31:0] oDEBUG_REG_OUT_GR20, output wire [31:0] oDEBUG_REG_OUT_GR21, output wire [31:0] oDEBUG_REG_OUT_GR22, output wire [31:0] oDEBUG_REG_OUT_GR23, output wire [31:0] oDEBUG_REG_OUT_GR24, output wire [31:0] oDEBUG_REG_OUT_GR25, output wire [31:0] oDEBUG_REG_OUT_GR26, output wire [31:0] oDEBUG_REG_OUT_GR27, output wire [31:0] oDEBUG_REG_OUT_GR28, output wire [31:0] oDEBUG_REG_OUT_GR29, output wire [31:0] oDEBUG_REG_OUT_GR30, output wire [31:0] oDEBUG_REG_OUT_GR31 ); integer i; reg [31:0] b_ram0[0:31]; reg [31:0] b_ram1[0:31]; //RAM0 always@(posedge iCLOCK or negedge inRESET)begin if(!inRESET)begin for(i = 0; i < 32; i = i + 1)begin b_ram0[i] <= 32'h0; end end else if(iRESET_SYNC)begin for(i = 0; i < 32; i = i + 1)begin b_ram0[i] <= 32'h0; end end else begin if(iWR_VALID)begin b_ram0[iWR_ADDR] <= iWR_DATA; end end end//General Register Write Back //RAM1 always@(posedge iCLOCK or negedge inRESET)begin if(!inRESET)begin for(i = 0; i < 32; i = i + 1)begin b_ram1[i] <= 32'h0; end end else if(iRESET_SYNC)begin for(i = 0; i < 32; i = i + 1)begin b_ram1[i] <= 32'h0; end end else begin if(iWR_VALID)begin b_ram1[iWR_ADDR] <= iWR_DATA; end end end//General Register Write Back assign oRD0_DATA = b_ram0[iRD0_ADDR]; assign oRD1_DATA = b_ram1[iRD1_ADDR]; //Debug Module Enable `ifdef MIST1032ISA_STANDARD_DEBUGGER assign oDEBUG_REG_OUT_GR0 = b_ram0[0]; assign oDEBUG_REG_OUT_GR1 = b_ram0[1]; assign oDEBUG_REG_OUT_GR2 = b_ram0[2]; assign oDEBUG_REG_OUT_GR3 = b_ram0[3]; assign oDEBUG_REG_OUT_GR4 = b_ram0[4]; assign oDEBUG_REG_OUT_GR5 = b_ram0[5]; assign oDEBUG_REG_OUT_GR6 = b_ram0[6]; assign oDEBUG_REG_OUT_GR7 = b_ram0[7]; assign oDEBUG_REG_OUT_GR8 = b_ram0[8]; assign oDEBUG_REG_OUT_GR9 = b_ram0[9]; assign oDEBUG_REG_OUT_GR10 = b_ram0[10]; assign oDEBUG_REG_OUT_GR11 = b_ram0[11]; assign oDEBUG_REG_OUT_GR12 = b_ram0[12]; assign oDEBUG_REG_OUT_GR13 = b_ram0[13]; assign oDEBUG_REG_OUT_GR14 = b_ram0[14]; assign oDEBUG_REG_OUT_GR15 = b_ram0[15]; assign oDEBUG_REG_OUT_GR16 = b_ram0[16]; assign oDEBUG_REG_OUT_GR17 = b_ram0[17]; assign oDEBUG_REG_OUT_GR18 = b_ram0[18]; assign oDEBUG_REG_OUT_GR19 = b_ram0[19]; assign oDEBUG_REG_OUT_GR20 = b_ram0[20]; assign oDEBUG_REG_OUT_GR21 = b_ram0[21]; assign oDEBUG_REG_OUT_GR22 = b_ram0[22]; assign oDEBUG_REG_OUT_GR23 = b_ram0[23]; assign oDEBUG_REG_OUT_GR24 = b_ram0[24]; assign oDEBUG_REG_OUT_GR25 = b_ram0[25]; assign oDEBUG_REG_OUT_GR26 = b_ram0[26]; assign oDEBUG_REG_OUT_GR27 = b_ram0[27]; assign oDEBUG_REG_OUT_GR28 = b_ram0[28]; assign oDEBUG_REG_OUT_GR29 = b_ram0[29]; assign oDEBUG_REG_OUT_GR30 = b_ram0[30]; assign oDEBUG_REG_OUT_GR31 = b_ram0[31]; `else //Disable assign oDEBUG_REG_OUT_GR0 = 32'h0; assign oDEBUG_REG_OUT_GR1 = 32'h0; assign oDEBUG_REG_OUT_GR2 = 32'h0; assign oDEBUG_REG_OUT_GR3 = 32'h0; assign oDEBUG_REG_OUT_GR4 = 32'h0; assign oDEBUG_REG_OUT_GR5 = 32'h0; assign oDEBUG_REG_OUT_GR6 = 32'h0; assign oDEBUG_REG_OUT_GR7 = 32'h0; assign oDEBUG_REG_OUT_GR8 = 32'h0; assign oDEBUG_REG_OUT_GR9 = 32'h0; assign oDEBUG_REG_OUT_GR10 = 32'h0; assign oDEBUG_REG_OUT_GR11 = 32'h0; assign oDEBUG_REG_OUT_GR12 = 32'h0; assign oDEBUG_REG_OUT_GR13 = 32'h0; assign oDEBUG_REG_OUT_GR14 = 32'h0; assign oDEBUG_REG_OUT_GR15 = 32'h0; assign oDEBUG_REG_OUT_GR16 = 32'h0; assign oDEBUG_REG_OUT_GR17 = 32'h0; assign oDEBUG_REG_OUT_GR18 = 32'h0; assign oDEBUG_REG_OUT_GR19 = 32'h0; assign oDEBUG_REG_OUT_GR20 = 32'h0; assign oDEBUG_REG_OUT_GR21 = 32'h0; assign oDEBUG_REG_OUT_GR22 = 32'h0; assign oDEBUG_REG_OUT_GR23 = 32'h0; assign oDEBUG_REG_OUT_GR24 = 32'h0; assign oDEBUG_REG_OUT_GR25 = 32'h0; assign oDEBUG_REG_OUT_GR26 = 32'h0; assign oDEBUG_REG_OUT_GR27 = 32'h0; assign oDEBUG_REG_OUT_GR28 = 32'h0; assign oDEBUG_REG_OUT_GR29 = 32'h0; assign oDEBUG_REG_OUT_GR30 = 32'h0; assign oDEBUG_REG_OUT_GR31 = 32'h0; `endif endmodule
module wasca ( input wire [9:0] abus_avalon_sdram_bridge_0_abus_address, // abus_avalon_sdram_bridge_0_abus.address input wire abus_avalon_sdram_bridge_0_abus_read, // .read output wire abus_avalon_sdram_bridge_0_abus_waitrequest, // .waitrequest inout wire [15:0] abus_avalon_sdram_bridge_0_abus_addressdata, // .addressdata input wire [2:0] abus_avalon_sdram_bridge_0_abus_chipselect, // .chipselect output wire abus_avalon_sdram_bridge_0_abus_direction, // .direction output wire abus_avalon_sdram_bridge_0_abus_disable_out, // .disable_out output wire abus_avalon_sdram_bridge_0_abus_interrupt, // .interrupt output wire [1:0] abus_avalon_sdram_bridge_0_abus_muxing, // .muxing input wire [1:0] abus_avalon_sdram_bridge_0_abus_writebyteenable_n, // .writebyteenable_n input wire abus_avalon_sdram_bridge_0_abus_reset, // .reset output wire [12:0] abus_avalon_sdram_bridge_0_sdram_addr, // abus_avalon_sdram_bridge_0_sdram.addr output wire [1:0] abus_avalon_sdram_bridge_0_sdram_ba, // .ba output wire abus_avalon_sdram_bridge_0_sdram_cas_n, // .cas_n output wire abus_avalon_sdram_bridge_0_sdram_cke, // .cke output wire abus_avalon_sdram_bridge_0_sdram_cs_n, // .cs_n inout wire [15:0] abus_avalon_sdram_bridge_0_sdram_dq, // .dq output wire [1:0] abus_avalon_sdram_bridge_0_sdram_dqm, // .dqm output wire abus_avalon_sdram_bridge_0_sdram_ras_n, // .ras_n output wire abus_avalon_sdram_bridge_0_sdram_we_n, // .we_n output wire abus_avalon_sdram_bridge_0_sdram_clk, // .clk inout wire altera_up_sd_card_avalon_interface_0_conduit_end_b_SD_cmd, // altera_up_sd_card_avalon_interface_0_conduit_end.b_SD_cmd inout wire altera_up_sd_card_avalon_interface_0_conduit_end_b_SD_dat, // .b_SD_dat inout wire altera_up_sd_card_avalon_interface_0_conduit_end_b_SD_dat3, // .b_SD_dat3 output wire altera_up_sd_card_avalon_interface_0_conduit_end_o_SD_clock, // .o_SD_clock input wire altpll_1_areset_conduit_export, // altpll_1_areset_conduit.export output wire altpll_1_locked_conduit_export, // altpll_1_locked_conduit.export output wire altpll_1_phasedone_conduit_export, // altpll_1_phasedone_conduit.export input wire audio_out_BCLK, // audio_out.BCLK output wire audio_out_DACDAT, // .DACDAT input wire audio_out_DACLRCK, // .DACLRCK output wire buffered_spi_mosi, // buffered_spi.mosi output wire buffered_spi_clk, // .clk input wire buffered_spi_miso, // .miso output wire buffered_spi_cs, // .cs input wire clk_clk, // clk.clk output wire clock_116_mhz_clk, // clock_116_mhz.clk input wire reset_reset_n, // reset.reset_n input wire reset_controller_0_reset_in1_reset, // reset_controller_0_reset_in1.reset input wire uart_0_external_connection_rxd, // uart_0_external_connection.rxd output wire uart_0_external_connection_txd // .txd ); wire nios2_gen2_0_debug_reset_request_reset; // nios2_gen2_0:debug_reset_request -> [buffered_spi_0:reset, mm_interconnect_0:buffered_spi_0_reset_reset_bridge_in_reset_reset, reset_controller_0:reset_in0] wire [31:0] nios2_gen2_0_data_master_readdata; // mm_interconnect_0:nios2_gen2_0_data_master_readdata -> nios2_gen2_0:d_readdata wire nios2_gen2_0_data_master_waitrequest; // mm_interconnect_0:nios2_gen2_0_data_master_waitrequest -> nios2_gen2_0:d_waitrequest wire nios2_gen2_0_data_master_debugaccess; // nios2_gen2_0:debug_mem_slave_debugaccess_to_roms -> mm_interconnect_0:nios2_gen2_0_data_master_debugaccess wire [26:0] nios2_gen2_0_data_master_address; // nios2_gen2_0:d_address -> mm_interconnect_0:nios2_gen2_0_data_master_address wire [3:0] nios2_gen2_0_data_master_byteenable; // nios2_gen2_0:d_byteenable -> mm_interconnect_0:nios2_gen2_0_data_master_byteenable wire nios2_gen2_0_data_master_read; // nios2_gen2_0:d_read -> mm_interconnect_0:nios2_gen2_0_data_master_read wire nios2_gen2_0_data_master_write; // nios2_gen2_0:d_write -> mm_interconnect_0:nios2_gen2_0_data_master_write wire [31:0] nios2_gen2_0_data_master_writedata; // nios2_gen2_0:d_writedata -> mm_interconnect_0:nios2_gen2_0_data_master_writedata wire [31:0] nios2_gen2_0_instruction_master_readdata; // mm_interconnect_0:nios2_gen2_0_instruction_master_readdata -> nios2_gen2_0:i_readdata wire nios2_gen2_0_instruction_master_waitrequest; // mm_interconnect_0:nios2_gen2_0_instruction_master_waitrequest -> nios2_gen2_0:i_waitrequest wire [19:0] nios2_gen2_0_instruction_master_address; // nios2_gen2_0:i_address -> mm_interconnect_0:nios2_gen2_0_instruction_master_address wire nios2_gen2_0_instruction_master_read; // nios2_gen2_0:i_read -> mm_interconnect_0:nios2_gen2_0_instruction_master_read wire [15:0] mm_interconnect_0_buffered_spi_0_avalon_readdata; // buffered_spi_0:avalon_readdata -> mm_interconnect_0:buffered_spi_0_avalon_readdata wire mm_interconnect_0_buffered_spi_0_avalon_waitrequest; // buffered_spi_0:avalon_waitrequest -> mm_interconnect_0:buffered_spi_0_avalon_waitrequest wire [13:0] mm_interconnect_0_buffered_spi_0_avalon_address; // mm_interconnect_0:buffered_spi_0_avalon_address -> buffered_spi_0:avalon_address wire mm_interconnect_0_buffered_spi_0_avalon_read; // mm_interconnect_0:buffered_spi_0_avalon_read -> buffered_spi_0:avalon_read wire mm_interconnect_0_buffered_spi_0_avalon_readdatavalid; // buffered_spi_0:avalon_readdatavalid -> mm_interconnect_0:buffered_spi_0_avalon_readdatavalid wire mm_interconnect_0_buffered_spi_0_avalon_write; // mm_interconnect_0:buffered_spi_0_avalon_write -> buffered_spi_0:avalon_write wire [15:0] mm_interconnect_0_buffered_spi_0_avalon_writedata; // mm_interconnect_0:buffered_spi_0_avalon_writedata -> buffered_spi_0:avalon_writedata wire mm_interconnect_0_audio_0_avalon_audio_slave_chipselect; // mm_interconnect_0:audio_0_avalon_audio_slave_chipselect -> audio_0:chipselect wire [31:0] mm_interconnect_0_audio_0_avalon_audio_slave_readdata; // audio_0:readdata -> mm_interconnect_0:audio_0_avalon_audio_slave_readdata wire [1:0] mm_interconnect_0_audio_0_avalon_audio_slave_address; // mm_interconnect_0:audio_0_avalon_audio_slave_address -> audio_0:address wire mm_interconnect_0_audio_0_avalon_audio_slave_read; // mm_interconnect_0:audio_0_avalon_audio_slave_read -> audio_0:read wire mm_interconnect_0_audio_0_avalon_audio_slave_write; // mm_interconnect_0:audio_0_avalon_audio_slave_write -> audio_0:write wire [31:0] mm_interconnect_0_audio_0_avalon_audio_slave_writedata; // mm_interconnect_0:audio_0_avalon_audio_slave_writedata -> audio_0:writedata wire [15:0] mm_interconnect_0_abus_avalon_sdram_bridge_0_avalon_regs_readdata; // abus_avalon_sdram_bridge_0:avalon_regs_readdata -> mm_interconnect_0:abus_avalon_sdram_bridge_0_avalon_regs_readdata wire mm_interconnect_0_abus_avalon_sdram_bridge_0_avalon_regs_waitrequest; // abus_avalon_sdram_bridge_0:avalon_regs_waitrequest -> mm_interconnect_0:abus_avalon_sdram_bridge_0_avalon_regs_waitrequest wire [7:0] mm_interconnect_0_abus_avalon_sdram_bridge_0_avalon_regs_address; // mm_interconnect_0:abus_avalon_sdram_bridge_0_avalon_regs_address -> abus_avalon_sdram_bridge_0:avalon_regs_address wire mm_interconnect_0_abus_avalon_sdram_bridge_0_avalon_regs_read; // mm_interconnect_0:abus_avalon_sdram_bridge_0_avalon_regs_read -> abus_avalon_sdram_bridge_0:avalon_regs_read wire mm_interconnect_0_abus_avalon_sdram_bridge_0_avalon_regs_readdatavalid; // abus_avalon_sdram_bridge_0:avalon_regs_readdatavalid -> mm_interconnect_0:abus_avalon_sdram_bridge_0_avalon_regs_readdatavalid wire mm_interconnect_0_abus_avalon_sdram_bridge_0_avalon_regs_write; // mm_interconnect_0:abus_avalon_sdram_bridge_0_avalon_regs_write -> abus_avalon_sdram_bridge_0:avalon_regs_write wire [15:0] mm_interconnect_0_abus_avalon_sdram_bridge_0_avalon_regs_writedata; // mm_interconnect_0:abus_avalon_sdram_bridge_0_avalon_regs_writedata -> abus_avalon_sdram_bridge_0:avalon_regs_writedata wire mm_interconnect_0_altera_up_sd_card_avalon_interface_0_avalon_sdcard_slave_chipselect; // mm_interconnect_0:Altera_UP_SD_Card_Avalon_Interface_0_avalon_sdcard_slave_chipselect -> Altera_UP_SD_Card_Avalon_Interface_0:i_avalon_chip_select wire [31:0] mm_interconnect_0_altera_up_sd_card_avalon_interface_0_avalon_sdcard_slave_readdata; // Altera_UP_SD_Card_Avalon_Interface_0:o_avalon_readdata -> mm_interconnect_0:Altera_UP_SD_Card_Avalon_Interface_0_avalon_sdcard_slave_readdata wire mm_interconnect_0_altera_up_sd_card_avalon_interface_0_avalon_sdcard_slave_waitrequest; // Altera_UP_SD_Card_Avalon_Interface_0:o_avalon_waitrequest -> mm_interconnect_0:Altera_UP_SD_Card_Avalon_Interface_0_avalon_sdcard_slave_waitrequest wire [7:0] mm_interconnect_0_altera_up_sd_card_avalon_interface_0_avalon_sdcard_slave_address; // mm_interconnect_0:Altera_UP_SD_Card_Avalon_Interface_0_avalon_sdcard_slave_address -> Altera_UP_SD_Card_Avalon_Interface_0:i_avalon_address wire mm_interconnect_0_altera_up_sd_card_avalon_interface_0_avalon_sdcard_slave_read; // mm_interconnect_0:Altera_UP_SD_Card_Avalon_Interface_0_avalon_sdcard_slave_read -> Altera_UP_SD_Card_Avalon_Interface_0:i_avalon_read wire [3:0] mm_interconnect_0_altera_up_sd_card_avalon_interface_0_avalon_sdcard_slave_byteenable; // mm_interconnect_0:Altera_UP_SD_Card_Avalon_Interface_0_avalon_sdcard_slave_byteenable -> Altera_UP_SD_Card_Avalon_Interface_0:i_avalon_byteenable wire mm_interconnect_0_altera_up_sd_card_avalon_interface_0_avalon_sdcard_slave_write; // mm_interconnect_0:Altera_UP_SD_Card_Avalon_Interface_0_avalon_sdcard_slave_write -> Altera_UP_SD_Card_Avalon_Interface_0:i_avalon_write wire [31:0] mm_interconnect_0_altera_up_sd_card_avalon_interface_0_avalon_sdcard_slave_writedata; // mm_interconnect_0:Altera_UP_SD_Card_Avalon_Interface_0_avalon_sdcard_slave_writedata -> Altera_UP_SD_Card_Avalon_Interface_0:i_avalon_writedata wire [15:0] mm_interconnect_0_abus_avalon_sdram_bridge_0_avalon_sdram_readdata; // abus_avalon_sdram_bridge_0:avalon_sdram_readdata -> mm_interconnect_0:abus_avalon_sdram_bridge_0_avalon_sdram_readdata wire mm_interconnect_0_abus_avalon_sdram_bridge_0_avalon_sdram_waitrequest; // abus_avalon_sdram_bridge_0:avalon_sdram_waitrequest -> mm_interconnect_0:abus_avalon_sdram_bridge_0_avalon_sdram_waitrequest wire [25:0] mm_interconnect_0_abus_avalon_sdram_bridge_0_avalon_sdram_address; // mm_interconnect_0:abus_avalon_sdram_bridge_0_avalon_sdram_address -> abus_avalon_sdram_bridge_0:avalon_sdram_address wire mm_interconnect_0_abus_avalon_sdram_bridge_0_avalon_sdram_read; // mm_interconnect_0:abus_avalon_sdram_bridge_0_avalon_sdram_read -> abus_avalon_sdram_bridge_0:avalon_sdram_read wire [1:0] mm_interconnect_0_abus_avalon_sdram_bridge_0_avalon_sdram_byteenable; // mm_interconnect_0:abus_avalon_sdram_bridge_0_avalon_sdram_byteenable -> abus_avalon_sdram_bridge_0:avalon_sdram_byteenable wire mm_interconnect_0_abus_avalon_sdram_bridge_0_avalon_sdram_readdatavalid; // abus_avalon_sdram_bridge_0:avalon_sdram_readdatavalid -> mm_interconnect_0:abus_avalon_sdram_bridge_0_avalon_sdram_readdatavalid wire mm_interconnect_0_abus_avalon_sdram_bridge_0_avalon_sdram_write; // mm_interconnect_0:abus_avalon_sdram_bridge_0_avalon_sdram_write -> abus_avalon_sdram_bridge_0:avalon_sdram_write wire [15:0] mm_interconnect_0_abus_avalon_sdram_bridge_0_avalon_sdram_writedata; // mm_interconnect_0:abus_avalon_sdram_bridge_0_avalon_sdram_writedata -> abus_avalon_sdram_bridge_0:avalon_sdram_writedata wire [31:0] mm_interconnect_0_onchip_flash_0_data_readdata; // onchip_flash_0:avmm_data_readdata -> mm_interconnect_0:onchip_flash_0_data_readdata wire mm_interconnect_0_onchip_flash_0_data_waitrequest; // onchip_flash_0:avmm_data_waitrequest -> mm_interconnect_0:onchip_flash_0_data_waitrequest wire [15:0] mm_interconnect_0_onchip_flash_0_data_address; // mm_interconnect_0:onchip_flash_0_data_address -> onchip_flash_0:avmm_data_addr wire mm_interconnect_0_onchip_flash_0_data_read; // mm_interconnect_0:onchip_flash_0_data_read -> onchip_flash_0:avmm_data_read wire mm_interconnect_0_onchip_flash_0_data_readdatavalid; // onchip_flash_0:avmm_data_readdatavalid -> mm_interconnect_0:onchip_flash_0_data_readdatavalid wire [3:0] mm_interconnect_0_onchip_flash_0_data_burstcount; // mm_interconnect_0:onchip_flash_0_data_burstcount -> onchip_flash_0:avmm_data_burstcount wire [31:0] mm_interconnect_0_altpll_1_pll_slave_readdata; // altpll_1:readdata -> mm_interconnect_0:altpll_1_pll_slave_readdata wire [1:0] mm_interconnect_0_altpll_1_pll_slave_address; // mm_interconnect_0:altpll_1_pll_slave_address -> altpll_1:address wire mm_interconnect_0_altpll_1_pll_slave_read; // mm_interconnect_0:altpll_1_pll_slave_read -> altpll_1:read wire mm_interconnect_0_altpll_1_pll_slave_write; // mm_interconnect_0:altpll_1_pll_slave_write -> altpll_1:write wire [31:0] mm_interconnect_0_altpll_1_pll_slave_writedata; // mm_interconnect_0:altpll_1_pll_slave_writedata -> altpll_1:writedata wire mm_interconnect_0_onchip_memory2_0_s1_chipselect; // mm_interconnect_0:onchip_memory2_0_s1_chipselect -> onchip_memory2_0:chipselect wire [31:0] mm_interconnect_0_onchip_memory2_0_s1_readdata; // onchip_memory2_0:readdata -> mm_interconnect_0:onchip_memory2_0_s1_readdata wire [11:0] mm_interconnect_0_onchip_memory2_0_s1_address; // mm_interconnect_0:onchip_memory2_0_s1_address -> onchip_memory2_0:address wire [3:0] mm_interconnect_0_onchip_memory2_0_s1_byteenable; // mm_interconnect_0:onchip_memory2_0_s1_byteenable -> onchip_memory2_0:byteenable wire mm_interconnect_0_onchip_memory2_0_s1_write; // mm_interconnect_0:onchip_memory2_0_s1_write -> onchip_memory2_0:write wire [31:0] mm_interconnect_0_onchip_memory2_0_s1_writedata; // mm_interconnect_0:onchip_memory2_0_s1_writedata -> onchip_memory2_0:writedata wire mm_interconnect_0_onchip_memory2_0_s1_clken; // mm_interconnect_0:onchip_memory2_0_s1_clken -> onchip_memory2_0:clken wire mm_interconnect_0_uart_0_s1_chipselect; // mm_interconnect_0:uart_0_s1_chipselect -> uart_0:chipselect wire [15:0] mm_interconnect_0_uart_0_s1_readdata; // uart_0:readdata -> mm_interconnect_0:uart_0_s1_readdata wire [2:0] mm_interconnect_0_uart_0_s1_address; // mm_interconnect_0:uart_0_s1_address -> uart_0:address wire mm_interconnect_0_uart_0_s1_read; // mm_interconnect_0:uart_0_s1_read -> uart_0:read_n wire mm_interconnect_0_uart_0_s1_begintransfer; // mm_interconnect_0:uart_0_s1_begintransfer -> uart_0:begintransfer wire mm_interconnect_0_uart_0_s1_write; // mm_interconnect_0:uart_0_s1_write -> uart_0:write_n wire [15:0] mm_interconnect_0_uart_0_s1_writedata; // mm_interconnect_0:uart_0_s1_writedata -> uart_0:writedata wire [31:0] mm_interconnect_0_nios2_gen2_0_debug_mem_slave_readdata; // nios2_gen2_0:debug_mem_slave_readdata -> mm_interconnect_0:nios2_gen2_0_debug_mem_slave_readdata wire mm_interconnect_0_nios2_gen2_0_debug_mem_slave_waitrequest; // nios2_gen2_0:debug_mem_slave_waitrequest -> mm_interconnect_0:nios2_gen2_0_debug_mem_slave_waitrequest wire mm_interconnect_0_nios2_gen2_0_debug_mem_slave_debugaccess; // mm_interconnect_0:nios2_gen2_0_debug_mem_slave_debugaccess -> nios2_gen2_0:debug_mem_slave_debugaccess wire [8:0] mm_interconnect_0_nios2_gen2_0_debug_mem_slave_address; // mm_interconnect_0:nios2_gen2_0_debug_mem_slave_address -> nios2_gen2_0:debug_mem_slave_address wire mm_interconnect_0_nios2_gen2_0_debug_mem_slave_read; // mm_interconnect_0:nios2_gen2_0_debug_mem_slave_read -> nios2_gen2_0:debug_mem_slave_read wire [3:0] mm_interconnect_0_nios2_gen2_0_debug_mem_slave_byteenable; // mm_interconnect_0:nios2_gen2_0_debug_mem_slave_byteenable -> nios2_gen2_0:debug_mem_slave_byteenable wire mm_interconnect_0_nios2_gen2_0_debug_mem_slave_write; // mm_interconnect_0:nios2_gen2_0_debug_mem_slave_write -> nios2_gen2_0:debug_mem_slave_write wire [31:0] mm_interconnect_0_nios2_gen2_0_debug_mem_slave_writedata; // mm_interconnect_0:nios2_gen2_0_debug_mem_slave_writedata -> nios2_gen2_0:debug_mem_slave_writedata wire irq_mapper_receiver0_irq; // audio_0:irq -> irq_mapper:receiver0_irq wire irq_mapper_receiver1_irq; // uart_0:irq -> irq_mapper:receiver1_irq wire [31:0] nios2_gen2_0_irq_irq; // irq_mapper:sender_irq -> nios2_gen2_0:irq wire rst_controller_reset_out_reset; // rst_controller:reset_out -> [Altera_UP_SD_Card_Avalon_Interface_0:i_reset_n, abus_avalon_sdram_bridge_0:reset, audio_0:reset, irq_mapper:reset, mm_interconnect_0:nios2_gen2_0_reset_reset_bridge_in_reset_reset, nios2_gen2_0:reset_n, onchip_flash_0:reset_n, onchip_memory2_0:reset, rst_translator:in_reset, uart_0:reset_n] wire rst_controller_reset_out_reset_req; // rst_controller:reset_req -> [onchip_memory2_0:reset_req, rst_translator:reset_req_in] wire reset_controller_0_reset_out_reset; // reset_controller_0:reset_out -> [rst_controller:reset_in0, rst_controller_002:reset_in0] wire rst_controller_001_reset_out_reset; // rst_controller_001:reset_out -> [altpll_1:reset, mm_interconnect_0:altpll_1_inclk_interface_reset_reset_bridge_in_reset_reset] Altera_UP_SD_Card_Avalon_Interface altera_up_sd_card_avalon_interface_0 ( .i_avalon_chip_select (mm_interconnect_0_altera_up_sd_card_avalon_interface_0_avalon_sdcard_slave_chipselect), // avalon_sdcard_slave.chipselect .i_avalon_address (mm_interconnect_0_altera_up_sd_card_avalon_interface_0_avalon_sdcard_slave_address), // .address .i_avalon_read (mm_interconnect_0_altera_up_sd_card_avalon_interface_0_avalon_sdcard_slave_read), // .read .i_avalon_write (mm_interconnect_0_altera_up_sd_card_avalon_interface_0_avalon_sdcard_slave_write), // .write .i_avalon_byteenable (mm_interconnect_0_altera_up_sd_card_avalon_interface_0_avalon_sdcard_slave_byteenable), // .byteenable .i_avalon_writedata (mm_interconnect_0_altera_up_sd_card_avalon_interface_0_avalon_sdcard_slave_writedata), // .writedata .o_avalon_readdata (mm_interconnect_0_altera_up_sd_card_avalon_interface_0_avalon_sdcard_slave_readdata), // .readdata .o_avalon_waitrequest (mm_interconnect_0_altera_up_sd_card_avalon_interface_0_avalon_sdcard_slave_waitrequest), // .waitrequest .i_clock (clock_116_mhz_clk), // clk.clk .i_reset_n (~rst_controller_reset_out_reset), // reset.reset_n .b_SD_cmd (altera_up_sd_card_avalon_interface_0_conduit_end_b_SD_cmd), // conduit_end.export .b_SD_dat (altera_up_sd_card_avalon_interface_0_conduit_end_b_SD_dat), // .export .b_SD_dat3 (altera_up_sd_card_avalon_interface_0_conduit_end_b_SD_dat3), // .export .o_SD_clock (altera_up_sd_card_avalon_interface_0_conduit_end_o_SD_clock) // .export ); abus_avalon_sdram_bridge abus_avalon_sdram_bridge_0 ( .abus_address (abus_avalon_sdram_bridge_0_abus_address), // abus.address .abus_read (abus_avalon_sdram_bridge_0_abus_read), // .read .abus_waitrequest (abus_avalon_sdram_bridge_0_abus_waitrequest), // .waitrequest .abus_addressdata (abus_avalon_sdram_bridge_0_abus_addressdata), // .addressdata .abus_chipselect (abus_avalon_sdram_bridge_0_abus_chipselect), // .chipselect .abus_direction (abus_avalon_sdram_bridge_0_abus_direction), // .direction .abus_disable_out (abus_avalon_sdram_bridge_0_abus_disable_out), // .disable_out .abus_interrupt (abus_avalon_sdram_bridge_0_abus_interrupt), // .interrupt .abus_muxing (abus_avalon_sdram_bridge_0_abus_muxing), // .muxing .abus_write (abus_avalon_sdram_bridge_0_abus_writebyteenable_n), // .writebyteenable_n .saturn_reset (abus_avalon_sdram_bridge_0_abus_reset), // .reset .avalon_sdram_read (mm_interconnect_0_abus_avalon_sdram_bridge_0_avalon_sdram_read), // avalon_sdram.read .avalon_sdram_write (mm_interconnect_0_abus_avalon_sdram_bridge_0_avalon_sdram_write), // .write .avalon_sdram_waitrequest (mm_interconnect_0_abus_avalon_sdram_bridge_0_avalon_sdram_waitrequest), // .waitrequest .avalon_sdram_address (mm_interconnect_0_abus_avalon_sdram_bridge_0_avalon_sdram_address), // .address .avalon_sdram_writedata (mm_interconnect_0_abus_avalon_sdram_bridge_0_avalon_sdram_writedata), // .writedata .avalon_sdram_readdata (mm_interconnect_0_abus_avalon_sdram_bridge_0_avalon_sdram_readdata), // .readdata .avalon_sdram_readdatavalid (mm_interconnect_0_abus_avalon_sdram_bridge_0_avalon_sdram_readdatavalid), // .readdatavalid .avalon_sdram_byteenable (mm_interconnect_0_abus_avalon_sdram_bridge_0_avalon_sdram_byteenable), // .byteenable .avalon_regs_read (mm_interconnect_0_abus_avalon_sdram_bridge_0_avalon_regs_read), // avalon_regs.read .avalon_regs_write (mm_interconnect_0_abus_avalon_sdram_bridge_0_avalon_regs_write), // .write .avalon_regs_waitrequest (mm_interconnect_0_abus_avalon_sdram_bridge_0_avalon_regs_waitrequest), // .waitrequest .avalon_regs_address (mm_interconnect_0_abus_avalon_sdram_bridge_0_avalon_regs_address), // .address .avalon_regs_writedata (mm_interconnect_0_abus_avalon_sdram_bridge_0_avalon_regs_writedata), // .writedata .avalon_regs_readdata (mm_interconnect_0_abus_avalon_sdram_bridge_0_avalon_regs_readdata), // .readdata .avalon_regs_readdatavalid (mm_interconnect_0_abus_avalon_sdram_bridge_0_avalon_regs_readdatavalid), // .readdatavalid .reset (rst_controller_reset_out_reset), // reset.reset .clock (clock_116_mhz_clk), // clock.clk .sdram_addr (abus_avalon_sdram_bridge_0_sdram_addr), // sdram.addr .sdram_ba (abus_avalon_sdram_bridge_0_sdram_ba), // .ba .sdram_cas_n (abus_avalon_sdram_bridge_0_sdram_cas_n), // .cas_n .sdram_cke (abus_avalon_sdram_bridge_0_sdram_cke), // .cke .sdram_cs_n (abus_avalon_sdram_bridge_0_sdram_cs_n), // .cs_n .sdram_dq (abus_avalon_sdram_bridge_0_sdram_dq), // .dq .sdram_dqm (abus_avalon_sdram_bridge_0_sdram_dqm), // .dqm .sdram_ras_n (abus_avalon_sdram_bridge_0_sdram_ras_n), // .ras_n .sdram_we_n (abus_avalon_sdram_bridge_0_sdram_we_n), // .we_n .sdram_clk (abus_avalon_sdram_bridge_0_sdram_clk) // .clk ); wasca_altpll_1 altpll_1 ( .clk (clk_clk), // inclk_interface.clk .reset (rst_controller_001_reset_out_reset), // inclk_interface_reset.reset .read (mm_interconnect_0_altpll_1_pll_slave_read), // pll_slave.read .write (mm_interconnect_0_altpll_1_pll_slave_write), // .write .address (mm_interconnect_0_altpll_1_pll_slave_address), // .address .readdata (mm_interconnect_0_altpll_1_pll_slave_readdata), // .readdata .writedata (mm_interconnect_0_altpll_1_pll_slave_writedata), // .writedata .c0 (clock_116_mhz_clk), // c0.clk .areset (altpll_1_areset_conduit_export), // areset_conduit.export .locked (altpll_1_locked_conduit_export), // locked_conduit.export .phasedone (altpll_1_phasedone_conduit_export) // phasedone_conduit.export ); wasca_audio_0 audio_0 ( .clk (clock_116_mhz_clk), // clk.clk .reset (rst_controller_reset_out_reset), // reset.reset .address (mm_interconnect_0_audio_0_avalon_audio_slave_address), // avalon_audio_slave.address .chipselect (mm_interconnect_0_audio_0_avalon_audio_slave_chipselect), // .chipselect .read (mm_interconnect_0_audio_0_avalon_audio_slave_read), // .read .write (mm_interconnect_0_audio_0_avalon_audio_slave_write), // .write .writedata (mm_interconnect_0_audio_0_avalon_audio_slave_writedata), // .writedata .readdata (mm_interconnect_0_audio_0_avalon_audio_slave_readdata), // .readdata .irq (irq_mapper_receiver0_irq), // interrupt.irq .AUD_BCLK (audio_out_BCLK), // external_interface.export .AUD_DACDAT (audio_out_DACDAT), // .export .AUD_DACLRCK (audio_out_DACLRCK) // .export ); buffered_spi buffered_spi_0 ( .reset (nios2_gen2_0_debug_reset_request_reset), // reset.reset .avalon_read (mm_interconnect_0_buffered_spi_0_avalon_read), // avalon.read .avalon_write (mm_interconnect_0_buffered_spi_0_avalon_write), // .write .avalon_address (mm_interconnect_0_buffered_spi_0_avalon_address), // .address .avalon_waitrequest (mm_interconnect_0_buffered_spi_0_avalon_waitrequest), // .waitrequest .avalon_writedata (mm_interconnect_0_buffered_spi_0_avalon_writedata), // .writedata .avalon_readdata (mm_interconnect_0_buffered_spi_0_avalon_readdata), // .readdata .avalon_readdatavalid (mm_interconnect_0_buffered_spi_0_avalon_readdatavalid), // .readdatavalid .spi_mosi (buffered_spi_mosi), // conduit_end.mosi .spi_clk (buffered_spi_clk), // .clk .spi_miso (buffered_spi_miso), // .miso .spi_cs (buffered_spi_cs), // .cs .clock (clock_116_mhz_clk) // clock.clk ); wasca_nios2_gen2_0 nios2_gen2_0 ( .clk (clock_116_mhz_clk), // clk.clk .reset_n (~rst_controller_reset_out_reset), // reset.reset_n .d_address (nios2_gen2_0_data_master_address), // data_master.address .d_byteenable (nios2_gen2_0_data_master_byteenable), // .byteenable .d_read (nios2_gen2_0_data_master_read), // .read .d_readdata (nios2_gen2_0_data_master_readdata), // .readdata .d_waitrequest (nios2_gen2_0_data_master_waitrequest), // .waitrequest .d_write (nios2_gen2_0_data_master_write), // .write .d_writedata (nios2_gen2_0_data_master_writedata), // .writedata .debug_mem_slave_debugaccess_to_roms (nios2_gen2_0_data_master_debugaccess), // .debugaccess .i_address (nios2_gen2_0_instruction_master_address), // instruction_master.address .i_read (nios2_gen2_0_instruction_master_read), // .read .i_readdata (nios2_gen2_0_instruction_master_readdata), // .readdata .i_waitrequest (nios2_gen2_0_instruction_master_waitrequest), // .waitrequest .irq (nios2_gen2_0_irq_irq), // irq.irq .debug_reset_request (nios2_gen2_0_debug_reset_request_reset), // debug_reset_request.reset .debug_mem_slave_address (mm_interconnect_0_nios2_gen2_0_debug_mem_slave_address), // debug_mem_slave.address .debug_mem_slave_byteenable (mm_interconnect_0_nios2_gen2_0_debug_mem_slave_byteenable), // .byteenable .debug_mem_slave_debugaccess (mm_interconnect_0_nios2_gen2_0_debug_mem_slave_debugaccess), // .debugaccess .debug_mem_slave_read (mm_interconnect_0_nios2_gen2_0_debug_mem_slave_read), // .read .debug_mem_slave_readdata (mm_interconnect_0_nios2_gen2_0_debug_mem_slave_readdata), // .readdata .debug_mem_slave_waitrequest (mm_interconnect_0_nios2_gen2_0_debug_mem_slave_waitrequest), // .waitrequest .debug_mem_slave_write (mm_interconnect_0_nios2_gen2_0_debug_mem_slave_write), // .write .debug_mem_slave_writedata (mm_interconnect_0_nios2_gen2_0_debug_mem_slave_writedata), // .writedata .dummy_ci_port () // custom_instruction_master.readra ); altera_onchip_flash #( .INIT_FILENAME ("wasca_onchip_flash_0.hex"), .INIT_FILENAME_SIM ("wasca_onchip_flash_0.dat"), .DEVICE_FAMILY ("MAX 10"), .PART_NAME ("10M08SAE144C8GES"), .DEVICE_ID ("08"), .SECTOR1_START_ADDR (0), .SECTOR1_END_ADDR (4095), .SECTOR2_START_ADDR (4096), .SECTOR2_END_ADDR (8191), .SECTOR3_START_ADDR (8192), .SECTOR3_END_ADDR (29183), .SECTOR4_START_ADDR (29184), .SECTOR4_END_ADDR (44031), .SECTOR5_START_ADDR (0), .SECTOR5_END_ADDR (0), .MIN_VALID_ADDR (0), .MAX_VALID_ADDR (44031), .MIN_UFM_VALID_ADDR (0), .MAX_UFM_VALID_ADDR (44031), .SECTOR1_MAP (1), .SECTOR2_MAP (2), .SECTOR3_MAP (3), .SECTOR4_MAP (4), .SECTOR5_MAP (0), .ADDR_RANGE1_END_ADDR (44031), .ADDR_RANGE1_OFFSET (512), .ADDR_RANGE2_OFFSET (0), .AVMM_DATA_ADDR_WIDTH (16), .AVMM_DATA_DATA_WIDTH (32), .AVMM_DATA_BURSTCOUNT_WIDTH (4), .SECTOR_READ_PROTECTION_MODE (31), .FLASH_SEQ_READ_DATA_COUNT (2), .FLASH_ADDR_ALIGNMENT_BITS (1), .FLASH_READ_CYCLE_MAX_INDEX (3), .FLASH_RESET_CYCLE_MAX_INDEX (29), .FLASH_BUSY_TIMEOUT_CYCLE_MAX_INDEX (111), .FLASH_ERASE_TIMEOUT_CYCLE_MAX_INDEX (40603248), .FLASH_WRITE_TIMEOUT_CYCLE_MAX_INDEX (35382), .PARALLEL_MODE (1), .READ_AND_WRITE_MODE (0), .WRAPPING_BURST_MODE (0), .IS_DUAL_BOOT ("False"), .IS_ERAM_SKIP ("True"), .IS_COMPRESSED_IMAGE ("True") ) onchip_flash_0 ( .clock (clock_116_mhz_clk), // clk.clk .reset_n (~rst_controller_reset_out_reset), // nreset.reset_n .avmm_data_addr (mm_interconnect_0_onchip_flash_0_data_address), // data.address .avmm_data_read (mm_interconnect_0_onchip_flash_0_data_read), // .read .avmm_data_readdata (mm_interconnect_0_onchip_flash_0_data_readdata), // .readdata .avmm_data_waitrequest (mm_interconnect_0_onchip_flash_0_data_waitrequest), // .waitrequest .avmm_data_readdatavalid (mm_interconnect_0_onchip_flash_0_data_readdatavalid), // .readdatavalid .avmm_data_burstcount (mm_interconnect_0_onchip_flash_0_data_burstcount), // .burstcount .avmm_data_writedata (32'b00000000000000000000000000000000), // (terminated) .avmm_data_write (1'b0), // (terminated) .avmm_csr_addr (1'b0), // (terminated) .avmm_csr_read (1'b0), // (terminated) .avmm_csr_writedata (32'b00000000000000000000000000000000), // (terminated) .avmm_csr_write (1'b0), // (terminated) .avmm_csr_readdata () // (terminated) ); wasca_onchip_memory2_0 onchip_memory2_0 ( .clk (clock_116_mhz_clk), // clk1.clk .address (mm_interconnect_0_onchip_memory2_0_s1_address), // s1.address .clken (mm_interconnect_0_onchip_memory2_0_s1_clken), // .clken .chipselect (mm_interconnect_0_onchip_memory2_0_s1_chipselect), // .chipselect .write (mm_interconnect_0_onchip_memory2_0_s1_write), // .write .readdata (mm_interconnect_0_onchip_memory2_0_s1_readdata), // .readdata .writedata (mm_interconnect_0_onchip_memory2_0_s1_writedata), // .writedata .byteenable (mm_interconnect_0_onchip_memory2_0_s1_byteenable), // .byteenable .reset (rst_controller_reset_out_reset), // reset1.reset .reset_req (rst_controller_reset_out_reset_req) // .reset_req ); altera_reset_controller #( .NUM_RESET_INPUTS (2), .OUTPUT_RESET_SYNC_EDGES ("deassert"), .SYNC_DEPTH (2), .RESET_REQUEST_PRESENT (0), .RESET_REQ_WAIT_TIME (1), .MIN_RST_ASSERTION_TIME (3), .RESET_REQ_EARLY_DSRT_TIME (1), .USE_RESET_REQUEST_IN0 (0), .USE_RESET_REQUEST_IN1 (0), .USE_RESET_REQUEST_IN2 (0), .USE_RESET_REQUEST_IN3 (0), .USE_RESET_REQUEST_IN4 (0), .USE_RESET_REQUEST_IN5 (0), .USE_RESET_REQUEST_IN6 (0), .USE_RESET_REQUEST_IN7 (0), .USE_RESET_REQUEST_IN8 (0), .USE_RESET_REQUEST_IN9 (0), .USE_RESET_REQUEST_IN10 (0), .USE_RESET_REQUEST_IN11 (0), .USE_RESET_REQUEST_IN12 (0), .USE_RESET_REQUEST_IN13 (0), .USE_RESET_REQUEST_IN14 (0), .USE_RESET_REQUEST_IN15 (0), .ADAPT_RESET_REQUEST (0) ) reset_controller_0 ( .reset_in0 (nios2_gen2_0_debug_reset_request_reset), // reset_in0.reset .reset_in1 (reset_controller_0_reset_in1_reset), // reset_in1.reset .clk (clock_116_mhz_clk), // clk.clk .reset_out (reset_controller_0_reset_out_reset), // reset_out.reset .reset_req (), // (terminated) .reset_req_in0 (1'b0), // (terminated) .reset_req_in1 (1'b0), // (terminated) .reset_in2 (1'b0), // (terminated) .reset_req_in2 (1'b0), // (terminated) .reset_in3 (1'b0), // (terminated) .reset_req_in3 (1'b0), // (terminated) .reset_in4 (1'b0), // (terminated) .reset_req_in4 (1'b0), // (terminated) .reset_in5 (1'b0), // (terminated) .reset_req_in5 (1'b0), // (terminated) .reset_in6 (1'b0), // (terminated) .reset_req_in6 (1'b0), // (terminated) .reset_in7 (1'b0), // (terminated) .reset_req_in7 (1'b0), // (terminated) .reset_in8 (1'b0), // (terminated) .reset_req_in8 (1'b0), // (terminated) .reset_in9 (1'b0), // (terminated) .reset_req_in9 (1'b0), // (terminated) .reset_in10 (1'b0), // (terminated) .reset_req_in10 (1'b0), // (terminated) .reset_in11 (1'b0), // (terminated) .reset_req_in11 (1'b0), // (terminated) .reset_in12 (1'b0), // (terminated) .reset_req_in12 (1'b0), // (terminated) .reset_in13 (1'b0), // (terminated) .reset_req_in13 (1'b0), // (terminated) .reset_in14 (1'b0), // (terminated) .reset_req_in14 (1'b0), // (terminated) .reset_in15 (1'b0), // (terminated) .reset_req_in15 (1'b0) // (terminated) ); wasca_uart_0 uart_0 ( .clk (clock_116_mhz_clk), // clk.clk .reset_n (~rst_controller_reset_out_reset), // reset.reset_n .address (mm_interconnect_0_uart_0_s1_address), // s1.address .begintransfer (mm_interconnect_0_uart_0_s1_begintransfer), // .begintransfer .chipselect (mm_interconnect_0_uart_0_s1_chipselect), // .chipselect .read_n (~mm_interconnect_0_uart_0_s1_read), // .read_n .write_n (~mm_interconnect_0_uart_0_s1_write), // .write_n .writedata (mm_interconnect_0_uart_0_s1_writedata), // .writedata .readdata (mm_interconnect_0_uart_0_s1_readdata), // .readdata .dataavailable (), // .dataavailable .readyfordata (), // .readyfordata .rxd (uart_0_external_connection_rxd), // external_connection.export .txd (uart_0_external_connection_txd), // .export .irq (irq_mapper_receiver1_irq) // irq.irq ); wasca_mm_interconnect_0 mm_interconnect_0 ( .altpll_1_c0_clk (clock_116_mhz_clk), // altpll_1_c0.clk .clk_0_clk_clk (clk_clk), // clk_0_clk.clk .altpll_1_inclk_interface_reset_reset_bridge_in_reset_reset (rst_controller_001_reset_out_reset), // altpll_1_inclk_interface_reset_reset_bridge_in_reset.reset .buffered_spi_0_reset_reset_bridge_in_reset_reset (nios2_gen2_0_debug_reset_request_reset), // buffered_spi_0_reset_reset_bridge_in_reset.reset .nios2_gen2_0_reset_reset_bridge_in_reset_reset (rst_controller_reset_out_reset), // nios2_gen2_0_reset_reset_bridge_in_reset.reset .nios2_gen2_0_data_master_address (nios2_gen2_0_data_master_address), // nios2_gen2_0_data_master.address .nios2_gen2_0_data_master_waitrequest (nios2_gen2_0_data_master_waitrequest), // .waitrequest .nios2_gen2_0_data_master_byteenable (nios2_gen2_0_data_master_byteenable), // .byteenable .nios2_gen2_0_data_master_read (nios2_gen2_0_data_master_read), // .read .nios2_gen2_0_data_master_readdata (nios2_gen2_0_data_master_readdata), // .readdata .nios2_gen2_0_data_master_write (nios2_gen2_0_data_master_write), // .write .nios2_gen2_0_data_master_writedata (nios2_gen2_0_data_master_writedata), // .writedata .nios2_gen2_0_data_master_debugaccess (nios2_gen2_0_data_master_debugaccess), // .debugaccess .nios2_gen2_0_instruction_master_address (nios2_gen2_0_instruction_master_address), // nios2_gen2_0_instruction_master.address .nios2_gen2_0_instruction_master_waitrequest (nios2_gen2_0_instruction_master_waitrequest), // .waitrequest .nios2_gen2_0_instruction_master_read (nios2_gen2_0_instruction_master_read), // .read .nios2_gen2_0_instruction_master_readdata (nios2_gen2_0_instruction_master_readdata), // .readdata .abus_avalon_sdram_bridge_0_avalon_regs_address (mm_interconnect_0_abus_avalon_sdram_bridge_0_avalon_regs_address), // abus_avalon_sdram_bridge_0_avalon_regs.address .abus_avalon_sdram_bridge_0_avalon_regs_write (mm_interconnect_0_abus_avalon_sdram_bridge_0_avalon_regs_write), // .write .abus_avalon_sdram_bridge_0_avalon_regs_read (mm_interconnect_0_abus_avalon_sdram_bridge_0_avalon_regs_read), // .read .abus_avalon_sdram_bridge_0_avalon_regs_readdata (mm_interconnect_0_abus_avalon_sdram_bridge_0_avalon_regs_readdata), // .readdata .abus_avalon_sdram_bridge_0_avalon_regs_writedata (mm_interconnect_0_abus_avalon_sdram_bridge_0_avalon_regs_writedata), // .writedata .abus_avalon_sdram_bridge_0_avalon_regs_readdatavalid (mm_interconnect_0_abus_avalon_sdram_bridge_0_avalon_regs_readdatavalid), // .readdatavalid .abus_avalon_sdram_bridge_0_avalon_regs_waitrequest (mm_interconnect_0_abus_avalon_sdram_bridge_0_avalon_regs_waitrequest), // .waitrequest .abus_avalon_sdram_bridge_0_avalon_sdram_address (mm_interconnect_0_abus_avalon_sdram_bridge_0_avalon_sdram_address), // abus_avalon_sdram_bridge_0_avalon_sdram.address .abus_avalon_sdram_bridge_0_avalon_sdram_write (mm_interconnect_0_abus_avalon_sdram_bridge_0_avalon_sdram_write), // .write .abus_avalon_sdram_bridge_0_avalon_sdram_read (mm_interconnect_0_abus_avalon_sdram_bridge_0_avalon_sdram_read), // .read .abus_avalon_sdram_bridge_0_avalon_sdram_readdata (mm_interconnect_0_abus_avalon_sdram_bridge_0_avalon_sdram_readdata), // .readdata .abus_avalon_sdram_bridge_0_avalon_sdram_writedata (mm_interconnect_0_abus_avalon_sdram_bridge_0_avalon_sdram_writedata), // .writedata .abus_avalon_sdram_bridge_0_avalon_sdram_byteenable (mm_interconnect_0_abus_avalon_sdram_bridge_0_avalon_sdram_byteenable), // .byteenable .abus_avalon_sdram_bridge_0_avalon_sdram_readdatavalid (mm_interconnect_0_abus_avalon_sdram_bridge_0_avalon_sdram_readdatavalid), // .readdatavalid .abus_avalon_sdram_bridge_0_avalon_sdram_waitrequest (mm_interconnect_0_abus_avalon_sdram_bridge_0_avalon_sdram_waitrequest), // .waitrequest .Altera_UP_SD_Card_Avalon_Interface_0_avalon_sdcard_slave_address (mm_interconnect_0_altera_up_sd_card_avalon_interface_0_avalon_sdcard_slave_address), // Altera_UP_SD_Card_Avalon_Interface_0_avalon_sdcard_slave.address .Altera_UP_SD_Card_Avalon_Interface_0_avalon_sdcard_slave_write (mm_interconnect_0_altera_up_sd_card_avalon_interface_0_avalon_sdcard_slave_write), // .write .Altera_UP_SD_Card_Avalon_Interface_0_avalon_sdcard_slave_read (mm_interconnect_0_altera_up_sd_card_avalon_interface_0_avalon_sdcard_slave_read), // .read .Altera_UP_SD_Card_Avalon_Interface_0_avalon_sdcard_slave_readdata (mm_interconnect_0_altera_up_sd_card_avalon_interface_0_avalon_sdcard_slave_readdata), // .readdata .Altera_UP_SD_Card_Avalon_Interface_0_avalon_sdcard_slave_writedata (mm_interconnect_0_altera_up_sd_card_avalon_interface_0_avalon_sdcard_slave_writedata), // .writedata .Altera_UP_SD_Card_Avalon_Interface_0_avalon_sdcard_slave_byteenable (mm_interconnect_0_altera_up_sd_card_avalon_interface_0_avalon_sdcard_slave_byteenable), // .byteenable .Altera_UP_SD_Card_Avalon_Interface_0_avalon_sdcard_slave_waitrequest (mm_interconnect_0_altera_up_sd_card_avalon_interface_0_avalon_sdcard_slave_waitrequest), // .waitrequest .Altera_UP_SD_Card_Avalon_Interface_0_avalon_sdcard_slave_chipselect (mm_interconnect_0_altera_up_sd_card_avalon_interface_0_avalon_sdcard_slave_chipselect), // .chipselect .altpll_1_pll_slave_address (mm_interconnect_0_altpll_1_pll_slave_address), // altpll_1_pll_slave.address .altpll_1_pll_slave_write (mm_interconnect_0_altpll_1_pll_slave_write), // .write .altpll_1_pll_slave_read (mm_interconnect_0_altpll_1_pll_slave_read), // .read .altpll_1_pll_slave_readdata (mm_interconnect_0_altpll_1_pll_slave_readdata), // .readdata .altpll_1_pll_slave_writedata (mm_interconnect_0_altpll_1_pll_slave_writedata), // .writedata .audio_0_avalon_audio_slave_address (mm_interconnect_0_audio_0_avalon_audio_slave_address), // audio_0_avalon_audio_slave.address .audio_0_avalon_audio_slave_write (mm_interconnect_0_audio_0_avalon_audio_slave_write), // .write .audio_0_avalon_audio_slave_read (mm_interconnect_0_audio_0_avalon_audio_slave_read), // .read .audio_0_avalon_audio_slave_readdata (mm_interconnect_0_audio_0_avalon_audio_slave_readdata), // .readdata .audio_0_avalon_audio_slave_writedata (mm_interconnect_0_audio_0_avalon_audio_slave_writedata), // .writedata .audio_0_avalon_audio_slave_chipselect (mm_interconnect_0_audio_0_avalon_audio_slave_chipselect), // .chipselect .buffered_spi_0_avalon_address (mm_interconnect_0_buffered_spi_0_avalon_address), // buffered_spi_0_avalon.address .buffered_spi_0_avalon_write (mm_interconnect_0_buffered_spi_0_avalon_write), // .write .buffered_spi_0_avalon_read (mm_interconnect_0_buffered_spi_0_avalon_read), // .read .buffered_spi_0_avalon_readdata (mm_interconnect_0_buffered_spi_0_avalon_readdata), // .readdata .buffered_spi_0_avalon_writedata (mm_interconnect_0_buffered_spi_0_avalon_writedata), // .writedata .buffered_spi_0_avalon_readdatavalid (mm_interconnect_0_buffered_spi_0_avalon_readdatavalid), // .readdatavalid .buffered_spi_0_avalon_waitrequest (mm_interconnect_0_buffered_spi_0_avalon_waitrequest), // .waitrequest .nios2_gen2_0_debug_mem_slave_address (mm_interconnect_0_nios2_gen2_0_debug_mem_slave_address), // nios2_gen2_0_debug_mem_slave.address .nios2_gen2_0_debug_mem_slave_write (mm_interconnect_0_nios2_gen2_0_debug_mem_slave_write), // .write .nios2_gen2_0_debug_mem_slave_read (mm_interconnect_0_nios2_gen2_0_debug_mem_slave_read), // .read .nios2_gen2_0_debug_mem_slave_readdata (mm_interconnect_0_nios2_gen2_0_debug_mem_slave_readdata), // .readdata .nios2_gen2_0_debug_mem_slave_writedata (mm_interconnect_0_nios2_gen2_0_debug_mem_slave_writedata), // .writedata .nios2_gen2_0_debug_mem_slave_byteenable (mm_interconnect_0_nios2_gen2_0_debug_mem_slave_byteenable), // .byteenable .nios2_gen2_0_debug_mem_slave_waitrequest (mm_interconnect_0_nios2_gen2_0_debug_mem_slave_waitrequest), // .waitrequest .nios2_gen2_0_debug_mem_slave_debugaccess (mm_interconnect_0_nios2_gen2_0_debug_mem_slave_debugaccess), // .debugaccess .onchip_flash_0_data_address (mm_interconnect_0_onchip_flash_0_data_address), // onchip_flash_0_data.address .onchip_flash_0_data_read (mm_interconnect_0_onchip_flash_0_data_read), // .read .onchip_flash_0_data_readdata (mm_interconnect_0_onchip_flash_0_data_readdata), // .readdata .onchip_flash_0_data_burstcount (mm_interconnect_0_onchip_flash_0_data_burstcount), // .burstcount .onchip_flash_0_data_readdatavalid (mm_interconnect_0_onchip_flash_0_data_readdatavalid), // .readdatavalid .onchip_flash_0_data_waitrequest (mm_interconnect_0_onchip_flash_0_data_waitrequest), // .waitrequest .onchip_memory2_0_s1_address (mm_interconnect_0_onchip_memory2_0_s1_address), // onchip_memory2_0_s1.address .onchip_memory2_0_s1_write (mm_interconnect_0_onchip_memory2_0_s1_write), // .write .onchip_memory2_0_s1_readdata (mm_interconnect_0_onchip_memory2_0_s1_readdata), // .readdata .onchip_memory2_0_s1_writedata (mm_interconnect_0_onchip_memory2_0_s1_writedata), // .writedata .onchip_memory2_0_s1_byteenable (mm_interconnect_0_onchip_memory2_0_s1_byteenable), // .byteenable .onchip_memory2_0_s1_chipselect (mm_interconnect_0_onchip_memory2_0_s1_chipselect), // .chipselect .onchip_memory2_0_s1_clken (mm_interconnect_0_onchip_memory2_0_s1_clken), // .clken .uart_0_s1_address (mm_interconnect_0_uart_0_s1_address), // uart_0_s1.address .uart_0_s1_write (mm_interconnect_0_uart_0_s1_write), // .write .uart_0_s1_read (mm_interconnect_0_uart_0_s1_read), // .read .uart_0_s1_readdata (mm_interconnect_0_uart_0_s1_readdata), // .readdata .uart_0_s1_writedata (mm_interconnect_0_uart_0_s1_writedata), // .writedata .uart_0_s1_begintransfer (mm_interconnect_0_uart_0_s1_begintransfer), // .begintransfer .uart_0_s1_chipselect (mm_interconnect_0_uart_0_s1_chipselect) // .chipselect ); wasca_irq_mapper irq_mapper ( .clk (clock_116_mhz_clk), // clk.clk .reset (rst_controller_reset_out_reset), // clk_reset.reset .receiver0_irq (irq_mapper_receiver0_irq), // receiver0.irq .receiver1_irq (irq_mapper_receiver1_irq), // receiver1.irq .sender_irq (nios2_gen2_0_irq_irq) // sender.irq ); altera_reset_controller #( .NUM_RESET_INPUTS (1), .OUTPUT_RESET_SYNC_EDGES ("deassert"), .SYNC_DEPTH (2), .RESET_REQUEST_PRESENT (1), .RESET_REQ_WAIT_TIME (1), .MIN_RST_ASSERTION_TIME (3), .RESET_REQ_EARLY_DSRT_TIME (1), .USE_RESET_REQUEST_IN0 (0), .USE_RESET_REQUEST_IN1 (0), .USE_RESET_REQUEST_IN2 (0), .USE_RESET_REQUEST_IN3 (0), .USE_RESET_REQUEST_IN4 (0), .USE_RESET_REQUEST_IN5 (0), .USE_RESET_REQUEST_IN6 (0), .USE_RESET_REQUEST_IN7 (0), .USE_RESET_REQUEST_IN8 (0), .USE_RESET_REQUEST_IN9 (0), .USE_RESET_REQUEST_IN10 (0), .USE_RESET_REQUEST_IN11 (0), .USE_RESET_REQUEST_IN12 (0), .USE_RESET_REQUEST_IN13 (0), .USE_RESET_REQUEST_IN14 (0), .USE_RESET_REQUEST_IN15 (0), .ADAPT_RESET_REQUEST (0) ) rst_controller ( .reset_in0 (reset_controller_0_reset_out_reset), // reset_in0.reset .clk (clock_116_mhz_clk), // clk.clk .reset_out (rst_controller_reset_out_reset), // reset_out.reset .reset_req (rst_controller_reset_out_reset_req), // .reset_req .reset_req_in0 (1'b0), // (terminated) .reset_in1 (1'b0), // (terminated) .reset_req_in1 (1'b0), // (terminated) .reset_in2 (1'b0), // (terminated) .reset_req_in2 (1'b0), // (terminated) .reset_in3 (1'b0), // (terminated) .reset_req_in3 (1'b0), // (terminated) .reset_in4 (1'b0), // (terminated) .reset_req_in4 (1'b0), // (terminated) .reset_in5 (1'b0), // (terminated) .reset_req_in5 (1'b0), // (terminated) .reset_in6 (1'b0), // (terminated) .reset_req_in6 (1'b0), // (terminated) .reset_in7 (1'b0), // (terminated) .reset_req_in7 (1'b0), // (terminated) .reset_in8 (1'b0), // (terminated) .reset_req_in8 (1'b0), // (terminated) .reset_in9 (1'b0), // (terminated) .reset_req_in9 (1'b0), // (terminated) .reset_in10 (1'b0), // (terminated) .reset_req_in10 (1'b0), // (terminated) .reset_in11 (1'b0), // (terminated) .reset_req_in11 (1'b0), // (terminated) .reset_in12 (1'b0), // (terminated) .reset_req_in12 (1'b0), // (terminated) .reset_in13 (1'b0), // (terminated) .reset_req_in13 (1'b0), // (terminated) .reset_in14 (1'b0), // (terminated) .reset_req_in14 (1'b0), // (terminated) .reset_in15 (1'b0), // (terminated) .reset_req_in15 (1'b0) // (terminated) ); altera_reset_controller #( .NUM_RESET_INPUTS (1), .OUTPUT_RESET_SYNC_EDGES ("deassert"), .SYNC_DEPTH (2), .RESET_REQUEST_PRESENT (0), .RESET_REQ_WAIT_TIME (1), .MIN_RST_ASSERTION_TIME (3), .RESET_REQ_EARLY_DSRT_TIME (1), .USE_RESET_REQUEST_IN0 (0), .USE_RESET_REQUEST_IN1 (0), .USE_RESET_REQUEST_IN2 (0), .USE_RESET_REQUEST_IN3 (0), .USE_RESET_REQUEST_IN4 (0), .USE_RESET_REQUEST_IN5 (0), .USE_RESET_REQUEST_IN6 (0), .USE_RESET_REQUEST_IN7 (0), .USE_RESET_REQUEST_IN8 (0), .USE_RESET_REQUEST_IN9 (0), .USE_RESET_REQUEST_IN10 (0), .USE_RESET_REQUEST_IN11 (0), .USE_RESET_REQUEST_IN12 (0), .USE_RESET_REQUEST_IN13 (0), .USE_RESET_REQUEST_IN14 (0), .USE_RESET_REQUEST_IN15 (0), .ADAPT_RESET_REQUEST (0) ) rst_controller_001 ( .reset_in0 (~reset_reset_n), // reset_in0.reset .clk (clk_clk), // clk.clk .reset_out (rst_controller_001_reset_out_reset), // reset_out.reset .reset_req (), // (terminated) .reset_req_in0 (1'b0), // (terminated) .reset_in1 (1'b0), // (terminated) .reset_req_in1 (1'b0), // (terminated) .reset_in2 (1'b0), // (terminated) .reset_req_in2 (1'b0), // (terminated) .reset_in3 (1'b0), // (terminated) .reset_req_in3 (1'b0), // (terminated) .reset_in4 (1'b0), // (terminated) .reset_req_in4 (1'b0), // (terminated) .reset_in5 (1'b0), // (terminated) .reset_req_in5 (1'b0), // (terminated) .reset_in6 (1'b0), // (terminated) .reset_req_in6 (1'b0), // (terminated) .reset_in7 (1'b0), // (terminated) .reset_req_in7 (1'b0), // (terminated) .reset_in8 (1'b0), // (terminated) .reset_req_in8 (1'b0), // (terminated) .reset_in9 (1'b0), // (terminated) .reset_req_in9 (1'b0), // (terminated) .reset_in10 (1'b0), // (terminated) .reset_req_in10 (1'b0), // (terminated) .reset_in11 (1'b0), // (terminated) .reset_req_in11 (1'b0), // (terminated) .reset_in12 (1'b0), // (terminated) .reset_req_in12 (1'b0), // (terminated) .reset_in13 (1'b0), // (terminated) .reset_req_in13 (1'b0), // (terminated) .reset_in14 (1'b0), // (terminated) .reset_req_in14 (1'b0), // (terminated) .reset_in15 (1'b0), // (terminated) .reset_req_in15 (1'b0) // (terminated) ); altera_reset_controller #( .NUM_RESET_INPUTS (1), .OUTPUT_RESET_SYNC_EDGES ("both"), .SYNC_DEPTH (2), .RESET_REQUEST_PRESENT (0), .RESET_REQ_WAIT_TIME (1), .MIN_RST_ASSERTION_TIME (3), .RESET_REQ_EARLY_DSRT_TIME (1), .USE_RESET_REQUEST_IN0 (0), .USE_RESET_REQUEST_IN1 (0), .USE_RESET_REQUEST_IN2 (0), .USE_RESET_REQUEST_IN3 (0), .USE_RESET_REQUEST_IN4 (0), .USE_RESET_REQUEST_IN5 (0), .USE_RESET_REQUEST_IN6 (0), .USE_RESET_REQUEST_IN7 (0), .USE_RESET_REQUEST_IN8 (0), .USE_RESET_REQUEST_IN9 (0), .USE_RESET_REQUEST_IN10 (0), .USE_RESET_REQUEST_IN11 (0), .USE_RESET_REQUEST_IN12 (0), .USE_RESET_REQUEST_IN13 (0), .USE_RESET_REQUEST_IN14 (0), .USE_RESET_REQUEST_IN15 (0), .ADAPT_RESET_REQUEST (0) ) rst_controller_002 ( .reset_in0 (reset_controller_0_reset_out_reset), // reset_in0.reset .clk (clock_116_mhz_clk), // clk.clk .reset_out (), // reset_out.reset .reset_req (), // (terminated) .reset_req_in0 (1'b0), // (terminated) .reset_in1 (1'b0), // (terminated) .reset_req_in1 (1'b0), // (terminated) .reset_in2 (1'b0), // (terminated) .reset_req_in2 (1'b0), // (terminated) .reset_in3 (1'b0), // (terminated) .reset_req_in3 (1'b0), // (terminated) .reset_in4 (1'b0), // (terminated) .reset_req_in4 (1'b0), // (terminated) .reset_in5 (1'b0), // (terminated) .reset_req_in5 (1'b0), // (terminated) .reset_in6 (1'b0), // (terminated) .reset_req_in6 (1'b0), // (terminated) .reset_in7 (1'b0), // (terminated) .reset_req_in7 (1'b0), // (terminated) .reset_in8 (1'b0), // (terminated) .reset_req_in8 (1'b0), // (terminated) .reset_in9 (1'b0), // (terminated) .reset_req_in9 (1'b0), // (terminated) .reset_in10 (1'b0), // (terminated) .reset_req_in10 (1'b0), // (terminated) .reset_in11 (1'b0), // (terminated) .reset_req_in11 (1'b0), // (terminated) .reset_in12 (1'b0), // (terminated) .reset_req_in12 (1'b0), // (terminated) .reset_in13 (1'b0), // (terminated) .reset_req_in13 (1'b0), // (terminated) .reset_in14 (1'b0), // (terminated) .reset_req_in14 (1'b0), // (terminated) .reset_in15 (1'b0), // (terminated) .reset_req_in15 (1'b0) // (terminated) ); endmodule
module sha256_transform #( parameter LOOP = 7'd64 // For ltcminer ) ( input clk, input feedback, input [5:0] cnt, input [255:0] rx_state, input [511:0] rx_input, output reg [255:0] tx_hash ); // Constants defined by the SHA-2 standard. localparam Ks = { 32'h428a2f98, 32'h71374491, 32'hb5c0fbcf, 32'he9b5dba5, 32'h3956c25b, 32'h59f111f1, 32'h923f82a4, 32'hab1c5ed5, 32'hd807aa98, 32'h12835b01, 32'h243185be, 32'h550c7dc3, 32'h72be5d74, 32'h80deb1fe, 32'h9bdc06a7, 32'hc19bf174, 32'he49b69c1, 32'hefbe4786, 32'h0fc19dc6, 32'h240ca1cc, 32'h2de92c6f, 32'h4a7484aa, 32'h5cb0a9dc, 32'h76f988da, 32'h983e5152, 32'ha831c66d, 32'hb00327c8, 32'hbf597fc7, 32'hc6e00bf3, 32'hd5a79147, 32'h06ca6351, 32'h14292967, 32'h27b70a85, 32'h2e1b2138, 32'h4d2c6dfc, 32'h53380d13, 32'h650a7354, 32'h766a0abb, 32'h81c2c92e, 32'h92722c85, 32'ha2bfe8a1, 32'ha81a664b, 32'hc24b8b70, 32'hc76c51a3, 32'hd192e819, 32'hd6990624, 32'hf40e3585, 32'h106aa070, 32'h19a4c116, 32'h1e376c08, 32'h2748774c, 32'h34b0bcb5, 32'h391c0cb3, 32'h4ed8aa4a, 32'h5b9cca4f, 32'h682e6ff3, 32'h748f82ee, 32'h78a5636f, 32'h84c87814, 32'h8cc70208, 32'h90befffa, 32'ha4506ceb, 32'hbef9a3f7, 32'hc67178f2}; genvar i; generate for (i = 0; i < 64/LOOP; i = i + 1) begin : HASHERS // These are declared as registers in sha256_digester wire [511:0] W; // reg tx_w wire [255:0] state; // reg tx_state if(i == 0) sha256_digester U ( .clk(clk), .k(Ks[32*(63-cnt) +: 32]), .rx_w(feedback ? W : rx_input), .rx_state(feedback ? state : rx_state), .tx_w(W), .tx_state(state) ); else sha256_digester U ( .clk(clk), .k(Ks[32*(63-LOOP*i-cnt) +: 32]), .rx_w(feedback ? W : HASHERS[i-1].W), .rx_state(feedback ? state : HASHERS[i-1].state), .tx_w(W), .tx_state(state) ); end endgenerate always @ (posedge clk) begin if (!feedback) begin tx_hash[`IDX(0)] <= rx_state[`IDX(0)] + HASHERS[64/LOOP-6'd1].state[`IDX(0)]; tx_hash[`IDX(1)] <= rx_state[`IDX(1)] + HASHERS[64/LOOP-6'd1].state[`IDX(1)]; tx_hash[`IDX(2)] <= rx_state[`IDX(2)] + HASHERS[64/LOOP-6'd1].state[`IDX(2)]; tx_hash[`IDX(3)] <= rx_state[`IDX(3)] + HASHERS[64/LOOP-6'd1].state[`IDX(3)]; tx_hash[`IDX(4)] <= rx_state[`IDX(4)] + HASHERS[64/LOOP-6'd1].state[`IDX(4)]; tx_hash[`IDX(5)] <= rx_state[`IDX(5)] + HASHERS[64/LOOP-6'd1].state[`IDX(5)]; tx_hash[`IDX(6)] <= rx_state[`IDX(6)] + HASHERS[64/LOOP-6'd1].state[`IDX(6)]; tx_hash[`IDX(7)] <= rx_state[`IDX(7)] + HASHERS[64/LOOP-6'd1].state[`IDX(7)]; end end endmodule
module sha256_digester (clk, k, rx_w, rx_state, tx_w, tx_state); input clk; input [31:0] k; input [511:0] rx_w; input [255:0] rx_state; output reg [511:0] tx_w; output reg [255:0] tx_state; wire [31:0] e0_w, e1_w, ch_w, maj_w, s0_w, s1_w; e0 e0_blk (rx_state[`IDX(0)], e0_w); e1 e1_blk (rx_state[`IDX(4)], e1_w); ch ch_blk (rx_state[`IDX(4)], rx_state[`IDX(5)], rx_state[`IDX(6)], ch_w); maj maj_blk (rx_state[`IDX(0)], rx_state[`IDX(1)], rx_state[`IDX(2)], maj_w); s0 s0_blk (rx_w[63:32], s0_w); s1 s1_blk (rx_w[479:448], s1_w); wire [31:0] t1 = rx_state[`IDX(7)] + e1_w + ch_w + rx_w[31:0] + k; wire [31:0] t2 = e0_w + maj_w; wire [31:0] new_w = s1_w + rx_w[319:288] + s0_w + rx_w[31:0]; always @ (posedge clk) begin tx_w[511:480] <= new_w; tx_w[479:0] <= rx_w[511:32]; tx_state[`IDX(7)] <= rx_state[`IDX(6)]; tx_state[`IDX(6)] <= rx_state[`IDX(5)]; tx_state[`IDX(5)] <= rx_state[`IDX(4)]; tx_state[`IDX(4)] <= rx_state[`IDX(3)] + t1; tx_state[`IDX(3)] <= rx_state[`IDX(2)]; tx_state[`IDX(2)] <= rx_state[`IDX(1)]; tx_state[`IDX(1)] <= rx_state[`IDX(0)]; tx_state[`IDX(0)] <= t1 + t2; end endmodule
module sha256_transform #( parameter LOOP = 7'd64 // For ltcminer ) ( input clk, input feedback, input [5:0] cnt, input [255:0] rx_state, input [511:0] rx_input, output reg [255:0] tx_hash ); // Constants defined by the SHA-2 standard. localparam Ks = { 32'h428a2f98, 32'h71374491, 32'hb5c0fbcf, 32'he9b5dba5, 32'h3956c25b, 32'h59f111f1, 32'h923f82a4, 32'hab1c5ed5, 32'hd807aa98, 32'h12835b01, 32'h243185be, 32'h550c7dc3, 32'h72be5d74, 32'h80deb1fe, 32'h9bdc06a7, 32'hc19bf174, 32'he49b69c1, 32'hefbe4786, 32'h0fc19dc6, 32'h240ca1cc, 32'h2de92c6f, 32'h4a7484aa, 32'h5cb0a9dc, 32'h76f988da, 32'h983e5152, 32'ha831c66d, 32'hb00327c8, 32'hbf597fc7, 32'hc6e00bf3, 32'hd5a79147, 32'h06ca6351, 32'h14292967, 32'h27b70a85, 32'h2e1b2138, 32'h4d2c6dfc, 32'h53380d13, 32'h650a7354, 32'h766a0abb, 32'h81c2c92e, 32'h92722c85, 32'ha2bfe8a1, 32'ha81a664b, 32'hc24b8b70, 32'hc76c51a3, 32'hd192e819, 32'hd6990624, 32'hf40e3585, 32'h106aa070, 32'h19a4c116, 32'h1e376c08, 32'h2748774c, 32'h34b0bcb5, 32'h391c0cb3, 32'h4ed8aa4a, 32'h5b9cca4f, 32'h682e6ff3, 32'h748f82ee, 32'h78a5636f, 32'h84c87814, 32'h8cc70208, 32'h90befffa, 32'ha4506ceb, 32'hbef9a3f7, 32'hc67178f2}; genvar i; generate for (i = 0; i < 64/LOOP; i = i + 1) begin : HASHERS // These are declared as registers in sha256_digester wire [511:0] W; // reg tx_w wire [255:0] state; // reg tx_state if(i == 0) sha256_digester U ( .clk(clk), .k(Ks[32*(63-cnt) +: 32]), .rx_w(feedback ? W : rx_input), .rx_state(feedback ? state : rx_state), .tx_w(W), .tx_state(state) ); else sha256_digester U ( .clk(clk), .k(Ks[32*(63-LOOP*i-cnt) +: 32]), .rx_w(feedback ? W : HASHERS[i-1].W), .rx_state(feedback ? state : HASHERS[i-1].state), .tx_w(W), .tx_state(state) ); end endgenerate always @ (posedge clk) begin if (!feedback) begin tx_hash[`IDX(0)] <= rx_state[`IDX(0)] + HASHERS[64/LOOP-6'd1].state[`IDX(0)]; tx_hash[`IDX(1)] <= rx_state[`IDX(1)] + HASHERS[64/LOOP-6'd1].state[`IDX(1)]; tx_hash[`IDX(2)] <= rx_state[`IDX(2)] + HASHERS[64/LOOP-6'd1].state[`IDX(2)]; tx_hash[`IDX(3)] <= rx_state[`IDX(3)] + HASHERS[64/LOOP-6'd1].state[`IDX(3)]; tx_hash[`IDX(4)] <= rx_state[`IDX(4)] + HASHERS[64/LOOP-6'd1].state[`IDX(4)]; tx_hash[`IDX(5)] <= rx_state[`IDX(5)] + HASHERS[64/LOOP-6'd1].state[`IDX(5)]; tx_hash[`IDX(6)] <= rx_state[`IDX(6)] + HASHERS[64/LOOP-6'd1].state[`IDX(6)]; tx_hash[`IDX(7)] <= rx_state[`IDX(7)] + HASHERS[64/LOOP-6'd1].state[`IDX(7)]; end end endmodule
module sha256_digester (clk, k, rx_w, rx_state, tx_w, tx_state); input clk; input [31:0] k; input [511:0] rx_w; input [255:0] rx_state; output reg [511:0] tx_w; output reg [255:0] tx_state; wire [31:0] e0_w, e1_w, ch_w, maj_w, s0_w, s1_w; e0 e0_blk (rx_state[`IDX(0)], e0_w); e1 e1_blk (rx_state[`IDX(4)], e1_w); ch ch_blk (rx_state[`IDX(4)], rx_state[`IDX(5)], rx_state[`IDX(6)], ch_w); maj maj_blk (rx_state[`IDX(0)], rx_state[`IDX(1)], rx_state[`IDX(2)], maj_w); s0 s0_blk (rx_w[63:32], s0_w); s1 s1_blk (rx_w[479:448], s1_w); wire [31:0] t1 = rx_state[`IDX(7)] + e1_w + ch_w + rx_w[31:0] + k; wire [31:0] t2 = e0_w + maj_w; wire [31:0] new_w = s1_w + rx_w[319:288] + s0_w + rx_w[31:0]; always @ (posedge clk) begin tx_w[511:480] <= new_w; tx_w[479:0] <= rx_w[511:32]; tx_state[`IDX(7)] <= rx_state[`IDX(6)]; tx_state[`IDX(6)] <= rx_state[`IDX(5)]; tx_state[`IDX(5)] <= rx_state[`IDX(4)]; tx_state[`IDX(4)] <= rx_state[`IDX(3)] + t1; tx_state[`IDX(3)] <= rx_state[`IDX(2)]; tx_state[`IDX(2)] <= rx_state[`IDX(1)]; tx_state[`IDX(1)] <= rx_state[`IDX(0)]; tx_state[`IDX(0)] <= t1 + t2; end endmodule
module sha256_transform #( parameter LOOP = 7'd64 // For ltcminer ) ( input clk, input feedback, input [5:0] cnt, input [255:0] rx_state, input [511:0] rx_input, output reg [255:0] tx_hash ); // Constants defined by the SHA-2 standard. localparam Ks = { 32'h428a2f98, 32'h71374491, 32'hb5c0fbcf, 32'he9b5dba5, 32'h3956c25b, 32'h59f111f1, 32'h923f82a4, 32'hab1c5ed5, 32'hd807aa98, 32'h12835b01, 32'h243185be, 32'h550c7dc3, 32'h72be5d74, 32'h80deb1fe, 32'h9bdc06a7, 32'hc19bf174, 32'he49b69c1, 32'hefbe4786, 32'h0fc19dc6, 32'h240ca1cc, 32'h2de92c6f, 32'h4a7484aa, 32'h5cb0a9dc, 32'h76f988da, 32'h983e5152, 32'ha831c66d, 32'hb00327c8, 32'hbf597fc7, 32'hc6e00bf3, 32'hd5a79147, 32'h06ca6351, 32'h14292967, 32'h27b70a85, 32'h2e1b2138, 32'h4d2c6dfc, 32'h53380d13, 32'h650a7354, 32'h766a0abb, 32'h81c2c92e, 32'h92722c85, 32'ha2bfe8a1, 32'ha81a664b, 32'hc24b8b70, 32'hc76c51a3, 32'hd192e819, 32'hd6990624, 32'hf40e3585, 32'h106aa070, 32'h19a4c116, 32'h1e376c08, 32'h2748774c, 32'h34b0bcb5, 32'h391c0cb3, 32'h4ed8aa4a, 32'h5b9cca4f, 32'h682e6ff3, 32'h748f82ee, 32'h78a5636f, 32'h84c87814, 32'h8cc70208, 32'h90befffa, 32'ha4506ceb, 32'hbef9a3f7, 32'hc67178f2}; genvar i; generate for (i = 0; i < 64/LOOP; i = i + 1) begin : HASHERS // These are declared as registers in sha256_digester wire [511:0] W; // reg tx_w wire [255:0] state; // reg tx_state if(i == 0) sha256_digester U ( .clk(clk), .k(Ks[32*(63-cnt) +: 32]), .rx_w(feedback ? W : rx_input), .rx_state(feedback ? state : rx_state), .tx_w(W), .tx_state(state) ); else sha256_digester U ( .clk(clk), .k(Ks[32*(63-LOOP*i-cnt) +: 32]), .rx_w(feedback ? W : HASHERS[i-1].W), .rx_state(feedback ? state : HASHERS[i-1].state), .tx_w(W), .tx_state(state) ); end endgenerate always @ (posedge clk) begin if (!feedback) begin tx_hash[`IDX(0)] <= rx_state[`IDX(0)] + HASHERS[64/LOOP-6'd1].state[`IDX(0)]; tx_hash[`IDX(1)] <= rx_state[`IDX(1)] + HASHERS[64/LOOP-6'd1].state[`IDX(1)]; tx_hash[`IDX(2)] <= rx_state[`IDX(2)] + HASHERS[64/LOOP-6'd1].state[`IDX(2)]; tx_hash[`IDX(3)] <= rx_state[`IDX(3)] + HASHERS[64/LOOP-6'd1].state[`IDX(3)]; tx_hash[`IDX(4)] <= rx_state[`IDX(4)] + HASHERS[64/LOOP-6'd1].state[`IDX(4)]; tx_hash[`IDX(5)] <= rx_state[`IDX(5)] + HASHERS[64/LOOP-6'd1].state[`IDX(5)]; tx_hash[`IDX(6)] <= rx_state[`IDX(6)] + HASHERS[64/LOOP-6'd1].state[`IDX(6)]; tx_hash[`IDX(7)] <= rx_state[`IDX(7)] + HASHERS[64/LOOP-6'd1].state[`IDX(7)]; end end endmodule
module sha256_digester (clk, k, rx_w, rx_state, tx_w, tx_state); input clk; input [31:0] k; input [511:0] rx_w; input [255:0] rx_state; output reg [511:0] tx_w; output reg [255:0] tx_state; wire [31:0] e0_w, e1_w, ch_w, maj_w, s0_w, s1_w; e0 e0_blk (rx_state[`IDX(0)], e0_w); e1 e1_blk (rx_state[`IDX(4)], e1_w); ch ch_blk (rx_state[`IDX(4)], rx_state[`IDX(5)], rx_state[`IDX(6)], ch_w); maj maj_blk (rx_state[`IDX(0)], rx_state[`IDX(1)], rx_state[`IDX(2)], maj_w); s0 s0_blk (rx_w[63:32], s0_w); s1 s1_blk (rx_w[479:448], s1_w); wire [31:0] t1 = rx_state[`IDX(7)] + e1_w + ch_w + rx_w[31:0] + k; wire [31:0] t2 = e0_w + maj_w; wire [31:0] new_w = s1_w + rx_w[319:288] + s0_w + rx_w[31:0]; always @ (posedge clk) begin tx_w[511:480] <= new_w; tx_w[479:0] <= rx_w[511:32]; tx_state[`IDX(7)] <= rx_state[`IDX(6)]; tx_state[`IDX(6)] <= rx_state[`IDX(5)]; tx_state[`IDX(5)] <= rx_state[`IDX(4)]; tx_state[`IDX(4)] <= rx_state[`IDX(3)] + t1; tx_state[`IDX(3)] <= rx_state[`IDX(2)]; tx_state[`IDX(2)] <= rx_state[`IDX(1)]; tx_state[`IDX(1)] <= rx_state[`IDX(0)]; tx_state[`IDX(0)] <= t1 + t2; end endmodule
module testbed_hi_simulate; reg pck0; reg [7:0] adc_d; reg mod_type; wire pwr_lo; wire adc_clk; reg ck_1356meg; reg ck_1356megb; wire ssp_frame; wire ssp_din; wire ssp_clk; reg ssp_dout; wire pwr_hi; wire pwr_oe1; wire pwr_oe2; wire pwr_oe3; wire pwr_oe4; wire cross_lo; wire cross_hi; wire dbg; hi_simulate #(5,200) dut( .pck0(pck0), .ck_1356meg(ck_1356meg), .ck_1356megb(ck_1356megb), .pwr_lo(pwr_lo), .pwr_hi(pwr_hi), .pwr_oe1(pwr_oe1), .pwr_oe2(pwr_oe2), .pwr_oe3(pwr_oe3), .pwr_oe4(pwr_oe4), .adc_d(adc_d), .adc_clk(adc_clk), .ssp_frame(ssp_frame), .ssp_din(ssp_din), .ssp_dout(ssp_dout), .ssp_clk(ssp_clk), .cross_hi(cross_hi), .cross_lo(cross_lo), .dbg(dbg), .mod_type(mod_type) ); integer idx, i; // main clock always #5 begin ck_1356megb = !ck_1356megb; ck_1356meg = ck_1356megb; end always begin @(negedge adc_clk) ; adc_d = $random; end //crank DUT task crank_dut; begin @(negedge ssp_clk) ; ssp_dout = $random; end endtask initial begin // init inputs ck_1356megb = 0; // random values adc_d = 0; ssp_dout=1; // shallow modulation off mod_type=0; for (i = 0 ; i < 16 ; i = i + 1) begin crank_dut; end // shallow modulation on mod_type=1; for (i = 0 ; i < 16 ; i = i + 1) begin crank_dut; end $finish; end endmodule
module testbed_hi_simulate; reg pck0; reg [7:0] adc_d; reg mod_type; wire pwr_lo; wire adc_clk; reg ck_1356meg; reg ck_1356megb; wire ssp_frame; wire ssp_din; wire ssp_clk; reg ssp_dout; wire pwr_hi; wire pwr_oe1; wire pwr_oe2; wire pwr_oe3; wire pwr_oe4; wire cross_lo; wire cross_hi; wire dbg; hi_simulate #(5,200) dut( .pck0(pck0), .ck_1356meg(ck_1356meg), .ck_1356megb(ck_1356megb), .pwr_lo(pwr_lo), .pwr_hi(pwr_hi), .pwr_oe1(pwr_oe1), .pwr_oe2(pwr_oe2), .pwr_oe3(pwr_oe3), .pwr_oe4(pwr_oe4), .adc_d(adc_d), .adc_clk(adc_clk), .ssp_frame(ssp_frame), .ssp_din(ssp_din), .ssp_dout(ssp_dout), .ssp_clk(ssp_clk), .cross_hi(cross_hi), .cross_lo(cross_lo), .dbg(dbg), .mod_type(mod_type) ); integer idx, i; // main clock always #5 begin ck_1356megb = !ck_1356megb; ck_1356meg = ck_1356megb; end always begin @(negedge adc_clk) ; adc_d = $random; end //crank DUT task crank_dut; begin @(negedge ssp_clk) ; ssp_dout = $random; end endtask initial begin // init inputs ck_1356megb = 0; // random values adc_d = 0; ssp_dout=1; // shallow modulation off mod_type=0; for (i = 0 ; i < 16 ; i = i + 1) begin crank_dut; end // shallow modulation on mod_type=1; for (i = 0 ; i < 16 ; i = i + 1) begin crank_dut; end $finish; end endmodule
module testbed_hi_simulate; reg pck0; reg [7:0] adc_d; reg mod_type; wire pwr_lo; wire adc_clk; reg ck_1356meg; reg ck_1356megb; wire ssp_frame; wire ssp_din; wire ssp_clk; reg ssp_dout; wire pwr_hi; wire pwr_oe1; wire pwr_oe2; wire pwr_oe3; wire pwr_oe4; wire cross_lo; wire cross_hi; wire dbg; hi_simulate #(5,200) dut( .pck0(pck0), .ck_1356meg(ck_1356meg), .ck_1356megb(ck_1356megb), .pwr_lo(pwr_lo), .pwr_hi(pwr_hi), .pwr_oe1(pwr_oe1), .pwr_oe2(pwr_oe2), .pwr_oe3(pwr_oe3), .pwr_oe4(pwr_oe4), .adc_d(adc_d), .adc_clk(adc_clk), .ssp_frame(ssp_frame), .ssp_din(ssp_din), .ssp_dout(ssp_dout), .ssp_clk(ssp_clk), .cross_hi(cross_hi), .cross_lo(cross_lo), .dbg(dbg), .mod_type(mod_type) ); integer idx, i; // main clock always #5 begin ck_1356megb = !ck_1356megb; ck_1356meg = ck_1356megb; end always begin @(negedge adc_clk) ; adc_d = $random; end //crank DUT task crank_dut; begin @(negedge ssp_clk) ; ssp_dout = $random; end endtask initial begin // init inputs ck_1356megb = 0; // random values adc_d = 0; ssp_dout=1; // shallow modulation off mod_type=0; for (i = 0 ; i < 16 ; i = i + 1) begin crank_dut; end // shallow modulation on mod_type=1; for (i = 0 ; i < 16 ; i = i + 1) begin crank_dut; end $finish; end endmodule
module processing_system7_v5_5_atc # ( parameter C_FAMILY = "rtl", // FPGA Family. Current version: virtex6, spartan6 or later. parameter integer C_AXI_ID_WIDTH = 4, // Width of all ID signals on SI and MI side of checker. // Range: >= 1. parameter integer C_AXI_ADDR_WIDTH = 32, // Width of all ADDR signals on SI and MI side of checker. // Range: 32. parameter integer C_AXI_DATA_WIDTH = 64, // Width of all DATA signals on SI and MI side of checker. // Range: 64. parameter integer C_AXI_AWUSER_WIDTH = 1, // Width of AWUSER signals. // Range: >= 1. parameter integer C_AXI_ARUSER_WIDTH = 1, // Width of ARUSER signals. // Range: >= 1. parameter integer C_AXI_WUSER_WIDTH = 1, // Width of WUSER signals. // Range: >= 1. parameter integer C_AXI_RUSER_WIDTH = 1, // Width of RUSER signals. // Range: >= 1. parameter integer C_AXI_BUSER_WIDTH = 1 // Width of BUSER signals. // Range: >= 1. ) ( // Global Signals input wire ACLK, input wire ARESETN, // Slave Interface Write Address Ports input wire [C_AXI_ID_WIDTH-1:0] S_AXI_AWID, input wire [C_AXI_ADDR_WIDTH-1:0] S_AXI_AWADDR, input wire [4-1:0] S_AXI_AWLEN, input wire [3-1:0] S_AXI_AWSIZE, input wire [2-1:0] S_AXI_AWBURST, input wire [2-1:0] S_AXI_AWLOCK, input wire [4-1:0] S_AXI_AWCACHE, input wire [3-1:0] S_AXI_AWPROT, input wire [C_AXI_AWUSER_WIDTH-1:0] S_AXI_AWUSER, input wire S_AXI_AWVALID, output wire S_AXI_AWREADY, // Slave Interface Write Data Ports input wire [C_AXI_ID_WIDTH-1:0] S_AXI_WID, input wire [C_AXI_DATA_WIDTH-1:0] S_AXI_WDATA, input wire [C_AXI_DATA_WIDTH/8-1:0] S_AXI_WSTRB, input wire S_AXI_WLAST, input wire [C_AXI_WUSER_WIDTH-1:0] S_AXI_WUSER, input wire S_AXI_WVALID, output wire S_AXI_WREADY, // Slave Interface Write Response Ports output wire [C_AXI_ID_WIDTH-1:0] S_AXI_BID, output wire [2-1:0] S_AXI_BRESP, output wire [C_AXI_BUSER_WIDTH-1:0] S_AXI_BUSER, output wire S_AXI_BVALID, input wire S_AXI_BREADY, // Slave Interface Read Address Ports input wire [C_AXI_ID_WIDTH-1:0] S_AXI_ARID, input wire [C_AXI_ADDR_WIDTH-1:0] S_AXI_ARADDR, input wire [4-1:0] S_AXI_ARLEN, input wire [3-1:0] S_AXI_ARSIZE, input wire [2-1:0] S_AXI_ARBURST, input wire [2-1:0] S_AXI_ARLOCK, input wire [4-1:0] S_AXI_ARCACHE, input wire [3-1:0] S_AXI_ARPROT, input wire [C_AXI_ARUSER_WIDTH-1:0] S_AXI_ARUSER, input wire S_AXI_ARVALID, output wire S_AXI_ARREADY, // Slave Interface Read Data Ports output wire [C_AXI_ID_WIDTH-1:0] S_AXI_RID, output wire [C_AXI_DATA_WIDTH-1:0] S_AXI_RDATA, output wire [2-1:0] S_AXI_RRESP, output wire S_AXI_RLAST, output wire [C_AXI_RUSER_WIDTH-1:0] S_AXI_RUSER, output wire S_AXI_RVALID, input wire S_AXI_RREADY, // Master Interface Write Address Port output wire [C_AXI_ID_WIDTH-1:0] M_AXI_AWID, output wire [C_AXI_ADDR_WIDTH-1:0] M_AXI_AWADDR, output wire [4-1:0] M_AXI_AWLEN, output wire [3-1:0] M_AXI_AWSIZE, output wire [2-1:0] M_AXI_AWBURST, output wire [2-1:0] M_AXI_AWLOCK, output wire [4-1:0] M_AXI_AWCACHE, output wire [3-1:0] M_AXI_AWPROT, output wire [C_AXI_AWUSER_WIDTH-1:0] M_AXI_AWUSER, output wire M_AXI_AWVALID, input wire M_AXI_AWREADY, // Master Interface Write Data Ports output wire [C_AXI_ID_WIDTH-1:0] M_AXI_WID, output wire [C_AXI_DATA_WIDTH-1:0] M_AXI_WDATA, output wire [C_AXI_DATA_WIDTH/8-1:0] M_AXI_WSTRB, output wire M_AXI_WLAST, output wire [C_AXI_WUSER_WIDTH-1:0] M_AXI_WUSER, output wire M_AXI_WVALID, input wire M_AXI_WREADY, // Master Interface Write Response Ports input wire [C_AXI_ID_WIDTH-1:0] M_AXI_BID, input wire [2-1:0] M_AXI_BRESP, input wire [C_AXI_BUSER_WIDTH-1:0] M_AXI_BUSER, input wire M_AXI_BVALID, output wire M_AXI_BREADY, // Master Interface Read Address Port output wire [C_AXI_ID_WIDTH-1:0] M_AXI_ARID, output wire [C_AXI_ADDR_WIDTH-1:0] M_AXI_ARADDR, output wire [4-1:0] M_AXI_ARLEN, output wire [3-1:0] M_AXI_ARSIZE, output wire [2-1:0] M_AXI_ARBURST, output wire [2-1:0] M_AXI_ARLOCK, output wire [4-1:0] M_AXI_ARCACHE, output wire [3-1:0] M_AXI_ARPROT, output wire [C_AXI_ARUSER_WIDTH-1:0] M_AXI_ARUSER, output wire M_AXI_ARVALID, input wire M_AXI_ARREADY, // Master Interface Read Data Ports input wire [C_AXI_ID_WIDTH-1:0] M_AXI_RID, input wire [C_AXI_DATA_WIDTH-1:0] M_AXI_RDATA, input wire [2-1:0] M_AXI_RRESP, input wire M_AXI_RLAST, input wire [C_AXI_RUSER_WIDTH-1:0] M_AXI_RUSER, input wire M_AXI_RVALID, output wire M_AXI_RREADY, output wire ERROR_TRIGGER, output wire [C_AXI_ID_WIDTH-1:0] ERROR_TRANSACTION_ID ); ///////////////////////////////////////////////////////////////////////////// // Functions ///////////////////////////////////////////////////////////////////////////// ///////////////////////////////////////////////////////////////////////////// // Local params ///////////////////////////////////////////////////////////////////////////// localparam C_FIFO_DEPTH_LOG = 4; ///////////////////////////////////////////////////////////////////////////// // Internal signals ///////////////////////////////////////////////////////////////////////////// // Internal reset. reg ARESET; // AW->W command queue signals. wire cmd_w_valid; wire cmd_w_check; wire [C_AXI_ID_WIDTH-1:0] cmd_w_id; wire cmd_w_ready; // W->B command queue signals. wire cmd_b_push; wire cmd_b_error; wire [C_AXI_ID_WIDTH-1:0] cmd_b_id; wire cmd_b_full; wire [C_FIFO_DEPTH_LOG-1:0] cmd_b_addr; wire cmd_b_ready; ///////////////////////////////////////////////////////////////////////////// // Handle Internal Reset ///////////////////////////////////////////////////////////////////////////// always @ (posedge ACLK) begin ARESET <= !ARESETN; end ///////////////////////////////////////////////////////////////////////////// // Handle Write Channels (AW/W/B) ///////////////////////////////////////////////////////////////////////////// // Write Address Channel. processing_system7_v5_5_aw_atc # ( .C_FAMILY (C_FAMILY), .C_AXI_ID_WIDTH (C_AXI_ID_WIDTH), .C_AXI_ADDR_WIDTH (C_AXI_ADDR_WIDTH), .C_AXI_AWUSER_WIDTH (C_AXI_AWUSER_WIDTH), .C_FIFO_DEPTH_LOG (C_FIFO_DEPTH_LOG) ) write_addr_inst ( // Global Signals .ARESET (ARESET), .ACLK (ACLK), // Command Interface (Out) .cmd_w_valid (cmd_w_valid), .cmd_w_check (cmd_w_check), .cmd_w_id (cmd_w_id), .cmd_w_ready (cmd_w_ready), .cmd_b_addr (cmd_b_addr), .cmd_b_ready (cmd_b_ready), // Slave Interface Write Address Ports .S_AXI_AWID (S_AXI_AWID), .S_AXI_AWADDR (S_AXI_AWADDR), .S_AXI_AWLEN (S_AXI_AWLEN), .S_AXI_AWSIZE (S_AXI_AWSIZE), .S_AXI_AWBURST (S_AXI_AWBURST), .S_AXI_AWLOCK (S_AXI_AWLOCK), .S_AXI_AWCACHE (S_AXI_AWCACHE), .S_AXI_AWPROT (S_AXI_AWPROT), .S_AXI_AWUSER (S_AXI_AWUSER), .S_AXI_AWVALID (S_AXI_AWVALID), .S_AXI_AWREADY (S_AXI_AWREADY), // Master Interface Write Address Port .M_AXI_AWID (M_AXI_AWID), .M_AXI_AWADDR (M_AXI_AWADDR), .M_AXI_AWLEN (M_AXI_AWLEN), .M_AXI_AWSIZE (M_AXI_AWSIZE), .M_AXI_AWBURST (M_AXI_AWBURST), .M_AXI_AWLOCK (M_AXI_AWLOCK), .M_AXI_AWCACHE (M_AXI_AWCACHE), .M_AXI_AWPROT (M_AXI_AWPROT), .M_AXI_AWUSER (M_AXI_AWUSER), .M_AXI_AWVALID (M_AXI_AWVALID), .M_AXI_AWREADY (M_AXI_AWREADY) ); // Write Data channel. processing_system7_v5_5_w_atc # ( .C_FAMILY (C_FAMILY), .C_AXI_ID_WIDTH (C_AXI_ID_WIDTH), .C_AXI_DATA_WIDTH (C_AXI_DATA_WIDTH), .C_AXI_WUSER_WIDTH (C_AXI_WUSER_WIDTH) ) write_data_inst ( // Global Signals .ARESET (ARESET), .ACLK (ACLK), // Command Interface (In) .cmd_w_valid (cmd_w_valid), .cmd_w_check (cmd_w_check), .cmd_w_id (cmd_w_id), .cmd_w_ready (cmd_w_ready), // Command Interface (Out) .cmd_b_push (cmd_b_push), .cmd_b_error (cmd_b_error), .cmd_b_id (cmd_b_id), .cmd_b_full (cmd_b_full), // Slave Interface Write Data Ports .S_AXI_WID (S_AXI_WID), .S_AXI_WDATA (S_AXI_WDATA), .S_AXI_WSTRB (S_AXI_WSTRB), .S_AXI_WLAST (S_AXI_WLAST), .S_AXI_WUSER (S_AXI_WUSER), .S_AXI_WVALID (S_AXI_WVALID), .S_AXI_WREADY (S_AXI_WREADY), // Master Interface Write Data Ports .M_AXI_WID (M_AXI_WID), .M_AXI_WDATA (M_AXI_WDATA), .M_AXI_WSTRB (M_AXI_WSTRB), .M_AXI_WLAST (M_AXI_WLAST), .M_AXI_WUSER (M_AXI_WUSER), .M_AXI_WVALID (M_AXI_WVALID), .M_AXI_WREADY (M_AXI_WREADY) ); // Write Response channel. processing_system7_v5_5_b_atc # ( .C_FAMILY (C_FAMILY), .C_AXI_ID_WIDTH (C_AXI_ID_WIDTH), .C_AXI_BUSER_WIDTH (C_AXI_BUSER_WIDTH), .C_FIFO_DEPTH_LOG (C_FIFO_DEPTH_LOG) ) write_response_inst ( // Global Signals .ARESET (ARESET), .ACLK (ACLK), // Command Interface (In) .cmd_b_push (cmd_b_push), .cmd_b_error (cmd_b_error), .cmd_b_id (cmd_b_id), .cmd_b_full (cmd_b_full), .cmd_b_addr (cmd_b_addr), .cmd_b_ready (cmd_b_ready), // Slave Interface Write Response Ports .S_AXI_BID (S_AXI_BID), .S_AXI_BRESP (S_AXI_BRESP), .S_AXI_BUSER (S_AXI_BUSER), .S_AXI_BVALID (S_AXI_BVALID), .S_AXI_BREADY (S_AXI_BREADY), // Master Interface Write Response Ports .M_AXI_BID (M_AXI_BID), .M_AXI_BRESP (M_AXI_BRESP), .M_AXI_BUSER (M_AXI_BUSER), .M_AXI_BVALID (M_AXI_BVALID), .M_AXI_BREADY (M_AXI_BREADY), // Trigger detection .ERROR_TRIGGER (ERROR_TRIGGER), .ERROR_TRANSACTION_ID (ERROR_TRANSACTION_ID) ); ///////////////////////////////////////////////////////////////////////////// // Handle Read Channels (AR/R) ///////////////////////////////////////////////////////////////////////////// // Read Address Port assign M_AXI_ARID = S_AXI_ARID; assign M_AXI_ARADDR = S_AXI_ARADDR; assign M_AXI_ARLEN = S_AXI_ARLEN; assign M_AXI_ARSIZE = S_AXI_ARSIZE; assign M_AXI_ARBURST = S_AXI_ARBURST; assign M_AXI_ARLOCK = S_AXI_ARLOCK; assign M_AXI_ARCACHE = S_AXI_ARCACHE; assign M_AXI_ARPROT = S_AXI_ARPROT; assign M_AXI_ARUSER = S_AXI_ARUSER; assign M_AXI_ARVALID = S_AXI_ARVALID; assign S_AXI_ARREADY = M_AXI_ARREADY; // Read Data Port assign S_AXI_RID = M_AXI_RID; assign S_AXI_RDATA = M_AXI_RDATA; assign S_AXI_RRESP = M_AXI_RRESP; assign S_AXI_RLAST = M_AXI_RLAST; assign S_AXI_RUSER = M_AXI_RUSER; assign S_AXI_RVALID = M_AXI_RVALID; assign M_AXI_RREADY = S_AXI_RREADY; endmodule
module processing_system7_v5_5_atc # ( parameter C_FAMILY = "rtl", // FPGA Family. Current version: virtex6, spartan6 or later. parameter integer C_AXI_ID_WIDTH = 4, // Width of all ID signals on SI and MI side of checker. // Range: >= 1. parameter integer C_AXI_ADDR_WIDTH = 32, // Width of all ADDR signals on SI and MI side of checker. // Range: 32. parameter integer C_AXI_DATA_WIDTH = 64, // Width of all DATA signals on SI and MI side of checker. // Range: 64. parameter integer C_AXI_AWUSER_WIDTH = 1, // Width of AWUSER signals. // Range: >= 1. parameter integer C_AXI_ARUSER_WIDTH = 1, // Width of ARUSER signals. // Range: >= 1. parameter integer C_AXI_WUSER_WIDTH = 1, // Width of WUSER signals. // Range: >= 1. parameter integer C_AXI_RUSER_WIDTH = 1, // Width of RUSER signals. // Range: >= 1. parameter integer C_AXI_BUSER_WIDTH = 1 // Width of BUSER signals. // Range: >= 1. ) ( // Global Signals input wire ACLK, input wire ARESETN, // Slave Interface Write Address Ports input wire [C_AXI_ID_WIDTH-1:0] S_AXI_AWID, input wire [C_AXI_ADDR_WIDTH-1:0] S_AXI_AWADDR, input wire [4-1:0] S_AXI_AWLEN, input wire [3-1:0] S_AXI_AWSIZE, input wire [2-1:0] S_AXI_AWBURST, input wire [2-1:0] S_AXI_AWLOCK, input wire [4-1:0] S_AXI_AWCACHE, input wire [3-1:0] S_AXI_AWPROT, input wire [C_AXI_AWUSER_WIDTH-1:0] S_AXI_AWUSER, input wire S_AXI_AWVALID, output wire S_AXI_AWREADY, // Slave Interface Write Data Ports input wire [C_AXI_ID_WIDTH-1:0] S_AXI_WID, input wire [C_AXI_DATA_WIDTH-1:0] S_AXI_WDATA, input wire [C_AXI_DATA_WIDTH/8-1:0] S_AXI_WSTRB, input wire S_AXI_WLAST, input wire [C_AXI_WUSER_WIDTH-1:0] S_AXI_WUSER, input wire S_AXI_WVALID, output wire S_AXI_WREADY, // Slave Interface Write Response Ports output wire [C_AXI_ID_WIDTH-1:0] S_AXI_BID, output wire [2-1:0] S_AXI_BRESP, output wire [C_AXI_BUSER_WIDTH-1:0] S_AXI_BUSER, output wire S_AXI_BVALID, input wire S_AXI_BREADY, // Slave Interface Read Address Ports input wire [C_AXI_ID_WIDTH-1:0] S_AXI_ARID, input wire [C_AXI_ADDR_WIDTH-1:0] S_AXI_ARADDR, input wire [4-1:0] S_AXI_ARLEN, input wire [3-1:0] S_AXI_ARSIZE, input wire [2-1:0] S_AXI_ARBURST, input wire [2-1:0] S_AXI_ARLOCK, input wire [4-1:0] S_AXI_ARCACHE, input wire [3-1:0] S_AXI_ARPROT, input wire [C_AXI_ARUSER_WIDTH-1:0] S_AXI_ARUSER, input wire S_AXI_ARVALID, output wire S_AXI_ARREADY, // Slave Interface Read Data Ports output wire [C_AXI_ID_WIDTH-1:0] S_AXI_RID, output wire [C_AXI_DATA_WIDTH-1:0] S_AXI_RDATA, output wire [2-1:0] S_AXI_RRESP, output wire S_AXI_RLAST, output wire [C_AXI_RUSER_WIDTH-1:0] S_AXI_RUSER, output wire S_AXI_RVALID, input wire S_AXI_RREADY, // Master Interface Write Address Port output wire [C_AXI_ID_WIDTH-1:0] M_AXI_AWID, output wire [C_AXI_ADDR_WIDTH-1:0] M_AXI_AWADDR, output wire [4-1:0] M_AXI_AWLEN, output wire [3-1:0] M_AXI_AWSIZE, output wire [2-1:0] M_AXI_AWBURST, output wire [2-1:0] M_AXI_AWLOCK, output wire [4-1:0] M_AXI_AWCACHE, output wire [3-1:0] M_AXI_AWPROT, output wire [C_AXI_AWUSER_WIDTH-1:0] M_AXI_AWUSER, output wire M_AXI_AWVALID, input wire M_AXI_AWREADY, // Master Interface Write Data Ports output wire [C_AXI_ID_WIDTH-1:0] M_AXI_WID, output wire [C_AXI_DATA_WIDTH-1:0] M_AXI_WDATA, output wire [C_AXI_DATA_WIDTH/8-1:0] M_AXI_WSTRB, output wire M_AXI_WLAST, output wire [C_AXI_WUSER_WIDTH-1:0] M_AXI_WUSER, output wire M_AXI_WVALID, input wire M_AXI_WREADY, // Master Interface Write Response Ports input wire [C_AXI_ID_WIDTH-1:0] M_AXI_BID, input wire [2-1:0] M_AXI_BRESP, input wire [C_AXI_BUSER_WIDTH-1:0] M_AXI_BUSER, input wire M_AXI_BVALID, output wire M_AXI_BREADY, // Master Interface Read Address Port output wire [C_AXI_ID_WIDTH-1:0] M_AXI_ARID, output wire [C_AXI_ADDR_WIDTH-1:0] M_AXI_ARADDR, output wire [4-1:0] M_AXI_ARLEN, output wire [3-1:0] M_AXI_ARSIZE, output wire [2-1:0] M_AXI_ARBURST, output wire [2-1:0] M_AXI_ARLOCK, output wire [4-1:0] M_AXI_ARCACHE, output wire [3-1:0] M_AXI_ARPROT, output wire [C_AXI_ARUSER_WIDTH-1:0] M_AXI_ARUSER, output wire M_AXI_ARVALID, input wire M_AXI_ARREADY, // Master Interface Read Data Ports input wire [C_AXI_ID_WIDTH-1:0] M_AXI_RID, input wire [C_AXI_DATA_WIDTH-1:0] M_AXI_RDATA, input wire [2-1:0] M_AXI_RRESP, input wire M_AXI_RLAST, input wire [C_AXI_RUSER_WIDTH-1:0] M_AXI_RUSER, input wire M_AXI_RVALID, output wire M_AXI_RREADY, output wire ERROR_TRIGGER, output wire [C_AXI_ID_WIDTH-1:0] ERROR_TRANSACTION_ID ); ///////////////////////////////////////////////////////////////////////////// // Functions ///////////////////////////////////////////////////////////////////////////// ///////////////////////////////////////////////////////////////////////////// // Local params ///////////////////////////////////////////////////////////////////////////// localparam C_FIFO_DEPTH_LOG = 4; ///////////////////////////////////////////////////////////////////////////// // Internal signals ///////////////////////////////////////////////////////////////////////////// // Internal reset. reg ARESET; // AW->W command queue signals. wire cmd_w_valid; wire cmd_w_check; wire [C_AXI_ID_WIDTH-1:0] cmd_w_id; wire cmd_w_ready; // W->B command queue signals. wire cmd_b_push; wire cmd_b_error; wire [C_AXI_ID_WIDTH-1:0] cmd_b_id; wire cmd_b_full; wire [C_FIFO_DEPTH_LOG-1:0] cmd_b_addr; wire cmd_b_ready; ///////////////////////////////////////////////////////////////////////////// // Handle Internal Reset ///////////////////////////////////////////////////////////////////////////// always @ (posedge ACLK) begin ARESET <= !ARESETN; end ///////////////////////////////////////////////////////////////////////////// // Handle Write Channels (AW/W/B) ///////////////////////////////////////////////////////////////////////////// // Write Address Channel. processing_system7_v5_5_aw_atc # ( .C_FAMILY (C_FAMILY), .C_AXI_ID_WIDTH (C_AXI_ID_WIDTH), .C_AXI_ADDR_WIDTH (C_AXI_ADDR_WIDTH), .C_AXI_AWUSER_WIDTH (C_AXI_AWUSER_WIDTH), .C_FIFO_DEPTH_LOG (C_FIFO_DEPTH_LOG) ) write_addr_inst ( // Global Signals .ARESET (ARESET), .ACLK (ACLK), // Command Interface (Out) .cmd_w_valid (cmd_w_valid), .cmd_w_check (cmd_w_check), .cmd_w_id (cmd_w_id), .cmd_w_ready (cmd_w_ready), .cmd_b_addr (cmd_b_addr), .cmd_b_ready (cmd_b_ready), // Slave Interface Write Address Ports .S_AXI_AWID (S_AXI_AWID), .S_AXI_AWADDR (S_AXI_AWADDR), .S_AXI_AWLEN (S_AXI_AWLEN), .S_AXI_AWSIZE (S_AXI_AWSIZE), .S_AXI_AWBURST (S_AXI_AWBURST), .S_AXI_AWLOCK (S_AXI_AWLOCK), .S_AXI_AWCACHE (S_AXI_AWCACHE), .S_AXI_AWPROT (S_AXI_AWPROT), .S_AXI_AWUSER (S_AXI_AWUSER), .S_AXI_AWVALID (S_AXI_AWVALID), .S_AXI_AWREADY (S_AXI_AWREADY), // Master Interface Write Address Port .M_AXI_AWID (M_AXI_AWID), .M_AXI_AWADDR (M_AXI_AWADDR), .M_AXI_AWLEN (M_AXI_AWLEN), .M_AXI_AWSIZE (M_AXI_AWSIZE), .M_AXI_AWBURST (M_AXI_AWBURST), .M_AXI_AWLOCK (M_AXI_AWLOCK), .M_AXI_AWCACHE (M_AXI_AWCACHE), .M_AXI_AWPROT (M_AXI_AWPROT), .M_AXI_AWUSER (M_AXI_AWUSER), .M_AXI_AWVALID (M_AXI_AWVALID), .M_AXI_AWREADY (M_AXI_AWREADY) ); // Write Data channel. processing_system7_v5_5_w_atc # ( .C_FAMILY (C_FAMILY), .C_AXI_ID_WIDTH (C_AXI_ID_WIDTH), .C_AXI_DATA_WIDTH (C_AXI_DATA_WIDTH), .C_AXI_WUSER_WIDTH (C_AXI_WUSER_WIDTH) ) write_data_inst ( // Global Signals .ARESET (ARESET), .ACLK (ACLK), // Command Interface (In) .cmd_w_valid (cmd_w_valid), .cmd_w_check (cmd_w_check), .cmd_w_id (cmd_w_id), .cmd_w_ready (cmd_w_ready), // Command Interface (Out) .cmd_b_push (cmd_b_push), .cmd_b_error (cmd_b_error), .cmd_b_id (cmd_b_id), .cmd_b_full (cmd_b_full), // Slave Interface Write Data Ports .S_AXI_WID (S_AXI_WID), .S_AXI_WDATA (S_AXI_WDATA), .S_AXI_WSTRB (S_AXI_WSTRB), .S_AXI_WLAST (S_AXI_WLAST), .S_AXI_WUSER (S_AXI_WUSER), .S_AXI_WVALID (S_AXI_WVALID), .S_AXI_WREADY (S_AXI_WREADY), // Master Interface Write Data Ports .M_AXI_WID (M_AXI_WID), .M_AXI_WDATA (M_AXI_WDATA), .M_AXI_WSTRB (M_AXI_WSTRB), .M_AXI_WLAST (M_AXI_WLAST), .M_AXI_WUSER (M_AXI_WUSER), .M_AXI_WVALID (M_AXI_WVALID), .M_AXI_WREADY (M_AXI_WREADY) ); // Write Response channel. processing_system7_v5_5_b_atc # ( .C_FAMILY (C_FAMILY), .C_AXI_ID_WIDTH (C_AXI_ID_WIDTH), .C_AXI_BUSER_WIDTH (C_AXI_BUSER_WIDTH), .C_FIFO_DEPTH_LOG (C_FIFO_DEPTH_LOG) ) write_response_inst ( // Global Signals .ARESET (ARESET), .ACLK (ACLK), // Command Interface (In) .cmd_b_push (cmd_b_push), .cmd_b_error (cmd_b_error), .cmd_b_id (cmd_b_id), .cmd_b_full (cmd_b_full), .cmd_b_addr (cmd_b_addr), .cmd_b_ready (cmd_b_ready), // Slave Interface Write Response Ports .S_AXI_BID (S_AXI_BID), .S_AXI_BRESP (S_AXI_BRESP), .S_AXI_BUSER (S_AXI_BUSER), .S_AXI_BVALID (S_AXI_BVALID), .S_AXI_BREADY (S_AXI_BREADY), // Master Interface Write Response Ports .M_AXI_BID (M_AXI_BID), .M_AXI_BRESP (M_AXI_BRESP), .M_AXI_BUSER (M_AXI_BUSER), .M_AXI_BVALID (M_AXI_BVALID), .M_AXI_BREADY (M_AXI_BREADY), // Trigger detection .ERROR_TRIGGER (ERROR_TRIGGER), .ERROR_TRANSACTION_ID (ERROR_TRANSACTION_ID) ); ///////////////////////////////////////////////////////////////////////////// // Handle Read Channels (AR/R) ///////////////////////////////////////////////////////////////////////////// // Read Address Port assign M_AXI_ARID = S_AXI_ARID; assign M_AXI_ARADDR = S_AXI_ARADDR; assign M_AXI_ARLEN = S_AXI_ARLEN; assign M_AXI_ARSIZE = S_AXI_ARSIZE; assign M_AXI_ARBURST = S_AXI_ARBURST; assign M_AXI_ARLOCK = S_AXI_ARLOCK; assign M_AXI_ARCACHE = S_AXI_ARCACHE; assign M_AXI_ARPROT = S_AXI_ARPROT; assign M_AXI_ARUSER = S_AXI_ARUSER; assign M_AXI_ARVALID = S_AXI_ARVALID; assign S_AXI_ARREADY = M_AXI_ARREADY; // Read Data Port assign S_AXI_RID = M_AXI_RID; assign S_AXI_RDATA = M_AXI_RDATA; assign S_AXI_RRESP = M_AXI_RRESP; assign S_AXI_RLAST = M_AXI_RLAST; assign S_AXI_RUSER = M_AXI_RUSER; assign S_AXI_RVALID = M_AXI_RVALID; assign M_AXI_RREADY = S_AXI_RREADY; endmodule
module processing_system7_v5_5_atc # ( parameter C_FAMILY = "rtl", // FPGA Family. Current version: virtex6, spartan6 or later. parameter integer C_AXI_ID_WIDTH = 4, // Width of all ID signals on SI and MI side of checker. // Range: >= 1. parameter integer C_AXI_ADDR_WIDTH = 32, // Width of all ADDR signals on SI and MI side of checker. // Range: 32. parameter integer C_AXI_DATA_WIDTH = 64, // Width of all DATA signals on SI and MI side of checker. // Range: 64. parameter integer C_AXI_AWUSER_WIDTH = 1, // Width of AWUSER signals. // Range: >= 1. parameter integer C_AXI_ARUSER_WIDTH = 1, // Width of ARUSER signals. // Range: >= 1. parameter integer C_AXI_WUSER_WIDTH = 1, // Width of WUSER signals. // Range: >= 1. parameter integer C_AXI_RUSER_WIDTH = 1, // Width of RUSER signals. // Range: >= 1. parameter integer C_AXI_BUSER_WIDTH = 1 // Width of BUSER signals. // Range: >= 1. ) ( // Global Signals input wire ACLK, input wire ARESETN, // Slave Interface Write Address Ports input wire [C_AXI_ID_WIDTH-1:0] S_AXI_AWID, input wire [C_AXI_ADDR_WIDTH-1:0] S_AXI_AWADDR, input wire [4-1:0] S_AXI_AWLEN, input wire [3-1:0] S_AXI_AWSIZE, input wire [2-1:0] S_AXI_AWBURST, input wire [2-1:0] S_AXI_AWLOCK, input wire [4-1:0] S_AXI_AWCACHE, input wire [3-1:0] S_AXI_AWPROT, input wire [C_AXI_AWUSER_WIDTH-1:0] S_AXI_AWUSER, input wire S_AXI_AWVALID, output wire S_AXI_AWREADY, // Slave Interface Write Data Ports input wire [C_AXI_ID_WIDTH-1:0] S_AXI_WID, input wire [C_AXI_DATA_WIDTH-1:0] S_AXI_WDATA, input wire [C_AXI_DATA_WIDTH/8-1:0] S_AXI_WSTRB, input wire S_AXI_WLAST, input wire [C_AXI_WUSER_WIDTH-1:0] S_AXI_WUSER, input wire S_AXI_WVALID, output wire S_AXI_WREADY, // Slave Interface Write Response Ports output wire [C_AXI_ID_WIDTH-1:0] S_AXI_BID, output wire [2-1:0] S_AXI_BRESP, output wire [C_AXI_BUSER_WIDTH-1:0] S_AXI_BUSER, output wire S_AXI_BVALID, input wire S_AXI_BREADY, // Slave Interface Read Address Ports input wire [C_AXI_ID_WIDTH-1:0] S_AXI_ARID, input wire [C_AXI_ADDR_WIDTH-1:0] S_AXI_ARADDR, input wire [4-1:0] S_AXI_ARLEN, input wire [3-1:0] S_AXI_ARSIZE, input wire [2-1:0] S_AXI_ARBURST, input wire [2-1:0] S_AXI_ARLOCK, input wire [4-1:0] S_AXI_ARCACHE, input wire [3-1:0] S_AXI_ARPROT, input wire [C_AXI_ARUSER_WIDTH-1:0] S_AXI_ARUSER, input wire S_AXI_ARVALID, output wire S_AXI_ARREADY, // Slave Interface Read Data Ports output wire [C_AXI_ID_WIDTH-1:0] S_AXI_RID, output wire [C_AXI_DATA_WIDTH-1:0] S_AXI_RDATA, output wire [2-1:0] S_AXI_RRESP, output wire S_AXI_RLAST, output wire [C_AXI_RUSER_WIDTH-1:0] S_AXI_RUSER, output wire S_AXI_RVALID, input wire S_AXI_RREADY, // Master Interface Write Address Port output wire [C_AXI_ID_WIDTH-1:0] M_AXI_AWID, output wire [C_AXI_ADDR_WIDTH-1:0] M_AXI_AWADDR, output wire [4-1:0] M_AXI_AWLEN, output wire [3-1:0] M_AXI_AWSIZE, output wire [2-1:0] M_AXI_AWBURST, output wire [2-1:0] M_AXI_AWLOCK, output wire [4-1:0] M_AXI_AWCACHE, output wire [3-1:0] M_AXI_AWPROT, output wire [C_AXI_AWUSER_WIDTH-1:0] M_AXI_AWUSER, output wire M_AXI_AWVALID, input wire M_AXI_AWREADY, // Master Interface Write Data Ports output wire [C_AXI_ID_WIDTH-1:0] M_AXI_WID, output wire [C_AXI_DATA_WIDTH-1:0] M_AXI_WDATA, output wire [C_AXI_DATA_WIDTH/8-1:0] M_AXI_WSTRB, output wire M_AXI_WLAST, output wire [C_AXI_WUSER_WIDTH-1:0] M_AXI_WUSER, output wire M_AXI_WVALID, input wire M_AXI_WREADY, // Master Interface Write Response Ports input wire [C_AXI_ID_WIDTH-1:0] M_AXI_BID, input wire [2-1:0] M_AXI_BRESP, input wire [C_AXI_BUSER_WIDTH-1:0] M_AXI_BUSER, input wire M_AXI_BVALID, output wire M_AXI_BREADY, // Master Interface Read Address Port output wire [C_AXI_ID_WIDTH-1:0] M_AXI_ARID, output wire [C_AXI_ADDR_WIDTH-1:0] M_AXI_ARADDR, output wire [4-1:0] M_AXI_ARLEN, output wire [3-1:0] M_AXI_ARSIZE, output wire [2-1:0] M_AXI_ARBURST, output wire [2-1:0] M_AXI_ARLOCK, output wire [4-1:0] M_AXI_ARCACHE, output wire [3-1:0] M_AXI_ARPROT, output wire [C_AXI_ARUSER_WIDTH-1:0] M_AXI_ARUSER, output wire M_AXI_ARVALID, input wire M_AXI_ARREADY, // Master Interface Read Data Ports input wire [C_AXI_ID_WIDTH-1:0] M_AXI_RID, input wire [C_AXI_DATA_WIDTH-1:0] M_AXI_RDATA, input wire [2-1:0] M_AXI_RRESP, input wire M_AXI_RLAST, input wire [C_AXI_RUSER_WIDTH-1:0] M_AXI_RUSER, input wire M_AXI_RVALID, output wire M_AXI_RREADY, output wire ERROR_TRIGGER, output wire [C_AXI_ID_WIDTH-1:0] ERROR_TRANSACTION_ID ); ///////////////////////////////////////////////////////////////////////////// // Functions ///////////////////////////////////////////////////////////////////////////// ///////////////////////////////////////////////////////////////////////////// // Local params ///////////////////////////////////////////////////////////////////////////// localparam C_FIFO_DEPTH_LOG = 4; ///////////////////////////////////////////////////////////////////////////// // Internal signals ///////////////////////////////////////////////////////////////////////////// // Internal reset. reg ARESET; // AW->W command queue signals. wire cmd_w_valid; wire cmd_w_check; wire [C_AXI_ID_WIDTH-1:0] cmd_w_id; wire cmd_w_ready; // W->B command queue signals. wire cmd_b_push; wire cmd_b_error; wire [C_AXI_ID_WIDTH-1:0] cmd_b_id; wire cmd_b_full; wire [C_FIFO_DEPTH_LOG-1:0] cmd_b_addr; wire cmd_b_ready; ///////////////////////////////////////////////////////////////////////////// // Handle Internal Reset ///////////////////////////////////////////////////////////////////////////// always @ (posedge ACLK) begin ARESET <= !ARESETN; end ///////////////////////////////////////////////////////////////////////////// // Handle Write Channels (AW/W/B) ///////////////////////////////////////////////////////////////////////////// // Write Address Channel. processing_system7_v5_5_aw_atc # ( .C_FAMILY (C_FAMILY), .C_AXI_ID_WIDTH (C_AXI_ID_WIDTH), .C_AXI_ADDR_WIDTH (C_AXI_ADDR_WIDTH), .C_AXI_AWUSER_WIDTH (C_AXI_AWUSER_WIDTH), .C_FIFO_DEPTH_LOG (C_FIFO_DEPTH_LOG) ) write_addr_inst ( // Global Signals .ARESET (ARESET), .ACLK (ACLK), // Command Interface (Out) .cmd_w_valid (cmd_w_valid), .cmd_w_check (cmd_w_check), .cmd_w_id (cmd_w_id), .cmd_w_ready (cmd_w_ready), .cmd_b_addr (cmd_b_addr), .cmd_b_ready (cmd_b_ready), // Slave Interface Write Address Ports .S_AXI_AWID (S_AXI_AWID), .S_AXI_AWADDR (S_AXI_AWADDR), .S_AXI_AWLEN (S_AXI_AWLEN), .S_AXI_AWSIZE (S_AXI_AWSIZE), .S_AXI_AWBURST (S_AXI_AWBURST), .S_AXI_AWLOCK (S_AXI_AWLOCK), .S_AXI_AWCACHE (S_AXI_AWCACHE), .S_AXI_AWPROT (S_AXI_AWPROT), .S_AXI_AWUSER (S_AXI_AWUSER), .S_AXI_AWVALID (S_AXI_AWVALID), .S_AXI_AWREADY (S_AXI_AWREADY), // Master Interface Write Address Port .M_AXI_AWID (M_AXI_AWID), .M_AXI_AWADDR (M_AXI_AWADDR), .M_AXI_AWLEN (M_AXI_AWLEN), .M_AXI_AWSIZE (M_AXI_AWSIZE), .M_AXI_AWBURST (M_AXI_AWBURST), .M_AXI_AWLOCK (M_AXI_AWLOCK), .M_AXI_AWCACHE (M_AXI_AWCACHE), .M_AXI_AWPROT (M_AXI_AWPROT), .M_AXI_AWUSER (M_AXI_AWUSER), .M_AXI_AWVALID (M_AXI_AWVALID), .M_AXI_AWREADY (M_AXI_AWREADY) ); // Write Data channel. processing_system7_v5_5_w_atc # ( .C_FAMILY (C_FAMILY), .C_AXI_ID_WIDTH (C_AXI_ID_WIDTH), .C_AXI_DATA_WIDTH (C_AXI_DATA_WIDTH), .C_AXI_WUSER_WIDTH (C_AXI_WUSER_WIDTH) ) write_data_inst ( // Global Signals .ARESET (ARESET), .ACLK (ACLK), // Command Interface (In) .cmd_w_valid (cmd_w_valid), .cmd_w_check (cmd_w_check), .cmd_w_id (cmd_w_id), .cmd_w_ready (cmd_w_ready), // Command Interface (Out) .cmd_b_push (cmd_b_push), .cmd_b_error (cmd_b_error), .cmd_b_id (cmd_b_id), .cmd_b_full (cmd_b_full), // Slave Interface Write Data Ports .S_AXI_WID (S_AXI_WID), .S_AXI_WDATA (S_AXI_WDATA), .S_AXI_WSTRB (S_AXI_WSTRB), .S_AXI_WLAST (S_AXI_WLAST), .S_AXI_WUSER (S_AXI_WUSER), .S_AXI_WVALID (S_AXI_WVALID), .S_AXI_WREADY (S_AXI_WREADY), // Master Interface Write Data Ports .M_AXI_WID (M_AXI_WID), .M_AXI_WDATA (M_AXI_WDATA), .M_AXI_WSTRB (M_AXI_WSTRB), .M_AXI_WLAST (M_AXI_WLAST), .M_AXI_WUSER (M_AXI_WUSER), .M_AXI_WVALID (M_AXI_WVALID), .M_AXI_WREADY (M_AXI_WREADY) ); // Write Response channel. processing_system7_v5_5_b_atc # ( .C_FAMILY (C_FAMILY), .C_AXI_ID_WIDTH (C_AXI_ID_WIDTH), .C_AXI_BUSER_WIDTH (C_AXI_BUSER_WIDTH), .C_FIFO_DEPTH_LOG (C_FIFO_DEPTH_LOG) ) write_response_inst ( // Global Signals .ARESET (ARESET), .ACLK (ACLK), // Command Interface (In) .cmd_b_push (cmd_b_push), .cmd_b_error (cmd_b_error), .cmd_b_id (cmd_b_id), .cmd_b_full (cmd_b_full), .cmd_b_addr (cmd_b_addr), .cmd_b_ready (cmd_b_ready), // Slave Interface Write Response Ports .S_AXI_BID (S_AXI_BID), .S_AXI_BRESP (S_AXI_BRESP), .S_AXI_BUSER (S_AXI_BUSER), .S_AXI_BVALID (S_AXI_BVALID), .S_AXI_BREADY (S_AXI_BREADY), // Master Interface Write Response Ports .M_AXI_BID (M_AXI_BID), .M_AXI_BRESP (M_AXI_BRESP), .M_AXI_BUSER (M_AXI_BUSER), .M_AXI_BVALID (M_AXI_BVALID), .M_AXI_BREADY (M_AXI_BREADY), // Trigger detection .ERROR_TRIGGER (ERROR_TRIGGER), .ERROR_TRANSACTION_ID (ERROR_TRANSACTION_ID) ); ///////////////////////////////////////////////////////////////////////////// // Handle Read Channels (AR/R) ///////////////////////////////////////////////////////////////////////////// // Read Address Port assign M_AXI_ARID = S_AXI_ARID; assign M_AXI_ARADDR = S_AXI_ARADDR; assign M_AXI_ARLEN = S_AXI_ARLEN; assign M_AXI_ARSIZE = S_AXI_ARSIZE; assign M_AXI_ARBURST = S_AXI_ARBURST; assign M_AXI_ARLOCK = S_AXI_ARLOCK; assign M_AXI_ARCACHE = S_AXI_ARCACHE; assign M_AXI_ARPROT = S_AXI_ARPROT; assign M_AXI_ARUSER = S_AXI_ARUSER; assign M_AXI_ARVALID = S_AXI_ARVALID; assign S_AXI_ARREADY = M_AXI_ARREADY; // Read Data Port assign S_AXI_RID = M_AXI_RID; assign S_AXI_RDATA = M_AXI_RDATA; assign S_AXI_RRESP = M_AXI_RRESP; assign S_AXI_RLAST = M_AXI_RLAST; assign S_AXI_RUSER = M_AXI_RUSER; assign S_AXI_RVALID = M_AXI_RVALID; assign M_AXI_RREADY = S_AXI_RREADY; endmodule
module processing_system7_v5_5_atc # ( parameter C_FAMILY = "rtl", // FPGA Family. Current version: virtex6, spartan6 or later. parameter integer C_AXI_ID_WIDTH = 4, // Width of all ID signals on SI and MI side of checker. // Range: >= 1. parameter integer C_AXI_ADDR_WIDTH = 32, // Width of all ADDR signals on SI and MI side of checker. // Range: 32. parameter integer C_AXI_DATA_WIDTH = 64, // Width of all DATA signals on SI and MI side of checker. // Range: 64. parameter integer C_AXI_AWUSER_WIDTH = 1, // Width of AWUSER signals. // Range: >= 1. parameter integer C_AXI_ARUSER_WIDTH = 1, // Width of ARUSER signals. // Range: >= 1. parameter integer C_AXI_WUSER_WIDTH = 1, // Width of WUSER signals. // Range: >= 1. parameter integer C_AXI_RUSER_WIDTH = 1, // Width of RUSER signals. // Range: >= 1. parameter integer C_AXI_BUSER_WIDTH = 1 // Width of BUSER signals. // Range: >= 1. ) ( // Global Signals input wire ACLK, input wire ARESETN, // Slave Interface Write Address Ports input wire [C_AXI_ID_WIDTH-1:0] S_AXI_AWID, input wire [C_AXI_ADDR_WIDTH-1:0] S_AXI_AWADDR, input wire [4-1:0] S_AXI_AWLEN, input wire [3-1:0] S_AXI_AWSIZE, input wire [2-1:0] S_AXI_AWBURST, input wire [2-1:0] S_AXI_AWLOCK, input wire [4-1:0] S_AXI_AWCACHE, input wire [3-1:0] S_AXI_AWPROT, input wire [C_AXI_AWUSER_WIDTH-1:0] S_AXI_AWUSER, input wire S_AXI_AWVALID, output wire S_AXI_AWREADY, // Slave Interface Write Data Ports input wire [C_AXI_ID_WIDTH-1:0] S_AXI_WID, input wire [C_AXI_DATA_WIDTH-1:0] S_AXI_WDATA, input wire [C_AXI_DATA_WIDTH/8-1:0] S_AXI_WSTRB, input wire S_AXI_WLAST, input wire [C_AXI_WUSER_WIDTH-1:0] S_AXI_WUSER, input wire S_AXI_WVALID, output wire S_AXI_WREADY, // Slave Interface Write Response Ports output wire [C_AXI_ID_WIDTH-1:0] S_AXI_BID, output wire [2-1:0] S_AXI_BRESP, output wire [C_AXI_BUSER_WIDTH-1:0] S_AXI_BUSER, output wire S_AXI_BVALID, input wire S_AXI_BREADY, // Slave Interface Read Address Ports input wire [C_AXI_ID_WIDTH-1:0] S_AXI_ARID, input wire [C_AXI_ADDR_WIDTH-1:0] S_AXI_ARADDR, input wire [4-1:0] S_AXI_ARLEN, input wire [3-1:0] S_AXI_ARSIZE, input wire [2-1:0] S_AXI_ARBURST, input wire [2-1:0] S_AXI_ARLOCK, input wire [4-1:0] S_AXI_ARCACHE, input wire [3-1:0] S_AXI_ARPROT, input wire [C_AXI_ARUSER_WIDTH-1:0] S_AXI_ARUSER, input wire S_AXI_ARVALID, output wire S_AXI_ARREADY, // Slave Interface Read Data Ports output wire [C_AXI_ID_WIDTH-1:0] S_AXI_RID, output wire [C_AXI_DATA_WIDTH-1:0] S_AXI_RDATA, output wire [2-1:0] S_AXI_RRESP, output wire S_AXI_RLAST, output wire [C_AXI_RUSER_WIDTH-1:0] S_AXI_RUSER, output wire S_AXI_RVALID, input wire S_AXI_RREADY, // Master Interface Write Address Port output wire [C_AXI_ID_WIDTH-1:0] M_AXI_AWID, output wire [C_AXI_ADDR_WIDTH-1:0] M_AXI_AWADDR, output wire [4-1:0] M_AXI_AWLEN, output wire [3-1:0] M_AXI_AWSIZE, output wire [2-1:0] M_AXI_AWBURST, output wire [2-1:0] M_AXI_AWLOCK, output wire [4-1:0] M_AXI_AWCACHE, output wire [3-1:0] M_AXI_AWPROT, output wire [C_AXI_AWUSER_WIDTH-1:0] M_AXI_AWUSER, output wire M_AXI_AWVALID, input wire M_AXI_AWREADY, // Master Interface Write Data Ports output wire [C_AXI_ID_WIDTH-1:0] M_AXI_WID, output wire [C_AXI_DATA_WIDTH-1:0] M_AXI_WDATA, output wire [C_AXI_DATA_WIDTH/8-1:0] M_AXI_WSTRB, output wire M_AXI_WLAST, output wire [C_AXI_WUSER_WIDTH-1:0] M_AXI_WUSER, output wire M_AXI_WVALID, input wire M_AXI_WREADY, // Master Interface Write Response Ports input wire [C_AXI_ID_WIDTH-1:0] M_AXI_BID, input wire [2-1:0] M_AXI_BRESP, input wire [C_AXI_BUSER_WIDTH-1:0] M_AXI_BUSER, input wire M_AXI_BVALID, output wire M_AXI_BREADY, // Master Interface Read Address Port output wire [C_AXI_ID_WIDTH-1:0] M_AXI_ARID, output wire [C_AXI_ADDR_WIDTH-1:0] M_AXI_ARADDR, output wire [4-1:0] M_AXI_ARLEN, output wire [3-1:0] M_AXI_ARSIZE, output wire [2-1:0] M_AXI_ARBURST, output wire [2-1:0] M_AXI_ARLOCK, output wire [4-1:0] M_AXI_ARCACHE, output wire [3-1:0] M_AXI_ARPROT, output wire [C_AXI_ARUSER_WIDTH-1:0] M_AXI_ARUSER, output wire M_AXI_ARVALID, input wire M_AXI_ARREADY, // Master Interface Read Data Ports input wire [C_AXI_ID_WIDTH-1:0] M_AXI_RID, input wire [C_AXI_DATA_WIDTH-1:0] M_AXI_RDATA, input wire [2-1:0] M_AXI_RRESP, input wire M_AXI_RLAST, input wire [C_AXI_RUSER_WIDTH-1:0] M_AXI_RUSER, input wire M_AXI_RVALID, output wire M_AXI_RREADY, output wire ERROR_TRIGGER, output wire [C_AXI_ID_WIDTH-1:0] ERROR_TRANSACTION_ID ); ///////////////////////////////////////////////////////////////////////////// // Functions ///////////////////////////////////////////////////////////////////////////// ///////////////////////////////////////////////////////////////////////////// // Local params ///////////////////////////////////////////////////////////////////////////// localparam C_FIFO_DEPTH_LOG = 4; ///////////////////////////////////////////////////////////////////////////// // Internal signals ///////////////////////////////////////////////////////////////////////////// // Internal reset. reg ARESET; // AW->W command queue signals. wire cmd_w_valid; wire cmd_w_check; wire [C_AXI_ID_WIDTH-1:0] cmd_w_id; wire cmd_w_ready; // W->B command queue signals. wire cmd_b_push; wire cmd_b_error; wire [C_AXI_ID_WIDTH-1:0] cmd_b_id; wire cmd_b_full; wire [C_FIFO_DEPTH_LOG-1:0] cmd_b_addr; wire cmd_b_ready; ///////////////////////////////////////////////////////////////////////////// // Handle Internal Reset ///////////////////////////////////////////////////////////////////////////// always @ (posedge ACLK) begin ARESET <= !ARESETN; end ///////////////////////////////////////////////////////////////////////////// // Handle Write Channels (AW/W/B) ///////////////////////////////////////////////////////////////////////////// // Write Address Channel. processing_system7_v5_5_aw_atc # ( .C_FAMILY (C_FAMILY), .C_AXI_ID_WIDTH (C_AXI_ID_WIDTH), .C_AXI_ADDR_WIDTH (C_AXI_ADDR_WIDTH), .C_AXI_AWUSER_WIDTH (C_AXI_AWUSER_WIDTH), .C_FIFO_DEPTH_LOG (C_FIFO_DEPTH_LOG) ) write_addr_inst ( // Global Signals .ARESET (ARESET), .ACLK (ACLK), // Command Interface (Out) .cmd_w_valid (cmd_w_valid), .cmd_w_check (cmd_w_check), .cmd_w_id (cmd_w_id), .cmd_w_ready (cmd_w_ready), .cmd_b_addr (cmd_b_addr), .cmd_b_ready (cmd_b_ready), // Slave Interface Write Address Ports .S_AXI_AWID (S_AXI_AWID), .S_AXI_AWADDR (S_AXI_AWADDR), .S_AXI_AWLEN (S_AXI_AWLEN), .S_AXI_AWSIZE (S_AXI_AWSIZE), .S_AXI_AWBURST (S_AXI_AWBURST), .S_AXI_AWLOCK (S_AXI_AWLOCK), .S_AXI_AWCACHE (S_AXI_AWCACHE), .S_AXI_AWPROT (S_AXI_AWPROT), .S_AXI_AWUSER (S_AXI_AWUSER), .S_AXI_AWVALID (S_AXI_AWVALID), .S_AXI_AWREADY (S_AXI_AWREADY), // Master Interface Write Address Port .M_AXI_AWID (M_AXI_AWID), .M_AXI_AWADDR (M_AXI_AWADDR), .M_AXI_AWLEN (M_AXI_AWLEN), .M_AXI_AWSIZE (M_AXI_AWSIZE), .M_AXI_AWBURST (M_AXI_AWBURST), .M_AXI_AWLOCK (M_AXI_AWLOCK), .M_AXI_AWCACHE (M_AXI_AWCACHE), .M_AXI_AWPROT (M_AXI_AWPROT), .M_AXI_AWUSER (M_AXI_AWUSER), .M_AXI_AWVALID (M_AXI_AWVALID), .M_AXI_AWREADY (M_AXI_AWREADY) ); // Write Data channel. processing_system7_v5_5_w_atc # ( .C_FAMILY (C_FAMILY), .C_AXI_ID_WIDTH (C_AXI_ID_WIDTH), .C_AXI_DATA_WIDTH (C_AXI_DATA_WIDTH), .C_AXI_WUSER_WIDTH (C_AXI_WUSER_WIDTH) ) write_data_inst ( // Global Signals .ARESET (ARESET), .ACLK (ACLK), // Command Interface (In) .cmd_w_valid (cmd_w_valid), .cmd_w_check (cmd_w_check), .cmd_w_id (cmd_w_id), .cmd_w_ready (cmd_w_ready), // Command Interface (Out) .cmd_b_push (cmd_b_push), .cmd_b_error (cmd_b_error), .cmd_b_id (cmd_b_id), .cmd_b_full (cmd_b_full), // Slave Interface Write Data Ports .S_AXI_WID (S_AXI_WID), .S_AXI_WDATA (S_AXI_WDATA), .S_AXI_WSTRB (S_AXI_WSTRB), .S_AXI_WLAST (S_AXI_WLAST), .S_AXI_WUSER (S_AXI_WUSER), .S_AXI_WVALID (S_AXI_WVALID), .S_AXI_WREADY (S_AXI_WREADY), // Master Interface Write Data Ports .M_AXI_WID (M_AXI_WID), .M_AXI_WDATA (M_AXI_WDATA), .M_AXI_WSTRB (M_AXI_WSTRB), .M_AXI_WLAST (M_AXI_WLAST), .M_AXI_WUSER (M_AXI_WUSER), .M_AXI_WVALID (M_AXI_WVALID), .M_AXI_WREADY (M_AXI_WREADY) ); // Write Response channel. processing_system7_v5_5_b_atc # ( .C_FAMILY (C_FAMILY), .C_AXI_ID_WIDTH (C_AXI_ID_WIDTH), .C_AXI_BUSER_WIDTH (C_AXI_BUSER_WIDTH), .C_FIFO_DEPTH_LOG (C_FIFO_DEPTH_LOG) ) write_response_inst ( // Global Signals .ARESET (ARESET), .ACLK (ACLK), // Command Interface (In) .cmd_b_push (cmd_b_push), .cmd_b_error (cmd_b_error), .cmd_b_id (cmd_b_id), .cmd_b_full (cmd_b_full), .cmd_b_addr (cmd_b_addr), .cmd_b_ready (cmd_b_ready), // Slave Interface Write Response Ports .S_AXI_BID (S_AXI_BID), .S_AXI_BRESP (S_AXI_BRESP), .S_AXI_BUSER (S_AXI_BUSER), .S_AXI_BVALID (S_AXI_BVALID), .S_AXI_BREADY (S_AXI_BREADY), // Master Interface Write Response Ports .M_AXI_BID (M_AXI_BID), .M_AXI_BRESP (M_AXI_BRESP), .M_AXI_BUSER (M_AXI_BUSER), .M_AXI_BVALID (M_AXI_BVALID), .M_AXI_BREADY (M_AXI_BREADY), // Trigger detection .ERROR_TRIGGER (ERROR_TRIGGER), .ERROR_TRANSACTION_ID (ERROR_TRANSACTION_ID) ); ///////////////////////////////////////////////////////////////////////////// // Handle Read Channels (AR/R) ///////////////////////////////////////////////////////////////////////////// // Read Address Port assign M_AXI_ARID = S_AXI_ARID; assign M_AXI_ARADDR = S_AXI_ARADDR; assign M_AXI_ARLEN = S_AXI_ARLEN; assign M_AXI_ARSIZE = S_AXI_ARSIZE; assign M_AXI_ARBURST = S_AXI_ARBURST; assign M_AXI_ARLOCK = S_AXI_ARLOCK; assign M_AXI_ARCACHE = S_AXI_ARCACHE; assign M_AXI_ARPROT = S_AXI_ARPROT; assign M_AXI_ARUSER = S_AXI_ARUSER; assign M_AXI_ARVALID = S_AXI_ARVALID; assign S_AXI_ARREADY = M_AXI_ARREADY; // Read Data Port assign S_AXI_RID = M_AXI_RID; assign S_AXI_RDATA = M_AXI_RDATA; assign S_AXI_RRESP = M_AXI_RRESP; assign S_AXI_RLAST = M_AXI_RLAST; assign S_AXI_RUSER = M_AXI_RUSER; assign S_AXI_RVALID = M_AXI_RVALID; assign M_AXI_RREADY = S_AXI_RREADY; endmodule
module processing_system7_v5_5_atc # ( parameter C_FAMILY = "rtl", // FPGA Family. Current version: virtex6, spartan6 or later. parameter integer C_AXI_ID_WIDTH = 4, // Width of all ID signals on SI and MI side of checker. // Range: >= 1. parameter integer C_AXI_ADDR_WIDTH = 32, // Width of all ADDR signals on SI and MI side of checker. // Range: 32. parameter integer C_AXI_DATA_WIDTH = 64, // Width of all DATA signals on SI and MI side of checker. // Range: 64. parameter integer C_AXI_AWUSER_WIDTH = 1, // Width of AWUSER signals. // Range: >= 1. parameter integer C_AXI_ARUSER_WIDTH = 1, // Width of ARUSER signals. // Range: >= 1. parameter integer C_AXI_WUSER_WIDTH = 1, // Width of WUSER signals. // Range: >= 1. parameter integer C_AXI_RUSER_WIDTH = 1, // Width of RUSER signals. // Range: >= 1. parameter integer C_AXI_BUSER_WIDTH = 1 // Width of BUSER signals. // Range: >= 1. ) ( // Global Signals input wire ACLK, input wire ARESETN, // Slave Interface Write Address Ports input wire [C_AXI_ID_WIDTH-1:0] S_AXI_AWID, input wire [C_AXI_ADDR_WIDTH-1:0] S_AXI_AWADDR, input wire [4-1:0] S_AXI_AWLEN, input wire [3-1:0] S_AXI_AWSIZE, input wire [2-1:0] S_AXI_AWBURST, input wire [2-1:0] S_AXI_AWLOCK, input wire [4-1:0] S_AXI_AWCACHE, input wire [3-1:0] S_AXI_AWPROT, input wire [C_AXI_AWUSER_WIDTH-1:0] S_AXI_AWUSER, input wire S_AXI_AWVALID, output wire S_AXI_AWREADY, // Slave Interface Write Data Ports input wire [C_AXI_ID_WIDTH-1:0] S_AXI_WID, input wire [C_AXI_DATA_WIDTH-1:0] S_AXI_WDATA, input wire [C_AXI_DATA_WIDTH/8-1:0] S_AXI_WSTRB, input wire S_AXI_WLAST, input wire [C_AXI_WUSER_WIDTH-1:0] S_AXI_WUSER, input wire S_AXI_WVALID, output wire S_AXI_WREADY, // Slave Interface Write Response Ports output wire [C_AXI_ID_WIDTH-1:0] S_AXI_BID, output wire [2-1:0] S_AXI_BRESP, output wire [C_AXI_BUSER_WIDTH-1:0] S_AXI_BUSER, output wire S_AXI_BVALID, input wire S_AXI_BREADY, // Slave Interface Read Address Ports input wire [C_AXI_ID_WIDTH-1:0] S_AXI_ARID, input wire [C_AXI_ADDR_WIDTH-1:0] S_AXI_ARADDR, input wire [4-1:0] S_AXI_ARLEN, input wire [3-1:0] S_AXI_ARSIZE, input wire [2-1:0] S_AXI_ARBURST, input wire [2-1:0] S_AXI_ARLOCK, input wire [4-1:0] S_AXI_ARCACHE, input wire [3-1:0] S_AXI_ARPROT, input wire [C_AXI_ARUSER_WIDTH-1:0] S_AXI_ARUSER, input wire S_AXI_ARVALID, output wire S_AXI_ARREADY, // Slave Interface Read Data Ports output wire [C_AXI_ID_WIDTH-1:0] S_AXI_RID, output wire [C_AXI_DATA_WIDTH-1:0] S_AXI_RDATA, output wire [2-1:0] S_AXI_RRESP, output wire S_AXI_RLAST, output wire [C_AXI_RUSER_WIDTH-1:0] S_AXI_RUSER, output wire S_AXI_RVALID, input wire S_AXI_RREADY, // Master Interface Write Address Port output wire [C_AXI_ID_WIDTH-1:0] M_AXI_AWID, output wire [C_AXI_ADDR_WIDTH-1:0] M_AXI_AWADDR, output wire [4-1:0] M_AXI_AWLEN, output wire [3-1:0] M_AXI_AWSIZE, output wire [2-1:0] M_AXI_AWBURST, output wire [2-1:0] M_AXI_AWLOCK, output wire [4-1:0] M_AXI_AWCACHE, output wire [3-1:0] M_AXI_AWPROT, output wire [C_AXI_AWUSER_WIDTH-1:0] M_AXI_AWUSER, output wire M_AXI_AWVALID, input wire M_AXI_AWREADY, // Master Interface Write Data Ports output wire [C_AXI_ID_WIDTH-1:0] M_AXI_WID, output wire [C_AXI_DATA_WIDTH-1:0] M_AXI_WDATA, output wire [C_AXI_DATA_WIDTH/8-1:0] M_AXI_WSTRB, output wire M_AXI_WLAST, output wire [C_AXI_WUSER_WIDTH-1:0] M_AXI_WUSER, output wire M_AXI_WVALID, input wire M_AXI_WREADY, // Master Interface Write Response Ports input wire [C_AXI_ID_WIDTH-1:0] M_AXI_BID, input wire [2-1:0] M_AXI_BRESP, input wire [C_AXI_BUSER_WIDTH-1:0] M_AXI_BUSER, input wire M_AXI_BVALID, output wire M_AXI_BREADY, // Master Interface Read Address Port output wire [C_AXI_ID_WIDTH-1:0] M_AXI_ARID, output wire [C_AXI_ADDR_WIDTH-1:0] M_AXI_ARADDR, output wire [4-1:0] M_AXI_ARLEN, output wire [3-1:0] M_AXI_ARSIZE, output wire [2-1:0] M_AXI_ARBURST, output wire [2-1:0] M_AXI_ARLOCK, output wire [4-1:0] M_AXI_ARCACHE, output wire [3-1:0] M_AXI_ARPROT, output wire [C_AXI_ARUSER_WIDTH-1:0] M_AXI_ARUSER, output wire M_AXI_ARVALID, input wire M_AXI_ARREADY, // Master Interface Read Data Ports input wire [C_AXI_ID_WIDTH-1:0] M_AXI_RID, input wire [C_AXI_DATA_WIDTH-1:0] M_AXI_RDATA, input wire [2-1:0] M_AXI_RRESP, input wire M_AXI_RLAST, input wire [C_AXI_RUSER_WIDTH-1:0] M_AXI_RUSER, input wire M_AXI_RVALID, output wire M_AXI_RREADY, output wire ERROR_TRIGGER, output wire [C_AXI_ID_WIDTH-1:0] ERROR_TRANSACTION_ID ); ///////////////////////////////////////////////////////////////////////////// // Functions ///////////////////////////////////////////////////////////////////////////// ///////////////////////////////////////////////////////////////////////////// // Local params ///////////////////////////////////////////////////////////////////////////// localparam C_FIFO_DEPTH_LOG = 4; ///////////////////////////////////////////////////////////////////////////// // Internal signals ///////////////////////////////////////////////////////////////////////////// // Internal reset. reg ARESET; // AW->W command queue signals. wire cmd_w_valid; wire cmd_w_check; wire [C_AXI_ID_WIDTH-1:0] cmd_w_id; wire cmd_w_ready; // W->B command queue signals. wire cmd_b_push; wire cmd_b_error; wire [C_AXI_ID_WIDTH-1:0] cmd_b_id; wire cmd_b_full; wire [C_FIFO_DEPTH_LOG-1:0] cmd_b_addr; wire cmd_b_ready; ///////////////////////////////////////////////////////////////////////////// // Handle Internal Reset ///////////////////////////////////////////////////////////////////////////// always @ (posedge ACLK) begin ARESET <= !ARESETN; end ///////////////////////////////////////////////////////////////////////////// // Handle Write Channels (AW/W/B) ///////////////////////////////////////////////////////////////////////////// // Write Address Channel. processing_system7_v5_5_aw_atc # ( .C_FAMILY (C_FAMILY), .C_AXI_ID_WIDTH (C_AXI_ID_WIDTH), .C_AXI_ADDR_WIDTH (C_AXI_ADDR_WIDTH), .C_AXI_AWUSER_WIDTH (C_AXI_AWUSER_WIDTH), .C_FIFO_DEPTH_LOG (C_FIFO_DEPTH_LOG) ) write_addr_inst ( // Global Signals .ARESET (ARESET), .ACLK (ACLK), // Command Interface (Out) .cmd_w_valid (cmd_w_valid), .cmd_w_check (cmd_w_check), .cmd_w_id (cmd_w_id), .cmd_w_ready (cmd_w_ready), .cmd_b_addr (cmd_b_addr), .cmd_b_ready (cmd_b_ready), // Slave Interface Write Address Ports .S_AXI_AWID (S_AXI_AWID), .S_AXI_AWADDR (S_AXI_AWADDR), .S_AXI_AWLEN (S_AXI_AWLEN), .S_AXI_AWSIZE (S_AXI_AWSIZE), .S_AXI_AWBURST (S_AXI_AWBURST), .S_AXI_AWLOCK (S_AXI_AWLOCK), .S_AXI_AWCACHE (S_AXI_AWCACHE), .S_AXI_AWPROT (S_AXI_AWPROT), .S_AXI_AWUSER (S_AXI_AWUSER), .S_AXI_AWVALID (S_AXI_AWVALID), .S_AXI_AWREADY (S_AXI_AWREADY), // Master Interface Write Address Port .M_AXI_AWID (M_AXI_AWID), .M_AXI_AWADDR (M_AXI_AWADDR), .M_AXI_AWLEN (M_AXI_AWLEN), .M_AXI_AWSIZE (M_AXI_AWSIZE), .M_AXI_AWBURST (M_AXI_AWBURST), .M_AXI_AWLOCK (M_AXI_AWLOCK), .M_AXI_AWCACHE (M_AXI_AWCACHE), .M_AXI_AWPROT (M_AXI_AWPROT), .M_AXI_AWUSER (M_AXI_AWUSER), .M_AXI_AWVALID (M_AXI_AWVALID), .M_AXI_AWREADY (M_AXI_AWREADY) ); // Write Data channel. processing_system7_v5_5_w_atc # ( .C_FAMILY (C_FAMILY), .C_AXI_ID_WIDTH (C_AXI_ID_WIDTH), .C_AXI_DATA_WIDTH (C_AXI_DATA_WIDTH), .C_AXI_WUSER_WIDTH (C_AXI_WUSER_WIDTH) ) write_data_inst ( // Global Signals .ARESET (ARESET), .ACLK (ACLK), // Command Interface (In) .cmd_w_valid (cmd_w_valid), .cmd_w_check (cmd_w_check), .cmd_w_id (cmd_w_id), .cmd_w_ready (cmd_w_ready), // Command Interface (Out) .cmd_b_push (cmd_b_push), .cmd_b_error (cmd_b_error), .cmd_b_id (cmd_b_id), .cmd_b_full (cmd_b_full), // Slave Interface Write Data Ports .S_AXI_WID (S_AXI_WID), .S_AXI_WDATA (S_AXI_WDATA), .S_AXI_WSTRB (S_AXI_WSTRB), .S_AXI_WLAST (S_AXI_WLAST), .S_AXI_WUSER (S_AXI_WUSER), .S_AXI_WVALID (S_AXI_WVALID), .S_AXI_WREADY (S_AXI_WREADY), // Master Interface Write Data Ports .M_AXI_WID (M_AXI_WID), .M_AXI_WDATA (M_AXI_WDATA), .M_AXI_WSTRB (M_AXI_WSTRB), .M_AXI_WLAST (M_AXI_WLAST), .M_AXI_WUSER (M_AXI_WUSER), .M_AXI_WVALID (M_AXI_WVALID), .M_AXI_WREADY (M_AXI_WREADY) ); // Write Response channel. processing_system7_v5_5_b_atc # ( .C_FAMILY (C_FAMILY), .C_AXI_ID_WIDTH (C_AXI_ID_WIDTH), .C_AXI_BUSER_WIDTH (C_AXI_BUSER_WIDTH), .C_FIFO_DEPTH_LOG (C_FIFO_DEPTH_LOG) ) write_response_inst ( // Global Signals .ARESET (ARESET), .ACLK (ACLK), // Command Interface (In) .cmd_b_push (cmd_b_push), .cmd_b_error (cmd_b_error), .cmd_b_id (cmd_b_id), .cmd_b_full (cmd_b_full), .cmd_b_addr (cmd_b_addr), .cmd_b_ready (cmd_b_ready), // Slave Interface Write Response Ports .S_AXI_BID (S_AXI_BID), .S_AXI_BRESP (S_AXI_BRESP), .S_AXI_BUSER (S_AXI_BUSER), .S_AXI_BVALID (S_AXI_BVALID), .S_AXI_BREADY (S_AXI_BREADY), // Master Interface Write Response Ports .M_AXI_BID (M_AXI_BID), .M_AXI_BRESP (M_AXI_BRESP), .M_AXI_BUSER (M_AXI_BUSER), .M_AXI_BVALID (M_AXI_BVALID), .M_AXI_BREADY (M_AXI_BREADY), // Trigger detection .ERROR_TRIGGER (ERROR_TRIGGER), .ERROR_TRANSACTION_ID (ERROR_TRANSACTION_ID) ); ///////////////////////////////////////////////////////////////////////////// // Handle Read Channels (AR/R) ///////////////////////////////////////////////////////////////////////////// // Read Address Port assign M_AXI_ARID = S_AXI_ARID; assign M_AXI_ARADDR = S_AXI_ARADDR; assign M_AXI_ARLEN = S_AXI_ARLEN; assign M_AXI_ARSIZE = S_AXI_ARSIZE; assign M_AXI_ARBURST = S_AXI_ARBURST; assign M_AXI_ARLOCK = S_AXI_ARLOCK; assign M_AXI_ARCACHE = S_AXI_ARCACHE; assign M_AXI_ARPROT = S_AXI_ARPROT; assign M_AXI_ARUSER = S_AXI_ARUSER; assign M_AXI_ARVALID = S_AXI_ARVALID; assign S_AXI_ARREADY = M_AXI_ARREADY; // Read Data Port assign S_AXI_RID = M_AXI_RID; assign S_AXI_RDATA = M_AXI_RDATA; assign S_AXI_RRESP = M_AXI_RRESP; assign S_AXI_RLAST = M_AXI_RLAST; assign S_AXI_RUSER = M_AXI_RUSER; assign S_AXI_RVALID = M_AXI_RVALID; assign M_AXI_RREADY = S_AXI_RREADY; endmodule
module processing_system7_v5_5_atc # ( parameter C_FAMILY = "rtl", // FPGA Family. Current version: virtex6, spartan6 or later. parameter integer C_AXI_ID_WIDTH = 4, // Width of all ID signals on SI and MI side of checker. // Range: >= 1. parameter integer C_AXI_ADDR_WIDTH = 32, // Width of all ADDR signals on SI and MI side of checker. // Range: 32. parameter integer C_AXI_DATA_WIDTH = 64, // Width of all DATA signals on SI and MI side of checker. // Range: 64. parameter integer C_AXI_AWUSER_WIDTH = 1, // Width of AWUSER signals. // Range: >= 1. parameter integer C_AXI_ARUSER_WIDTH = 1, // Width of ARUSER signals. // Range: >= 1. parameter integer C_AXI_WUSER_WIDTH = 1, // Width of WUSER signals. // Range: >= 1. parameter integer C_AXI_RUSER_WIDTH = 1, // Width of RUSER signals. // Range: >= 1. parameter integer C_AXI_BUSER_WIDTH = 1 // Width of BUSER signals. // Range: >= 1. ) ( // Global Signals input wire ACLK, input wire ARESETN, // Slave Interface Write Address Ports input wire [C_AXI_ID_WIDTH-1:0] S_AXI_AWID, input wire [C_AXI_ADDR_WIDTH-1:0] S_AXI_AWADDR, input wire [4-1:0] S_AXI_AWLEN, input wire [3-1:0] S_AXI_AWSIZE, input wire [2-1:0] S_AXI_AWBURST, input wire [2-1:0] S_AXI_AWLOCK, input wire [4-1:0] S_AXI_AWCACHE, input wire [3-1:0] S_AXI_AWPROT, input wire [C_AXI_AWUSER_WIDTH-1:0] S_AXI_AWUSER, input wire S_AXI_AWVALID, output wire S_AXI_AWREADY, // Slave Interface Write Data Ports input wire [C_AXI_ID_WIDTH-1:0] S_AXI_WID, input wire [C_AXI_DATA_WIDTH-1:0] S_AXI_WDATA, input wire [C_AXI_DATA_WIDTH/8-1:0] S_AXI_WSTRB, input wire S_AXI_WLAST, input wire [C_AXI_WUSER_WIDTH-1:0] S_AXI_WUSER, input wire S_AXI_WVALID, output wire S_AXI_WREADY, // Slave Interface Write Response Ports output wire [C_AXI_ID_WIDTH-1:0] S_AXI_BID, output wire [2-1:0] S_AXI_BRESP, output wire [C_AXI_BUSER_WIDTH-1:0] S_AXI_BUSER, output wire S_AXI_BVALID, input wire S_AXI_BREADY, // Slave Interface Read Address Ports input wire [C_AXI_ID_WIDTH-1:0] S_AXI_ARID, input wire [C_AXI_ADDR_WIDTH-1:0] S_AXI_ARADDR, input wire [4-1:0] S_AXI_ARLEN, input wire [3-1:0] S_AXI_ARSIZE, input wire [2-1:0] S_AXI_ARBURST, input wire [2-1:0] S_AXI_ARLOCK, input wire [4-1:0] S_AXI_ARCACHE, input wire [3-1:0] S_AXI_ARPROT, input wire [C_AXI_ARUSER_WIDTH-1:0] S_AXI_ARUSER, input wire S_AXI_ARVALID, output wire S_AXI_ARREADY, // Slave Interface Read Data Ports output wire [C_AXI_ID_WIDTH-1:0] S_AXI_RID, output wire [C_AXI_DATA_WIDTH-1:0] S_AXI_RDATA, output wire [2-1:0] S_AXI_RRESP, output wire S_AXI_RLAST, output wire [C_AXI_RUSER_WIDTH-1:0] S_AXI_RUSER, output wire S_AXI_RVALID, input wire S_AXI_RREADY, // Master Interface Write Address Port output wire [C_AXI_ID_WIDTH-1:0] M_AXI_AWID, output wire [C_AXI_ADDR_WIDTH-1:0] M_AXI_AWADDR, output wire [4-1:0] M_AXI_AWLEN, output wire [3-1:0] M_AXI_AWSIZE, output wire [2-1:0] M_AXI_AWBURST, output wire [2-1:0] M_AXI_AWLOCK, output wire [4-1:0] M_AXI_AWCACHE, output wire [3-1:0] M_AXI_AWPROT, output wire [C_AXI_AWUSER_WIDTH-1:0] M_AXI_AWUSER, output wire M_AXI_AWVALID, input wire M_AXI_AWREADY, // Master Interface Write Data Ports output wire [C_AXI_ID_WIDTH-1:0] M_AXI_WID, output wire [C_AXI_DATA_WIDTH-1:0] M_AXI_WDATA, output wire [C_AXI_DATA_WIDTH/8-1:0] M_AXI_WSTRB, output wire M_AXI_WLAST, output wire [C_AXI_WUSER_WIDTH-1:0] M_AXI_WUSER, output wire M_AXI_WVALID, input wire M_AXI_WREADY, // Master Interface Write Response Ports input wire [C_AXI_ID_WIDTH-1:0] M_AXI_BID, input wire [2-1:0] M_AXI_BRESP, input wire [C_AXI_BUSER_WIDTH-1:0] M_AXI_BUSER, input wire M_AXI_BVALID, output wire M_AXI_BREADY, // Master Interface Read Address Port output wire [C_AXI_ID_WIDTH-1:0] M_AXI_ARID, output wire [C_AXI_ADDR_WIDTH-1:0] M_AXI_ARADDR, output wire [4-1:0] M_AXI_ARLEN, output wire [3-1:0] M_AXI_ARSIZE, output wire [2-1:0] M_AXI_ARBURST, output wire [2-1:0] M_AXI_ARLOCK, output wire [4-1:0] M_AXI_ARCACHE, output wire [3-1:0] M_AXI_ARPROT, output wire [C_AXI_ARUSER_WIDTH-1:0] M_AXI_ARUSER, output wire M_AXI_ARVALID, input wire M_AXI_ARREADY, // Master Interface Read Data Ports input wire [C_AXI_ID_WIDTH-1:0] M_AXI_RID, input wire [C_AXI_DATA_WIDTH-1:0] M_AXI_RDATA, input wire [2-1:0] M_AXI_RRESP, input wire M_AXI_RLAST, input wire [C_AXI_RUSER_WIDTH-1:0] M_AXI_RUSER, input wire M_AXI_RVALID, output wire M_AXI_RREADY, output wire ERROR_TRIGGER, output wire [C_AXI_ID_WIDTH-1:0] ERROR_TRANSACTION_ID ); ///////////////////////////////////////////////////////////////////////////// // Functions ///////////////////////////////////////////////////////////////////////////// ///////////////////////////////////////////////////////////////////////////// // Local params ///////////////////////////////////////////////////////////////////////////// localparam C_FIFO_DEPTH_LOG = 4; ///////////////////////////////////////////////////////////////////////////// // Internal signals ///////////////////////////////////////////////////////////////////////////// // Internal reset. reg ARESET; // AW->W command queue signals. wire cmd_w_valid; wire cmd_w_check; wire [C_AXI_ID_WIDTH-1:0] cmd_w_id; wire cmd_w_ready; // W->B command queue signals. wire cmd_b_push; wire cmd_b_error; wire [C_AXI_ID_WIDTH-1:0] cmd_b_id; wire cmd_b_full; wire [C_FIFO_DEPTH_LOG-1:0] cmd_b_addr; wire cmd_b_ready; ///////////////////////////////////////////////////////////////////////////// // Handle Internal Reset ///////////////////////////////////////////////////////////////////////////// always @ (posedge ACLK) begin ARESET <= !ARESETN; end ///////////////////////////////////////////////////////////////////////////// // Handle Write Channels (AW/W/B) ///////////////////////////////////////////////////////////////////////////// // Write Address Channel. processing_system7_v5_5_aw_atc # ( .C_FAMILY (C_FAMILY), .C_AXI_ID_WIDTH (C_AXI_ID_WIDTH), .C_AXI_ADDR_WIDTH (C_AXI_ADDR_WIDTH), .C_AXI_AWUSER_WIDTH (C_AXI_AWUSER_WIDTH), .C_FIFO_DEPTH_LOG (C_FIFO_DEPTH_LOG) ) write_addr_inst ( // Global Signals .ARESET (ARESET), .ACLK (ACLK), // Command Interface (Out) .cmd_w_valid (cmd_w_valid), .cmd_w_check (cmd_w_check), .cmd_w_id (cmd_w_id), .cmd_w_ready (cmd_w_ready), .cmd_b_addr (cmd_b_addr), .cmd_b_ready (cmd_b_ready), // Slave Interface Write Address Ports .S_AXI_AWID (S_AXI_AWID), .S_AXI_AWADDR (S_AXI_AWADDR), .S_AXI_AWLEN (S_AXI_AWLEN), .S_AXI_AWSIZE (S_AXI_AWSIZE), .S_AXI_AWBURST (S_AXI_AWBURST), .S_AXI_AWLOCK (S_AXI_AWLOCK), .S_AXI_AWCACHE (S_AXI_AWCACHE), .S_AXI_AWPROT (S_AXI_AWPROT), .S_AXI_AWUSER (S_AXI_AWUSER), .S_AXI_AWVALID (S_AXI_AWVALID), .S_AXI_AWREADY (S_AXI_AWREADY), // Master Interface Write Address Port .M_AXI_AWID (M_AXI_AWID), .M_AXI_AWADDR (M_AXI_AWADDR), .M_AXI_AWLEN (M_AXI_AWLEN), .M_AXI_AWSIZE (M_AXI_AWSIZE), .M_AXI_AWBURST (M_AXI_AWBURST), .M_AXI_AWLOCK (M_AXI_AWLOCK), .M_AXI_AWCACHE (M_AXI_AWCACHE), .M_AXI_AWPROT (M_AXI_AWPROT), .M_AXI_AWUSER (M_AXI_AWUSER), .M_AXI_AWVALID (M_AXI_AWVALID), .M_AXI_AWREADY (M_AXI_AWREADY) ); // Write Data channel. processing_system7_v5_5_w_atc # ( .C_FAMILY (C_FAMILY), .C_AXI_ID_WIDTH (C_AXI_ID_WIDTH), .C_AXI_DATA_WIDTH (C_AXI_DATA_WIDTH), .C_AXI_WUSER_WIDTH (C_AXI_WUSER_WIDTH) ) write_data_inst ( // Global Signals .ARESET (ARESET), .ACLK (ACLK), // Command Interface (In) .cmd_w_valid (cmd_w_valid), .cmd_w_check (cmd_w_check), .cmd_w_id (cmd_w_id), .cmd_w_ready (cmd_w_ready), // Command Interface (Out) .cmd_b_push (cmd_b_push), .cmd_b_error (cmd_b_error), .cmd_b_id (cmd_b_id), .cmd_b_full (cmd_b_full), // Slave Interface Write Data Ports .S_AXI_WID (S_AXI_WID), .S_AXI_WDATA (S_AXI_WDATA), .S_AXI_WSTRB (S_AXI_WSTRB), .S_AXI_WLAST (S_AXI_WLAST), .S_AXI_WUSER (S_AXI_WUSER), .S_AXI_WVALID (S_AXI_WVALID), .S_AXI_WREADY (S_AXI_WREADY), // Master Interface Write Data Ports .M_AXI_WID (M_AXI_WID), .M_AXI_WDATA (M_AXI_WDATA), .M_AXI_WSTRB (M_AXI_WSTRB), .M_AXI_WLAST (M_AXI_WLAST), .M_AXI_WUSER (M_AXI_WUSER), .M_AXI_WVALID (M_AXI_WVALID), .M_AXI_WREADY (M_AXI_WREADY) ); // Write Response channel. processing_system7_v5_5_b_atc # ( .C_FAMILY (C_FAMILY), .C_AXI_ID_WIDTH (C_AXI_ID_WIDTH), .C_AXI_BUSER_WIDTH (C_AXI_BUSER_WIDTH), .C_FIFO_DEPTH_LOG (C_FIFO_DEPTH_LOG) ) write_response_inst ( // Global Signals .ARESET (ARESET), .ACLK (ACLK), // Command Interface (In) .cmd_b_push (cmd_b_push), .cmd_b_error (cmd_b_error), .cmd_b_id (cmd_b_id), .cmd_b_full (cmd_b_full), .cmd_b_addr (cmd_b_addr), .cmd_b_ready (cmd_b_ready), // Slave Interface Write Response Ports .S_AXI_BID (S_AXI_BID), .S_AXI_BRESP (S_AXI_BRESP), .S_AXI_BUSER (S_AXI_BUSER), .S_AXI_BVALID (S_AXI_BVALID), .S_AXI_BREADY (S_AXI_BREADY), // Master Interface Write Response Ports .M_AXI_BID (M_AXI_BID), .M_AXI_BRESP (M_AXI_BRESP), .M_AXI_BUSER (M_AXI_BUSER), .M_AXI_BVALID (M_AXI_BVALID), .M_AXI_BREADY (M_AXI_BREADY), // Trigger detection .ERROR_TRIGGER (ERROR_TRIGGER), .ERROR_TRANSACTION_ID (ERROR_TRANSACTION_ID) ); ///////////////////////////////////////////////////////////////////////////// // Handle Read Channels (AR/R) ///////////////////////////////////////////////////////////////////////////// // Read Address Port assign M_AXI_ARID = S_AXI_ARID; assign M_AXI_ARADDR = S_AXI_ARADDR; assign M_AXI_ARLEN = S_AXI_ARLEN; assign M_AXI_ARSIZE = S_AXI_ARSIZE; assign M_AXI_ARBURST = S_AXI_ARBURST; assign M_AXI_ARLOCK = S_AXI_ARLOCK; assign M_AXI_ARCACHE = S_AXI_ARCACHE; assign M_AXI_ARPROT = S_AXI_ARPROT; assign M_AXI_ARUSER = S_AXI_ARUSER; assign M_AXI_ARVALID = S_AXI_ARVALID; assign S_AXI_ARREADY = M_AXI_ARREADY; // Read Data Port assign S_AXI_RID = M_AXI_RID; assign S_AXI_RDATA = M_AXI_RDATA; assign S_AXI_RRESP = M_AXI_RRESP; assign S_AXI_RLAST = M_AXI_RLAST; assign S_AXI_RUSER = M_AXI_RUSER; assign S_AXI_RVALID = M_AXI_RVALID; assign M_AXI_RREADY = S_AXI_RREADY; endmodule
module processing_system7_v5_5_atc # ( parameter C_FAMILY = "rtl", // FPGA Family. Current version: virtex6, spartan6 or later. parameter integer C_AXI_ID_WIDTH = 4, // Width of all ID signals on SI and MI side of checker. // Range: >= 1. parameter integer C_AXI_ADDR_WIDTH = 32, // Width of all ADDR signals on SI and MI side of checker. // Range: 32. parameter integer C_AXI_DATA_WIDTH = 64, // Width of all DATA signals on SI and MI side of checker. // Range: 64. parameter integer C_AXI_AWUSER_WIDTH = 1, // Width of AWUSER signals. // Range: >= 1. parameter integer C_AXI_ARUSER_WIDTH = 1, // Width of ARUSER signals. // Range: >= 1. parameter integer C_AXI_WUSER_WIDTH = 1, // Width of WUSER signals. // Range: >= 1. parameter integer C_AXI_RUSER_WIDTH = 1, // Width of RUSER signals. // Range: >= 1. parameter integer C_AXI_BUSER_WIDTH = 1 // Width of BUSER signals. // Range: >= 1. ) ( // Global Signals input wire ACLK, input wire ARESETN, // Slave Interface Write Address Ports input wire [C_AXI_ID_WIDTH-1:0] S_AXI_AWID, input wire [C_AXI_ADDR_WIDTH-1:0] S_AXI_AWADDR, input wire [4-1:0] S_AXI_AWLEN, input wire [3-1:0] S_AXI_AWSIZE, input wire [2-1:0] S_AXI_AWBURST, input wire [2-1:0] S_AXI_AWLOCK, input wire [4-1:0] S_AXI_AWCACHE, input wire [3-1:0] S_AXI_AWPROT, input wire [C_AXI_AWUSER_WIDTH-1:0] S_AXI_AWUSER, input wire S_AXI_AWVALID, output wire S_AXI_AWREADY, // Slave Interface Write Data Ports input wire [C_AXI_ID_WIDTH-1:0] S_AXI_WID, input wire [C_AXI_DATA_WIDTH-1:0] S_AXI_WDATA, input wire [C_AXI_DATA_WIDTH/8-1:0] S_AXI_WSTRB, input wire S_AXI_WLAST, input wire [C_AXI_WUSER_WIDTH-1:0] S_AXI_WUSER, input wire S_AXI_WVALID, output wire S_AXI_WREADY, // Slave Interface Write Response Ports output wire [C_AXI_ID_WIDTH-1:0] S_AXI_BID, output wire [2-1:0] S_AXI_BRESP, output wire [C_AXI_BUSER_WIDTH-1:0] S_AXI_BUSER, output wire S_AXI_BVALID, input wire S_AXI_BREADY, // Slave Interface Read Address Ports input wire [C_AXI_ID_WIDTH-1:0] S_AXI_ARID, input wire [C_AXI_ADDR_WIDTH-1:0] S_AXI_ARADDR, input wire [4-1:0] S_AXI_ARLEN, input wire [3-1:0] S_AXI_ARSIZE, input wire [2-1:0] S_AXI_ARBURST, input wire [2-1:0] S_AXI_ARLOCK, input wire [4-1:0] S_AXI_ARCACHE, input wire [3-1:0] S_AXI_ARPROT, input wire [C_AXI_ARUSER_WIDTH-1:0] S_AXI_ARUSER, input wire S_AXI_ARVALID, output wire S_AXI_ARREADY, // Slave Interface Read Data Ports output wire [C_AXI_ID_WIDTH-1:0] S_AXI_RID, output wire [C_AXI_DATA_WIDTH-1:0] S_AXI_RDATA, output wire [2-1:0] S_AXI_RRESP, output wire S_AXI_RLAST, output wire [C_AXI_RUSER_WIDTH-1:0] S_AXI_RUSER, output wire S_AXI_RVALID, input wire S_AXI_RREADY, // Master Interface Write Address Port output wire [C_AXI_ID_WIDTH-1:0] M_AXI_AWID, output wire [C_AXI_ADDR_WIDTH-1:0] M_AXI_AWADDR, output wire [4-1:0] M_AXI_AWLEN, output wire [3-1:0] M_AXI_AWSIZE, output wire [2-1:0] M_AXI_AWBURST, output wire [2-1:0] M_AXI_AWLOCK, output wire [4-1:0] M_AXI_AWCACHE, output wire [3-1:0] M_AXI_AWPROT, output wire [C_AXI_AWUSER_WIDTH-1:0] M_AXI_AWUSER, output wire M_AXI_AWVALID, input wire M_AXI_AWREADY, // Master Interface Write Data Ports output wire [C_AXI_ID_WIDTH-1:0] M_AXI_WID, output wire [C_AXI_DATA_WIDTH-1:0] M_AXI_WDATA, output wire [C_AXI_DATA_WIDTH/8-1:0] M_AXI_WSTRB, output wire M_AXI_WLAST, output wire [C_AXI_WUSER_WIDTH-1:0] M_AXI_WUSER, output wire M_AXI_WVALID, input wire M_AXI_WREADY, // Master Interface Write Response Ports input wire [C_AXI_ID_WIDTH-1:0] M_AXI_BID, input wire [2-1:0] M_AXI_BRESP, input wire [C_AXI_BUSER_WIDTH-1:0] M_AXI_BUSER, input wire M_AXI_BVALID, output wire M_AXI_BREADY, // Master Interface Read Address Port output wire [C_AXI_ID_WIDTH-1:0] M_AXI_ARID, output wire [C_AXI_ADDR_WIDTH-1:0] M_AXI_ARADDR, output wire [4-1:0] M_AXI_ARLEN, output wire [3-1:0] M_AXI_ARSIZE, output wire [2-1:0] M_AXI_ARBURST, output wire [2-1:0] M_AXI_ARLOCK, output wire [4-1:0] M_AXI_ARCACHE, output wire [3-1:0] M_AXI_ARPROT, output wire [C_AXI_ARUSER_WIDTH-1:0] M_AXI_ARUSER, output wire M_AXI_ARVALID, input wire M_AXI_ARREADY, // Master Interface Read Data Ports input wire [C_AXI_ID_WIDTH-1:0] M_AXI_RID, input wire [C_AXI_DATA_WIDTH-1:0] M_AXI_RDATA, input wire [2-1:0] M_AXI_RRESP, input wire M_AXI_RLAST, input wire [C_AXI_RUSER_WIDTH-1:0] M_AXI_RUSER, input wire M_AXI_RVALID, output wire M_AXI_RREADY, output wire ERROR_TRIGGER, output wire [C_AXI_ID_WIDTH-1:0] ERROR_TRANSACTION_ID ); ///////////////////////////////////////////////////////////////////////////// // Functions ///////////////////////////////////////////////////////////////////////////// ///////////////////////////////////////////////////////////////////////////// // Local params ///////////////////////////////////////////////////////////////////////////// localparam C_FIFO_DEPTH_LOG = 4; ///////////////////////////////////////////////////////////////////////////// // Internal signals ///////////////////////////////////////////////////////////////////////////// // Internal reset. reg ARESET; // AW->W command queue signals. wire cmd_w_valid; wire cmd_w_check; wire [C_AXI_ID_WIDTH-1:0] cmd_w_id; wire cmd_w_ready; // W->B command queue signals. wire cmd_b_push; wire cmd_b_error; wire [C_AXI_ID_WIDTH-1:0] cmd_b_id; wire cmd_b_full; wire [C_FIFO_DEPTH_LOG-1:0] cmd_b_addr; wire cmd_b_ready; ///////////////////////////////////////////////////////////////////////////// // Handle Internal Reset ///////////////////////////////////////////////////////////////////////////// always @ (posedge ACLK) begin ARESET <= !ARESETN; end ///////////////////////////////////////////////////////////////////////////// // Handle Write Channels (AW/W/B) ///////////////////////////////////////////////////////////////////////////// // Write Address Channel. processing_system7_v5_5_aw_atc # ( .C_FAMILY (C_FAMILY), .C_AXI_ID_WIDTH (C_AXI_ID_WIDTH), .C_AXI_ADDR_WIDTH (C_AXI_ADDR_WIDTH), .C_AXI_AWUSER_WIDTH (C_AXI_AWUSER_WIDTH), .C_FIFO_DEPTH_LOG (C_FIFO_DEPTH_LOG) ) write_addr_inst ( // Global Signals .ARESET (ARESET), .ACLK (ACLK), // Command Interface (Out) .cmd_w_valid (cmd_w_valid), .cmd_w_check (cmd_w_check), .cmd_w_id (cmd_w_id), .cmd_w_ready (cmd_w_ready), .cmd_b_addr (cmd_b_addr), .cmd_b_ready (cmd_b_ready), // Slave Interface Write Address Ports .S_AXI_AWID (S_AXI_AWID), .S_AXI_AWADDR (S_AXI_AWADDR), .S_AXI_AWLEN (S_AXI_AWLEN), .S_AXI_AWSIZE (S_AXI_AWSIZE), .S_AXI_AWBURST (S_AXI_AWBURST), .S_AXI_AWLOCK (S_AXI_AWLOCK), .S_AXI_AWCACHE (S_AXI_AWCACHE), .S_AXI_AWPROT (S_AXI_AWPROT), .S_AXI_AWUSER (S_AXI_AWUSER), .S_AXI_AWVALID (S_AXI_AWVALID), .S_AXI_AWREADY (S_AXI_AWREADY), // Master Interface Write Address Port .M_AXI_AWID (M_AXI_AWID), .M_AXI_AWADDR (M_AXI_AWADDR), .M_AXI_AWLEN (M_AXI_AWLEN), .M_AXI_AWSIZE (M_AXI_AWSIZE), .M_AXI_AWBURST (M_AXI_AWBURST), .M_AXI_AWLOCK (M_AXI_AWLOCK), .M_AXI_AWCACHE (M_AXI_AWCACHE), .M_AXI_AWPROT (M_AXI_AWPROT), .M_AXI_AWUSER (M_AXI_AWUSER), .M_AXI_AWVALID (M_AXI_AWVALID), .M_AXI_AWREADY (M_AXI_AWREADY) ); // Write Data channel. processing_system7_v5_5_w_atc # ( .C_FAMILY (C_FAMILY), .C_AXI_ID_WIDTH (C_AXI_ID_WIDTH), .C_AXI_DATA_WIDTH (C_AXI_DATA_WIDTH), .C_AXI_WUSER_WIDTH (C_AXI_WUSER_WIDTH) ) write_data_inst ( // Global Signals .ARESET (ARESET), .ACLK (ACLK), // Command Interface (In) .cmd_w_valid (cmd_w_valid), .cmd_w_check (cmd_w_check), .cmd_w_id (cmd_w_id), .cmd_w_ready (cmd_w_ready), // Command Interface (Out) .cmd_b_push (cmd_b_push), .cmd_b_error (cmd_b_error), .cmd_b_id (cmd_b_id), .cmd_b_full (cmd_b_full), // Slave Interface Write Data Ports .S_AXI_WID (S_AXI_WID), .S_AXI_WDATA (S_AXI_WDATA), .S_AXI_WSTRB (S_AXI_WSTRB), .S_AXI_WLAST (S_AXI_WLAST), .S_AXI_WUSER (S_AXI_WUSER), .S_AXI_WVALID (S_AXI_WVALID), .S_AXI_WREADY (S_AXI_WREADY), // Master Interface Write Data Ports .M_AXI_WID (M_AXI_WID), .M_AXI_WDATA (M_AXI_WDATA), .M_AXI_WSTRB (M_AXI_WSTRB), .M_AXI_WLAST (M_AXI_WLAST), .M_AXI_WUSER (M_AXI_WUSER), .M_AXI_WVALID (M_AXI_WVALID), .M_AXI_WREADY (M_AXI_WREADY) ); // Write Response channel. processing_system7_v5_5_b_atc # ( .C_FAMILY (C_FAMILY), .C_AXI_ID_WIDTH (C_AXI_ID_WIDTH), .C_AXI_BUSER_WIDTH (C_AXI_BUSER_WIDTH), .C_FIFO_DEPTH_LOG (C_FIFO_DEPTH_LOG) ) write_response_inst ( // Global Signals .ARESET (ARESET), .ACLK (ACLK), // Command Interface (In) .cmd_b_push (cmd_b_push), .cmd_b_error (cmd_b_error), .cmd_b_id (cmd_b_id), .cmd_b_full (cmd_b_full), .cmd_b_addr (cmd_b_addr), .cmd_b_ready (cmd_b_ready), // Slave Interface Write Response Ports .S_AXI_BID (S_AXI_BID), .S_AXI_BRESP (S_AXI_BRESP), .S_AXI_BUSER (S_AXI_BUSER), .S_AXI_BVALID (S_AXI_BVALID), .S_AXI_BREADY (S_AXI_BREADY), // Master Interface Write Response Ports .M_AXI_BID (M_AXI_BID), .M_AXI_BRESP (M_AXI_BRESP), .M_AXI_BUSER (M_AXI_BUSER), .M_AXI_BVALID (M_AXI_BVALID), .M_AXI_BREADY (M_AXI_BREADY), // Trigger detection .ERROR_TRIGGER (ERROR_TRIGGER), .ERROR_TRANSACTION_ID (ERROR_TRANSACTION_ID) ); ///////////////////////////////////////////////////////////////////////////// // Handle Read Channels (AR/R) ///////////////////////////////////////////////////////////////////////////// // Read Address Port assign M_AXI_ARID = S_AXI_ARID; assign M_AXI_ARADDR = S_AXI_ARADDR; assign M_AXI_ARLEN = S_AXI_ARLEN; assign M_AXI_ARSIZE = S_AXI_ARSIZE; assign M_AXI_ARBURST = S_AXI_ARBURST; assign M_AXI_ARLOCK = S_AXI_ARLOCK; assign M_AXI_ARCACHE = S_AXI_ARCACHE; assign M_AXI_ARPROT = S_AXI_ARPROT; assign M_AXI_ARUSER = S_AXI_ARUSER; assign M_AXI_ARVALID = S_AXI_ARVALID; assign S_AXI_ARREADY = M_AXI_ARREADY; // Read Data Port assign S_AXI_RID = M_AXI_RID; assign S_AXI_RDATA = M_AXI_RDATA; assign S_AXI_RRESP = M_AXI_RRESP; assign S_AXI_RLAST = M_AXI_RLAST; assign S_AXI_RUSER = M_AXI_RUSER; assign S_AXI_RVALID = M_AXI_RVALID; assign M_AXI_RREADY = S_AXI_RREADY; endmodule
module processing_system7_v5_5_atc # ( parameter C_FAMILY = "rtl", // FPGA Family. Current version: virtex6, spartan6 or later. parameter integer C_AXI_ID_WIDTH = 4, // Width of all ID signals on SI and MI side of checker. // Range: >= 1. parameter integer C_AXI_ADDR_WIDTH = 32, // Width of all ADDR signals on SI and MI side of checker. // Range: 32. parameter integer C_AXI_DATA_WIDTH = 64, // Width of all DATA signals on SI and MI side of checker. // Range: 64. parameter integer C_AXI_AWUSER_WIDTH = 1, // Width of AWUSER signals. // Range: >= 1. parameter integer C_AXI_ARUSER_WIDTH = 1, // Width of ARUSER signals. // Range: >= 1. parameter integer C_AXI_WUSER_WIDTH = 1, // Width of WUSER signals. // Range: >= 1. parameter integer C_AXI_RUSER_WIDTH = 1, // Width of RUSER signals. // Range: >= 1. parameter integer C_AXI_BUSER_WIDTH = 1 // Width of BUSER signals. // Range: >= 1. ) ( // Global Signals input wire ACLK, input wire ARESETN, // Slave Interface Write Address Ports input wire [C_AXI_ID_WIDTH-1:0] S_AXI_AWID, input wire [C_AXI_ADDR_WIDTH-1:0] S_AXI_AWADDR, input wire [4-1:0] S_AXI_AWLEN, input wire [3-1:0] S_AXI_AWSIZE, input wire [2-1:0] S_AXI_AWBURST, input wire [2-1:0] S_AXI_AWLOCK, input wire [4-1:0] S_AXI_AWCACHE, input wire [3-1:0] S_AXI_AWPROT, input wire [C_AXI_AWUSER_WIDTH-1:0] S_AXI_AWUSER, input wire S_AXI_AWVALID, output wire S_AXI_AWREADY, // Slave Interface Write Data Ports input wire [C_AXI_ID_WIDTH-1:0] S_AXI_WID, input wire [C_AXI_DATA_WIDTH-1:0] S_AXI_WDATA, input wire [C_AXI_DATA_WIDTH/8-1:0] S_AXI_WSTRB, input wire S_AXI_WLAST, input wire [C_AXI_WUSER_WIDTH-1:0] S_AXI_WUSER, input wire S_AXI_WVALID, output wire S_AXI_WREADY, // Slave Interface Write Response Ports output wire [C_AXI_ID_WIDTH-1:0] S_AXI_BID, output wire [2-1:0] S_AXI_BRESP, output wire [C_AXI_BUSER_WIDTH-1:0] S_AXI_BUSER, output wire S_AXI_BVALID, input wire S_AXI_BREADY, // Slave Interface Read Address Ports input wire [C_AXI_ID_WIDTH-1:0] S_AXI_ARID, input wire [C_AXI_ADDR_WIDTH-1:0] S_AXI_ARADDR, input wire [4-1:0] S_AXI_ARLEN, input wire [3-1:0] S_AXI_ARSIZE, input wire [2-1:0] S_AXI_ARBURST, input wire [2-1:0] S_AXI_ARLOCK, input wire [4-1:0] S_AXI_ARCACHE, input wire [3-1:0] S_AXI_ARPROT, input wire [C_AXI_ARUSER_WIDTH-1:0] S_AXI_ARUSER, input wire S_AXI_ARVALID, output wire S_AXI_ARREADY, // Slave Interface Read Data Ports output wire [C_AXI_ID_WIDTH-1:0] S_AXI_RID, output wire [C_AXI_DATA_WIDTH-1:0] S_AXI_RDATA, output wire [2-1:0] S_AXI_RRESP, output wire S_AXI_RLAST, output wire [C_AXI_RUSER_WIDTH-1:0] S_AXI_RUSER, output wire S_AXI_RVALID, input wire S_AXI_RREADY, // Master Interface Write Address Port output wire [C_AXI_ID_WIDTH-1:0] M_AXI_AWID, output wire [C_AXI_ADDR_WIDTH-1:0] M_AXI_AWADDR, output wire [4-1:0] M_AXI_AWLEN, output wire [3-1:0] M_AXI_AWSIZE, output wire [2-1:0] M_AXI_AWBURST, output wire [2-1:0] M_AXI_AWLOCK, output wire [4-1:0] M_AXI_AWCACHE, output wire [3-1:0] M_AXI_AWPROT, output wire [C_AXI_AWUSER_WIDTH-1:0] M_AXI_AWUSER, output wire M_AXI_AWVALID, input wire M_AXI_AWREADY, // Master Interface Write Data Ports output wire [C_AXI_ID_WIDTH-1:0] M_AXI_WID, output wire [C_AXI_DATA_WIDTH-1:0] M_AXI_WDATA, output wire [C_AXI_DATA_WIDTH/8-1:0] M_AXI_WSTRB, output wire M_AXI_WLAST, output wire [C_AXI_WUSER_WIDTH-1:0] M_AXI_WUSER, output wire M_AXI_WVALID, input wire M_AXI_WREADY, // Master Interface Write Response Ports input wire [C_AXI_ID_WIDTH-1:0] M_AXI_BID, input wire [2-1:0] M_AXI_BRESP, input wire [C_AXI_BUSER_WIDTH-1:0] M_AXI_BUSER, input wire M_AXI_BVALID, output wire M_AXI_BREADY, // Master Interface Read Address Port output wire [C_AXI_ID_WIDTH-1:0] M_AXI_ARID, output wire [C_AXI_ADDR_WIDTH-1:0] M_AXI_ARADDR, output wire [4-1:0] M_AXI_ARLEN, output wire [3-1:0] M_AXI_ARSIZE, output wire [2-1:0] M_AXI_ARBURST, output wire [2-1:0] M_AXI_ARLOCK, output wire [4-1:0] M_AXI_ARCACHE, output wire [3-1:0] M_AXI_ARPROT, output wire [C_AXI_ARUSER_WIDTH-1:0] M_AXI_ARUSER, output wire M_AXI_ARVALID, input wire M_AXI_ARREADY, // Master Interface Read Data Ports input wire [C_AXI_ID_WIDTH-1:0] M_AXI_RID, input wire [C_AXI_DATA_WIDTH-1:0] M_AXI_RDATA, input wire [2-1:0] M_AXI_RRESP, input wire M_AXI_RLAST, input wire [C_AXI_RUSER_WIDTH-1:0] M_AXI_RUSER, input wire M_AXI_RVALID, output wire M_AXI_RREADY, output wire ERROR_TRIGGER, output wire [C_AXI_ID_WIDTH-1:0] ERROR_TRANSACTION_ID ); ///////////////////////////////////////////////////////////////////////////// // Functions ///////////////////////////////////////////////////////////////////////////// ///////////////////////////////////////////////////////////////////////////// // Local params ///////////////////////////////////////////////////////////////////////////// localparam C_FIFO_DEPTH_LOG = 4; ///////////////////////////////////////////////////////////////////////////// // Internal signals ///////////////////////////////////////////////////////////////////////////// // Internal reset. reg ARESET; // AW->W command queue signals. wire cmd_w_valid; wire cmd_w_check; wire [C_AXI_ID_WIDTH-1:0] cmd_w_id; wire cmd_w_ready; // W->B command queue signals. wire cmd_b_push; wire cmd_b_error; wire [C_AXI_ID_WIDTH-1:0] cmd_b_id; wire cmd_b_full; wire [C_FIFO_DEPTH_LOG-1:0] cmd_b_addr; wire cmd_b_ready; ///////////////////////////////////////////////////////////////////////////// // Handle Internal Reset ///////////////////////////////////////////////////////////////////////////// always @ (posedge ACLK) begin ARESET <= !ARESETN; end ///////////////////////////////////////////////////////////////////////////// // Handle Write Channels (AW/W/B) ///////////////////////////////////////////////////////////////////////////// // Write Address Channel. processing_system7_v5_5_aw_atc # ( .C_FAMILY (C_FAMILY), .C_AXI_ID_WIDTH (C_AXI_ID_WIDTH), .C_AXI_ADDR_WIDTH (C_AXI_ADDR_WIDTH), .C_AXI_AWUSER_WIDTH (C_AXI_AWUSER_WIDTH), .C_FIFO_DEPTH_LOG (C_FIFO_DEPTH_LOG) ) write_addr_inst ( // Global Signals .ARESET (ARESET), .ACLK (ACLK), // Command Interface (Out) .cmd_w_valid (cmd_w_valid), .cmd_w_check (cmd_w_check), .cmd_w_id (cmd_w_id), .cmd_w_ready (cmd_w_ready), .cmd_b_addr (cmd_b_addr), .cmd_b_ready (cmd_b_ready), // Slave Interface Write Address Ports .S_AXI_AWID (S_AXI_AWID), .S_AXI_AWADDR (S_AXI_AWADDR), .S_AXI_AWLEN (S_AXI_AWLEN), .S_AXI_AWSIZE (S_AXI_AWSIZE), .S_AXI_AWBURST (S_AXI_AWBURST), .S_AXI_AWLOCK (S_AXI_AWLOCK), .S_AXI_AWCACHE (S_AXI_AWCACHE), .S_AXI_AWPROT (S_AXI_AWPROT), .S_AXI_AWUSER (S_AXI_AWUSER), .S_AXI_AWVALID (S_AXI_AWVALID), .S_AXI_AWREADY (S_AXI_AWREADY), // Master Interface Write Address Port .M_AXI_AWID (M_AXI_AWID), .M_AXI_AWADDR (M_AXI_AWADDR), .M_AXI_AWLEN (M_AXI_AWLEN), .M_AXI_AWSIZE (M_AXI_AWSIZE), .M_AXI_AWBURST (M_AXI_AWBURST), .M_AXI_AWLOCK (M_AXI_AWLOCK), .M_AXI_AWCACHE (M_AXI_AWCACHE), .M_AXI_AWPROT (M_AXI_AWPROT), .M_AXI_AWUSER (M_AXI_AWUSER), .M_AXI_AWVALID (M_AXI_AWVALID), .M_AXI_AWREADY (M_AXI_AWREADY) ); // Write Data channel. processing_system7_v5_5_w_atc # ( .C_FAMILY (C_FAMILY), .C_AXI_ID_WIDTH (C_AXI_ID_WIDTH), .C_AXI_DATA_WIDTH (C_AXI_DATA_WIDTH), .C_AXI_WUSER_WIDTH (C_AXI_WUSER_WIDTH) ) write_data_inst ( // Global Signals .ARESET (ARESET), .ACLK (ACLK), // Command Interface (In) .cmd_w_valid (cmd_w_valid), .cmd_w_check (cmd_w_check), .cmd_w_id (cmd_w_id), .cmd_w_ready (cmd_w_ready), // Command Interface (Out) .cmd_b_push (cmd_b_push), .cmd_b_error (cmd_b_error), .cmd_b_id (cmd_b_id), .cmd_b_full (cmd_b_full), // Slave Interface Write Data Ports .S_AXI_WID (S_AXI_WID), .S_AXI_WDATA (S_AXI_WDATA), .S_AXI_WSTRB (S_AXI_WSTRB), .S_AXI_WLAST (S_AXI_WLAST), .S_AXI_WUSER (S_AXI_WUSER), .S_AXI_WVALID (S_AXI_WVALID), .S_AXI_WREADY (S_AXI_WREADY), // Master Interface Write Data Ports .M_AXI_WID (M_AXI_WID), .M_AXI_WDATA (M_AXI_WDATA), .M_AXI_WSTRB (M_AXI_WSTRB), .M_AXI_WLAST (M_AXI_WLAST), .M_AXI_WUSER (M_AXI_WUSER), .M_AXI_WVALID (M_AXI_WVALID), .M_AXI_WREADY (M_AXI_WREADY) ); // Write Response channel. processing_system7_v5_5_b_atc # ( .C_FAMILY (C_FAMILY), .C_AXI_ID_WIDTH (C_AXI_ID_WIDTH), .C_AXI_BUSER_WIDTH (C_AXI_BUSER_WIDTH), .C_FIFO_DEPTH_LOG (C_FIFO_DEPTH_LOG) ) write_response_inst ( // Global Signals .ARESET (ARESET), .ACLK (ACLK), // Command Interface (In) .cmd_b_push (cmd_b_push), .cmd_b_error (cmd_b_error), .cmd_b_id (cmd_b_id), .cmd_b_full (cmd_b_full), .cmd_b_addr (cmd_b_addr), .cmd_b_ready (cmd_b_ready), // Slave Interface Write Response Ports .S_AXI_BID (S_AXI_BID), .S_AXI_BRESP (S_AXI_BRESP), .S_AXI_BUSER (S_AXI_BUSER), .S_AXI_BVALID (S_AXI_BVALID), .S_AXI_BREADY (S_AXI_BREADY), // Master Interface Write Response Ports .M_AXI_BID (M_AXI_BID), .M_AXI_BRESP (M_AXI_BRESP), .M_AXI_BUSER (M_AXI_BUSER), .M_AXI_BVALID (M_AXI_BVALID), .M_AXI_BREADY (M_AXI_BREADY), // Trigger detection .ERROR_TRIGGER (ERROR_TRIGGER), .ERROR_TRANSACTION_ID (ERROR_TRANSACTION_ID) ); ///////////////////////////////////////////////////////////////////////////// // Handle Read Channels (AR/R) ///////////////////////////////////////////////////////////////////////////// // Read Address Port assign M_AXI_ARID = S_AXI_ARID; assign M_AXI_ARADDR = S_AXI_ARADDR; assign M_AXI_ARLEN = S_AXI_ARLEN; assign M_AXI_ARSIZE = S_AXI_ARSIZE; assign M_AXI_ARBURST = S_AXI_ARBURST; assign M_AXI_ARLOCK = S_AXI_ARLOCK; assign M_AXI_ARCACHE = S_AXI_ARCACHE; assign M_AXI_ARPROT = S_AXI_ARPROT; assign M_AXI_ARUSER = S_AXI_ARUSER; assign M_AXI_ARVALID = S_AXI_ARVALID; assign S_AXI_ARREADY = M_AXI_ARREADY; // Read Data Port assign S_AXI_RID = M_AXI_RID; assign S_AXI_RDATA = M_AXI_RDATA; assign S_AXI_RRESP = M_AXI_RRESP; assign S_AXI_RLAST = M_AXI_RLAST; assign S_AXI_RUSER = M_AXI_RUSER; assign S_AXI_RVALID = M_AXI_RVALID; assign M_AXI_RREADY = S_AXI_RREADY; endmodule
module processing_system7_v5_5_atc # ( parameter C_FAMILY = "rtl", // FPGA Family. Current version: virtex6, spartan6 or later. parameter integer C_AXI_ID_WIDTH = 4, // Width of all ID signals on SI and MI side of checker. // Range: >= 1. parameter integer C_AXI_ADDR_WIDTH = 32, // Width of all ADDR signals on SI and MI side of checker. // Range: 32. parameter integer C_AXI_DATA_WIDTH = 64, // Width of all DATA signals on SI and MI side of checker. // Range: 64. parameter integer C_AXI_AWUSER_WIDTH = 1, // Width of AWUSER signals. // Range: >= 1. parameter integer C_AXI_ARUSER_WIDTH = 1, // Width of ARUSER signals. // Range: >= 1. parameter integer C_AXI_WUSER_WIDTH = 1, // Width of WUSER signals. // Range: >= 1. parameter integer C_AXI_RUSER_WIDTH = 1, // Width of RUSER signals. // Range: >= 1. parameter integer C_AXI_BUSER_WIDTH = 1 // Width of BUSER signals. // Range: >= 1. ) ( // Global Signals input wire ACLK, input wire ARESETN, // Slave Interface Write Address Ports input wire [C_AXI_ID_WIDTH-1:0] S_AXI_AWID, input wire [C_AXI_ADDR_WIDTH-1:0] S_AXI_AWADDR, input wire [4-1:0] S_AXI_AWLEN, input wire [3-1:0] S_AXI_AWSIZE, input wire [2-1:0] S_AXI_AWBURST, input wire [2-1:0] S_AXI_AWLOCK, input wire [4-1:0] S_AXI_AWCACHE, input wire [3-1:0] S_AXI_AWPROT, input wire [C_AXI_AWUSER_WIDTH-1:0] S_AXI_AWUSER, input wire S_AXI_AWVALID, output wire S_AXI_AWREADY, // Slave Interface Write Data Ports input wire [C_AXI_ID_WIDTH-1:0] S_AXI_WID, input wire [C_AXI_DATA_WIDTH-1:0] S_AXI_WDATA, input wire [C_AXI_DATA_WIDTH/8-1:0] S_AXI_WSTRB, input wire S_AXI_WLAST, input wire [C_AXI_WUSER_WIDTH-1:0] S_AXI_WUSER, input wire S_AXI_WVALID, output wire S_AXI_WREADY, // Slave Interface Write Response Ports output wire [C_AXI_ID_WIDTH-1:0] S_AXI_BID, output wire [2-1:0] S_AXI_BRESP, output wire [C_AXI_BUSER_WIDTH-1:0] S_AXI_BUSER, output wire S_AXI_BVALID, input wire S_AXI_BREADY, // Slave Interface Read Address Ports input wire [C_AXI_ID_WIDTH-1:0] S_AXI_ARID, input wire [C_AXI_ADDR_WIDTH-1:0] S_AXI_ARADDR, input wire [4-1:0] S_AXI_ARLEN, input wire [3-1:0] S_AXI_ARSIZE, input wire [2-1:0] S_AXI_ARBURST, input wire [2-1:0] S_AXI_ARLOCK, input wire [4-1:0] S_AXI_ARCACHE, input wire [3-1:0] S_AXI_ARPROT, input wire [C_AXI_ARUSER_WIDTH-1:0] S_AXI_ARUSER, input wire S_AXI_ARVALID, output wire S_AXI_ARREADY, // Slave Interface Read Data Ports output wire [C_AXI_ID_WIDTH-1:0] S_AXI_RID, output wire [C_AXI_DATA_WIDTH-1:0] S_AXI_RDATA, output wire [2-1:0] S_AXI_RRESP, output wire S_AXI_RLAST, output wire [C_AXI_RUSER_WIDTH-1:0] S_AXI_RUSER, output wire S_AXI_RVALID, input wire S_AXI_RREADY, // Master Interface Write Address Port output wire [C_AXI_ID_WIDTH-1:0] M_AXI_AWID, output wire [C_AXI_ADDR_WIDTH-1:0] M_AXI_AWADDR, output wire [4-1:0] M_AXI_AWLEN, output wire [3-1:0] M_AXI_AWSIZE, output wire [2-1:0] M_AXI_AWBURST, output wire [2-1:0] M_AXI_AWLOCK, output wire [4-1:0] M_AXI_AWCACHE, output wire [3-1:0] M_AXI_AWPROT, output wire [C_AXI_AWUSER_WIDTH-1:0] M_AXI_AWUSER, output wire M_AXI_AWVALID, input wire M_AXI_AWREADY, // Master Interface Write Data Ports output wire [C_AXI_ID_WIDTH-1:0] M_AXI_WID, output wire [C_AXI_DATA_WIDTH-1:0] M_AXI_WDATA, output wire [C_AXI_DATA_WIDTH/8-1:0] M_AXI_WSTRB, output wire M_AXI_WLAST, output wire [C_AXI_WUSER_WIDTH-1:0] M_AXI_WUSER, output wire M_AXI_WVALID, input wire M_AXI_WREADY, // Master Interface Write Response Ports input wire [C_AXI_ID_WIDTH-1:0] M_AXI_BID, input wire [2-1:0] M_AXI_BRESP, input wire [C_AXI_BUSER_WIDTH-1:0] M_AXI_BUSER, input wire M_AXI_BVALID, output wire M_AXI_BREADY, // Master Interface Read Address Port output wire [C_AXI_ID_WIDTH-1:0] M_AXI_ARID, output wire [C_AXI_ADDR_WIDTH-1:0] M_AXI_ARADDR, output wire [4-1:0] M_AXI_ARLEN, output wire [3-1:0] M_AXI_ARSIZE, output wire [2-1:0] M_AXI_ARBURST, output wire [2-1:0] M_AXI_ARLOCK, output wire [4-1:0] M_AXI_ARCACHE, output wire [3-1:0] M_AXI_ARPROT, output wire [C_AXI_ARUSER_WIDTH-1:0] M_AXI_ARUSER, output wire M_AXI_ARVALID, input wire M_AXI_ARREADY, // Master Interface Read Data Ports input wire [C_AXI_ID_WIDTH-1:0] M_AXI_RID, input wire [C_AXI_DATA_WIDTH-1:0] M_AXI_RDATA, input wire [2-1:0] M_AXI_RRESP, input wire M_AXI_RLAST, input wire [C_AXI_RUSER_WIDTH-1:0] M_AXI_RUSER, input wire M_AXI_RVALID, output wire M_AXI_RREADY, output wire ERROR_TRIGGER, output wire [C_AXI_ID_WIDTH-1:0] ERROR_TRANSACTION_ID ); ///////////////////////////////////////////////////////////////////////////// // Functions ///////////////////////////////////////////////////////////////////////////// ///////////////////////////////////////////////////////////////////////////// // Local params ///////////////////////////////////////////////////////////////////////////// localparam C_FIFO_DEPTH_LOG = 4; ///////////////////////////////////////////////////////////////////////////// // Internal signals ///////////////////////////////////////////////////////////////////////////// // Internal reset. reg ARESET; // AW->W command queue signals. wire cmd_w_valid; wire cmd_w_check; wire [C_AXI_ID_WIDTH-1:0] cmd_w_id; wire cmd_w_ready; // W->B command queue signals. wire cmd_b_push; wire cmd_b_error; wire [C_AXI_ID_WIDTH-1:0] cmd_b_id; wire cmd_b_full; wire [C_FIFO_DEPTH_LOG-1:0] cmd_b_addr; wire cmd_b_ready; ///////////////////////////////////////////////////////////////////////////// // Handle Internal Reset ///////////////////////////////////////////////////////////////////////////// always @ (posedge ACLK) begin ARESET <= !ARESETN; end ///////////////////////////////////////////////////////////////////////////// // Handle Write Channels (AW/W/B) ///////////////////////////////////////////////////////////////////////////// // Write Address Channel. processing_system7_v5_5_aw_atc # ( .C_FAMILY (C_FAMILY), .C_AXI_ID_WIDTH (C_AXI_ID_WIDTH), .C_AXI_ADDR_WIDTH (C_AXI_ADDR_WIDTH), .C_AXI_AWUSER_WIDTH (C_AXI_AWUSER_WIDTH), .C_FIFO_DEPTH_LOG (C_FIFO_DEPTH_LOG) ) write_addr_inst ( // Global Signals .ARESET (ARESET), .ACLK (ACLK), // Command Interface (Out) .cmd_w_valid (cmd_w_valid), .cmd_w_check (cmd_w_check), .cmd_w_id (cmd_w_id), .cmd_w_ready (cmd_w_ready), .cmd_b_addr (cmd_b_addr), .cmd_b_ready (cmd_b_ready), // Slave Interface Write Address Ports .S_AXI_AWID (S_AXI_AWID), .S_AXI_AWADDR (S_AXI_AWADDR), .S_AXI_AWLEN (S_AXI_AWLEN), .S_AXI_AWSIZE (S_AXI_AWSIZE), .S_AXI_AWBURST (S_AXI_AWBURST), .S_AXI_AWLOCK (S_AXI_AWLOCK), .S_AXI_AWCACHE (S_AXI_AWCACHE), .S_AXI_AWPROT (S_AXI_AWPROT), .S_AXI_AWUSER (S_AXI_AWUSER), .S_AXI_AWVALID (S_AXI_AWVALID), .S_AXI_AWREADY (S_AXI_AWREADY), // Master Interface Write Address Port .M_AXI_AWID (M_AXI_AWID), .M_AXI_AWADDR (M_AXI_AWADDR), .M_AXI_AWLEN (M_AXI_AWLEN), .M_AXI_AWSIZE (M_AXI_AWSIZE), .M_AXI_AWBURST (M_AXI_AWBURST), .M_AXI_AWLOCK (M_AXI_AWLOCK), .M_AXI_AWCACHE (M_AXI_AWCACHE), .M_AXI_AWPROT (M_AXI_AWPROT), .M_AXI_AWUSER (M_AXI_AWUSER), .M_AXI_AWVALID (M_AXI_AWVALID), .M_AXI_AWREADY (M_AXI_AWREADY) ); // Write Data channel. processing_system7_v5_5_w_atc # ( .C_FAMILY (C_FAMILY), .C_AXI_ID_WIDTH (C_AXI_ID_WIDTH), .C_AXI_DATA_WIDTH (C_AXI_DATA_WIDTH), .C_AXI_WUSER_WIDTH (C_AXI_WUSER_WIDTH) ) write_data_inst ( // Global Signals .ARESET (ARESET), .ACLK (ACLK), // Command Interface (In) .cmd_w_valid (cmd_w_valid), .cmd_w_check (cmd_w_check), .cmd_w_id (cmd_w_id), .cmd_w_ready (cmd_w_ready), // Command Interface (Out) .cmd_b_push (cmd_b_push), .cmd_b_error (cmd_b_error), .cmd_b_id (cmd_b_id), .cmd_b_full (cmd_b_full), // Slave Interface Write Data Ports .S_AXI_WID (S_AXI_WID), .S_AXI_WDATA (S_AXI_WDATA), .S_AXI_WSTRB (S_AXI_WSTRB), .S_AXI_WLAST (S_AXI_WLAST), .S_AXI_WUSER (S_AXI_WUSER), .S_AXI_WVALID (S_AXI_WVALID), .S_AXI_WREADY (S_AXI_WREADY), // Master Interface Write Data Ports .M_AXI_WID (M_AXI_WID), .M_AXI_WDATA (M_AXI_WDATA), .M_AXI_WSTRB (M_AXI_WSTRB), .M_AXI_WLAST (M_AXI_WLAST), .M_AXI_WUSER (M_AXI_WUSER), .M_AXI_WVALID (M_AXI_WVALID), .M_AXI_WREADY (M_AXI_WREADY) ); // Write Response channel. processing_system7_v5_5_b_atc # ( .C_FAMILY (C_FAMILY), .C_AXI_ID_WIDTH (C_AXI_ID_WIDTH), .C_AXI_BUSER_WIDTH (C_AXI_BUSER_WIDTH), .C_FIFO_DEPTH_LOG (C_FIFO_DEPTH_LOG) ) write_response_inst ( // Global Signals .ARESET (ARESET), .ACLK (ACLK), // Command Interface (In) .cmd_b_push (cmd_b_push), .cmd_b_error (cmd_b_error), .cmd_b_id (cmd_b_id), .cmd_b_full (cmd_b_full), .cmd_b_addr (cmd_b_addr), .cmd_b_ready (cmd_b_ready), // Slave Interface Write Response Ports .S_AXI_BID (S_AXI_BID), .S_AXI_BRESP (S_AXI_BRESP), .S_AXI_BUSER (S_AXI_BUSER), .S_AXI_BVALID (S_AXI_BVALID), .S_AXI_BREADY (S_AXI_BREADY), // Master Interface Write Response Ports .M_AXI_BID (M_AXI_BID), .M_AXI_BRESP (M_AXI_BRESP), .M_AXI_BUSER (M_AXI_BUSER), .M_AXI_BVALID (M_AXI_BVALID), .M_AXI_BREADY (M_AXI_BREADY), // Trigger detection .ERROR_TRIGGER (ERROR_TRIGGER), .ERROR_TRANSACTION_ID (ERROR_TRANSACTION_ID) ); ///////////////////////////////////////////////////////////////////////////// // Handle Read Channels (AR/R) ///////////////////////////////////////////////////////////////////////////// // Read Address Port assign M_AXI_ARID = S_AXI_ARID; assign M_AXI_ARADDR = S_AXI_ARADDR; assign M_AXI_ARLEN = S_AXI_ARLEN; assign M_AXI_ARSIZE = S_AXI_ARSIZE; assign M_AXI_ARBURST = S_AXI_ARBURST; assign M_AXI_ARLOCK = S_AXI_ARLOCK; assign M_AXI_ARCACHE = S_AXI_ARCACHE; assign M_AXI_ARPROT = S_AXI_ARPROT; assign M_AXI_ARUSER = S_AXI_ARUSER; assign M_AXI_ARVALID = S_AXI_ARVALID; assign S_AXI_ARREADY = M_AXI_ARREADY; // Read Data Port assign S_AXI_RID = M_AXI_RID; assign S_AXI_RDATA = M_AXI_RDATA; assign S_AXI_RRESP = M_AXI_RRESP; assign S_AXI_RLAST = M_AXI_RLAST; assign S_AXI_RUSER = M_AXI_RUSER; assign S_AXI_RVALID = M_AXI_RVALID; assign M_AXI_RREADY = S_AXI_RREADY; endmodule
module processing_system7_v5_5_atc # ( parameter C_FAMILY = "rtl", // FPGA Family. Current version: virtex6, spartan6 or later. parameter integer C_AXI_ID_WIDTH = 4, // Width of all ID signals on SI and MI side of checker. // Range: >= 1. parameter integer C_AXI_ADDR_WIDTH = 32, // Width of all ADDR signals on SI and MI side of checker. // Range: 32. parameter integer C_AXI_DATA_WIDTH = 64, // Width of all DATA signals on SI and MI side of checker. // Range: 64. parameter integer C_AXI_AWUSER_WIDTH = 1, // Width of AWUSER signals. // Range: >= 1. parameter integer C_AXI_ARUSER_WIDTH = 1, // Width of ARUSER signals. // Range: >= 1. parameter integer C_AXI_WUSER_WIDTH = 1, // Width of WUSER signals. // Range: >= 1. parameter integer C_AXI_RUSER_WIDTH = 1, // Width of RUSER signals. // Range: >= 1. parameter integer C_AXI_BUSER_WIDTH = 1 // Width of BUSER signals. // Range: >= 1. ) ( // Global Signals input wire ACLK, input wire ARESETN, // Slave Interface Write Address Ports input wire [C_AXI_ID_WIDTH-1:0] S_AXI_AWID, input wire [C_AXI_ADDR_WIDTH-1:0] S_AXI_AWADDR, input wire [4-1:0] S_AXI_AWLEN, input wire [3-1:0] S_AXI_AWSIZE, input wire [2-1:0] S_AXI_AWBURST, input wire [2-1:0] S_AXI_AWLOCK, input wire [4-1:0] S_AXI_AWCACHE, input wire [3-1:0] S_AXI_AWPROT, input wire [C_AXI_AWUSER_WIDTH-1:0] S_AXI_AWUSER, input wire S_AXI_AWVALID, output wire S_AXI_AWREADY, // Slave Interface Write Data Ports input wire [C_AXI_ID_WIDTH-1:0] S_AXI_WID, input wire [C_AXI_DATA_WIDTH-1:0] S_AXI_WDATA, input wire [C_AXI_DATA_WIDTH/8-1:0] S_AXI_WSTRB, input wire S_AXI_WLAST, input wire [C_AXI_WUSER_WIDTH-1:0] S_AXI_WUSER, input wire S_AXI_WVALID, output wire S_AXI_WREADY, // Slave Interface Write Response Ports output wire [C_AXI_ID_WIDTH-1:0] S_AXI_BID, output wire [2-1:0] S_AXI_BRESP, output wire [C_AXI_BUSER_WIDTH-1:0] S_AXI_BUSER, output wire S_AXI_BVALID, input wire S_AXI_BREADY, // Slave Interface Read Address Ports input wire [C_AXI_ID_WIDTH-1:0] S_AXI_ARID, input wire [C_AXI_ADDR_WIDTH-1:0] S_AXI_ARADDR, input wire [4-1:0] S_AXI_ARLEN, input wire [3-1:0] S_AXI_ARSIZE, input wire [2-1:0] S_AXI_ARBURST, input wire [2-1:0] S_AXI_ARLOCK, input wire [4-1:0] S_AXI_ARCACHE, input wire [3-1:0] S_AXI_ARPROT, input wire [C_AXI_ARUSER_WIDTH-1:0] S_AXI_ARUSER, input wire S_AXI_ARVALID, output wire S_AXI_ARREADY, // Slave Interface Read Data Ports output wire [C_AXI_ID_WIDTH-1:0] S_AXI_RID, output wire [C_AXI_DATA_WIDTH-1:0] S_AXI_RDATA, output wire [2-1:0] S_AXI_RRESP, output wire S_AXI_RLAST, output wire [C_AXI_RUSER_WIDTH-1:0] S_AXI_RUSER, output wire S_AXI_RVALID, input wire S_AXI_RREADY, // Master Interface Write Address Port output wire [C_AXI_ID_WIDTH-1:0] M_AXI_AWID, output wire [C_AXI_ADDR_WIDTH-1:0] M_AXI_AWADDR, output wire [4-1:0] M_AXI_AWLEN, output wire [3-1:0] M_AXI_AWSIZE, output wire [2-1:0] M_AXI_AWBURST, output wire [2-1:0] M_AXI_AWLOCK, output wire [4-1:0] M_AXI_AWCACHE, output wire [3-1:0] M_AXI_AWPROT, output wire [C_AXI_AWUSER_WIDTH-1:0] M_AXI_AWUSER, output wire M_AXI_AWVALID, input wire M_AXI_AWREADY, // Master Interface Write Data Ports output wire [C_AXI_ID_WIDTH-1:0] M_AXI_WID, output wire [C_AXI_DATA_WIDTH-1:0] M_AXI_WDATA, output wire [C_AXI_DATA_WIDTH/8-1:0] M_AXI_WSTRB, output wire M_AXI_WLAST, output wire [C_AXI_WUSER_WIDTH-1:0] M_AXI_WUSER, output wire M_AXI_WVALID, input wire M_AXI_WREADY, // Master Interface Write Response Ports input wire [C_AXI_ID_WIDTH-1:0] M_AXI_BID, input wire [2-1:0] M_AXI_BRESP, input wire [C_AXI_BUSER_WIDTH-1:0] M_AXI_BUSER, input wire M_AXI_BVALID, output wire M_AXI_BREADY, // Master Interface Read Address Port output wire [C_AXI_ID_WIDTH-1:0] M_AXI_ARID, output wire [C_AXI_ADDR_WIDTH-1:0] M_AXI_ARADDR, output wire [4-1:0] M_AXI_ARLEN, output wire [3-1:0] M_AXI_ARSIZE, output wire [2-1:0] M_AXI_ARBURST, output wire [2-1:0] M_AXI_ARLOCK, output wire [4-1:0] M_AXI_ARCACHE, output wire [3-1:0] M_AXI_ARPROT, output wire [C_AXI_ARUSER_WIDTH-1:0] M_AXI_ARUSER, output wire M_AXI_ARVALID, input wire M_AXI_ARREADY, // Master Interface Read Data Ports input wire [C_AXI_ID_WIDTH-1:0] M_AXI_RID, input wire [C_AXI_DATA_WIDTH-1:0] M_AXI_RDATA, input wire [2-1:0] M_AXI_RRESP, input wire M_AXI_RLAST, input wire [C_AXI_RUSER_WIDTH-1:0] M_AXI_RUSER, input wire M_AXI_RVALID, output wire M_AXI_RREADY, output wire ERROR_TRIGGER, output wire [C_AXI_ID_WIDTH-1:0] ERROR_TRANSACTION_ID ); ///////////////////////////////////////////////////////////////////////////// // Functions ///////////////////////////////////////////////////////////////////////////// ///////////////////////////////////////////////////////////////////////////// // Local params ///////////////////////////////////////////////////////////////////////////// localparam C_FIFO_DEPTH_LOG = 4; ///////////////////////////////////////////////////////////////////////////// // Internal signals ///////////////////////////////////////////////////////////////////////////// // Internal reset. reg ARESET; // AW->W command queue signals. wire cmd_w_valid; wire cmd_w_check; wire [C_AXI_ID_WIDTH-1:0] cmd_w_id; wire cmd_w_ready; // W->B command queue signals. wire cmd_b_push; wire cmd_b_error; wire [C_AXI_ID_WIDTH-1:0] cmd_b_id; wire cmd_b_full; wire [C_FIFO_DEPTH_LOG-1:0] cmd_b_addr; wire cmd_b_ready; ///////////////////////////////////////////////////////////////////////////// // Handle Internal Reset ///////////////////////////////////////////////////////////////////////////// always @ (posedge ACLK) begin ARESET <= !ARESETN; end ///////////////////////////////////////////////////////////////////////////// // Handle Write Channels (AW/W/B) ///////////////////////////////////////////////////////////////////////////// // Write Address Channel. processing_system7_v5_5_aw_atc # ( .C_FAMILY (C_FAMILY), .C_AXI_ID_WIDTH (C_AXI_ID_WIDTH), .C_AXI_ADDR_WIDTH (C_AXI_ADDR_WIDTH), .C_AXI_AWUSER_WIDTH (C_AXI_AWUSER_WIDTH), .C_FIFO_DEPTH_LOG (C_FIFO_DEPTH_LOG) ) write_addr_inst ( // Global Signals .ARESET (ARESET), .ACLK (ACLK), // Command Interface (Out) .cmd_w_valid (cmd_w_valid), .cmd_w_check (cmd_w_check), .cmd_w_id (cmd_w_id), .cmd_w_ready (cmd_w_ready), .cmd_b_addr (cmd_b_addr), .cmd_b_ready (cmd_b_ready), // Slave Interface Write Address Ports .S_AXI_AWID (S_AXI_AWID), .S_AXI_AWADDR (S_AXI_AWADDR), .S_AXI_AWLEN (S_AXI_AWLEN), .S_AXI_AWSIZE (S_AXI_AWSIZE), .S_AXI_AWBURST (S_AXI_AWBURST), .S_AXI_AWLOCK (S_AXI_AWLOCK), .S_AXI_AWCACHE (S_AXI_AWCACHE), .S_AXI_AWPROT (S_AXI_AWPROT), .S_AXI_AWUSER (S_AXI_AWUSER), .S_AXI_AWVALID (S_AXI_AWVALID), .S_AXI_AWREADY (S_AXI_AWREADY), // Master Interface Write Address Port .M_AXI_AWID (M_AXI_AWID), .M_AXI_AWADDR (M_AXI_AWADDR), .M_AXI_AWLEN (M_AXI_AWLEN), .M_AXI_AWSIZE (M_AXI_AWSIZE), .M_AXI_AWBURST (M_AXI_AWBURST), .M_AXI_AWLOCK (M_AXI_AWLOCK), .M_AXI_AWCACHE (M_AXI_AWCACHE), .M_AXI_AWPROT (M_AXI_AWPROT), .M_AXI_AWUSER (M_AXI_AWUSER), .M_AXI_AWVALID (M_AXI_AWVALID), .M_AXI_AWREADY (M_AXI_AWREADY) ); // Write Data channel. processing_system7_v5_5_w_atc # ( .C_FAMILY (C_FAMILY), .C_AXI_ID_WIDTH (C_AXI_ID_WIDTH), .C_AXI_DATA_WIDTH (C_AXI_DATA_WIDTH), .C_AXI_WUSER_WIDTH (C_AXI_WUSER_WIDTH) ) write_data_inst ( // Global Signals .ARESET (ARESET), .ACLK (ACLK), // Command Interface (In) .cmd_w_valid (cmd_w_valid), .cmd_w_check (cmd_w_check), .cmd_w_id (cmd_w_id), .cmd_w_ready (cmd_w_ready), // Command Interface (Out) .cmd_b_push (cmd_b_push), .cmd_b_error (cmd_b_error), .cmd_b_id (cmd_b_id), .cmd_b_full (cmd_b_full), // Slave Interface Write Data Ports .S_AXI_WID (S_AXI_WID), .S_AXI_WDATA (S_AXI_WDATA), .S_AXI_WSTRB (S_AXI_WSTRB), .S_AXI_WLAST (S_AXI_WLAST), .S_AXI_WUSER (S_AXI_WUSER), .S_AXI_WVALID (S_AXI_WVALID), .S_AXI_WREADY (S_AXI_WREADY), // Master Interface Write Data Ports .M_AXI_WID (M_AXI_WID), .M_AXI_WDATA (M_AXI_WDATA), .M_AXI_WSTRB (M_AXI_WSTRB), .M_AXI_WLAST (M_AXI_WLAST), .M_AXI_WUSER (M_AXI_WUSER), .M_AXI_WVALID (M_AXI_WVALID), .M_AXI_WREADY (M_AXI_WREADY) ); // Write Response channel. processing_system7_v5_5_b_atc # ( .C_FAMILY (C_FAMILY), .C_AXI_ID_WIDTH (C_AXI_ID_WIDTH), .C_AXI_BUSER_WIDTH (C_AXI_BUSER_WIDTH), .C_FIFO_DEPTH_LOG (C_FIFO_DEPTH_LOG) ) write_response_inst ( // Global Signals .ARESET (ARESET), .ACLK (ACLK), // Command Interface (In) .cmd_b_push (cmd_b_push), .cmd_b_error (cmd_b_error), .cmd_b_id (cmd_b_id), .cmd_b_full (cmd_b_full), .cmd_b_addr (cmd_b_addr), .cmd_b_ready (cmd_b_ready), // Slave Interface Write Response Ports .S_AXI_BID (S_AXI_BID), .S_AXI_BRESP (S_AXI_BRESP), .S_AXI_BUSER (S_AXI_BUSER), .S_AXI_BVALID (S_AXI_BVALID), .S_AXI_BREADY (S_AXI_BREADY), // Master Interface Write Response Ports .M_AXI_BID (M_AXI_BID), .M_AXI_BRESP (M_AXI_BRESP), .M_AXI_BUSER (M_AXI_BUSER), .M_AXI_BVALID (M_AXI_BVALID), .M_AXI_BREADY (M_AXI_BREADY), // Trigger detection .ERROR_TRIGGER (ERROR_TRIGGER), .ERROR_TRANSACTION_ID (ERROR_TRANSACTION_ID) ); ///////////////////////////////////////////////////////////////////////////// // Handle Read Channels (AR/R) ///////////////////////////////////////////////////////////////////////////// // Read Address Port assign M_AXI_ARID = S_AXI_ARID; assign M_AXI_ARADDR = S_AXI_ARADDR; assign M_AXI_ARLEN = S_AXI_ARLEN; assign M_AXI_ARSIZE = S_AXI_ARSIZE; assign M_AXI_ARBURST = S_AXI_ARBURST; assign M_AXI_ARLOCK = S_AXI_ARLOCK; assign M_AXI_ARCACHE = S_AXI_ARCACHE; assign M_AXI_ARPROT = S_AXI_ARPROT; assign M_AXI_ARUSER = S_AXI_ARUSER; assign M_AXI_ARVALID = S_AXI_ARVALID; assign S_AXI_ARREADY = M_AXI_ARREADY; // Read Data Port assign S_AXI_RID = M_AXI_RID; assign S_AXI_RDATA = M_AXI_RDATA; assign S_AXI_RRESP = M_AXI_RRESP; assign S_AXI_RLAST = M_AXI_RLAST; assign S_AXI_RUSER = M_AXI_RUSER; assign S_AXI_RVALID = M_AXI_RVALID; assign M_AXI_RREADY = S_AXI_RREADY; endmodule
module altera_avalon_sc_fifo #( // -------------------------------------------------- // Parameters // -------------------------------------------------- parameter SYMBOLS_PER_BEAT = 1, parameter BITS_PER_SYMBOL = 8, parameter FIFO_DEPTH = 16, parameter CHANNEL_WIDTH = 0, parameter ERROR_WIDTH = 0, parameter USE_PACKETS = 0, parameter USE_FILL_LEVEL = 0, parameter USE_STORE_FORWARD = 0, parameter USE_ALMOST_FULL_IF = 0, parameter USE_ALMOST_EMPTY_IF = 0, // -------------------------------------------------- // Empty latency is defined as the number of cycles // required for a write to deassert the empty flag. // For example, a latency of 1 means that the empty // flag is deasserted on the cycle after a write. // // Another way to think of it is the latency for a // write to propagate to the output. // // An empty latency of 0 implies lookahead, which is // only implemented for the register-based FIFO. // -------------------------------------------------- parameter EMPTY_LATENCY = 3, parameter USE_MEMORY_BLOCKS = 1, // -------------------------------------------------- // Internal Parameters // -------------------------------------------------- parameter DATA_WIDTH = SYMBOLS_PER_BEAT * BITS_PER_SYMBOL, parameter EMPTY_WIDTH = log2ceil(SYMBOLS_PER_BEAT) ) ( // -------------------------------------------------- // Ports // -------------------------------------------------- input clk, input reset, input [DATA_WIDTH-1: 0] in_data, input in_valid, input in_startofpacket, input in_endofpacket, input [((EMPTY_WIDTH>0) ? (EMPTY_WIDTH-1):0) : 0] in_empty, input [((ERROR_WIDTH>0) ? (ERROR_WIDTH-1):0) : 0] in_error, input [((CHANNEL_WIDTH>0) ? (CHANNEL_WIDTH-1):0): 0] in_channel, output in_ready, output [DATA_WIDTH-1 : 0] out_data, output reg out_valid, output out_startofpacket, output out_endofpacket, output [((EMPTY_WIDTH>0) ? (EMPTY_WIDTH-1):0) : 0] out_empty, output [((ERROR_WIDTH>0) ? (ERROR_WIDTH-1):0) : 0] out_error, output [((CHANNEL_WIDTH>0) ? (CHANNEL_WIDTH-1):0): 0] out_channel, input out_ready, input [(USE_STORE_FORWARD ? 2 : 1) : 0] csr_address, input csr_write, input csr_read, input [31 : 0] csr_writedata, output reg [31 : 0] csr_readdata, output wire almost_full_data, output wire almost_empty_data ); // -------------------------------------------------- // Local Parameters // -------------------------------------------------- localparam ADDR_WIDTH = log2ceil(FIFO_DEPTH); localparam DEPTH = FIFO_DEPTH; localparam PKT_SIGNALS_WIDTH = 2 + EMPTY_WIDTH; localparam PAYLOAD_WIDTH = (USE_PACKETS == 1) ? 2 + EMPTY_WIDTH + DATA_WIDTH + ERROR_WIDTH + CHANNEL_WIDTH: DATA_WIDTH + ERROR_WIDTH + CHANNEL_WIDTH; // -------------------------------------------------- // Internal Signals // -------------------------------------------------- genvar i; reg [PAYLOAD_WIDTH-1 : 0] mem [DEPTH-1 : 0]; reg [ADDR_WIDTH-1 : 0] wr_ptr; reg [ADDR_WIDTH-1 : 0] rd_ptr; reg [DEPTH-1 : 0] mem_used; wire [ADDR_WIDTH-1 : 0] next_wr_ptr; wire [ADDR_WIDTH-1 : 0] next_rd_ptr; wire [ADDR_WIDTH-1 : 0] incremented_wr_ptr; wire [ADDR_WIDTH-1 : 0] incremented_rd_ptr; wire [ADDR_WIDTH-1 : 0] mem_rd_ptr; wire read; wire write; reg empty; reg next_empty; reg full; reg next_full; wire [PKT_SIGNALS_WIDTH-1 : 0] in_packet_signals; wire [PKT_SIGNALS_WIDTH-1 : 0] out_packet_signals; wire [PAYLOAD_WIDTH-1 : 0] in_payload; reg [PAYLOAD_WIDTH-1 : 0] internal_out_payload; reg [PAYLOAD_WIDTH-1 : 0] out_payload; reg internal_out_valid; wire internal_out_ready; reg [ADDR_WIDTH : 0] fifo_fill_level; reg [ADDR_WIDTH : 0] fill_level; reg [ADDR_WIDTH-1 : 0] sop_ptr = 0; wire [ADDR_WIDTH-1 : 0] curr_sop_ptr; reg [23:0] almost_full_threshold; reg [23:0] almost_empty_threshold; reg [23:0] cut_through_threshold; reg [15:0] pkt_cnt; reg drop_on_error_en; reg error_in_pkt; reg pkt_has_started; reg sop_has_left_fifo; reg fifo_too_small_r; reg pkt_cnt_eq_zero; reg pkt_cnt_eq_one; wire wait_for_threshold; reg pkt_mode; wire wait_for_pkt; wire ok_to_forward; wire in_pkt_eop_arrive; wire out_pkt_leave; wire in_pkt_start; wire in_pkt_error; wire drop_on_error; wire fifo_too_small; wire out_pkt_sop_leave; wire [31:0] max_fifo_size; reg fifo_fill_level_lt_cut_through_threshold; // -------------------------------------------------- // Define Payload // // Icky part where we decide which signals form the // payload to the FIFO with generate blocks. // -------------------------------------------------- generate if (EMPTY_WIDTH > 0) begin : gen_blk1 assign in_packet_signals = {in_startofpacket, in_endofpacket, in_empty}; assign {out_startofpacket, out_endofpacket, out_empty} = out_packet_signals; end else begin : gen_blk1_else assign out_empty = in_error; assign in_packet_signals = {in_startofpacket, in_endofpacket}; assign {out_startofpacket, out_endofpacket} = out_packet_signals; end endgenerate generate if (USE_PACKETS) begin : gen_blk2 if (ERROR_WIDTH > 0) begin : gen_blk3 if (CHANNEL_WIDTH > 0) begin : gen_blk4 assign in_payload = {in_packet_signals, in_data, in_error, in_channel}; assign {out_packet_signals, out_data, out_error, out_channel} = out_payload; end else begin : gen_blk4_else assign out_channel = in_channel; assign in_payload = {in_packet_signals, in_data, in_error}; assign {out_packet_signals, out_data, out_error} = out_payload; end end else begin : gen_blk3_else assign out_error = in_error; if (CHANNEL_WIDTH > 0) begin : gen_blk5 assign in_payload = {in_packet_signals, in_data, in_channel}; assign {out_packet_signals, out_data, out_channel} = out_payload; end else begin : gen_blk5_else assign out_channel = in_channel; assign in_payload = {in_packet_signals, in_data}; assign {out_packet_signals, out_data} = out_payload; end end end else begin : gen_blk2_else assign out_packet_signals = 0; if (ERROR_WIDTH > 0) begin : gen_blk6 if (CHANNEL_WIDTH > 0) begin : gen_blk7 assign in_payload = {in_data, in_error, in_channel}; assign {out_data, out_error, out_channel} = out_payload; end else begin : gen_blk7_else assign out_channel = in_channel; assign in_payload = {in_data, in_error}; assign {out_data, out_error} = out_payload; end end else begin : gen_blk6_else assign out_error = in_error; if (CHANNEL_WIDTH > 0) begin : gen_blk8 assign in_payload = {in_data, in_channel}; assign {out_data, out_channel} = out_payload; end else begin : gen_blk8_else assign out_channel = in_channel; assign in_payload = in_data; assign out_data = out_payload; end end end endgenerate // -------------------------------------------------- // Memory-based FIFO storage // // To allow a ready latency of 0, the read index is // obtained from the next read pointer and memory // outputs are unregistered. // // If the empty latency is 1, we infer bypass logic // around the memory so writes propagate to the // outputs on the next cycle. // // Do not change the way this is coded: Quartus needs // a perfect match to the template, and any attempt to // refactor the two always blocks into one will break // memory inference. // -------------------------------------------------- generate if (USE_MEMORY_BLOCKS == 1) begin : gen_blk9 if (EMPTY_LATENCY == 1) begin : gen_blk10 always @(posedge clk) begin if (in_valid && in_ready) mem[wr_ptr] = in_payload; internal_out_payload = mem[mem_rd_ptr]; end end else begin : gen_blk10_else always @(posedge clk) begin if (in_valid && in_ready) mem[wr_ptr] <= in_payload; internal_out_payload <= mem[mem_rd_ptr]; end end assign mem_rd_ptr = next_rd_ptr; end else begin : gen_blk9_else // -------------------------------------------------- // Register-based FIFO storage // // Uses a shift register as the storage element. Each // shift register slot has a bit which indicates if // the slot is occupied (credit to Sam H for the idea). // The occupancy bits are contiguous and start from the // lsb, so 0000, 0001, 0011, 0111, 1111 for a 4-deep // FIFO. // // Each slot is enabled during a read or when it // is unoccupied. New data is always written to every // going-to-be-empty slot (we keep track of which ones // are actually useful with the occupancy bits). On a // read we shift occupied slots. // // The exception is the last slot, which always gets // new data when it is unoccupied. // -------------------------------------------------- for (i = 0; i < DEPTH-1; i = i + 1) begin : shift_reg always @(posedge clk or posedge reset) begin if (reset) begin mem[i] <= 0; end else if (read || !mem_used[i]) begin if (!mem_used[i+1]) mem[i] <= in_payload; else mem[i] <= mem[i+1]; end end end always @(posedge clk, posedge reset) begin if (reset) begin mem[DEPTH-1] <= 0; end else begin if (DEPTH == 1) begin if (write) mem[DEPTH-1] <= in_payload; end else if (!mem_used[DEPTH-1]) mem[DEPTH-1] <= in_payload; end end end endgenerate assign read = internal_out_ready && internal_out_valid && ok_to_forward; assign write = in_ready && in_valid; // -------------------------------------------------- // Pointer Management // -------------------------------------------------- generate if (USE_MEMORY_BLOCKS == 1) begin : gen_blk11 assign incremented_wr_ptr = wr_ptr + 1'b1; assign incremented_rd_ptr = rd_ptr + 1'b1; assign next_wr_ptr = drop_on_error ? curr_sop_ptr : write ? incremented_wr_ptr : wr_ptr; assign next_rd_ptr = (read) ? incremented_rd_ptr : rd_ptr; always @(posedge clk or posedge reset) begin if (reset) begin wr_ptr <= 0; rd_ptr <= 0; end else begin wr_ptr <= next_wr_ptr; rd_ptr <= next_rd_ptr; end end end else begin : gen_blk11_else // -------------------------------------------------- // Shift Register Occupancy Bits // // Consider a 4-deep FIFO with 2 entries: 0011 // On a read and write, do not modify the bits. // On a write, left-shift the bits to get 0111. // On a read, right-shift the bits to get 0001. // // Also, on a write we set bit0 (the head), while // clearing the tail on a read. // -------------------------------------------------- always @(posedge clk or posedge reset) begin if (reset) begin mem_used[0] <= 0; end else begin if (write ^ read) begin if (write) mem_used[0] <= 1; else if (read) begin if (DEPTH > 1) mem_used[0] <= mem_used[1]; else mem_used[0] <= 0; end end end end if (DEPTH > 1) begin : gen_blk12 always @(posedge clk or posedge reset) begin if (reset) begin mem_used[DEPTH-1] <= 0; end else begin if (write ^ read) begin mem_used[DEPTH-1] <= 0; if (write) mem_used[DEPTH-1] <= mem_used[DEPTH-2]; end end end end for (i = 1; i < DEPTH-1; i = i + 1) begin : storage_logic always @(posedge clk, posedge reset) begin if (reset) begin mem_used[i] <= 0; end else begin if (write ^ read) begin if (write) mem_used[i] <= mem_used[i-1]; else if (read) mem_used[i] <= mem_used[i+1]; end end end end end endgenerate // -------------------------------------------------- // Memory FIFO Status Management // // Generates the full and empty signals from the // pointers. The FIFO is full when the next write // pointer will be equal to the read pointer after // a write. Reading from a FIFO clears full. // // The FIFO is empty when the next read pointer will // be equal to the write pointer after a read. Writing // to a FIFO clears empty. // // A simultaneous read and write must not change any of // the empty or full flags unless there is a drop on error event. // -------------------------------------------------- generate if (USE_MEMORY_BLOCKS == 1) begin : gen_blk13 always @* begin next_full = full; next_empty = empty; if (read && !write) begin next_full = 1'b0; if (incremented_rd_ptr == wr_ptr) next_empty = 1'b1; end if (write && !read) begin if (!drop_on_error) next_empty = 1'b0; else if (curr_sop_ptr == rd_ptr) // drop on error and only 1 pkt in fifo next_empty = 1'b1; if (incremented_wr_ptr == rd_ptr && !drop_on_error) next_full = 1'b1; end if (write && read && drop_on_error) begin if (curr_sop_ptr == next_rd_ptr) next_empty = 1'b1; end end always @(posedge clk or posedge reset) begin if (reset) begin empty <= 1; full <= 0; end else begin empty <= next_empty; full <= next_full; end end end else begin : gen_blk13_else // -------------------------------------------------- // Register FIFO Status Management // // Full when the tail occupancy bit is 1. Empty when // the head occupancy bit is 0. // -------------------------------------------------- always @* begin full = mem_used[DEPTH-1]; empty = !mem_used[0]; // ------------------------------------------ // For a single slot FIFO, reading clears the // full status immediately. // ------------------------------------------ if (DEPTH == 1) full = mem_used[0] && !read; internal_out_payload = mem[0]; // ------------------------------------------ // Writes clear empty immediately for lookahead modes. // Note that we use in_valid instead of write to avoid // combinational loops (in lookahead mode, qualifying // with in_ready is meaningless). // // In a 1-deep FIFO, a possible combinational loop runs // from write -> out_valid -> out_ready -> write // ------------------------------------------ if (EMPTY_LATENCY == 0) begin empty = !mem_used[0] && !in_valid; if (!mem_used[0] && in_valid) internal_out_payload = in_payload; end end end endgenerate // -------------------------------------------------- // Avalon-ST Signals // // The in_ready signal is straightforward. // // To match memory latency when empty latency > 1, // out_valid assertions must be delayed by one clock // cycle. // // Note: out_valid deassertions must not be delayed or // the FIFO will underflow. // -------------------------------------------------- assign in_ready = !full; assign internal_out_ready = out_ready || !out_valid; generate if (EMPTY_LATENCY > 1) begin : gen_blk14 always @(posedge clk or posedge reset) begin if (reset) internal_out_valid <= 0; else begin internal_out_valid <= !empty & ok_to_forward & ~drop_on_error; if (read) begin if (incremented_rd_ptr == wr_ptr) internal_out_valid <= 1'b0; end end end end else begin : gen_blk14_else always @* begin internal_out_valid = !empty & ok_to_forward; end end endgenerate // -------------------------------------------------- // Single Output Pipeline Stage // // This output pipeline stage is enabled if the FIFO's // empty latency is set to 3 (default). It is disabled // for all other allowed latencies. // // Reason: The memory outputs are unregistered, so we have to // register the output or fmax will drop if combinatorial // logic is present on the output datapath. // // Q: The Avalon-ST spec says that I have to register my outputs // But isn't the memory counted as a register? // A: The path from the address lookup to the memory output is // slow. Registering the memory outputs is a good idea. // // The registers get packed into the memory by the fitter // which means minimal resources are consumed (the result // is a altsyncram with registered outputs, available on // all modern Altera devices). // // This output stage acts as an extra slot in the FIFO, // and complicates the fill level. // -------------------------------------------------- generate if (EMPTY_LATENCY == 3) begin : gen_blk15 always @(posedge clk or posedge reset) begin if (reset) begin out_valid <= 0; out_payload <= 0; end else begin if (internal_out_ready) begin out_valid <= internal_out_valid & ok_to_forward; out_payload <= internal_out_payload; end end end end else begin : gen_blk15_else always @* begin out_valid = internal_out_valid; out_payload = internal_out_payload; end end endgenerate // -------------------------------------------------- // Fill Level // // The fill level is calculated from the next write // and read pointers to avoid unnecessary latency // and logic. // // However, if the store-and-forward mode of the FIFO // is enabled, the fill level is an up-down counter // for fmax optimization reasons. // // If the output pipeline is enabled, the fill level // must account for it, or we'll always be off by one. // This may, or may not be important depending on the // application. // // For now, we'll always calculate the exact fill level // at the cost of an extra adder when the output stage // is enabled. // -------------------------------------------------- generate if (USE_FILL_LEVEL) begin : gen_blk16 wire [31:0] depth32; assign depth32 = DEPTH; if (USE_STORE_FORWARD) begin reg [ADDR_WIDTH : 0] curr_packet_len_less_one; // -------------------------------------------------- // We only drop on endofpacket. As long as we don't add to the fill // level on the dropped endofpacket cycle, we can simply subtract // (packet length - 1) from the fill level for dropped packets. // -------------------------------------------------- always @(posedge clk or posedge reset) begin if (reset) begin curr_packet_len_less_one <= 0; end else begin if (write) begin curr_packet_len_less_one <= curr_packet_len_less_one + 1'b1; if (in_endofpacket) curr_packet_len_less_one <= 0; end end end always @(posedge clk or posedge reset) begin if (reset) begin fifo_fill_level <= 0; end else if (drop_on_error) begin fifo_fill_level <= fifo_fill_level - curr_packet_len_less_one; if (read) fifo_fill_level <= fifo_fill_level - curr_packet_len_less_one - 1'b1; end else if (write && !read) begin fifo_fill_level <= fifo_fill_level + 1'b1; end else if (read && !write) begin fifo_fill_level <= fifo_fill_level - 1'b1; end end end else begin always @(posedge clk or posedge reset) begin if (reset) fifo_fill_level <= 0; else if (next_full & !drop_on_error) fifo_fill_level <= depth32[ADDR_WIDTH:0]; else begin fifo_fill_level[ADDR_WIDTH] <= 1'b0; fifo_fill_level[ADDR_WIDTH-1 : 0] <= next_wr_ptr - next_rd_ptr; end end end always @* begin fill_level = fifo_fill_level; if (EMPTY_LATENCY == 3) fill_level = fifo_fill_level + {{ADDR_WIDTH{1'b0}}, out_valid}; end end else begin : gen_blk16_else always @* begin fill_level = 0; end end endgenerate generate if (USE_ALMOST_FULL_IF) begin : gen_blk17 assign almost_full_data = (fill_level >= almost_full_threshold); end else assign almost_full_data = 0; endgenerate generate if (USE_ALMOST_EMPTY_IF) begin : gen_blk18 assign almost_empty_data = (fill_level <= almost_empty_threshold); end else assign almost_empty_data = 0; endgenerate // -------------------------------------------------- // Avalon-MM Status & Control Connection Point // // Register map: // // | Addr | RW | 31 - 0 | // | 0 | R | Fill level | // // The registering of this connection point means // that there is a cycle of latency between // reads/writes and the updating of the fill level. // -------------------------------------------------- generate if (USE_STORE_FORWARD) begin : gen_blk19 assign max_fifo_size = FIFO_DEPTH - 1; always @(posedge clk or posedge reset) begin if (reset) begin almost_full_threshold <= max_fifo_size[23 : 0]; almost_empty_threshold <= 0; cut_through_threshold <= 0; drop_on_error_en <= 0; csr_readdata <= 0; pkt_mode <= 1'b1; end else begin if (csr_read) begin csr_readdata <= 32'b0; if (csr_address == 5) csr_readdata <= {31'b0, drop_on_error_en}; else if (csr_address == 4) csr_readdata <= {8'b0, cut_through_threshold}; else if (csr_address == 3) csr_readdata <= {8'b0, almost_empty_threshold}; else if (csr_address == 2) csr_readdata <= {8'b0, almost_full_threshold}; else if (csr_address == 0) csr_readdata <= {{(31 - ADDR_WIDTH){1'b0}}, fill_level}; end else if (csr_write) begin if(csr_address == 3'b101) drop_on_error_en <= csr_writedata[0]; else if(csr_address == 3'b100) begin cut_through_threshold <= csr_writedata[23:0]; pkt_mode <= (csr_writedata[23:0] == 0); end else if(csr_address == 3'b011) almost_empty_threshold <= csr_writedata[23:0]; else if(csr_address == 3'b010) almost_full_threshold <= csr_writedata[23:0]; end end end end else if (USE_ALMOST_FULL_IF || USE_ALMOST_EMPTY_IF) begin : gen_blk19_else1 assign max_fifo_size = FIFO_DEPTH - 1; always @(posedge clk or posedge reset) begin if (reset) begin almost_full_threshold <= max_fifo_size[23 : 0]; almost_empty_threshold <= 0; csr_readdata <= 0; end else begin if (csr_read) begin csr_readdata <= 32'b0; if (csr_address == 3) csr_readdata <= {8'b0, almost_empty_threshold}; else if (csr_address == 2) csr_readdata <= {8'b0, almost_full_threshold}; else if (csr_address == 0) csr_readdata <= {{(31 - ADDR_WIDTH){1'b0}}, fill_level}; end else if (csr_write) begin if(csr_address == 3'b011) almost_empty_threshold <= csr_writedata[23:0]; else if(csr_address == 3'b010) almost_full_threshold <= csr_writedata[23:0]; end end end end else begin : gen_blk19_else2 always @(posedge clk or posedge reset) begin if (reset) begin csr_readdata <= 0; end else if (csr_read) begin csr_readdata <= 0; if (csr_address == 0) csr_readdata <= {{(31 - ADDR_WIDTH){1'b0}}, fill_level}; end end end endgenerate // -------------------------------------------------- // Store and forward logic // -------------------------------------------------- // if the fifo gets full before the entire packet or the // cut-threshold condition is met then start sending out // data in order to avoid dead-lock situation generate if (USE_STORE_FORWARD) begin : gen_blk20 assign wait_for_threshold = (fifo_fill_level_lt_cut_through_threshold) & wait_for_pkt ; assign wait_for_pkt = pkt_cnt_eq_zero | (pkt_cnt_eq_one & out_pkt_leave); assign ok_to_forward = (pkt_mode ? (~wait_for_pkt | ~pkt_has_started) : ~wait_for_threshold) | fifo_too_small_r; assign in_pkt_eop_arrive = in_valid & in_ready & in_endofpacket; assign in_pkt_start = in_valid & in_ready & in_startofpacket; assign in_pkt_error = in_valid & in_ready & |in_error; assign out_pkt_sop_leave = out_valid & out_ready & out_startofpacket; assign out_pkt_leave = out_valid & out_ready & out_endofpacket; assign fifo_too_small = (pkt_mode ? wait_for_pkt : wait_for_threshold) & full & out_ready; // count packets coming and going into the fifo always @(posedge clk or posedge reset) begin if (reset) begin pkt_cnt <= 0; pkt_has_started <= 0; sop_has_left_fifo <= 0; fifo_too_small_r <= 0; pkt_cnt_eq_zero <= 1'b1; pkt_cnt_eq_one <= 1'b0; fifo_fill_level_lt_cut_through_threshold <= 1'b1; end else begin fifo_fill_level_lt_cut_through_threshold <= fifo_fill_level < cut_through_threshold; fifo_too_small_r <= fifo_too_small; if( in_pkt_eop_arrive ) sop_has_left_fifo <= 1'b0; else if (out_pkt_sop_leave & pkt_cnt_eq_zero ) sop_has_left_fifo <= 1'b1; if (in_pkt_eop_arrive & ~out_pkt_leave & ~drop_on_error ) begin pkt_cnt <= pkt_cnt + 1'b1; pkt_cnt_eq_zero <= 0; if (pkt_cnt == 0) pkt_cnt_eq_one <= 1'b1; else pkt_cnt_eq_one <= 1'b0; end else if((~in_pkt_eop_arrive | drop_on_error) & out_pkt_leave) begin pkt_cnt <= pkt_cnt - 1'b1; if (pkt_cnt == 1) pkt_cnt_eq_zero <= 1'b1; else pkt_cnt_eq_zero <= 1'b0; if (pkt_cnt == 2) pkt_cnt_eq_one <= 1'b1; else pkt_cnt_eq_one <= 1'b0; end if (in_pkt_start) pkt_has_started <= 1'b1; else if (in_pkt_eop_arrive) pkt_has_started <= 1'b0; end end // drop on error logic always @(posedge clk or posedge reset) begin if (reset) begin sop_ptr <= 0; error_in_pkt <= 0; end else begin // save the location of the SOP if ( in_pkt_start ) sop_ptr <= wr_ptr; // remember if error in pkt // log error only if packet has already started if (in_pkt_eop_arrive) error_in_pkt <= 1'b0; else if ( in_pkt_error & (pkt_has_started | in_pkt_start)) error_in_pkt <= 1'b1; end end assign drop_on_error = drop_on_error_en & (error_in_pkt | in_pkt_error) & in_pkt_eop_arrive & ~sop_has_left_fifo & ~(out_pkt_sop_leave & pkt_cnt_eq_zero); assign curr_sop_ptr = (write && in_startofpacket && in_endofpacket) ? wr_ptr : sop_ptr; end else begin : gen_blk20_else assign ok_to_forward = 1'b1; assign drop_on_error = 1'b0; if (ADDR_WIDTH <= 1) assign curr_sop_ptr = 1'b0; else assign curr_sop_ptr = {ADDR_WIDTH - 1 { 1'b0 }}; end endgenerate // -------------------------------------------------- // Calculates the log2ceil of the input value // -------------------------------------------------- function integer log2ceil; input integer val; reg[31:0] i; begin i = 1; log2ceil = 0; while (i < val) begin log2ceil = log2ceil + 1; i = i[30:0] << 1; end end endfunction endmodule
module altera_avalon_sc_fifo #( // -------------------------------------------------- // Parameters // -------------------------------------------------- parameter SYMBOLS_PER_BEAT = 1, parameter BITS_PER_SYMBOL = 8, parameter FIFO_DEPTH = 16, parameter CHANNEL_WIDTH = 0, parameter ERROR_WIDTH = 0, parameter USE_PACKETS = 0, parameter USE_FILL_LEVEL = 0, parameter USE_STORE_FORWARD = 0, parameter USE_ALMOST_FULL_IF = 0, parameter USE_ALMOST_EMPTY_IF = 0, // -------------------------------------------------- // Empty latency is defined as the number of cycles // required for a write to deassert the empty flag. // For example, a latency of 1 means that the empty // flag is deasserted on the cycle after a write. // // Another way to think of it is the latency for a // write to propagate to the output. // // An empty latency of 0 implies lookahead, which is // only implemented for the register-based FIFO. // -------------------------------------------------- parameter EMPTY_LATENCY = 3, parameter USE_MEMORY_BLOCKS = 1, // -------------------------------------------------- // Internal Parameters // -------------------------------------------------- parameter DATA_WIDTH = SYMBOLS_PER_BEAT * BITS_PER_SYMBOL, parameter EMPTY_WIDTH = log2ceil(SYMBOLS_PER_BEAT) ) ( // -------------------------------------------------- // Ports // -------------------------------------------------- input clk, input reset, input [DATA_WIDTH-1: 0] in_data, input in_valid, input in_startofpacket, input in_endofpacket, input [((EMPTY_WIDTH>0) ? (EMPTY_WIDTH-1):0) : 0] in_empty, input [((ERROR_WIDTH>0) ? (ERROR_WIDTH-1):0) : 0] in_error, input [((CHANNEL_WIDTH>0) ? (CHANNEL_WIDTH-1):0): 0] in_channel, output in_ready, output [DATA_WIDTH-1 : 0] out_data, output reg out_valid, output out_startofpacket, output out_endofpacket, output [((EMPTY_WIDTH>0) ? (EMPTY_WIDTH-1):0) : 0] out_empty, output [((ERROR_WIDTH>0) ? (ERROR_WIDTH-1):0) : 0] out_error, output [((CHANNEL_WIDTH>0) ? (CHANNEL_WIDTH-1):0): 0] out_channel, input out_ready, input [(USE_STORE_FORWARD ? 2 : 1) : 0] csr_address, input csr_write, input csr_read, input [31 : 0] csr_writedata, output reg [31 : 0] csr_readdata, output wire almost_full_data, output wire almost_empty_data ); // -------------------------------------------------- // Local Parameters // -------------------------------------------------- localparam ADDR_WIDTH = log2ceil(FIFO_DEPTH); localparam DEPTH = FIFO_DEPTH; localparam PKT_SIGNALS_WIDTH = 2 + EMPTY_WIDTH; localparam PAYLOAD_WIDTH = (USE_PACKETS == 1) ? 2 + EMPTY_WIDTH + DATA_WIDTH + ERROR_WIDTH + CHANNEL_WIDTH: DATA_WIDTH + ERROR_WIDTH + CHANNEL_WIDTH; // -------------------------------------------------- // Internal Signals // -------------------------------------------------- genvar i; reg [PAYLOAD_WIDTH-1 : 0] mem [DEPTH-1 : 0]; reg [ADDR_WIDTH-1 : 0] wr_ptr; reg [ADDR_WIDTH-1 : 0] rd_ptr; reg [DEPTH-1 : 0] mem_used; wire [ADDR_WIDTH-1 : 0] next_wr_ptr; wire [ADDR_WIDTH-1 : 0] next_rd_ptr; wire [ADDR_WIDTH-1 : 0] incremented_wr_ptr; wire [ADDR_WIDTH-1 : 0] incremented_rd_ptr; wire [ADDR_WIDTH-1 : 0] mem_rd_ptr; wire read; wire write; reg empty; reg next_empty; reg full; reg next_full; wire [PKT_SIGNALS_WIDTH-1 : 0] in_packet_signals; wire [PKT_SIGNALS_WIDTH-1 : 0] out_packet_signals; wire [PAYLOAD_WIDTH-1 : 0] in_payload; reg [PAYLOAD_WIDTH-1 : 0] internal_out_payload; reg [PAYLOAD_WIDTH-1 : 0] out_payload; reg internal_out_valid; wire internal_out_ready; reg [ADDR_WIDTH : 0] fifo_fill_level; reg [ADDR_WIDTH : 0] fill_level; reg [ADDR_WIDTH-1 : 0] sop_ptr = 0; wire [ADDR_WIDTH-1 : 0] curr_sop_ptr; reg [23:0] almost_full_threshold; reg [23:0] almost_empty_threshold; reg [23:0] cut_through_threshold; reg [15:0] pkt_cnt; reg drop_on_error_en; reg error_in_pkt; reg pkt_has_started; reg sop_has_left_fifo; reg fifo_too_small_r; reg pkt_cnt_eq_zero; reg pkt_cnt_eq_one; wire wait_for_threshold; reg pkt_mode; wire wait_for_pkt; wire ok_to_forward; wire in_pkt_eop_arrive; wire out_pkt_leave; wire in_pkt_start; wire in_pkt_error; wire drop_on_error; wire fifo_too_small; wire out_pkt_sop_leave; wire [31:0] max_fifo_size; reg fifo_fill_level_lt_cut_through_threshold; // -------------------------------------------------- // Define Payload // // Icky part where we decide which signals form the // payload to the FIFO with generate blocks. // -------------------------------------------------- generate if (EMPTY_WIDTH > 0) begin : gen_blk1 assign in_packet_signals = {in_startofpacket, in_endofpacket, in_empty}; assign {out_startofpacket, out_endofpacket, out_empty} = out_packet_signals; end else begin : gen_blk1_else assign out_empty = in_error; assign in_packet_signals = {in_startofpacket, in_endofpacket}; assign {out_startofpacket, out_endofpacket} = out_packet_signals; end endgenerate generate if (USE_PACKETS) begin : gen_blk2 if (ERROR_WIDTH > 0) begin : gen_blk3 if (CHANNEL_WIDTH > 0) begin : gen_blk4 assign in_payload = {in_packet_signals, in_data, in_error, in_channel}; assign {out_packet_signals, out_data, out_error, out_channel} = out_payload; end else begin : gen_blk4_else assign out_channel = in_channel; assign in_payload = {in_packet_signals, in_data, in_error}; assign {out_packet_signals, out_data, out_error} = out_payload; end end else begin : gen_blk3_else assign out_error = in_error; if (CHANNEL_WIDTH > 0) begin : gen_blk5 assign in_payload = {in_packet_signals, in_data, in_channel}; assign {out_packet_signals, out_data, out_channel} = out_payload; end else begin : gen_blk5_else assign out_channel = in_channel; assign in_payload = {in_packet_signals, in_data}; assign {out_packet_signals, out_data} = out_payload; end end end else begin : gen_blk2_else assign out_packet_signals = 0; if (ERROR_WIDTH > 0) begin : gen_blk6 if (CHANNEL_WIDTH > 0) begin : gen_blk7 assign in_payload = {in_data, in_error, in_channel}; assign {out_data, out_error, out_channel} = out_payload; end else begin : gen_blk7_else assign out_channel = in_channel; assign in_payload = {in_data, in_error}; assign {out_data, out_error} = out_payload; end end else begin : gen_blk6_else assign out_error = in_error; if (CHANNEL_WIDTH > 0) begin : gen_blk8 assign in_payload = {in_data, in_channel}; assign {out_data, out_channel} = out_payload; end else begin : gen_blk8_else assign out_channel = in_channel; assign in_payload = in_data; assign out_data = out_payload; end end end endgenerate // -------------------------------------------------- // Memory-based FIFO storage // // To allow a ready latency of 0, the read index is // obtained from the next read pointer and memory // outputs are unregistered. // // If the empty latency is 1, we infer bypass logic // around the memory so writes propagate to the // outputs on the next cycle. // // Do not change the way this is coded: Quartus needs // a perfect match to the template, and any attempt to // refactor the two always blocks into one will break // memory inference. // -------------------------------------------------- generate if (USE_MEMORY_BLOCKS == 1) begin : gen_blk9 if (EMPTY_LATENCY == 1) begin : gen_blk10 always @(posedge clk) begin if (in_valid && in_ready) mem[wr_ptr] = in_payload; internal_out_payload = mem[mem_rd_ptr]; end end else begin : gen_blk10_else always @(posedge clk) begin if (in_valid && in_ready) mem[wr_ptr] <= in_payload; internal_out_payload <= mem[mem_rd_ptr]; end end assign mem_rd_ptr = next_rd_ptr; end else begin : gen_blk9_else // -------------------------------------------------- // Register-based FIFO storage // // Uses a shift register as the storage element. Each // shift register slot has a bit which indicates if // the slot is occupied (credit to Sam H for the idea). // The occupancy bits are contiguous and start from the // lsb, so 0000, 0001, 0011, 0111, 1111 for a 4-deep // FIFO. // // Each slot is enabled during a read or when it // is unoccupied. New data is always written to every // going-to-be-empty slot (we keep track of which ones // are actually useful with the occupancy bits). On a // read we shift occupied slots. // // The exception is the last slot, which always gets // new data when it is unoccupied. // -------------------------------------------------- for (i = 0; i < DEPTH-1; i = i + 1) begin : shift_reg always @(posedge clk or posedge reset) begin if (reset) begin mem[i] <= 0; end else if (read || !mem_used[i]) begin if (!mem_used[i+1]) mem[i] <= in_payload; else mem[i] <= mem[i+1]; end end end always @(posedge clk, posedge reset) begin if (reset) begin mem[DEPTH-1] <= 0; end else begin if (DEPTH == 1) begin if (write) mem[DEPTH-1] <= in_payload; end else if (!mem_used[DEPTH-1]) mem[DEPTH-1] <= in_payload; end end end endgenerate assign read = internal_out_ready && internal_out_valid && ok_to_forward; assign write = in_ready && in_valid; // -------------------------------------------------- // Pointer Management // -------------------------------------------------- generate if (USE_MEMORY_BLOCKS == 1) begin : gen_blk11 assign incremented_wr_ptr = wr_ptr + 1'b1; assign incremented_rd_ptr = rd_ptr + 1'b1; assign next_wr_ptr = drop_on_error ? curr_sop_ptr : write ? incremented_wr_ptr : wr_ptr; assign next_rd_ptr = (read) ? incremented_rd_ptr : rd_ptr; always @(posedge clk or posedge reset) begin if (reset) begin wr_ptr <= 0; rd_ptr <= 0; end else begin wr_ptr <= next_wr_ptr; rd_ptr <= next_rd_ptr; end end end else begin : gen_blk11_else // -------------------------------------------------- // Shift Register Occupancy Bits // // Consider a 4-deep FIFO with 2 entries: 0011 // On a read and write, do not modify the bits. // On a write, left-shift the bits to get 0111. // On a read, right-shift the bits to get 0001. // // Also, on a write we set bit0 (the head), while // clearing the tail on a read. // -------------------------------------------------- always @(posedge clk or posedge reset) begin if (reset) begin mem_used[0] <= 0; end else begin if (write ^ read) begin if (write) mem_used[0] <= 1; else if (read) begin if (DEPTH > 1) mem_used[0] <= mem_used[1]; else mem_used[0] <= 0; end end end end if (DEPTH > 1) begin : gen_blk12 always @(posedge clk or posedge reset) begin if (reset) begin mem_used[DEPTH-1] <= 0; end else begin if (write ^ read) begin mem_used[DEPTH-1] <= 0; if (write) mem_used[DEPTH-1] <= mem_used[DEPTH-2]; end end end end for (i = 1; i < DEPTH-1; i = i + 1) begin : storage_logic always @(posedge clk, posedge reset) begin if (reset) begin mem_used[i] <= 0; end else begin if (write ^ read) begin if (write) mem_used[i] <= mem_used[i-1]; else if (read) mem_used[i] <= mem_used[i+1]; end end end end end endgenerate // -------------------------------------------------- // Memory FIFO Status Management // // Generates the full and empty signals from the // pointers. The FIFO is full when the next write // pointer will be equal to the read pointer after // a write. Reading from a FIFO clears full. // // The FIFO is empty when the next read pointer will // be equal to the write pointer after a read. Writing // to a FIFO clears empty. // // A simultaneous read and write must not change any of // the empty or full flags unless there is a drop on error event. // -------------------------------------------------- generate if (USE_MEMORY_BLOCKS == 1) begin : gen_blk13 always @* begin next_full = full; next_empty = empty; if (read && !write) begin next_full = 1'b0; if (incremented_rd_ptr == wr_ptr) next_empty = 1'b1; end if (write && !read) begin if (!drop_on_error) next_empty = 1'b0; else if (curr_sop_ptr == rd_ptr) // drop on error and only 1 pkt in fifo next_empty = 1'b1; if (incremented_wr_ptr == rd_ptr && !drop_on_error) next_full = 1'b1; end if (write && read && drop_on_error) begin if (curr_sop_ptr == next_rd_ptr) next_empty = 1'b1; end end always @(posedge clk or posedge reset) begin if (reset) begin empty <= 1; full <= 0; end else begin empty <= next_empty; full <= next_full; end end end else begin : gen_blk13_else // -------------------------------------------------- // Register FIFO Status Management // // Full when the tail occupancy bit is 1. Empty when // the head occupancy bit is 0. // -------------------------------------------------- always @* begin full = mem_used[DEPTH-1]; empty = !mem_used[0]; // ------------------------------------------ // For a single slot FIFO, reading clears the // full status immediately. // ------------------------------------------ if (DEPTH == 1) full = mem_used[0] && !read; internal_out_payload = mem[0]; // ------------------------------------------ // Writes clear empty immediately for lookahead modes. // Note that we use in_valid instead of write to avoid // combinational loops (in lookahead mode, qualifying // with in_ready is meaningless). // // In a 1-deep FIFO, a possible combinational loop runs // from write -> out_valid -> out_ready -> write // ------------------------------------------ if (EMPTY_LATENCY == 0) begin empty = !mem_used[0] && !in_valid; if (!mem_used[0] && in_valid) internal_out_payload = in_payload; end end end endgenerate // -------------------------------------------------- // Avalon-ST Signals // // The in_ready signal is straightforward. // // To match memory latency when empty latency > 1, // out_valid assertions must be delayed by one clock // cycle. // // Note: out_valid deassertions must not be delayed or // the FIFO will underflow. // -------------------------------------------------- assign in_ready = !full; assign internal_out_ready = out_ready || !out_valid; generate if (EMPTY_LATENCY > 1) begin : gen_blk14 always @(posedge clk or posedge reset) begin if (reset) internal_out_valid <= 0; else begin internal_out_valid <= !empty & ok_to_forward & ~drop_on_error; if (read) begin if (incremented_rd_ptr == wr_ptr) internal_out_valid <= 1'b0; end end end end else begin : gen_blk14_else always @* begin internal_out_valid = !empty & ok_to_forward; end end endgenerate // -------------------------------------------------- // Single Output Pipeline Stage // // This output pipeline stage is enabled if the FIFO's // empty latency is set to 3 (default). It is disabled // for all other allowed latencies. // // Reason: The memory outputs are unregistered, so we have to // register the output or fmax will drop if combinatorial // logic is present on the output datapath. // // Q: The Avalon-ST spec says that I have to register my outputs // But isn't the memory counted as a register? // A: The path from the address lookup to the memory output is // slow. Registering the memory outputs is a good idea. // // The registers get packed into the memory by the fitter // which means minimal resources are consumed (the result // is a altsyncram with registered outputs, available on // all modern Altera devices). // // This output stage acts as an extra slot in the FIFO, // and complicates the fill level. // -------------------------------------------------- generate if (EMPTY_LATENCY == 3) begin : gen_blk15 always @(posedge clk or posedge reset) begin if (reset) begin out_valid <= 0; out_payload <= 0; end else begin if (internal_out_ready) begin out_valid <= internal_out_valid & ok_to_forward; out_payload <= internal_out_payload; end end end end else begin : gen_blk15_else always @* begin out_valid = internal_out_valid; out_payload = internal_out_payload; end end endgenerate // -------------------------------------------------- // Fill Level // // The fill level is calculated from the next write // and read pointers to avoid unnecessary latency // and logic. // // However, if the store-and-forward mode of the FIFO // is enabled, the fill level is an up-down counter // for fmax optimization reasons. // // If the output pipeline is enabled, the fill level // must account for it, or we'll always be off by one. // This may, or may not be important depending on the // application. // // For now, we'll always calculate the exact fill level // at the cost of an extra adder when the output stage // is enabled. // -------------------------------------------------- generate if (USE_FILL_LEVEL) begin : gen_blk16 wire [31:0] depth32; assign depth32 = DEPTH; if (USE_STORE_FORWARD) begin reg [ADDR_WIDTH : 0] curr_packet_len_less_one; // -------------------------------------------------- // We only drop on endofpacket. As long as we don't add to the fill // level on the dropped endofpacket cycle, we can simply subtract // (packet length - 1) from the fill level for dropped packets. // -------------------------------------------------- always @(posedge clk or posedge reset) begin if (reset) begin curr_packet_len_less_one <= 0; end else begin if (write) begin curr_packet_len_less_one <= curr_packet_len_less_one + 1'b1; if (in_endofpacket) curr_packet_len_less_one <= 0; end end end always @(posedge clk or posedge reset) begin if (reset) begin fifo_fill_level <= 0; end else if (drop_on_error) begin fifo_fill_level <= fifo_fill_level - curr_packet_len_less_one; if (read) fifo_fill_level <= fifo_fill_level - curr_packet_len_less_one - 1'b1; end else if (write && !read) begin fifo_fill_level <= fifo_fill_level + 1'b1; end else if (read && !write) begin fifo_fill_level <= fifo_fill_level - 1'b1; end end end else begin always @(posedge clk or posedge reset) begin if (reset) fifo_fill_level <= 0; else if (next_full & !drop_on_error) fifo_fill_level <= depth32[ADDR_WIDTH:0]; else begin fifo_fill_level[ADDR_WIDTH] <= 1'b0; fifo_fill_level[ADDR_WIDTH-1 : 0] <= next_wr_ptr - next_rd_ptr; end end end always @* begin fill_level = fifo_fill_level; if (EMPTY_LATENCY == 3) fill_level = fifo_fill_level + {{ADDR_WIDTH{1'b0}}, out_valid}; end end else begin : gen_blk16_else always @* begin fill_level = 0; end end endgenerate generate if (USE_ALMOST_FULL_IF) begin : gen_blk17 assign almost_full_data = (fill_level >= almost_full_threshold); end else assign almost_full_data = 0; endgenerate generate if (USE_ALMOST_EMPTY_IF) begin : gen_blk18 assign almost_empty_data = (fill_level <= almost_empty_threshold); end else assign almost_empty_data = 0; endgenerate // -------------------------------------------------- // Avalon-MM Status & Control Connection Point // // Register map: // // | Addr | RW | 31 - 0 | // | 0 | R | Fill level | // // The registering of this connection point means // that there is a cycle of latency between // reads/writes and the updating of the fill level. // -------------------------------------------------- generate if (USE_STORE_FORWARD) begin : gen_blk19 assign max_fifo_size = FIFO_DEPTH - 1; always @(posedge clk or posedge reset) begin if (reset) begin almost_full_threshold <= max_fifo_size[23 : 0]; almost_empty_threshold <= 0; cut_through_threshold <= 0; drop_on_error_en <= 0; csr_readdata <= 0; pkt_mode <= 1'b1; end else begin if (csr_read) begin csr_readdata <= 32'b0; if (csr_address == 5) csr_readdata <= {31'b0, drop_on_error_en}; else if (csr_address == 4) csr_readdata <= {8'b0, cut_through_threshold}; else if (csr_address == 3) csr_readdata <= {8'b0, almost_empty_threshold}; else if (csr_address == 2) csr_readdata <= {8'b0, almost_full_threshold}; else if (csr_address == 0) csr_readdata <= {{(31 - ADDR_WIDTH){1'b0}}, fill_level}; end else if (csr_write) begin if(csr_address == 3'b101) drop_on_error_en <= csr_writedata[0]; else if(csr_address == 3'b100) begin cut_through_threshold <= csr_writedata[23:0]; pkt_mode <= (csr_writedata[23:0] == 0); end else if(csr_address == 3'b011) almost_empty_threshold <= csr_writedata[23:0]; else if(csr_address == 3'b010) almost_full_threshold <= csr_writedata[23:0]; end end end end else if (USE_ALMOST_FULL_IF || USE_ALMOST_EMPTY_IF) begin : gen_blk19_else1 assign max_fifo_size = FIFO_DEPTH - 1; always @(posedge clk or posedge reset) begin if (reset) begin almost_full_threshold <= max_fifo_size[23 : 0]; almost_empty_threshold <= 0; csr_readdata <= 0; end else begin if (csr_read) begin csr_readdata <= 32'b0; if (csr_address == 3) csr_readdata <= {8'b0, almost_empty_threshold}; else if (csr_address == 2) csr_readdata <= {8'b0, almost_full_threshold}; else if (csr_address == 0) csr_readdata <= {{(31 - ADDR_WIDTH){1'b0}}, fill_level}; end else if (csr_write) begin if(csr_address == 3'b011) almost_empty_threshold <= csr_writedata[23:0]; else if(csr_address == 3'b010) almost_full_threshold <= csr_writedata[23:0]; end end end end else begin : gen_blk19_else2 always @(posedge clk or posedge reset) begin if (reset) begin csr_readdata <= 0; end else if (csr_read) begin csr_readdata <= 0; if (csr_address == 0) csr_readdata <= {{(31 - ADDR_WIDTH){1'b0}}, fill_level}; end end end endgenerate // -------------------------------------------------- // Store and forward logic // -------------------------------------------------- // if the fifo gets full before the entire packet or the // cut-threshold condition is met then start sending out // data in order to avoid dead-lock situation generate if (USE_STORE_FORWARD) begin : gen_blk20 assign wait_for_threshold = (fifo_fill_level_lt_cut_through_threshold) & wait_for_pkt ; assign wait_for_pkt = pkt_cnt_eq_zero | (pkt_cnt_eq_one & out_pkt_leave); assign ok_to_forward = (pkt_mode ? (~wait_for_pkt | ~pkt_has_started) : ~wait_for_threshold) | fifo_too_small_r; assign in_pkt_eop_arrive = in_valid & in_ready & in_endofpacket; assign in_pkt_start = in_valid & in_ready & in_startofpacket; assign in_pkt_error = in_valid & in_ready & |in_error; assign out_pkt_sop_leave = out_valid & out_ready & out_startofpacket; assign out_pkt_leave = out_valid & out_ready & out_endofpacket; assign fifo_too_small = (pkt_mode ? wait_for_pkt : wait_for_threshold) & full & out_ready; // count packets coming and going into the fifo always @(posedge clk or posedge reset) begin if (reset) begin pkt_cnt <= 0; pkt_has_started <= 0; sop_has_left_fifo <= 0; fifo_too_small_r <= 0; pkt_cnt_eq_zero <= 1'b1; pkt_cnt_eq_one <= 1'b0; fifo_fill_level_lt_cut_through_threshold <= 1'b1; end else begin fifo_fill_level_lt_cut_through_threshold <= fifo_fill_level < cut_through_threshold; fifo_too_small_r <= fifo_too_small; if( in_pkt_eop_arrive ) sop_has_left_fifo <= 1'b0; else if (out_pkt_sop_leave & pkt_cnt_eq_zero ) sop_has_left_fifo <= 1'b1; if (in_pkt_eop_arrive & ~out_pkt_leave & ~drop_on_error ) begin pkt_cnt <= pkt_cnt + 1'b1; pkt_cnt_eq_zero <= 0; if (pkt_cnt == 0) pkt_cnt_eq_one <= 1'b1; else pkt_cnt_eq_one <= 1'b0; end else if((~in_pkt_eop_arrive | drop_on_error) & out_pkt_leave) begin pkt_cnt <= pkt_cnt - 1'b1; if (pkt_cnt == 1) pkt_cnt_eq_zero <= 1'b1; else pkt_cnt_eq_zero <= 1'b0; if (pkt_cnt == 2) pkt_cnt_eq_one <= 1'b1; else pkt_cnt_eq_one <= 1'b0; end if (in_pkt_start) pkt_has_started <= 1'b1; else if (in_pkt_eop_arrive) pkt_has_started <= 1'b0; end end // drop on error logic always @(posedge clk or posedge reset) begin if (reset) begin sop_ptr <= 0; error_in_pkt <= 0; end else begin // save the location of the SOP if ( in_pkt_start ) sop_ptr <= wr_ptr; // remember if error in pkt // log error only if packet has already started if (in_pkt_eop_arrive) error_in_pkt <= 1'b0; else if ( in_pkt_error & (pkt_has_started | in_pkt_start)) error_in_pkt <= 1'b1; end end assign drop_on_error = drop_on_error_en & (error_in_pkt | in_pkt_error) & in_pkt_eop_arrive & ~sop_has_left_fifo & ~(out_pkt_sop_leave & pkt_cnt_eq_zero); assign curr_sop_ptr = (write && in_startofpacket && in_endofpacket) ? wr_ptr : sop_ptr; end else begin : gen_blk20_else assign ok_to_forward = 1'b1; assign drop_on_error = 1'b0; if (ADDR_WIDTH <= 1) assign curr_sop_ptr = 1'b0; else assign curr_sop_ptr = {ADDR_WIDTH - 1 { 1'b0 }}; end endgenerate // -------------------------------------------------- // Calculates the log2ceil of the input value // -------------------------------------------------- function integer log2ceil; input integer val; reg[31:0] i; begin i = 1; log2ceil = 0; while (i < val) begin log2ceil = log2ceil + 1; i = i[30:0] << 1; end end endfunction endmodule
module altera_avalon_sc_fifo #( // -------------------------------------------------- // Parameters // -------------------------------------------------- parameter SYMBOLS_PER_BEAT = 1, parameter BITS_PER_SYMBOL = 8, parameter FIFO_DEPTH = 16, parameter CHANNEL_WIDTH = 0, parameter ERROR_WIDTH = 0, parameter USE_PACKETS = 0, parameter USE_FILL_LEVEL = 0, parameter USE_STORE_FORWARD = 0, parameter USE_ALMOST_FULL_IF = 0, parameter USE_ALMOST_EMPTY_IF = 0, // -------------------------------------------------- // Empty latency is defined as the number of cycles // required for a write to deassert the empty flag. // For example, a latency of 1 means that the empty // flag is deasserted on the cycle after a write. // // Another way to think of it is the latency for a // write to propagate to the output. // // An empty latency of 0 implies lookahead, which is // only implemented for the register-based FIFO. // -------------------------------------------------- parameter EMPTY_LATENCY = 3, parameter USE_MEMORY_BLOCKS = 1, // -------------------------------------------------- // Internal Parameters // -------------------------------------------------- parameter DATA_WIDTH = SYMBOLS_PER_BEAT * BITS_PER_SYMBOL, parameter EMPTY_WIDTH = log2ceil(SYMBOLS_PER_BEAT) ) ( // -------------------------------------------------- // Ports // -------------------------------------------------- input clk, input reset, input [DATA_WIDTH-1: 0] in_data, input in_valid, input in_startofpacket, input in_endofpacket, input [((EMPTY_WIDTH>0) ? (EMPTY_WIDTH-1):0) : 0] in_empty, input [((ERROR_WIDTH>0) ? (ERROR_WIDTH-1):0) : 0] in_error, input [((CHANNEL_WIDTH>0) ? (CHANNEL_WIDTH-1):0): 0] in_channel, output in_ready, output [DATA_WIDTH-1 : 0] out_data, output reg out_valid, output out_startofpacket, output out_endofpacket, output [((EMPTY_WIDTH>0) ? (EMPTY_WIDTH-1):0) : 0] out_empty, output [((ERROR_WIDTH>0) ? (ERROR_WIDTH-1):0) : 0] out_error, output [((CHANNEL_WIDTH>0) ? (CHANNEL_WIDTH-1):0): 0] out_channel, input out_ready, input [(USE_STORE_FORWARD ? 2 : 1) : 0] csr_address, input csr_write, input csr_read, input [31 : 0] csr_writedata, output reg [31 : 0] csr_readdata, output wire almost_full_data, output wire almost_empty_data ); // -------------------------------------------------- // Local Parameters // -------------------------------------------------- localparam ADDR_WIDTH = log2ceil(FIFO_DEPTH); localparam DEPTH = FIFO_DEPTH; localparam PKT_SIGNALS_WIDTH = 2 + EMPTY_WIDTH; localparam PAYLOAD_WIDTH = (USE_PACKETS == 1) ? 2 + EMPTY_WIDTH + DATA_WIDTH + ERROR_WIDTH + CHANNEL_WIDTH: DATA_WIDTH + ERROR_WIDTH + CHANNEL_WIDTH; // -------------------------------------------------- // Internal Signals // -------------------------------------------------- genvar i; reg [PAYLOAD_WIDTH-1 : 0] mem [DEPTH-1 : 0]; reg [ADDR_WIDTH-1 : 0] wr_ptr; reg [ADDR_WIDTH-1 : 0] rd_ptr; reg [DEPTH-1 : 0] mem_used; wire [ADDR_WIDTH-1 : 0] next_wr_ptr; wire [ADDR_WIDTH-1 : 0] next_rd_ptr; wire [ADDR_WIDTH-1 : 0] incremented_wr_ptr; wire [ADDR_WIDTH-1 : 0] incremented_rd_ptr; wire [ADDR_WIDTH-1 : 0] mem_rd_ptr; wire read; wire write; reg empty; reg next_empty; reg full; reg next_full; wire [PKT_SIGNALS_WIDTH-1 : 0] in_packet_signals; wire [PKT_SIGNALS_WIDTH-1 : 0] out_packet_signals; wire [PAYLOAD_WIDTH-1 : 0] in_payload; reg [PAYLOAD_WIDTH-1 : 0] internal_out_payload; reg [PAYLOAD_WIDTH-1 : 0] out_payload; reg internal_out_valid; wire internal_out_ready; reg [ADDR_WIDTH : 0] fifo_fill_level; reg [ADDR_WIDTH : 0] fill_level; reg [ADDR_WIDTH-1 : 0] sop_ptr = 0; wire [ADDR_WIDTH-1 : 0] curr_sop_ptr; reg [23:0] almost_full_threshold; reg [23:0] almost_empty_threshold; reg [23:0] cut_through_threshold; reg [15:0] pkt_cnt; reg drop_on_error_en; reg error_in_pkt; reg pkt_has_started; reg sop_has_left_fifo; reg fifo_too_small_r; reg pkt_cnt_eq_zero; reg pkt_cnt_eq_one; wire wait_for_threshold; reg pkt_mode; wire wait_for_pkt; wire ok_to_forward; wire in_pkt_eop_arrive; wire out_pkt_leave; wire in_pkt_start; wire in_pkt_error; wire drop_on_error; wire fifo_too_small; wire out_pkt_sop_leave; wire [31:0] max_fifo_size; reg fifo_fill_level_lt_cut_through_threshold; // -------------------------------------------------- // Define Payload // // Icky part where we decide which signals form the // payload to the FIFO with generate blocks. // -------------------------------------------------- generate if (EMPTY_WIDTH > 0) begin : gen_blk1 assign in_packet_signals = {in_startofpacket, in_endofpacket, in_empty}; assign {out_startofpacket, out_endofpacket, out_empty} = out_packet_signals; end else begin : gen_blk1_else assign out_empty = in_error; assign in_packet_signals = {in_startofpacket, in_endofpacket}; assign {out_startofpacket, out_endofpacket} = out_packet_signals; end endgenerate generate if (USE_PACKETS) begin : gen_blk2 if (ERROR_WIDTH > 0) begin : gen_blk3 if (CHANNEL_WIDTH > 0) begin : gen_blk4 assign in_payload = {in_packet_signals, in_data, in_error, in_channel}; assign {out_packet_signals, out_data, out_error, out_channel} = out_payload; end else begin : gen_blk4_else assign out_channel = in_channel; assign in_payload = {in_packet_signals, in_data, in_error}; assign {out_packet_signals, out_data, out_error} = out_payload; end end else begin : gen_blk3_else assign out_error = in_error; if (CHANNEL_WIDTH > 0) begin : gen_blk5 assign in_payload = {in_packet_signals, in_data, in_channel}; assign {out_packet_signals, out_data, out_channel} = out_payload; end else begin : gen_blk5_else assign out_channel = in_channel; assign in_payload = {in_packet_signals, in_data}; assign {out_packet_signals, out_data} = out_payload; end end end else begin : gen_blk2_else assign out_packet_signals = 0; if (ERROR_WIDTH > 0) begin : gen_blk6 if (CHANNEL_WIDTH > 0) begin : gen_blk7 assign in_payload = {in_data, in_error, in_channel}; assign {out_data, out_error, out_channel} = out_payload; end else begin : gen_blk7_else assign out_channel = in_channel; assign in_payload = {in_data, in_error}; assign {out_data, out_error} = out_payload; end end else begin : gen_blk6_else assign out_error = in_error; if (CHANNEL_WIDTH > 0) begin : gen_blk8 assign in_payload = {in_data, in_channel}; assign {out_data, out_channel} = out_payload; end else begin : gen_blk8_else assign out_channel = in_channel; assign in_payload = in_data; assign out_data = out_payload; end end end endgenerate // -------------------------------------------------- // Memory-based FIFO storage // // To allow a ready latency of 0, the read index is // obtained from the next read pointer and memory // outputs are unregistered. // // If the empty latency is 1, we infer bypass logic // around the memory so writes propagate to the // outputs on the next cycle. // // Do not change the way this is coded: Quartus needs // a perfect match to the template, and any attempt to // refactor the two always blocks into one will break // memory inference. // -------------------------------------------------- generate if (USE_MEMORY_BLOCKS == 1) begin : gen_blk9 if (EMPTY_LATENCY == 1) begin : gen_blk10 always @(posedge clk) begin if (in_valid && in_ready) mem[wr_ptr] = in_payload; internal_out_payload = mem[mem_rd_ptr]; end end else begin : gen_blk10_else always @(posedge clk) begin if (in_valid && in_ready) mem[wr_ptr] <= in_payload; internal_out_payload <= mem[mem_rd_ptr]; end end assign mem_rd_ptr = next_rd_ptr; end else begin : gen_blk9_else // -------------------------------------------------- // Register-based FIFO storage // // Uses a shift register as the storage element. Each // shift register slot has a bit which indicates if // the slot is occupied (credit to Sam H for the idea). // The occupancy bits are contiguous and start from the // lsb, so 0000, 0001, 0011, 0111, 1111 for a 4-deep // FIFO. // // Each slot is enabled during a read or when it // is unoccupied. New data is always written to every // going-to-be-empty slot (we keep track of which ones // are actually useful with the occupancy bits). On a // read we shift occupied slots. // // The exception is the last slot, which always gets // new data when it is unoccupied. // -------------------------------------------------- for (i = 0; i < DEPTH-1; i = i + 1) begin : shift_reg always @(posedge clk or posedge reset) begin if (reset) begin mem[i] <= 0; end else if (read || !mem_used[i]) begin if (!mem_used[i+1]) mem[i] <= in_payload; else mem[i] <= mem[i+1]; end end end always @(posedge clk, posedge reset) begin if (reset) begin mem[DEPTH-1] <= 0; end else begin if (DEPTH == 1) begin if (write) mem[DEPTH-1] <= in_payload; end else if (!mem_used[DEPTH-1]) mem[DEPTH-1] <= in_payload; end end end endgenerate assign read = internal_out_ready && internal_out_valid && ok_to_forward; assign write = in_ready && in_valid; // -------------------------------------------------- // Pointer Management // -------------------------------------------------- generate if (USE_MEMORY_BLOCKS == 1) begin : gen_blk11 assign incremented_wr_ptr = wr_ptr + 1'b1; assign incremented_rd_ptr = rd_ptr + 1'b1; assign next_wr_ptr = drop_on_error ? curr_sop_ptr : write ? incremented_wr_ptr : wr_ptr; assign next_rd_ptr = (read) ? incremented_rd_ptr : rd_ptr; always @(posedge clk or posedge reset) begin if (reset) begin wr_ptr <= 0; rd_ptr <= 0; end else begin wr_ptr <= next_wr_ptr; rd_ptr <= next_rd_ptr; end end end else begin : gen_blk11_else // -------------------------------------------------- // Shift Register Occupancy Bits // // Consider a 4-deep FIFO with 2 entries: 0011 // On a read and write, do not modify the bits. // On a write, left-shift the bits to get 0111. // On a read, right-shift the bits to get 0001. // // Also, on a write we set bit0 (the head), while // clearing the tail on a read. // -------------------------------------------------- always @(posedge clk or posedge reset) begin if (reset) begin mem_used[0] <= 0; end else begin if (write ^ read) begin if (write) mem_used[0] <= 1; else if (read) begin if (DEPTH > 1) mem_used[0] <= mem_used[1]; else mem_used[0] <= 0; end end end end if (DEPTH > 1) begin : gen_blk12 always @(posedge clk or posedge reset) begin if (reset) begin mem_used[DEPTH-1] <= 0; end else begin if (write ^ read) begin mem_used[DEPTH-1] <= 0; if (write) mem_used[DEPTH-1] <= mem_used[DEPTH-2]; end end end end for (i = 1; i < DEPTH-1; i = i + 1) begin : storage_logic always @(posedge clk, posedge reset) begin if (reset) begin mem_used[i] <= 0; end else begin if (write ^ read) begin if (write) mem_used[i] <= mem_used[i-1]; else if (read) mem_used[i] <= mem_used[i+1]; end end end end end endgenerate // -------------------------------------------------- // Memory FIFO Status Management // // Generates the full and empty signals from the // pointers. The FIFO is full when the next write // pointer will be equal to the read pointer after // a write. Reading from a FIFO clears full. // // The FIFO is empty when the next read pointer will // be equal to the write pointer after a read. Writing // to a FIFO clears empty. // // A simultaneous read and write must not change any of // the empty or full flags unless there is a drop on error event. // -------------------------------------------------- generate if (USE_MEMORY_BLOCKS == 1) begin : gen_blk13 always @* begin next_full = full; next_empty = empty; if (read && !write) begin next_full = 1'b0; if (incremented_rd_ptr == wr_ptr) next_empty = 1'b1; end if (write && !read) begin if (!drop_on_error) next_empty = 1'b0; else if (curr_sop_ptr == rd_ptr) // drop on error and only 1 pkt in fifo next_empty = 1'b1; if (incremented_wr_ptr == rd_ptr && !drop_on_error) next_full = 1'b1; end if (write && read && drop_on_error) begin if (curr_sop_ptr == next_rd_ptr) next_empty = 1'b1; end end always @(posedge clk or posedge reset) begin if (reset) begin empty <= 1; full <= 0; end else begin empty <= next_empty; full <= next_full; end end end else begin : gen_blk13_else // -------------------------------------------------- // Register FIFO Status Management // // Full when the tail occupancy bit is 1. Empty when // the head occupancy bit is 0. // -------------------------------------------------- always @* begin full = mem_used[DEPTH-1]; empty = !mem_used[0]; // ------------------------------------------ // For a single slot FIFO, reading clears the // full status immediately. // ------------------------------------------ if (DEPTH == 1) full = mem_used[0] && !read; internal_out_payload = mem[0]; // ------------------------------------------ // Writes clear empty immediately for lookahead modes. // Note that we use in_valid instead of write to avoid // combinational loops (in lookahead mode, qualifying // with in_ready is meaningless). // // In a 1-deep FIFO, a possible combinational loop runs // from write -> out_valid -> out_ready -> write // ------------------------------------------ if (EMPTY_LATENCY == 0) begin empty = !mem_used[0] && !in_valid; if (!mem_used[0] && in_valid) internal_out_payload = in_payload; end end end endgenerate // -------------------------------------------------- // Avalon-ST Signals // // The in_ready signal is straightforward. // // To match memory latency when empty latency > 1, // out_valid assertions must be delayed by one clock // cycle. // // Note: out_valid deassertions must not be delayed or // the FIFO will underflow. // -------------------------------------------------- assign in_ready = !full; assign internal_out_ready = out_ready || !out_valid; generate if (EMPTY_LATENCY > 1) begin : gen_blk14 always @(posedge clk or posedge reset) begin if (reset) internal_out_valid <= 0; else begin internal_out_valid <= !empty & ok_to_forward & ~drop_on_error; if (read) begin if (incremented_rd_ptr == wr_ptr) internal_out_valid <= 1'b0; end end end end else begin : gen_blk14_else always @* begin internal_out_valid = !empty & ok_to_forward; end end endgenerate // -------------------------------------------------- // Single Output Pipeline Stage // // This output pipeline stage is enabled if the FIFO's // empty latency is set to 3 (default). It is disabled // for all other allowed latencies. // // Reason: The memory outputs are unregistered, so we have to // register the output or fmax will drop if combinatorial // logic is present on the output datapath. // // Q: The Avalon-ST spec says that I have to register my outputs // But isn't the memory counted as a register? // A: The path from the address lookup to the memory output is // slow. Registering the memory outputs is a good idea. // // The registers get packed into the memory by the fitter // which means minimal resources are consumed (the result // is a altsyncram with registered outputs, available on // all modern Altera devices). // // This output stage acts as an extra slot in the FIFO, // and complicates the fill level. // -------------------------------------------------- generate if (EMPTY_LATENCY == 3) begin : gen_blk15 always @(posedge clk or posedge reset) begin if (reset) begin out_valid <= 0; out_payload <= 0; end else begin if (internal_out_ready) begin out_valid <= internal_out_valid & ok_to_forward; out_payload <= internal_out_payload; end end end end else begin : gen_blk15_else always @* begin out_valid = internal_out_valid; out_payload = internal_out_payload; end end endgenerate // -------------------------------------------------- // Fill Level // // The fill level is calculated from the next write // and read pointers to avoid unnecessary latency // and logic. // // However, if the store-and-forward mode of the FIFO // is enabled, the fill level is an up-down counter // for fmax optimization reasons. // // If the output pipeline is enabled, the fill level // must account for it, or we'll always be off by one. // This may, or may not be important depending on the // application. // // For now, we'll always calculate the exact fill level // at the cost of an extra adder when the output stage // is enabled. // -------------------------------------------------- generate if (USE_FILL_LEVEL) begin : gen_blk16 wire [31:0] depth32; assign depth32 = DEPTH; if (USE_STORE_FORWARD) begin reg [ADDR_WIDTH : 0] curr_packet_len_less_one; // -------------------------------------------------- // We only drop on endofpacket. As long as we don't add to the fill // level on the dropped endofpacket cycle, we can simply subtract // (packet length - 1) from the fill level for dropped packets. // -------------------------------------------------- always @(posedge clk or posedge reset) begin if (reset) begin curr_packet_len_less_one <= 0; end else begin if (write) begin curr_packet_len_less_one <= curr_packet_len_less_one + 1'b1; if (in_endofpacket) curr_packet_len_less_one <= 0; end end end always @(posedge clk or posedge reset) begin if (reset) begin fifo_fill_level <= 0; end else if (drop_on_error) begin fifo_fill_level <= fifo_fill_level - curr_packet_len_less_one; if (read) fifo_fill_level <= fifo_fill_level - curr_packet_len_less_one - 1'b1; end else if (write && !read) begin fifo_fill_level <= fifo_fill_level + 1'b1; end else if (read && !write) begin fifo_fill_level <= fifo_fill_level - 1'b1; end end end else begin always @(posedge clk or posedge reset) begin if (reset) fifo_fill_level <= 0; else if (next_full & !drop_on_error) fifo_fill_level <= depth32[ADDR_WIDTH:0]; else begin fifo_fill_level[ADDR_WIDTH] <= 1'b0; fifo_fill_level[ADDR_WIDTH-1 : 0] <= next_wr_ptr - next_rd_ptr; end end end always @* begin fill_level = fifo_fill_level; if (EMPTY_LATENCY == 3) fill_level = fifo_fill_level + {{ADDR_WIDTH{1'b0}}, out_valid}; end end else begin : gen_blk16_else always @* begin fill_level = 0; end end endgenerate generate if (USE_ALMOST_FULL_IF) begin : gen_blk17 assign almost_full_data = (fill_level >= almost_full_threshold); end else assign almost_full_data = 0; endgenerate generate if (USE_ALMOST_EMPTY_IF) begin : gen_blk18 assign almost_empty_data = (fill_level <= almost_empty_threshold); end else assign almost_empty_data = 0; endgenerate // -------------------------------------------------- // Avalon-MM Status & Control Connection Point // // Register map: // // | Addr | RW | 31 - 0 | // | 0 | R | Fill level | // // The registering of this connection point means // that there is a cycle of latency between // reads/writes and the updating of the fill level. // -------------------------------------------------- generate if (USE_STORE_FORWARD) begin : gen_blk19 assign max_fifo_size = FIFO_DEPTH - 1; always @(posedge clk or posedge reset) begin if (reset) begin almost_full_threshold <= max_fifo_size[23 : 0]; almost_empty_threshold <= 0; cut_through_threshold <= 0; drop_on_error_en <= 0; csr_readdata <= 0; pkt_mode <= 1'b1; end else begin if (csr_read) begin csr_readdata <= 32'b0; if (csr_address == 5) csr_readdata <= {31'b0, drop_on_error_en}; else if (csr_address == 4) csr_readdata <= {8'b0, cut_through_threshold}; else if (csr_address == 3) csr_readdata <= {8'b0, almost_empty_threshold}; else if (csr_address == 2) csr_readdata <= {8'b0, almost_full_threshold}; else if (csr_address == 0) csr_readdata <= {{(31 - ADDR_WIDTH){1'b0}}, fill_level}; end else if (csr_write) begin if(csr_address == 3'b101) drop_on_error_en <= csr_writedata[0]; else if(csr_address == 3'b100) begin cut_through_threshold <= csr_writedata[23:0]; pkt_mode <= (csr_writedata[23:0] == 0); end else if(csr_address == 3'b011) almost_empty_threshold <= csr_writedata[23:0]; else if(csr_address == 3'b010) almost_full_threshold <= csr_writedata[23:0]; end end end end else if (USE_ALMOST_FULL_IF || USE_ALMOST_EMPTY_IF) begin : gen_blk19_else1 assign max_fifo_size = FIFO_DEPTH - 1; always @(posedge clk or posedge reset) begin if (reset) begin almost_full_threshold <= max_fifo_size[23 : 0]; almost_empty_threshold <= 0; csr_readdata <= 0; end else begin if (csr_read) begin csr_readdata <= 32'b0; if (csr_address == 3) csr_readdata <= {8'b0, almost_empty_threshold}; else if (csr_address == 2) csr_readdata <= {8'b0, almost_full_threshold}; else if (csr_address == 0) csr_readdata <= {{(31 - ADDR_WIDTH){1'b0}}, fill_level}; end else if (csr_write) begin if(csr_address == 3'b011) almost_empty_threshold <= csr_writedata[23:0]; else if(csr_address == 3'b010) almost_full_threshold <= csr_writedata[23:0]; end end end end else begin : gen_blk19_else2 always @(posedge clk or posedge reset) begin if (reset) begin csr_readdata <= 0; end else if (csr_read) begin csr_readdata <= 0; if (csr_address == 0) csr_readdata <= {{(31 - ADDR_WIDTH){1'b0}}, fill_level}; end end end endgenerate // -------------------------------------------------- // Store and forward logic // -------------------------------------------------- // if the fifo gets full before the entire packet or the // cut-threshold condition is met then start sending out // data in order to avoid dead-lock situation generate if (USE_STORE_FORWARD) begin : gen_blk20 assign wait_for_threshold = (fifo_fill_level_lt_cut_through_threshold) & wait_for_pkt ; assign wait_for_pkt = pkt_cnt_eq_zero | (pkt_cnt_eq_one & out_pkt_leave); assign ok_to_forward = (pkt_mode ? (~wait_for_pkt | ~pkt_has_started) : ~wait_for_threshold) | fifo_too_small_r; assign in_pkt_eop_arrive = in_valid & in_ready & in_endofpacket; assign in_pkt_start = in_valid & in_ready & in_startofpacket; assign in_pkt_error = in_valid & in_ready & |in_error; assign out_pkt_sop_leave = out_valid & out_ready & out_startofpacket; assign out_pkt_leave = out_valid & out_ready & out_endofpacket; assign fifo_too_small = (pkt_mode ? wait_for_pkt : wait_for_threshold) & full & out_ready; // count packets coming and going into the fifo always @(posedge clk or posedge reset) begin if (reset) begin pkt_cnt <= 0; pkt_has_started <= 0; sop_has_left_fifo <= 0; fifo_too_small_r <= 0; pkt_cnt_eq_zero <= 1'b1; pkt_cnt_eq_one <= 1'b0; fifo_fill_level_lt_cut_through_threshold <= 1'b1; end else begin fifo_fill_level_lt_cut_through_threshold <= fifo_fill_level < cut_through_threshold; fifo_too_small_r <= fifo_too_small; if( in_pkt_eop_arrive ) sop_has_left_fifo <= 1'b0; else if (out_pkt_sop_leave & pkt_cnt_eq_zero ) sop_has_left_fifo <= 1'b1; if (in_pkt_eop_arrive & ~out_pkt_leave & ~drop_on_error ) begin pkt_cnt <= pkt_cnt + 1'b1; pkt_cnt_eq_zero <= 0; if (pkt_cnt == 0) pkt_cnt_eq_one <= 1'b1; else pkt_cnt_eq_one <= 1'b0; end else if((~in_pkt_eop_arrive | drop_on_error) & out_pkt_leave) begin pkt_cnt <= pkt_cnt - 1'b1; if (pkt_cnt == 1) pkt_cnt_eq_zero <= 1'b1; else pkt_cnt_eq_zero <= 1'b0; if (pkt_cnt == 2) pkt_cnt_eq_one <= 1'b1; else pkt_cnt_eq_one <= 1'b0; end if (in_pkt_start) pkt_has_started <= 1'b1; else if (in_pkt_eop_arrive) pkt_has_started <= 1'b0; end end // drop on error logic always @(posedge clk or posedge reset) begin if (reset) begin sop_ptr <= 0; error_in_pkt <= 0; end else begin // save the location of the SOP if ( in_pkt_start ) sop_ptr <= wr_ptr; // remember if error in pkt // log error only if packet has already started if (in_pkt_eop_arrive) error_in_pkt <= 1'b0; else if ( in_pkt_error & (pkt_has_started | in_pkt_start)) error_in_pkt <= 1'b1; end end assign drop_on_error = drop_on_error_en & (error_in_pkt | in_pkt_error) & in_pkt_eop_arrive & ~sop_has_left_fifo & ~(out_pkt_sop_leave & pkt_cnt_eq_zero); assign curr_sop_ptr = (write && in_startofpacket && in_endofpacket) ? wr_ptr : sop_ptr; end else begin : gen_blk20_else assign ok_to_forward = 1'b1; assign drop_on_error = 1'b0; if (ADDR_WIDTH <= 1) assign curr_sop_ptr = 1'b0; else assign curr_sop_ptr = {ADDR_WIDTH - 1 { 1'b0 }}; end endgenerate // -------------------------------------------------- // Calculates the log2ceil of the input value // -------------------------------------------------- function integer log2ceil; input integer val; reg[31:0] i; begin i = 1; log2ceil = 0; while (i < val) begin log2ceil = log2ceil + 1; i = i[30:0] << 1; end end endfunction endmodule
module altera_avalon_sc_fifo #( // -------------------------------------------------- // Parameters // -------------------------------------------------- parameter SYMBOLS_PER_BEAT = 1, parameter BITS_PER_SYMBOL = 8, parameter FIFO_DEPTH = 16, parameter CHANNEL_WIDTH = 0, parameter ERROR_WIDTH = 0, parameter USE_PACKETS = 0, parameter USE_FILL_LEVEL = 0, parameter USE_STORE_FORWARD = 0, parameter USE_ALMOST_FULL_IF = 0, parameter USE_ALMOST_EMPTY_IF = 0, // -------------------------------------------------- // Empty latency is defined as the number of cycles // required for a write to deassert the empty flag. // For example, a latency of 1 means that the empty // flag is deasserted on the cycle after a write. // // Another way to think of it is the latency for a // write to propagate to the output. // // An empty latency of 0 implies lookahead, which is // only implemented for the register-based FIFO. // -------------------------------------------------- parameter EMPTY_LATENCY = 3, parameter USE_MEMORY_BLOCKS = 1, // -------------------------------------------------- // Internal Parameters // -------------------------------------------------- parameter DATA_WIDTH = SYMBOLS_PER_BEAT * BITS_PER_SYMBOL, parameter EMPTY_WIDTH = log2ceil(SYMBOLS_PER_BEAT) ) ( // -------------------------------------------------- // Ports // -------------------------------------------------- input clk, input reset, input [DATA_WIDTH-1: 0] in_data, input in_valid, input in_startofpacket, input in_endofpacket, input [((EMPTY_WIDTH>0) ? (EMPTY_WIDTH-1):0) : 0] in_empty, input [((ERROR_WIDTH>0) ? (ERROR_WIDTH-1):0) : 0] in_error, input [((CHANNEL_WIDTH>0) ? (CHANNEL_WIDTH-1):0): 0] in_channel, output in_ready, output [DATA_WIDTH-1 : 0] out_data, output reg out_valid, output out_startofpacket, output out_endofpacket, output [((EMPTY_WIDTH>0) ? (EMPTY_WIDTH-1):0) : 0] out_empty, output [((ERROR_WIDTH>0) ? (ERROR_WIDTH-1):0) : 0] out_error, output [((CHANNEL_WIDTH>0) ? (CHANNEL_WIDTH-1):0): 0] out_channel, input out_ready, input [(USE_STORE_FORWARD ? 2 : 1) : 0] csr_address, input csr_write, input csr_read, input [31 : 0] csr_writedata, output reg [31 : 0] csr_readdata, output wire almost_full_data, output wire almost_empty_data ); // -------------------------------------------------- // Local Parameters // -------------------------------------------------- localparam ADDR_WIDTH = log2ceil(FIFO_DEPTH); localparam DEPTH = FIFO_DEPTH; localparam PKT_SIGNALS_WIDTH = 2 + EMPTY_WIDTH; localparam PAYLOAD_WIDTH = (USE_PACKETS == 1) ? 2 + EMPTY_WIDTH + DATA_WIDTH + ERROR_WIDTH + CHANNEL_WIDTH: DATA_WIDTH + ERROR_WIDTH + CHANNEL_WIDTH; // -------------------------------------------------- // Internal Signals // -------------------------------------------------- genvar i; reg [PAYLOAD_WIDTH-1 : 0] mem [DEPTH-1 : 0]; reg [ADDR_WIDTH-1 : 0] wr_ptr; reg [ADDR_WIDTH-1 : 0] rd_ptr; reg [DEPTH-1 : 0] mem_used; wire [ADDR_WIDTH-1 : 0] next_wr_ptr; wire [ADDR_WIDTH-1 : 0] next_rd_ptr; wire [ADDR_WIDTH-1 : 0] incremented_wr_ptr; wire [ADDR_WIDTH-1 : 0] incremented_rd_ptr; wire [ADDR_WIDTH-1 : 0] mem_rd_ptr; wire read; wire write; reg empty; reg next_empty; reg full; reg next_full; wire [PKT_SIGNALS_WIDTH-1 : 0] in_packet_signals; wire [PKT_SIGNALS_WIDTH-1 : 0] out_packet_signals; wire [PAYLOAD_WIDTH-1 : 0] in_payload; reg [PAYLOAD_WIDTH-1 : 0] internal_out_payload; reg [PAYLOAD_WIDTH-1 : 0] out_payload; reg internal_out_valid; wire internal_out_ready; reg [ADDR_WIDTH : 0] fifo_fill_level; reg [ADDR_WIDTH : 0] fill_level; reg [ADDR_WIDTH-1 : 0] sop_ptr = 0; wire [ADDR_WIDTH-1 : 0] curr_sop_ptr; reg [23:0] almost_full_threshold; reg [23:0] almost_empty_threshold; reg [23:0] cut_through_threshold; reg [15:0] pkt_cnt; reg drop_on_error_en; reg error_in_pkt; reg pkt_has_started; reg sop_has_left_fifo; reg fifo_too_small_r; reg pkt_cnt_eq_zero; reg pkt_cnt_eq_one; wire wait_for_threshold; reg pkt_mode; wire wait_for_pkt; wire ok_to_forward; wire in_pkt_eop_arrive; wire out_pkt_leave; wire in_pkt_start; wire in_pkt_error; wire drop_on_error; wire fifo_too_small; wire out_pkt_sop_leave; wire [31:0] max_fifo_size; reg fifo_fill_level_lt_cut_through_threshold; // -------------------------------------------------- // Define Payload // // Icky part where we decide which signals form the // payload to the FIFO with generate blocks. // -------------------------------------------------- generate if (EMPTY_WIDTH > 0) begin : gen_blk1 assign in_packet_signals = {in_startofpacket, in_endofpacket, in_empty}; assign {out_startofpacket, out_endofpacket, out_empty} = out_packet_signals; end else begin : gen_blk1_else assign out_empty = in_error; assign in_packet_signals = {in_startofpacket, in_endofpacket}; assign {out_startofpacket, out_endofpacket} = out_packet_signals; end endgenerate generate if (USE_PACKETS) begin : gen_blk2 if (ERROR_WIDTH > 0) begin : gen_blk3 if (CHANNEL_WIDTH > 0) begin : gen_blk4 assign in_payload = {in_packet_signals, in_data, in_error, in_channel}; assign {out_packet_signals, out_data, out_error, out_channel} = out_payload; end else begin : gen_blk4_else assign out_channel = in_channel; assign in_payload = {in_packet_signals, in_data, in_error}; assign {out_packet_signals, out_data, out_error} = out_payload; end end else begin : gen_blk3_else assign out_error = in_error; if (CHANNEL_WIDTH > 0) begin : gen_blk5 assign in_payload = {in_packet_signals, in_data, in_channel}; assign {out_packet_signals, out_data, out_channel} = out_payload; end else begin : gen_blk5_else assign out_channel = in_channel; assign in_payload = {in_packet_signals, in_data}; assign {out_packet_signals, out_data} = out_payload; end end end else begin : gen_blk2_else assign out_packet_signals = 0; if (ERROR_WIDTH > 0) begin : gen_blk6 if (CHANNEL_WIDTH > 0) begin : gen_blk7 assign in_payload = {in_data, in_error, in_channel}; assign {out_data, out_error, out_channel} = out_payload; end else begin : gen_blk7_else assign out_channel = in_channel; assign in_payload = {in_data, in_error}; assign {out_data, out_error} = out_payload; end end else begin : gen_blk6_else assign out_error = in_error; if (CHANNEL_WIDTH > 0) begin : gen_blk8 assign in_payload = {in_data, in_channel}; assign {out_data, out_channel} = out_payload; end else begin : gen_blk8_else assign out_channel = in_channel; assign in_payload = in_data; assign out_data = out_payload; end end end endgenerate // -------------------------------------------------- // Memory-based FIFO storage // // To allow a ready latency of 0, the read index is // obtained from the next read pointer and memory // outputs are unregistered. // // If the empty latency is 1, we infer bypass logic // around the memory so writes propagate to the // outputs on the next cycle. // // Do not change the way this is coded: Quartus needs // a perfect match to the template, and any attempt to // refactor the two always blocks into one will break // memory inference. // -------------------------------------------------- generate if (USE_MEMORY_BLOCKS == 1) begin : gen_blk9 if (EMPTY_LATENCY == 1) begin : gen_blk10 always @(posedge clk) begin if (in_valid && in_ready) mem[wr_ptr] = in_payload; internal_out_payload = mem[mem_rd_ptr]; end end else begin : gen_blk10_else always @(posedge clk) begin if (in_valid && in_ready) mem[wr_ptr] <= in_payload; internal_out_payload <= mem[mem_rd_ptr]; end end assign mem_rd_ptr = next_rd_ptr; end else begin : gen_blk9_else // -------------------------------------------------- // Register-based FIFO storage // // Uses a shift register as the storage element. Each // shift register slot has a bit which indicates if // the slot is occupied (credit to Sam H for the idea). // The occupancy bits are contiguous and start from the // lsb, so 0000, 0001, 0011, 0111, 1111 for a 4-deep // FIFO. // // Each slot is enabled during a read or when it // is unoccupied. New data is always written to every // going-to-be-empty slot (we keep track of which ones // are actually useful with the occupancy bits). On a // read we shift occupied slots. // // The exception is the last slot, which always gets // new data when it is unoccupied. // -------------------------------------------------- for (i = 0; i < DEPTH-1; i = i + 1) begin : shift_reg always @(posedge clk or posedge reset) begin if (reset) begin mem[i] <= 0; end else if (read || !mem_used[i]) begin if (!mem_used[i+1]) mem[i] <= in_payload; else mem[i] <= mem[i+1]; end end end always @(posedge clk, posedge reset) begin if (reset) begin mem[DEPTH-1] <= 0; end else begin if (DEPTH == 1) begin if (write) mem[DEPTH-1] <= in_payload; end else if (!mem_used[DEPTH-1]) mem[DEPTH-1] <= in_payload; end end end endgenerate assign read = internal_out_ready && internal_out_valid && ok_to_forward; assign write = in_ready && in_valid; // -------------------------------------------------- // Pointer Management // -------------------------------------------------- generate if (USE_MEMORY_BLOCKS == 1) begin : gen_blk11 assign incremented_wr_ptr = wr_ptr + 1'b1; assign incremented_rd_ptr = rd_ptr + 1'b1; assign next_wr_ptr = drop_on_error ? curr_sop_ptr : write ? incremented_wr_ptr : wr_ptr; assign next_rd_ptr = (read) ? incremented_rd_ptr : rd_ptr; always @(posedge clk or posedge reset) begin if (reset) begin wr_ptr <= 0; rd_ptr <= 0; end else begin wr_ptr <= next_wr_ptr; rd_ptr <= next_rd_ptr; end end end else begin : gen_blk11_else // -------------------------------------------------- // Shift Register Occupancy Bits // // Consider a 4-deep FIFO with 2 entries: 0011 // On a read and write, do not modify the bits. // On a write, left-shift the bits to get 0111. // On a read, right-shift the bits to get 0001. // // Also, on a write we set bit0 (the head), while // clearing the tail on a read. // -------------------------------------------------- always @(posedge clk or posedge reset) begin if (reset) begin mem_used[0] <= 0; end else begin if (write ^ read) begin if (write) mem_used[0] <= 1; else if (read) begin if (DEPTH > 1) mem_used[0] <= mem_used[1]; else mem_used[0] <= 0; end end end end if (DEPTH > 1) begin : gen_blk12 always @(posedge clk or posedge reset) begin if (reset) begin mem_used[DEPTH-1] <= 0; end else begin if (write ^ read) begin mem_used[DEPTH-1] <= 0; if (write) mem_used[DEPTH-1] <= mem_used[DEPTH-2]; end end end end for (i = 1; i < DEPTH-1; i = i + 1) begin : storage_logic always @(posedge clk, posedge reset) begin if (reset) begin mem_used[i] <= 0; end else begin if (write ^ read) begin if (write) mem_used[i] <= mem_used[i-1]; else if (read) mem_used[i] <= mem_used[i+1]; end end end end end endgenerate // -------------------------------------------------- // Memory FIFO Status Management // // Generates the full and empty signals from the // pointers. The FIFO is full when the next write // pointer will be equal to the read pointer after // a write. Reading from a FIFO clears full. // // The FIFO is empty when the next read pointer will // be equal to the write pointer after a read. Writing // to a FIFO clears empty. // // A simultaneous read and write must not change any of // the empty or full flags unless there is a drop on error event. // -------------------------------------------------- generate if (USE_MEMORY_BLOCKS == 1) begin : gen_blk13 always @* begin next_full = full; next_empty = empty; if (read && !write) begin next_full = 1'b0; if (incremented_rd_ptr == wr_ptr) next_empty = 1'b1; end if (write && !read) begin if (!drop_on_error) next_empty = 1'b0; else if (curr_sop_ptr == rd_ptr) // drop on error and only 1 pkt in fifo next_empty = 1'b1; if (incremented_wr_ptr == rd_ptr && !drop_on_error) next_full = 1'b1; end if (write && read && drop_on_error) begin if (curr_sop_ptr == next_rd_ptr) next_empty = 1'b1; end end always @(posedge clk or posedge reset) begin if (reset) begin empty <= 1; full <= 0; end else begin empty <= next_empty; full <= next_full; end end end else begin : gen_blk13_else // -------------------------------------------------- // Register FIFO Status Management // // Full when the tail occupancy bit is 1. Empty when // the head occupancy bit is 0. // -------------------------------------------------- always @* begin full = mem_used[DEPTH-1]; empty = !mem_used[0]; // ------------------------------------------ // For a single slot FIFO, reading clears the // full status immediately. // ------------------------------------------ if (DEPTH == 1) full = mem_used[0] && !read; internal_out_payload = mem[0]; // ------------------------------------------ // Writes clear empty immediately for lookahead modes. // Note that we use in_valid instead of write to avoid // combinational loops (in lookahead mode, qualifying // with in_ready is meaningless). // // In a 1-deep FIFO, a possible combinational loop runs // from write -> out_valid -> out_ready -> write // ------------------------------------------ if (EMPTY_LATENCY == 0) begin empty = !mem_used[0] && !in_valid; if (!mem_used[0] && in_valid) internal_out_payload = in_payload; end end end endgenerate // -------------------------------------------------- // Avalon-ST Signals // // The in_ready signal is straightforward. // // To match memory latency when empty latency > 1, // out_valid assertions must be delayed by one clock // cycle. // // Note: out_valid deassertions must not be delayed or // the FIFO will underflow. // -------------------------------------------------- assign in_ready = !full; assign internal_out_ready = out_ready || !out_valid; generate if (EMPTY_LATENCY > 1) begin : gen_blk14 always @(posedge clk or posedge reset) begin if (reset) internal_out_valid <= 0; else begin internal_out_valid <= !empty & ok_to_forward & ~drop_on_error; if (read) begin if (incremented_rd_ptr == wr_ptr) internal_out_valid <= 1'b0; end end end end else begin : gen_blk14_else always @* begin internal_out_valid = !empty & ok_to_forward; end end endgenerate // -------------------------------------------------- // Single Output Pipeline Stage // // This output pipeline stage is enabled if the FIFO's // empty latency is set to 3 (default). It is disabled // for all other allowed latencies. // // Reason: The memory outputs are unregistered, so we have to // register the output or fmax will drop if combinatorial // logic is present on the output datapath. // // Q: The Avalon-ST spec says that I have to register my outputs // But isn't the memory counted as a register? // A: The path from the address lookup to the memory output is // slow. Registering the memory outputs is a good idea. // // The registers get packed into the memory by the fitter // which means minimal resources are consumed (the result // is a altsyncram with registered outputs, available on // all modern Altera devices). // // This output stage acts as an extra slot in the FIFO, // and complicates the fill level. // -------------------------------------------------- generate if (EMPTY_LATENCY == 3) begin : gen_blk15 always @(posedge clk or posedge reset) begin if (reset) begin out_valid <= 0; out_payload <= 0; end else begin if (internal_out_ready) begin out_valid <= internal_out_valid & ok_to_forward; out_payload <= internal_out_payload; end end end end else begin : gen_blk15_else always @* begin out_valid = internal_out_valid; out_payload = internal_out_payload; end end endgenerate // -------------------------------------------------- // Fill Level // // The fill level is calculated from the next write // and read pointers to avoid unnecessary latency // and logic. // // However, if the store-and-forward mode of the FIFO // is enabled, the fill level is an up-down counter // for fmax optimization reasons. // // If the output pipeline is enabled, the fill level // must account for it, or we'll always be off by one. // This may, or may not be important depending on the // application. // // For now, we'll always calculate the exact fill level // at the cost of an extra adder when the output stage // is enabled. // -------------------------------------------------- generate if (USE_FILL_LEVEL) begin : gen_blk16 wire [31:0] depth32; assign depth32 = DEPTH; if (USE_STORE_FORWARD) begin reg [ADDR_WIDTH : 0] curr_packet_len_less_one; // -------------------------------------------------- // We only drop on endofpacket. As long as we don't add to the fill // level on the dropped endofpacket cycle, we can simply subtract // (packet length - 1) from the fill level for dropped packets. // -------------------------------------------------- always @(posedge clk or posedge reset) begin if (reset) begin curr_packet_len_less_one <= 0; end else begin if (write) begin curr_packet_len_less_one <= curr_packet_len_less_one + 1'b1; if (in_endofpacket) curr_packet_len_less_one <= 0; end end end always @(posedge clk or posedge reset) begin if (reset) begin fifo_fill_level <= 0; end else if (drop_on_error) begin fifo_fill_level <= fifo_fill_level - curr_packet_len_less_one; if (read) fifo_fill_level <= fifo_fill_level - curr_packet_len_less_one - 1'b1; end else if (write && !read) begin fifo_fill_level <= fifo_fill_level + 1'b1; end else if (read && !write) begin fifo_fill_level <= fifo_fill_level - 1'b1; end end end else begin always @(posedge clk or posedge reset) begin if (reset) fifo_fill_level <= 0; else if (next_full & !drop_on_error) fifo_fill_level <= depth32[ADDR_WIDTH:0]; else begin fifo_fill_level[ADDR_WIDTH] <= 1'b0; fifo_fill_level[ADDR_WIDTH-1 : 0] <= next_wr_ptr - next_rd_ptr; end end end always @* begin fill_level = fifo_fill_level; if (EMPTY_LATENCY == 3) fill_level = fifo_fill_level + {{ADDR_WIDTH{1'b0}}, out_valid}; end end else begin : gen_blk16_else always @* begin fill_level = 0; end end endgenerate generate if (USE_ALMOST_FULL_IF) begin : gen_blk17 assign almost_full_data = (fill_level >= almost_full_threshold); end else assign almost_full_data = 0; endgenerate generate if (USE_ALMOST_EMPTY_IF) begin : gen_blk18 assign almost_empty_data = (fill_level <= almost_empty_threshold); end else assign almost_empty_data = 0; endgenerate // -------------------------------------------------- // Avalon-MM Status & Control Connection Point // // Register map: // // | Addr | RW | 31 - 0 | // | 0 | R | Fill level | // // The registering of this connection point means // that there is a cycle of latency between // reads/writes and the updating of the fill level. // -------------------------------------------------- generate if (USE_STORE_FORWARD) begin : gen_blk19 assign max_fifo_size = FIFO_DEPTH - 1; always @(posedge clk or posedge reset) begin if (reset) begin almost_full_threshold <= max_fifo_size[23 : 0]; almost_empty_threshold <= 0; cut_through_threshold <= 0; drop_on_error_en <= 0; csr_readdata <= 0; pkt_mode <= 1'b1; end else begin if (csr_read) begin csr_readdata <= 32'b0; if (csr_address == 5) csr_readdata <= {31'b0, drop_on_error_en}; else if (csr_address == 4) csr_readdata <= {8'b0, cut_through_threshold}; else if (csr_address == 3) csr_readdata <= {8'b0, almost_empty_threshold}; else if (csr_address == 2) csr_readdata <= {8'b0, almost_full_threshold}; else if (csr_address == 0) csr_readdata <= {{(31 - ADDR_WIDTH){1'b0}}, fill_level}; end else if (csr_write) begin if(csr_address == 3'b101) drop_on_error_en <= csr_writedata[0]; else if(csr_address == 3'b100) begin cut_through_threshold <= csr_writedata[23:0]; pkt_mode <= (csr_writedata[23:0] == 0); end else if(csr_address == 3'b011) almost_empty_threshold <= csr_writedata[23:0]; else if(csr_address == 3'b010) almost_full_threshold <= csr_writedata[23:0]; end end end end else if (USE_ALMOST_FULL_IF || USE_ALMOST_EMPTY_IF) begin : gen_blk19_else1 assign max_fifo_size = FIFO_DEPTH - 1; always @(posedge clk or posedge reset) begin if (reset) begin almost_full_threshold <= max_fifo_size[23 : 0]; almost_empty_threshold <= 0; csr_readdata <= 0; end else begin if (csr_read) begin csr_readdata <= 32'b0; if (csr_address == 3) csr_readdata <= {8'b0, almost_empty_threshold}; else if (csr_address == 2) csr_readdata <= {8'b0, almost_full_threshold}; else if (csr_address == 0) csr_readdata <= {{(31 - ADDR_WIDTH){1'b0}}, fill_level}; end else if (csr_write) begin if(csr_address == 3'b011) almost_empty_threshold <= csr_writedata[23:0]; else if(csr_address == 3'b010) almost_full_threshold <= csr_writedata[23:0]; end end end end else begin : gen_blk19_else2 always @(posedge clk or posedge reset) begin if (reset) begin csr_readdata <= 0; end else if (csr_read) begin csr_readdata <= 0; if (csr_address == 0) csr_readdata <= {{(31 - ADDR_WIDTH){1'b0}}, fill_level}; end end end endgenerate // -------------------------------------------------- // Store and forward logic // -------------------------------------------------- // if the fifo gets full before the entire packet or the // cut-threshold condition is met then start sending out // data in order to avoid dead-lock situation generate if (USE_STORE_FORWARD) begin : gen_blk20 assign wait_for_threshold = (fifo_fill_level_lt_cut_through_threshold) & wait_for_pkt ; assign wait_for_pkt = pkt_cnt_eq_zero | (pkt_cnt_eq_one & out_pkt_leave); assign ok_to_forward = (pkt_mode ? (~wait_for_pkt | ~pkt_has_started) : ~wait_for_threshold) | fifo_too_small_r; assign in_pkt_eop_arrive = in_valid & in_ready & in_endofpacket; assign in_pkt_start = in_valid & in_ready & in_startofpacket; assign in_pkt_error = in_valid & in_ready & |in_error; assign out_pkt_sop_leave = out_valid & out_ready & out_startofpacket; assign out_pkt_leave = out_valid & out_ready & out_endofpacket; assign fifo_too_small = (pkt_mode ? wait_for_pkt : wait_for_threshold) & full & out_ready; // count packets coming and going into the fifo always @(posedge clk or posedge reset) begin if (reset) begin pkt_cnt <= 0; pkt_has_started <= 0; sop_has_left_fifo <= 0; fifo_too_small_r <= 0; pkt_cnt_eq_zero <= 1'b1; pkt_cnt_eq_one <= 1'b0; fifo_fill_level_lt_cut_through_threshold <= 1'b1; end else begin fifo_fill_level_lt_cut_through_threshold <= fifo_fill_level < cut_through_threshold; fifo_too_small_r <= fifo_too_small; if( in_pkt_eop_arrive ) sop_has_left_fifo <= 1'b0; else if (out_pkt_sop_leave & pkt_cnt_eq_zero ) sop_has_left_fifo <= 1'b1; if (in_pkt_eop_arrive & ~out_pkt_leave & ~drop_on_error ) begin pkt_cnt <= pkt_cnt + 1'b1; pkt_cnt_eq_zero <= 0; if (pkt_cnt == 0) pkt_cnt_eq_one <= 1'b1; else pkt_cnt_eq_one <= 1'b0; end else if((~in_pkt_eop_arrive | drop_on_error) & out_pkt_leave) begin pkt_cnt <= pkt_cnt - 1'b1; if (pkt_cnt == 1) pkt_cnt_eq_zero <= 1'b1; else pkt_cnt_eq_zero <= 1'b0; if (pkt_cnt == 2) pkt_cnt_eq_one <= 1'b1; else pkt_cnt_eq_one <= 1'b0; end if (in_pkt_start) pkt_has_started <= 1'b1; else if (in_pkt_eop_arrive) pkt_has_started <= 1'b0; end end // drop on error logic always @(posedge clk or posedge reset) begin if (reset) begin sop_ptr <= 0; error_in_pkt <= 0; end else begin // save the location of the SOP if ( in_pkt_start ) sop_ptr <= wr_ptr; // remember if error in pkt // log error only if packet has already started if (in_pkt_eop_arrive) error_in_pkt <= 1'b0; else if ( in_pkt_error & (pkt_has_started | in_pkt_start)) error_in_pkt <= 1'b1; end end assign drop_on_error = drop_on_error_en & (error_in_pkt | in_pkt_error) & in_pkt_eop_arrive & ~sop_has_left_fifo & ~(out_pkt_sop_leave & pkt_cnt_eq_zero); assign curr_sop_ptr = (write && in_startofpacket && in_endofpacket) ? wr_ptr : sop_ptr; end else begin : gen_blk20_else assign ok_to_forward = 1'b1; assign drop_on_error = 1'b0; if (ADDR_WIDTH <= 1) assign curr_sop_ptr = 1'b0; else assign curr_sop_ptr = {ADDR_WIDTH - 1 { 1'b0 }}; end endgenerate // -------------------------------------------------- // Calculates the log2ceil of the input value // -------------------------------------------------- function integer log2ceil; input integer val; reg[31:0] i; begin i = 1; log2ceil = 0; while (i < val) begin log2ceil = log2ceil + 1; i = i[30:0] << 1; end end endfunction endmodule
module altera_avalon_sc_fifo #( // -------------------------------------------------- // Parameters // -------------------------------------------------- parameter SYMBOLS_PER_BEAT = 1, parameter BITS_PER_SYMBOL = 8, parameter FIFO_DEPTH = 16, parameter CHANNEL_WIDTH = 0, parameter ERROR_WIDTH = 0, parameter USE_PACKETS = 0, parameter USE_FILL_LEVEL = 0, parameter USE_STORE_FORWARD = 0, parameter USE_ALMOST_FULL_IF = 0, parameter USE_ALMOST_EMPTY_IF = 0, // -------------------------------------------------- // Empty latency is defined as the number of cycles // required for a write to deassert the empty flag. // For example, a latency of 1 means that the empty // flag is deasserted on the cycle after a write. // // Another way to think of it is the latency for a // write to propagate to the output. // // An empty latency of 0 implies lookahead, which is // only implemented for the register-based FIFO. // -------------------------------------------------- parameter EMPTY_LATENCY = 3, parameter USE_MEMORY_BLOCKS = 1, // -------------------------------------------------- // Internal Parameters // -------------------------------------------------- parameter DATA_WIDTH = SYMBOLS_PER_BEAT * BITS_PER_SYMBOL, parameter EMPTY_WIDTH = log2ceil(SYMBOLS_PER_BEAT) ) ( // -------------------------------------------------- // Ports // -------------------------------------------------- input clk, input reset, input [DATA_WIDTH-1: 0] in_data, input in_valid, input in_startofpacket, input in_endofpacket, input [((EMPTY_WIDTH>0) ? (EMPTY_WIDTH-1):0) : 0] in_empty, input [((ERROR_WIDTH>0) ? (ERROR_WIDTH-1):0) : 0] in_error, input [((CHANNEL_WIDTH>0) ? (CHANNEL_WIDTH-1):0): 0] in_channel, output in_ready, output [DATA_WIDTH-1 : 0] out_data, output reg out_valid, output out_startofpacket, output out_endofpacket, output [((EMPTY_WIDTH>0) ? (EMPTY_WIDTH-1):0) : 0] out_empty, output [((ERROR_WIDTH>0) ? (ERROR_WIDTH-1):0) : 0] out_error, output [((CHANNEL_WIDTH>0) ? (CHANNEL_WIDTH-1):0): 0] out_channel, input out_ready, input [(USE_STORE_FORWARD ? 2 : 1) : 0] csr_address, input csr_write, input csr_read, input [31 : 0] csr_writedata, output reg [31 : 0] csr_readdata, output wire almost_full_data, output wire almost_empty_data ); // -------------------------------------------------- // Local Parameters // -------------------------------------------------- localparam ADDR_WIDTH = log2ceil(FIFO_DEPTH); localparam DEPTH = FIFO_DEPTH; localparam PKT_SIGNALS_WIDTH = 2 + EMPTY_WIDTH; localparam PAYLOAD_WIDTH = (USE_PACKETS == 1) ? 2 + EMPTY_WIDTH + DATA_WIDTH + ERROR_WIDTH + CHANNEL_WIDTH: DATA_WIDTH + ERROR_WIDTH + CHANNEL_WIDTH; // -------------------------------------------------- // Internal Signals // -------------------------------------------------- genvar i; reg [PAYLOAD_WIDTH-1 : 0] mem [DEPTH-1 : 0]; reg [ADDR_WIDTH-1 : 0] wr_ptr; reg [ADDR_WIDTH-1 : 0] rd_ptr; reg [DEPTH-1 : 0] mem_used; wire [ADDR_WIDTH-1 : 0] next_wr_ptr; wire [ADDR_WIDTH-1 : 0] next_rd_ptr; wire [ADDR_WIDTH-1 : 0] incremented_wr_ptr; wire [ADDR_WIDTH-1 : 0] incremented_rd_ptr; wire [ADDR_WIDTH-1 : 0] mem_rd_ptr; wire read; wire write; reg empty; reg next_empty; reg full; reg next_full; wire [PKT_SIGNALS_WIDTH-1 : 0] in_packet_signals; wire [PKT_SIGNALS_WIDTH-1 : 0] out_packet_signals; wire [PAYLOAD_WIDTH-1 : 0] in_payload; reg [PAYLOAD_WIDTH-1 : 0] internal_out_payload; reg [PAYLOAD_WIDTH-1 : 0] out_payload; reg internal_out_valid; wire internal_out_ready; reg [ADDR_WIDTH : 0] fifo_fill_level; reg [ADDR_WIDTH : 0] fill_level; reg [ADDR_WIDTH-1 : 0] sop_ptr = 0; wire [ADDR_WIDTH-1 : 0] curr_sop_ptr; reg [23:0] almost_full_threshold; reg [23:0] almost_empty_threshold; reg [23:0] cut_through_threshold; reg [15:0] pkt_cnt; reg drop_on_error_en; reg error_in_pkt; reg pkt_has_started; reg sop_has_left_fifo; reg fifo_too_small_r; reg pkt_cnt_eq_zero; reg pkt_cnt_eq_one; wire wait_for_threshold; reg pkt_mode; wire wait_for_pkt; wire ok_to_forward; wire in_pkt_eop_arrive; wire out_pkt_leave; wire in_pkt_start; wire in_pkt_error; wire drop_on_error; wire fifo_too_small; wire out_pkt_sop_leave; wire [31:0] max_fifo_size; reg fifo_fill_level_lt_cut_through_threshold; // -------------------------------------------------- // Define Payload // // Icky part where we decide which signals form the // payload to the FIFO with generate blocks. // -------------------------------------------------- generate if (EMPTY_WIDTH > 0) begin : gen_blk1 assign in_packet_signals = {in_startofpacket, in_endofpacket, in_empty}; assign {out_startofpacket, out_endofpacket, out_empty} = out_packet_signals; end else begin : gen_blk1_else assign out_empty = in_error; assign in_packet_signals = {in_startofpacket, in_endofpacket}; assign {out_startofpacket, out_endofpacket} = out_packet_signals; end endgenerate generate if (USE_PACKETS) begin : gen_blk2 if (ERROR_WIDTH > 0) begin : gen_blk3 if (CHANNEL_WIDTH > 0) begin : gen_blk4 assign in_payload = {in_packet_signals, in_data, in_error, in_channel}; assign {out_packet_signals, out_data, out_error, out_channel} = out_payload; end else begin : gen_blk4_else assign out_channel = in_channel; assign in_payload = {in_packet_signals, in_data, in_error}; assign {out_packet_signals, out_data, out_error} = out_payload; end end else begin : gen_blk3_else assign out_error = in_error; if (CHANNEL_WIDTH > 0) begin : gen_blk5 assign in_payload = {in_packet_signals, in_data, in_channel}; assign {out_packet_signals, out_data, out_channel} = out_payload; end else begin : gen_blk5_else assign out_channel = in_channel; assign in_payload = {in_packet_signals, in_data}; assign {out_packet_signals, out_data} = out_payload; end end end else begin : gen_blk2_else assign out_packet_signals = 0; if (ERROR_WIDTH > 0) begin : gen_blk6 if (CHANNEL_WIDTH > 0) begin : gen_blk7 assign in_payload = {in_data, in_error, in_channel}; assign {out_data, out_error, out_channel} = out_payload; end else begin : gen_blk7_else assign out_channel = in_channel; assign in_payload = {in_data, in_error}; assign {out_data, out_error} = out_payload; end end else begin : gen_blk6_else assign out_error = in_error; if (CHANNEL_WIDTH > 0) begin : gen_blk8 assign in_payload = {in_data, in_channel}; assign {out_data, out_channel} = out_payload; end else begin : gen_blk8_else assign out_channel = in_channel; assign in_payload = in_data; assign out_data = out_payload; end end end endgenerate // -------------------------------------------------- // Memory-based FIFO storage // // To allow a ready latency of 0, the read index is // obtained from the next read pointer and memory // outputs are unregistered. // // If the empty latency is 1, we infer bypass logic // around the memory so writes propagate to the // outputs on the next cycle. // // Do not change the way this is coded: Quartus needs // a perfect match to the template, and any attempt to // refactor the two always blocks into one will break // memory inference. // -------------------------------------------------- generate if (USE_MEMORY_BLOCKS == 1) begin : gen_blk9 if (EMPTY_LATENCY == 1) begin : gen_blk10 always @(posedge clk) begin if (in_valid && in_ready) mem[wr_ptr] = in_payload; internal_out_payload = mem[mem_rd_ptr]; end end else begin : gen_blk10_else always @(posedge clk) begin if (in_valid && in_ready) mem[wr_ptr] <= in_payload; internal_out_payload <= mem[mem_rd_ptr]; end end assign mem_rd_ptr = next_rd_ptr; end else begin : gen_blk9_else // -------------------------------------------------- // Register-based FIFO storage // // Uses a shift register as the storage element. Each // shift register slot has a bit which indicates if // the slot is occupied (credit to Sam H for the idea). // The occupancy bits are contiguous and start from the // lsb, so 0000, 0001, 0011, 0111, 1111 for a 4-deep // FIFO. // // Each slot is enabled during a read or when it // is unoccupied. New data is always written to every // going-to-be-empty slot (we keep track of which ones // are actually useful with the occupancy bits). On a // read we shift occupied slots. // // The exception is the last slot, which always gets // new data when it is unoccupied. // -------------------------------------------------- for (i = 0; i < DEPTH-1; i = i + 1) begin : shift_reg always @(posedge clk or posedge reset) begin if (reset) begin mem[i] <= 0; end else if (read || !mem_used[i]) begin if (!mem_used[i+1]) mem[i] <= in_payload; else mem[i] <= mem[i+1]; end end end always @(posedge clk, posedge reset) begin if (reset) begin mem[DEPTH-1] <= 0; end else begin if (DEPTH == 1) begin if (write) mem[DEPTH-1] <= in_payload; end else if (!mem_used[DEPTH-1]) mem[DEPTH-1] <= in_payload; end end end endgenerate assign read = internal_out_ready && internal_out_valid && ok_to_forward; assign write = in_ready && in_valid; // -------------------------------------------------- // Pointer Management // -------------------------------------------------- generate if (USE_MEMORY_BLOCKS == 1) begin : gen_blk11 assign incremented_wr_ptr = wr_ptr + 1'b1; assign incremented_rd_ptr = rd_ptr + 1'b1; assign next_wr_ptr = drop_on_error ? curr_sop_ptr : write ? incremented_wr_ptr : wr_ptr; assign next_rd_ptr = (read) ? incremented_rd_ptr : rd_ptr; always @(posedge clk or posedge reset) begin if (reset) begin wr_ptr <= 0; rd_ptr <= 0; end else begin wr_ptr <= next_wr_ptr; rd_ptr <= next_rd_ptr; end end end else begin : gen_blk11_else // -------------------------------------------------- // Shift Register Occupancy Bits // // Consider a 4-deep FIFO with 2 entries: 0011 // On a read and write, do not modify the bits. // On a write, left-shift the bits to get 0111. // On a read, right-shift the bits to get 0001. // // Also, on a write we set bit0 (the head), while // clearing the tail on a read. // -------------------------------------------------- always @(posedge clk or posedge reset) begin if (reset) begin mem_used[0] <= 0; end else begin if (write ^ read) begin if (write) mem_used[0] <= 1; else if (read) begin if (DEPTH > 1) mem_used[0] <= mem_used[1]; else mem_used[0] <= 0; end end end end if (DEPTH > 1) begin : gen_blk12 always @(posedge clk or posedge reset) begin if (reset) begin mem_used[DEPTH-1] <= 0; end else begin if (write ^ read) begin mem_used[DEPTH-1] <= 0; if (write) mem_used[DEPTH-1] <= mem_used[DEPTH-2]; end end end end for (i = 1; i < DEPTH-1; i = i + 1) begin : storage_logic always @(posedge clk, posedge reset) begin if (reset) begin mem_used[i] <= 0; end else begin if (write ^ read) begin if (write) mem_used[i] <= mem_used[i-1]; else if (read) mem_used[i] <= mem_used[i+1]; end end end end end endgenerate // -------------------------------------------------- // Memory FIFO Status Management // // Generates the full and empty signals from the // pointers. The FIFO is full when the next write // pointer will be equal to the read pointer after // a write. Reading from a FIFO clears full. // // The FIFO is empty when the next read pointer will // be equal to the write pointer after a read. Writing // to a FIFO clears empty. // // A simultaneous read and write must not change any of // the empty or full flags unless there is a drop on error event. // -------------------------------------------------- generate if (USE_MEMORY_BLOCKS == 1) begin : gen_blk13 always @* begin next_full = full; next_empty = empty; if (read && !write) begin next_full = 1'b0; if (incremented_rd_ptr == wr_ptr) next_empty = 1'b1; end if (write && !read) begin if (!drop_on_error) next_empty = 1'b0; else if (curr_sop_ptr == rd_ptr) // drop on error and only 1 pkt in fifo next_empty = 1'b1; if (incremented_wr_ptr == rd_ptr && !drop_on_error) next_full = 1'b1; end if (write && read && drop_on_error) begin if (curr_sop_ptr == next_rd_ptr) next_empty = 1'b1; end end always @(posedge clk or posedge reset) begin if (reset) begin empty <= 1; full <= 0; end else begin empty <= next_empty; full <= next_full; end end end else begin : gen_blk13_else // -------------------------------------------------- // Register FIFO Status Management // // Full when the tail occupancy bit is 1. Empty when // the head occupancy bit is 0. // -------------------------------------------------- always @* begin full = mem_used[DEPTH-1]; empty = !mem_used[0]; // ------------------------------------------ // For a single slot FIFO, reading clears the // full status immediately. // ------------------------------------------ if (DEPTH == 1) full = mem_used[0] && !read; internal_out_payload = mem[0]; // ------------------------------------------ // Writes clear empty immediately for lookahead modes. // Note that we use in_valid instead of write to avoid // combinational loops (in lookahead mode, qualifying // with in_ready is meaningless). // // In a 1-deep FIFO, a possible combinational loop runs // from write -> out_valid -> out_ready -> write // ------------------------------------------ if (EMPTY_LATENCY == 0) begin empty = !mem_used[0] && !in_valid; if (!mem_used[0] && in_valid) internal_out_payload = in_payload; end end end endgenerate // -------------------------------------------------- // Avalon-ST Signals // // The in_ready signal is straightforward. // // To match memory latency when empty latency > 1, // out_valid assertions must be delayed by one clock // cycle. // // Note: out_valid deassertions must not be delayed or // the FIFO will underflow. // -------------------------------------------------- assign in_ready = !full; assign internal_out_ready = out_ready || !out_valid; generate if (EMPTY_LATENCY > 1) begin : gen_blk14 always @(posedge clk or posedge reset) begin if (reset) internal_out_valid <= 0; else begin internal_out_valid <= !empty & ok_to_forward & ~drop_on_error; if (read) begin if (incremented_rd_ptr == wr_ptr) internal_out_valid <= 1'b0; end end end end else begin : gen_blk14_else always @* begin internal_out_valid = !empty & ok_to_forward; end end endgenerate // -------------------------------------------------- // Single Output Pipeline Stage // // This output pipeline stage is enabled if the FIFO's // empty latency is set to 3 (default). It is disabled // for all other allowed latencies. // // Reason: The memory outputs are unregistered, so we have to // register the output or fmax will drop if combinatorial // logic is present on the output datapath. // // Q: The Avalon-ST spec says that I have to register my outputs // But isn't the memory counted as a register? // A: The path from the address lookup to the memory output is // slow. Registering the memory outputs is a good idea. // // The registers get packed into the memory by the fitter // which means minimal resources are consumed (the result // is a altsyncram with registered outputs, available on // all modern Altera devices). // // This output stage acts as an extra slot in the FIFO, // and complicates the fill level. // -------------------------------------------------- generate if (EMPTY_LATENCY == 3) begin : gen_blk15 always @(posedge clk or posedge reset) begin if (reset) begin out_valid <= 0; out_payload <= 0; end else begin if (internal_out_ready) begin out_valid <= internal_out_valid & ok_to_forward; out_payload <= internal_out_payload; end end end end else begin : gen_blk15_else always @* begin out_valid = internal_out_valid; out_payload = internal_out_payload; end end endgenerate // -------------------------------------------------- // Fill Level // // The fill level is calculated from the next write // and read pointers to avoid unnecessary latency // and logic. // // However, if the store-and-forward mode of the FIFO // is enabled, the fill level is an up-down counter // for fmax optimization reasons. // // If the output pipeline is enabled, the fill level // must account for it, or we'll always be off by one. // This may, or may not be important depending on the // application. // // For now, we'll always calculate the exact fill level // at the cost of an extra adder when the output stage // is enabled. // -------------------------------------------------- generate if (USE_FILL_LEVEL) begin : gen_blk16 wire [31:0] depth32; assign depth32 = DEPTH; if (USE_STORE_FORWARD) begin reg [ADDR_WIDTH : 0] curr_packet_len_less_one; // -------------------------------------------------- // We only drop on endofpacket. As long as we don't add to the fill // level on the dropped endofpacket cycle, we can simply subtract // (packet length - 1) from the fill level for dropped packets. // -------------------------------------------------- always @(posedge clk or posedge reset) begin if (reset) begin curr_packet_len_less_one <= 0; end else begin if (write) begin curr_packet_len_less_one <= curr_packet_len_less_one + 1'b1; if (in_endofpacket) curr_packet_len_less_one <= 0; end end end always @(posedge clk or posedge reset) begin if (reset) begin fifo_fill_level <= 0; end else if (drop_on_error) begin fifo_fill_level <= fifo_fill_level - curr_packet_len_less_one; if (read) fifo_fill_level <= fifo_fill_level - curr_packet_len_less_one - 1'b1; end else if (write && !read) begin fifo_fill_level <= fifo_fill_level + 1'b1; end else if (read && !write) begin fifo_fill_level <= fifo_fill_level - 1'b1; end end end else begin always @(posedge clk or posedge reset) begin if (reset) fifo_fill_level <= 0; else if (next_full & !drop_on_error) fifo_fill_level <= depth32[ADDR_WIDTH:0]; else begin fifo_fill_level[ADDR_WIDTH] <= 1'b0; fifo_fill_level[ADDR_WIDTH-1 : 0] <= next_wr_ptr - next_rd_ptr; end end end always @* begin fill_level = fifo_fill_level; if (EMPTY_LATENCY == 3) fill_level = fifo_fill_level + {{ADDR_WIDTH{1'b0}}, out_valid}; end end else begin : gen_blk16_else always @* begin fill_level = 0; end end endgenerate generate if (USE_ALMOST_FULL_IF) begin : gen_blk17 assign almost_full_data = (fill_level >= almost_full_threshold); end else assign almost_full_data = 0; endgenerate generate if (USE_ALMOST_EMPTY_IF) begin : gen_blk18 assign almost_empty_data = (fill_level <= almost_empty_threshold); end else assign almost_empty_data = 0; endgenerate // -------------------------------------------------- // Avalon-MM Status & Control Connection Point // // Register map: // // | Addr | RW | 31 - 0 | // | 0 | R | Fill level | // // The registering of this connection point means // that there is a cycle of latency between // reads/writes and the updating of the fill level. // -------------------------------------------------- generate if (USE_STORE_FORWARD) begin : gen_blk19 assign max_fifo_size = FIFO_DEPTH - 1; always @(posedge clk or posedge reset) begin if (reset) begin almost_full_threshold <= max_fifo_size[23 : 0]; almost_empty_threshold <= 0; cut_through_threshold <= 0; drop_on_error_en <= 0; csr_readdata <= 0; pkt_mode <= 1'b1; end else begin if (csr_read) begin csr_readdata <= 32'b0; if (csr_address == 5) csr_readdata <= {31'b0, drop_on_error_en}; else if (csr_address == 4) csr_readdata <= {8'b0, cut_through_threshold}; else if (csr_address == 3) csr_readdata <= {8'b0, almost_empty_threshold}; else if (csr_address == 2) csr_readdata <= {8'b0, almost_full_threshold}; else if (csr_address == 0) csr_readdata <= {{(31 - ADDR_WIDTH){1'b0}}, fill_level}; end else if (csr_write) begin if(csr_address == 3'b101) drop_on_error_en <= csr_writedata[0]; else if(csr_address == 3'b100) begin cut_through_threshold <= csr_writedata[23:0]; pkt_mode <= (csr_writedata[23:0] == 0); end else if(csr_address == 3'b011) almost_empty_threshold <= csr_writedata[23:0]; else if(csr_address == 3'b010) almost_full_threshold <= csr_writedata[23:0]; end end end end else if (USE_ALMOST_FULL_IF || USE_ALMOST_EMPTY_IF) begin : gen_blk19_else1 assign max_fifo_size = FIFO_DEPTH - 1; always @(posedge clk or posedge reset) begin if (reset) begin almost_full_threshold <= max_fifo_size[23 : 0]; almost_empty_threshold <= 0; csr_readdata <= 0; end else begin if (csr_read) begin csr_readdata <= 32'b0; if (csr_address == 3) csr_readdata <= {8'b0, almost_empty_threshold}; else if (csr_address == 2) csr_readdata <= {8'b0, almost_full_threshold}; else if (csr_address == 0) csr_readdata <= {{(31 - ADDR_WIDTH){1'b0}}, fill_level}; end else if (csr_write) begin if(csr_address == 3'b011) almost_empty_threshold <= csr_writedata[23:0]; else if(csr_address == 3'b010) almost_full_threshold <= csr_writedata[23:0]; end end end end else begin : gen_blk19_else2 always @(posedge clk or posedge reset) begin if (reset) begin csr_readdata <= 0; end else if (csr_read) begin csr_readdata <= 0; if (csr_address == 0) csr_readdata <= {{(31 - ADDR_WIDTH){1'b0}}, fill_level}; end end end endgenerate // -------------------------------------------------- // Store and forward logic // -------------------------------------------------- // if the fifo gets full before the entire packet or the // cut-threshold condition is met then start sending out // data in order to avoid dead-lock situation generate if (USE_STORE_FORWARD) begin : gen_blk20 assign wait_for_threshold = (fifo_fill_level_lt_cut_through_threshold) & wait_for_pkt ; assign wait_for_pkt = pkt_cnt_eq_zero | (pkt_cnt_eq_one & out_pkt_leave); assign ok_to_forward = (pkt_mode ? (~wait_for_pkt | ~pkt_has_started) : ~wait_for_threshold) | fifo_too_small_r; assign in_pkt_eop_arrive = in_valid & in_ready & in_endofpacket; assign in_pkt_start = in_valid & in_ready & in_startofpacket; assign in_pkt_error = in_valid & in_ready & |in_error; assign out_pkt_sop_leave = out_valid & out_ready & out_startofpacket; assign out_pkt_leave = out_valid & out_ready & out_endofpacket; assign fifo_too_small = (pkt_mode ? wait_for_pkt : wait_for_threshold) & full & out_ready; // count packets coming and going into the fifo always @(posedge clk or posedge reset) begin if (reset) begin pkt_cnt <= 0; pkt_has_started <= 0; sop_has_left_fifo <= 0; fifo_too_small_r <= 0; pkt_cnt_eq_zero <= 1'b1; pkt_cnt_eq_one <= 1'b0; fifo_fill_level_lt_cut_through_threshold <= 1'b1; end else begin fifo_fill_level_lt_cut_through_threshold <= fifo_fill_level < cut_through_threshold; fifo_too_small_r <= fifo_too_small; if( in_pkt_eop_arrive ) sop_has_left_fifo <= 1'b0; else if (out_pkt_sop_leave & pkt_cnt_eq_zero ) sop_has_left_fifo <= 1'b1; if (in_pkt_eop_arrive & ~out_pkt_leave & ~drop_on_error ) begin pkt_cnt <= pkt_cnt + 1'b1; pkt_cnt_eq_zero <= 0; if (pkt_cnt == 0) pkt_cnt_eq_one <= 1'b1; else pkt_cnt_eq_one <= 1'b0; end else if((~in_pkt_eop_arrive | drop_on_error) & out_pkt_leave) begin pkt_cnt <= pkt_cnt - 1'b1; if (pkt_cnt == 1) pkt_cnt_eq_zero <= 1'b1; else pkt_cnt_eq_zero <= 1'b0; if (pkt_cnt == 2) pkt_cnt_eq_one <= 1'b1; else pkt_cnt_eq_one <= 1'b0; end if (in_pkt_start) pkt_has_started <= 1'b1; else if (in_pkt_eop_arrive) pkt_has_started <= 1'b0; end end // drop on error logic always @(posedge clk or posedge reset) begin if (reset) begin sop_ptr <= 0; error_in_pkt <= 0; end else begin // save the location of the SOP if ( in_pkt_start ) sop_ptr <= wr_ptr; // remember if error in pkt // log error only if packet has already started if (in_pkt_eop_arrive) error_in_pkt <= 1'b0; else if ( in_pkt_error & (pkt_has_started | in_pkt_start)) error_in_pkt <= 1'b1; end end assign drop_on_error = drop_on_error_en & (error_in_pkt | in_pkt_error) & in_pkt_eop_arrive & ~sop_has_left_fifo & ~(out_pkt_sop_leave & pkt_cnt_eq_zero); assign curr_sop_ptr = (write && in_startofpacket && in_endofpacket) ? wr_ptr : sop_ptr; end else begin : gen_blk20_else assign ok_to_forward = 1'b1; assign drop_on_error = 1'b0; if (ADDR_WIDTH <= 1) assign curr_sop_ptr = 1'b0; else assign curr_sop_ptr = {ADDR_WIDTH - 1 { 1'b0 }}; end endgenerate // -------------------------------------------------- // Calculates the log2ceil of the input value // -------------------------------------------------- function integer log2ceil; input integer val; reg[31:0] i; begin i = 1; log2ceil = 0; while (i < val) begin log2ceil = log2ceil + 1; i = i[30:0] << 1; end end endfunction endmodule
module altera_avalon_sc_fifo #( // -------------------------------------------------- // Parameters // -------------------------------------------------- parameter SYMBOLS_PER_BEAT = 1, parameter BITS_PER_SYMBOL = 8, parameter FIFO_DEPTH = 16, parameter CHANNEL_WIDTH = 0, parameter ERROR_WIDTH = 0, parameter USE_PACKETS = 0, parameter USE_FILL_LEVEL = 0, parameter USE_STORE_FORWARD = 0, parameter USE_ALMOST_FULL_IF = 0, parameter USE_ALMOST_EMPTY_IF = 0, // -------------------------------------------------- // Empty latency is defined as the number of cycles // required for a write to deassert the empty flag. // For example, a latency of 1 means that the empty // flag is deasserted on the cycle after a write. // // Another way to think of it is the latency for a // write to propagate to the output. // // An empty latency of 0 implies lookahead, which is // only implemented for the register-based FIFO. // -------------------------------------------------- parameter EMPTY_LATENCY = 3, parameter USE_MEMORY_BLOCKS = 1, // -------------------------------------------------- // Internal Parameters // -------------------------------------------------- parameter DATA_WIDTH = SYMBOLS_PER_BEAT * BITS_PER_SYMBOL, parameter EMPTY_WIDTH = log2ceil(SYMBOLS_PER_BEAT) ) ( // -------------------------------------------------- // Ports // -------------------------------------------------- input clk, input reset, input [DATA_WIDTH-1: 0] in_data, input in_valid, input in_startofpacket, input in_endofpacket, input [((EMPTY_WIDTH>0) ? (EMPTY_WIDTH-1):0) : 0] in_empty, input [((ERROR_WIDTH>0) ? (ERROR_WIDTH-1):0) : 0] in_error, input [((CHANNEL_WIDTH>0) ? (CHANNEL_WIDTH-1):0): 0] in_channel, output in_ready, output [DATA_WIDTH-1 : 0] out_data, output reg out_valid, output out_startofpacket, output out_endofpacket, output [((EMPTY_WIDTH>0) ? (EMPTY_WIDTH-1):0) : 0] out_empty, output [((ERROR_WIDTH>0) ? (ERROR_WIDTH-1):0) : 0] out_error, output [((CHANNEL_WIDTH>0) ? (CHANNEL_WIDTH-1):0): 0] out_channel, input out_ready, input [(USE_STORE_FORWARD ? 2 : 1) : 0] csr_address, input csr_write, input csr_read, input [31 : 0] csr_writedata, output reg [31 : 0] csr_readdata, output wire almost_full_data, output wire almost_empty_data ); // -------------------------------------------------- // Local Parameters // -------------------------------------------------- localparam ADDR_WIDTH = log2ceil(FIFO_DEPTH); localparam DEPTH = FIFO_DEPTH; localparam PKT_SIGNALS_WIDTH = 2 + EMPTY_WIDTH; localparam PAYLOAD_WIDTH = (USE_PACKETS == 1) ? 2 + EMPTY_WIDTH + DATA_WIDTH + ERROR_WIDTH + CHANNEL_WIDTH: DATA_WIDTH + ERROR_WIDTH + CHANNEL_WIDTH; // -------------------------------------------------- // Internal Signals // -------------------------------------------------- genvar i; reg [PAYLOAD_WIDTH-1 : 0] mem [DEPTH-1 : 0]; reg [ADDR_WIDTH-1 : 0] wr_ptr; reg [ADDR_WIDTH-1 : 0] rd_ptr; reg [DEPTH-1 : 0] mem_used; wire [ADDR_WIDTH-1 : 0] next_wr_ptr; wire [ADDR_WIDTH-1 : 0] next_rd_ptr; wire [ADDR_WIDTH-1 : 0] incremented_wr_ptr; wire [ADDR_WIDTH-1 : 0] incremented_rd_ptr; wire [ADDR_WIDTH-1 : 0] mem_rd_ptr; wire read; wire write; reg empty; reg next_empty; reg full; reg next_full; wire [PKT_SIGNALS_WIDTH-1 : 0] in_packet_signals; wire [PKT_SIGNALS_WIDTH-1 : 0] out_packet_signals; wire [PAYLOAD_WIDTH-1 : 0] in_payload; reg [PAYLOAD_WIDTH-1 : 0] internal_out_payload; reg [PAYLOAD_WIDTH-1 : 0] out_payload; reg internal_out_valid; wire internal_out_ready; reg [ADDR_WIDTH : 0] fifo_fill_level; reg [ADDR_WIDTH : 0] fill_level; reg [ADDR_WIDTH-1 : 0] sop_ptr = 0; wire [ADDR_WIDTH-1 : 0] curr_sop_ptr; reg [23:0] almost_full_threshold; reg [23:0] almost_empty_threshold; reg [23:0] cut_through_threshold; reg [15:0] pkt_cnt; reg drop_on_error_en; reg error_in_pkt; reg pkt_has_started; reg sop_has_left_fifo; reg fifo_too_small_r; reg pkt_cnt_eq_zero; reg pkt_cnt_eq_one; wire wait_for_threshold; reg pkt_mode; wire wait_for_pkt; wire ok_to_forward; wire in_pkt_eop_arrive; wire out_pkt_leave; wire in_pkt_start; wire in_pkt_error; wire drop_on_error; wire fifo_too_small; wire out_pkt_sop_leave; wire [31:0] max_fifo_size; reg fifo_fill_level_lt_cut_through_threshold; // -------------------------------------------------- // Define Payload // // Icky part where we decide which signals form the // payload to the FIFO with generate blocks. // -------------------------------------------------- generate if (EMPTY_WIDTH > 0) begin : gen_blk1 assign in_packet_signals = {in_startofpacket, in_endofpacket, in_empty}; assign {out_startofpacket, out_endofpacket, out_empty} = out_packet_signals; end else begin : gen_blk1_else assign out_empty = in_error; assign in_packet_signals = {in_startofpacket, in_endofpacket}; assign {out_startofpacket, out_endofpacket} = out_packet_signals; end endgenerate generate if (USE_PACKETS) begin : gen_blk2 if (ERROR_WIDTH > 0) begin : gen_blk3 if (CHANNEL_WIDTH > 0) begin : gen_blk4 assign in_payload = {in_packet_signals, in_data, in_error, in_channel}; assign {out_packet_signals, out_data, out_error, out_channel} = out_payload; end else begin : gen_blk4_else assign out_channel = in_channel; assign in_payload = {in_packet_signals, in_data, in_error}; assign {out_packet_signals, out_data, out_error} = out_payload; end end else begin : gen_blk3_else assign out_error = in_error; if (CHANNEL_WIDTH > 0) begin : gen_blk5 assign in_payload = {in_packet_signals, in_data, in_channel}; assign {out_packet_signals, out_data, out_channel} = out_payload; end else begin : gen_blk5_else assign out_channel = in_channel; assign in_payload = {in_packet_signals, in_data}; assign {out_packet_signals, out_data} = out_payload; end end end else begin : gen_blk2_else assign out_packet_signals = 0; if (ERROR_WIDTH > 0) begin : gen_blk6 if (CHANNEL_WIDTH > 0) begin : gen_blk7 assign in_payload = {in_data, in_error, in_channel}; assign {out_data, out_error, out_channel} = out_payload; end else begin : gen_blk7_else assign out_channel = in_channel; assign in_payload = {in_data, in_error}; assign {out_data, out_error} = out_payload; end end else begin : gen_blk6_else assign out_error = in_error; if (CHANNEL_WIDTH > 0) begin : gen_blk8 assign in_payload = {in_data, in_channel}; assign {out_data, out_channel} = out_payload; end else begin : gen_blk8_else assign out_channel = in_channel; assign in_payload = in_data; assign out_data = out_payload; end end end endgenerate // -------------------------------------------------- // Memory-based FIFO storage // // To allow a ready latency of 0, the read index is // obtained from the next read pointer and memory // outputs are unregistered. // // If the empty latency is 1, we infer bypass logic // around the memory so writes propagate to the // outputs on the next cycle. // // Do not change the way this is coded: Quartus needs // a perfect match to the template, and any attempt to // refactor the two always blocks into one will break // memory inference. // -------------------------------------------------- generate if (USE_MEMORY_BLOCKS == 1) begin : gen_blk9 if (EMPTY_LATENCY == 1) begin : gen_blk10 always @(posedge clk) begin if (in_valid && in_ready) mem[wr_ptr] = in_payload; internal_out_payload = mem[mem_rd_ptr]; end end else begin : gen_blk10_else always @(posedge clk) begin if (in_valid && in_ready) mem[wr_ptr] <= in_payload; internal_out_payload <= mem[mem_rd_ptr]; end end assign mem_rd_ptr = next_rd_ptr; end else begin : gen_blk9_else // -------------------------------------------------- // Register-based FIFO storage // // Uses a shift register as the storage element. Each // shift register slot has a bit which indicates if // the slot is occupied (credit to Sam H for the idea). // The occupancy bits are contiguous and start from the // lsb, so 0000, 0001, 0011, 0111, 1111 for a 4-deep // FIFO. // // Each slot is enabled during a read or when it // is unoccupied. New data is always written to every // going-to-be-empty slot (we keep track of which ones // are actually useful with the occupancy bits). On a // read we shift occupied slots. // // The exception is the last slot, which always gets // new data when it is unoccupied. // -------------------------------------------------- for (i = 0; i < DEPTH-1; i = i + 1) begin : shift_reg always @(posedge clk or posedge reset) begin if (reset) begin mem[i] <= 0; end else if (read || !mem_used[i]) begin if (!mem_used[i+1]) mem[i] <= in_payload; else mem[i] <= mem[i+1]; end end end always @(posedge clk, posedge reset) begin if (reset) begin mem[DEPTH-1] <= 0; end else begin if (DEPTH == 1) begin if (write) mem[DEPTH-1] <= in_payload; end else if (!mem_used[DEPTH-1]) mem[DEPTH-1] <= in_payload; end end end endgenerate assign read = internal_out_ready && internal_out_valid && ok_to_forward; assign write = in_ready && in_valid; // -------------------------------------------------- // Pointer Management // -------------------------------------------------- generate if (USE_MEMORY_BLOCKS == 1) begin : gen_blk11 assign incremented_wr_ptr = wr_ptr + 1'b1; assign incremented_rd_ptr = rd_ptr + 1'b1; assign next_wr_ptr = drop_on_error ? curr_sop_ptr : write ? incremented_wr_ptr : wr_ptr; assign next_rd_ptr = (read) ? incremented_rd_ptr : rd_ptr; always @(posedge clk or posedge reset) begin if (reset) begin wr_ptr <= 0; rd_ptr <= 0; end else begin wr_ptr <= next_wr_ptr; rd_ptr <= next_rd_ptr; end end end else begin : gen_blk11_else // -------------------------------------------------- // Shift Register Occupancy Bits // // Consider a 4-deep FIFO with 2 entries: 0011 // On a read and write, do not modify the bits. // On a write, left-shift the bits to get 0111. // On a read, right-shift the bits to get 0001. // // Also, on a write we set bit0 (the head), while // clearing the tail on a read. // -------------------------------------------------- always @(posedge clk or posedge reset) begin if (reset) begin mem_used[0] <= 0; end else begin if (write ^ read) begin if (write) mem_used[0] <= 1; else if (read) begin if (DEPTH > 1) mem_used[0] <= mem_used[1]; else mem_used[0] <= 0; end end end end if (DEPTH > 1) begin : gen_blk12 always @(posedge clk or posedge reset) begin if (reset) begin mem_used[DEPTH-1] <= 0; end else begin if (write ^ read) begin mem_used[DEPTH-1] <= 0; if (write) mem_used[DEPTH-1] <= mem_used[DEPTH-2]; end end end end for (i = 1; i < DEPTH-1; i = i + 1) begin : storage_logic always @(posedge clk, posedge reset) begin if (reset) begin mem_used[i] <= 0; end else begin if (write ^ read) begin if (write) mem_used[i] <= mem_used[i-1]; else if (read) mem_used[i] <= mem_used[i+1]; end end end end end endgenerate // -------------------------------------------------- // Memory FIFO Status Management // // Generates the full and empty signals from the // pointers. The FIFO is full when the next write // pointer will be equal to the read pointer after // a write. Reading from a FIFO clears full. // // The FIFO is empty when the next read pointer will // be equal to the write pointer after a read. Writing // to a FIFO clears empty. // // A simultaneous read and write must not change any of // the empty or full flags unless there is a drop on error event. // -------------------------------------------------- generate if (USE_MEMORY_BLOCKS == 1) begin : gen_blk13 always @* begin next_full = full; next_empty = empty; if (read && !write) begin next_full = 1'b0; if (incremented_rd_ptr == wr_ptr) next_empty = 1'b1; end if (write && !read) begin if (!drop_on_error) next_empty = 1'b0; else if (curr_sop_ptr == rd_ptr) // drop on error and only 1 pkt in fifo next_empty = 1'b1; if (incremented_wr_ptr == rd_ptr && !drop_on_error) next_full = 1'b1; end if (write && read && drop_on_error) begin if (curr_sop_ptr == next_rd_ptr) next_empty = 1'b1; end end always @(posedge clk or posedge reset) begin if (reset) begin empty <= 1; full <= 0; end else begin empty <= next_empty; full <= next_full; end end end else begin : gen_blk13_else // -------------------------------------------------- // Register FIFO Status Management // // Full when the tail occupancy bit is 1. Empty when // the head occupancy bit is 0. // -------------------------------------------------- always @* begin full = mem_used[DEPTH-1]; empty = !mem_used[0]; // ------------------------------------------ // For a single slot FIFO, reading clears the // full status immediately. // ------------------------------------------ if (DEPTH == 1) full = mem_used[0] && !read; internal_out_payload = mem[0]; // ------------------------------------------ // Writes clear empty immediately for lookahead modes. // Note that we use in_valid instead of write to avoid // combinational loops (in lookahead mode, qualifying // with in_ready is meaningless). // // In a 1-deep FIFO, a possible combinational loop runs // from write -> out_valid -> out_ready -> write // ------------------------------------------ if (EMPTY_LATENCY == 0) begin empty = !mem_used[0] && !in_valid; if (!mem_used[0] && in_valid) internal_out_payload = in_payload; end end end endgenerate // -------------------------------------------------- // Avalon-ST Signals // // The in_ready signal is straightforward. // // To match memory latency when empty latency > 1, // out_valid assertions must be delayed by one clock // cycle. // // Note: out_valid deassertions must not be delayed or // the FIFO will underflow. // -------------------------------------------------- assign in_ready = !full; assign internal_out_ready = out_ready || !out_valid; generate if (EMPTY_LATENCY > 1) begin : gen_blk14 always @(posedge clk or posedge reset) begin if (reset) internal_out_valid <= 0; else begin internal_out_valid <= !empty & ok_to_forward & ~drop_on_error; if (read) begin if (incremented_rd_ptr == wr_ptr) internal_out_valid <= 1'b0; end end end end else begin : gen_blk14_else always @* begin internal_out_valid = !empty & ok_to_forward; end end endgenerate // -------------------------------------------------- // Single Output Pipeline Stage // // This output pipeline stage is enabled if the FIFO's // empty latency is set to 3 (default). It is disabled // for all other allowed latencies. // // Reason: The memory outputs are unregistered, so we have to // register the output or fmax will drop if combinatorial // logic is present on the output datapath. // // Q: The Avalon-ST spec says that I have to register my outputs // But isn't the memory counted as a register? // A: The path from the address lookup to the memory output is // slow. Registering the memory outputs is a good idea. // // The registers get packed into the memory by the fitter // which means minimal resources are consumed (the result // is a altsyncram with registered outputs, available on // all modern Altera devices). // // This output stage acts as an extra slot in the FIFO, // and complicates the fill level. // -------------------------------------------------- generate if (EMPTY_LATENCY == 3) begin : gen_blk15 always @(posedge clk or posedge reset) begin if (reset) begin out_valid <= 0; out_payload <= 0; end else begin if (internal_out_ready) begin out_valid <= internal_out_valid & ok_to_forward; out_payload <= internal_out_payload; end end end end else begin : gen_blk15_else always @* begin out_valid = internal_out_valid; out_payload = internal_out_payload; end end endgenerate // -------------------------------------------------- // Fill Level // // The fill level is calculated from the next write // and read pointers to avoid unnecessary latency // and logic. // // However, if the store-and-forward mode of the FIFO // is enabled, the fill level is an up-down counter // for fmax optimization reasons. // // If the output pipeline is enabled, the fill level // must account for it, or we'll always be off by one. // This may, or may not be important depending on the // application. // // For now, we'll always calculate the exact fill level // at the cost of an extra adder when the output stage // is enabled. // -------------------------------------------------- generate if (USE_FILL_LEVEL) begin : gen_blk16 wire [31:0] depth32; assign depth32 = DEPTH; if (USE_STORE_FORWARD) begin reg [ADDR_WIDTH : 0] curr_packet_len_less_one; // -------------------------------------------------- // We only drop on endofpacket. As long as we don't add to the fill // level on the dropped endofpacket cycle, we can simply subtract // (packet length - 1) from the fill level for dropped packets. // -------------------------------------------------- always @(posedge clk or posedge reset) begin if (reset) begin curr_packet_len_less_one <= 0; end else begin if (write) begin curr_packet_len_less_one <= curr_packet_len_less_one + 1'b1; if (in_endofpacket) curr_packet_len_less_one <= 0; end end end always @(posedge clk or posedge reset) begin if (reset) begin fifo_fill_level <= 0; end else if (drop_on_error) begin fifo_fill_level <= fifo_fill_level - curr_packet_len_less_one; if (read) fifo_fill_level <= fifo_fill_level - curr_packet_len_less_one - 1'b1; end else if (write && !read) begin fifo_fill_level <= fifo_fill_level + 1'b1; end else if (read && !write) begin fifo_fill_level <= fifo_fill_level - 1'b1; end end end else begin always @(posedge clk or posedge reset) begin if (reset) fifo_fill_level <= 0; else if (next_full & !drop_on_error) fifo_fill_level <= depth32[ADDR_WIDTH:0]; else begin fifo_fill_level[ADDR_WIDTH] <= 1'b0; fifo_fill_level[ADDR_WIDTH-1 : 0] <= next_wr_ptr - next_rd_ptr; end end end always @* begin fill_level = fifo_fill_level; if (EMPTY_LATENCY == 3) fill_level = fifo_fill_level + {{ADDR_WIDTH{1'b0}}, out_valid}; end end else begin : gen_blk16_else always @* begin fill_level = 0; end end endgenerate generate if (USE_ALMOST_FULL_IF) begin : gen_blk17 assign almost_full_data = (fill_level >= almost_full_threshold); end else assign almost_full_data = 0; endgenerate generate if (USE_ALMOST_EMPTY_IF) begin : gen_blk18 assign almost_empty_data = (fill_level <= almost_empty_threshold); end else assign almost_empty_data = 0; endgenerate // -------------------------------------------------- // Avalon-MM Status & Control Connection Point // // Register map: // // | Addr | RW | 31 - 0 | // | 0 | R | Fill level | // // The registering of this connection point means // that there is a cycle of latency between // reads/writes and the updating of the fill level. // -------------------------------------------------- generate if (USE_STORE_FORWARD) begin : gen_blk19 assign max_fifo_size = FIFO_DEPTH - 1; always @(posedge clk or posedge reset) begin if (reset) begin almost_full_threshold <= max_fifo_size[23 : 0]; almost_empty_threshold <= 0; cut_through_threshold <= 0; drop_on_error_en <= 0; csr_readdata <= 0; pkt_mode <= 1'b1; end else begin if (csr_read) begin csr_readdata <= 32'b0; if (csr_address == 5) csr_readdata <= {31'b0, drop_on_error_en}; else if (csr_address == 4) csr_readdata <= {8'b0, cut_through_threshold}; else if (csr_address == 3) csr_readdata <= {8'b0, almost_empty_threshold}; else if (csr_address == 2) csr_readdata <= {8'b0, almost_full_threshold}; else if (csr_address == 0) csr_readdata <= {{(31 - ADDR_WIDTH){1'b0}}, fill_level}; end else if (csr_write) begin if(csr_address == 3'b101) drop_on_error_en <= csr_writedata[0]; else if(csr_address == 3'b100) begin cut_through_threshold <= csr_writedata[23:0]; pkt_mode <= (csr_writedata[23:0] == 0); end else if(csr_address == 3'b011) almost_empty_threshold <= csr_writedata[23:0]; else if(csr_address == 3'b010) almost_full_threshold <= csr_writedata[23:0]; end end end end else if (USE_ALMOST_FULL_IF || USE_ALMOST_EMPTY_IF) begin : gen_blk19_else1 assign max_fifo_size = FIFO_DEPTH - 1; always @(posedge clk or posedge reset) begin if (reset) begin almost_full_threshold <= max_fifo_size[23 : 0]; almost_empty_threshold <= 0; csr_readdata <= 0; end else begin if (csr_read) begin csr_readdata <= 32'b0; if (csr_address == 3) csr_readdata <= {8'b0, almost_empty_threshold}; else if (csr_address == 2) csr_readdata <= {8'b0, almost_full_threshold}; else if (csr_address == 0) csr_readdata <= {{(31 - ADDR_WIDTH){1'b0}}, fill_level}; end else if (csr_write) begin if(csr_address == 3'b011) almost_empty_threshold <= csr_writedata[23:0]; else if(csr_address == 3'b010) almost_full_threshold <= csr_writedata[23:0]; end end end end else begin : gen_blk19_else2 always @(posedge clk or posedge reset) begin if (reset) begin csr_readdata <= 0; end else if (csr_read) begin csr_readdata <= 0; if (csr_address == 0) csr_readdata <= {{(31 - ADDR_WIDTH){1'b0}}, fill_level}; end end end endgenerate // -------------------------------------------------- // Store and forward logic // -------------------------------------------------- // if the fifo gets full before the entire packet or the // cut-threshold condition is met then start sending out // data in order to avoid dead-lock situation generate if (USE_STORE_FORWARD) begin : gen_blk20 assign wait_for_threshold = (fifo_fill_level_lt_cut_through_threshold) & wait_for_pkt ; assign wait_for_pkt = pkt_cnt_eq_zero | (pkt_cnt_eq_one & out_pkt_leave); assign ok_to_forward = (pkt_mode ? (~wait_for_pkt | ~pkt_has_started) : ~wait_for_threshold) | fifo_too_small_r; assign in_pkt_eop_arrive = in_valid & in_ready & in_endofpacket; assign in_pkt_start = in_valid & in_ready & in_startofpacket; assign in_pkt_error = in_valid & in_ready & |in_error; assign out_pkt_sop_leave = out_valid & out_ready & out_startofpacket; assign out_pkt_leave = out_valid & out_ready & out_endofpacket; assign fifo_too_small = (pkt_mode ? wait_for_pkt : wait_for_threshold) & full & out_ready; // count packets coming and going into the fifo always @(posedge clk or posedge reset) begin if (reset) begin pkt_cnt <= 0; pkt_has_started <= 0; sop_has_left_fifo <= 0; fifo_too_small_r <= 0; pkt_cnt_eq_zero <= 1'b1; pkt_cnt_eq_one <= 1'b0; fifo_fill_level_lt_cut_through_threshold <= 1'b1; end else begin fifo_fill_level_lt_cut_through_threshold <= fifo_fill_level < cut_through_threshold; fifo_too_small_r <= fifo_too_small; if( in_pkt_eop_arrive ) sop_has_left_fifo <= 1'b0; else if (out_pkt_sop_leave & pkt_cnt_eq_zero ) sop_has_left_fifo <= 1'b1; if (in_pkt_eop_arrive & ~out_pkt_leave & ~drop_on_error ) begin pkt_cnt <= pkt_cnt + 1'b1; pkt_cnt_eq_zero <= 0; if (pkt_cnt == 0) pkt_cnt_eq_one <= 1'b1; else pkt_cnt_eq_one <= 1'b0; end else if((~in_pkt_eop_arrive | drop_on_error) & out_pkt_leave) begin pkt_cnt <= pkt_cnt - 1'b1; if (pkt_cnt == 1) pkt_cnt_eq_zero <= 1'b1; else pkt_cnt_eq_zero <= 1'b0; if (pkt_cnt == 2) pkt_cnt_eq_one <= 1'b1; else pkt_cnt_eq_one <= 1'b0; end if (in_pkt_start) pkt_has_started <= 1'b1; else if (in_pkt_eop_arrive) pkt_has_started <= 1'b0; end end // drop on error logic always @(posedge clk or posedge reset) begin if (reset) begin sop_ptr <= 0; error_in_pkt <= 0; end else begin // save the location of the SOP if ( in_pkt_start ) sop_ptr <= wr_ptr; // remember if error in pkt // log error only if packet has already started if (in_pkt_eop_arrive) error_in_pkt <= 1'b0; else if ( in_pkt_error & (pkt_has_started | in_pkt_start)) error_in_pkt <= 1'b1; end end assign drop_on_error = drop_on_error_en & (error_in_pkt | in_pkt_error) & in_pkt_eop_arrive & ~sop_has_left_fifo & ~(out_pkt_sop_leave & pkt_cnt_eq_zero); assign curr_sop_ptr = (write && in_startofpacket && in_endofpacket) ? wr_ptr : sop_ptr; end else begin : gen_blk20_else assign ok_to_forward = 1'b1; assign drop_on_error = 1'b0; if (ADDR_WIDTH <= 1) assign curr_sop_ptr = 1'b0; else assign curr_sop_ptr = {ADDR_WIDTH - 1 { 1'b0 }}; end endgenerate // -------------------------------------------------- // Calculates the log2ceil of the input value // -------------------------------------------------- function integer log2ceil; input integer val; reg[31:0] i; begin i = 1; log2ceil = 0; while (i < val) begin log2ceil = log2ceil + 1; i = i[30:0] << 1; end end endfunction endmodule
module altera_avalon_sc_fifo #( // -------------------------------------------------- // Parameters // -------------------------------------------------- parameter SYMBOLS_PER_BEAT = 1, parameter BITS_PER_SYMBOL = 8, parameter FIFO_DEPTH = 16, parameter CHANNEL_WIDTH = 0, parameter ERROR_WIDTH = 0, parameter USE_PACKETS = 0, parameter USE_FILL_LEVEL = 0, parameter USE_STORE_FORWARD = 0, parameter USE_ALMOST_FULL_IF = 0, parameter USE_ALMOST_EMPTY_IF = 0, // -------------------------------------------------- // Empty latency is defined as the number of cycles // required for a write to deassert the empty flag. // For example, a latency of 1 means that the empty // flag is deasserted on the cycle after a write. // // Another way to think of it is the latency for a // write to propagate to the output. // // An empty latency of 0 implies lookahead, which is // only implemented for the register-based FIFO. // -------------------------------------------------- parameter EMPTY_LATENCY = 3, parameter USE_MEMORY_BLOCKS = 1, // -------------------------------------------------- // Internal Parameters // -------------------------------------------------- parameter DATA_WIDTH = SYMBOLS_PER_BEAT * BITS_PER_SYMBOL, parameter EMPTY_WIDTH = log2ceil(SYMBOLS_PER_BEAT) ) ( // -------------------------------------------------- // Ports // -------------------------------------------------- input clk, input reset, input [DATA_WIDTH-1: 0] in_data, input in_valid, input in_startofpacket, input in_endofpacket, input [((EMPTY_WIDTH>0) ? (EMPTY_WIDTH-1):0) : 0] in_empty, input [((ERROR_WIDTH>0) ? (ERROR_WIDTH-1):0) : 0] in_error, input [((CHANNEL_WIDTH>0) ? (CHANNEL_WIDTH-1):0): 0] in_channel, output in_ready, output [DATA_WIDTH-1 : 0] out_data, output reg out_valid, output out_startofpacket, output out_endofpacket, output [((EMPTY_WIDTH>0) ? (EMPTY_WIDTH-1):0) : 0] out_empty, output [((ERROR_WIDTH>0) ? (ERROR_WIDTH-1):0) : 0] out_error, output [((CHANNEL_WIDTH>0) ? (CHANNEL_WIDTH-1):0): 0] out_channel, input out_ready, input [(USE_STORE_FORWARD ? 2 : 1) : 0] csr_address, input csr_write, input csr_read, input [31 : 0] csr_writedata, output reg [31 : 0] csr_readdata, output wire almost_full_data, output wire almost_empty_data ); // -------------------------------------------------- // Local Parameters // -------------------------------------------------- localparam ADDR_WIDTH = log2ceil(FIFO_DEPTH); localparam DEPTH = FIFO_DEPTH; localparam PKT_SIGNALS_WIDTH = 2 + EMPTY_WIDTH; localparam PAYLOAD_WIDTH = (USE_PACKETS == 1) ? 2 + EMPTY_WIDTH + DATA_WIDTH + ERROR_WIDTH + CHANNEL_WIDTH: DATA_WIDTH + ERROR_WIDTH + CHANNEL_WIDTH; // -------------------------------------------------- // Internal Signals // -------------------------------------------------- genvar i; reg [PAYLOAD_WIDTH-1 : 0] mem [DEPTH-1 : 0]; reg [ADDR_WIDTH-1 : 0] wr_ptr; reg [ADDR_WIDTH-1 : 0] rd_ptr; reg [DEPTH-1 : 0] mem_used; wire [ADDR_WIDTH-1 : 0] next_wr_ptr; wire [ADDR_WIDTH-1 : 0] next_rd_ptr; wire [ADDR_WIDTH-1 : 0] incremented_wr_ptr; wire [ADDR_WIDTH-1 : 0] incremented_rd_ptr; wire [ADDR_WIDTH-1 : 0] mem_rd_ptr; wire read; wire write; reg empty; reg next_empty; reg full; reg next_full; wire [PKT_SIGNALS_WIDTH-1 : 0] in_packet_signals; wire [PKT_SIGNALS_WIDTH-1 : 0] out_packet_signals; wire [PAYLOAD_WIDTH-1 : 0] in_payload; reg [PAYLOAD_WIDTH-1 : 0] internal_out_payload; reg [PAYLOAD_WIDTH-1 : 0] out_payload; reg internal_out_valid; wire internal_out_ready; reg [ADDR_WIDTH : 0] fifo_fill_level; reg [ADDR_WIDTH : 0] fill_level; reg [ADDR_WIDTH-1 : 0] sop_ptr = 0; wire [ADDR_WIDTH-1 : 0] curr_sop_ptr; reg [23:0] almost_full_threshold; reg [23:0] almost_empty_threshold; reg [23:0] cut_through_threshold; reg [15:0] pkt_cnt; reg drop_on_error_en; reg error_in_pkt; reg pkt_has_started; reg sop_has_left_fifo; reg fifo_too_small_r; reg pkt_cnt_eq_zero; reg pkt_cnt_eq_one; wire wait_for_threshold; reg pkt_mode; wire wait_for_pkt; wire ok_to_forward; wire in_pkt_eop_arrive; wire out_pkt_leave; wire in_pkt_start; wire in_pkt_error; wire drop_on_error; wire fifo_too_small; wire out_pkt_sop_leave; wire [31:0] max_fifo_size; reg fifo_fill_level_lt_cut_through_threshold; // -------------------------------------------------- // Define Payload // // Icky part where we decide which signals form the // payload to the FIFO with generate blocks. // -------------------------------------------------- generate if (EMPTY_WIDTH > 0) begin : gen_blk1 assign in_packet_signals = {in_startofpacket, in_endofpacket, in_empty}; assign {out_startofpacket, out_endofpacket, out_empty} = out_packet_signals; end else begin : gen_blk1_else assign out_empty = in_error; assign in_packet_signals = {in_startofpacket, in_endofpacket}; assign {out_startofpacket, out_endofpacket} = out_packet_signals; end endgenerate generate if (USE_PACKETS) begin : gen_blk2 if (ERROR_WIDTH > 0) begin : gen_blk3 if (CHANNEL_WIDTH > 0) begin : gen_blk4 assign in_payload = {in_packet_signals, in_data, in_error, in_channel}; assign {out_packet_signals, out_data, out_error, out_channel} = out_payload; end else begin : gen_blk4_else assign out_channel = in_channel; assign in_payload = {in_packet_signals, in_data, in_error}; assign {out_packet_signals, out_data, out_error} = out_payload; end end else begin : gen_blk3_else assign out_error = in_error; if (CHANNEL_WIDTH > 0) begin : gen_blk5 assign in_payload = {in_packet_signals, in_data, in_channel}; assign {out_packet_signals, out_data, out_channel} = out_payload; end else begin : gen_blk5_else assign out_channel = in_channel; assign in_payload = {in_packet_signals, in_data}; assign {out_packet_signals, out_data} = out_payload; end end end else begin : gen_blk2_else assign out_packet_signals = 0; if (ERROR_WIDTH > 0) begin : gen_blk6 if (CHANNEL_WIDTH > 0) begin : gen_blk7 assign in_payload = {in_data, in_error, in_channel}; assign {out_data, out_error, out_channel} = out_payload; end else begin : gen_blk7_else assign out_channel = in_channel; assign in_payload = {in_data, in_error}; assign {out_data, out_error} = out_payload; end end else begin : gen_blk6_else assign out_error = in_error; if (CHANNEL_WIDTH > 0) begin : gen_blk8 assign in_payload = {in_data, in_channel}; assign {out_data, out_channel} = out_payload; end else begin : gen_blk8_else assign out_channel = in_channel; assign in_payload = in_data; assign out_data = out_payload; end end end endgenerate // -------------------------------------------------- // Memory-based FIFO storage // // To allow a ready latency of 0, the read index is // obtained from the next read pointer and memory // outputs are unregistered. // // If the empty latency is 1, we infer bypass logic // around the memory so writes propagate to the // outputs on the next cycle. // // Do not change the way this is coded: Quartus needs // a perfect match to the template, and any attempt to // refactor the two always blocks into one will break // memory inference. // -------------------------------------------------- generate if (USE_MEMORY_BLOCKS == 1) begin : gen_blk9 if (EMPTY_LATENCY == 1) begin : gen_blk10 always @(posedge clk) begin if (in_valid && in_ready) mem[wr_ptr] = in_payload; internal_out_payload = mem[mem_rd_ptr]; end end else begin : gen_blk10_else always @(posedge clk) begin if (in_valid && in_ready) mem[wr_ptr] <= in_payload; internal_out_payload <= mem[mem_rd_ptr]; end end assign mem_rd_ptr = next_rd_ptr; end else begin : gen_blk9_else // -------------------------------------------------- // Register-based FIFO storage // // Uses a shift register as the storage element. Each // shift register slot has a bit which indicates if // the slot is occupied (credit to Sam H for the idea). // The occupancy bits are contiguous and start from the // lsb, so 0000, 0001, 0011, 0111, 1111 for a 4-deep // FIFO. // // Each slot is enabled during a read or when it // is unoccupied. New data is always written to every // going-to-be-empty slot (we keep track of which ones // are actually useful with the occupancy bits). On a // read we shift occupied slots. // // The exception is the last slot, which always gets // new data when it is unoccupied. // -------------------------------------------------- for (i = 0; i < DEPTH-1; i = i + 1) begin : shift_reg always @(posedge clk or posedge reset) begin if (reset) begin mem[i] <= 0; end else if (read || !mem_used[i]) begin if (!mem_used[i+1]) mem[i] <= in_payload; else mem[i] <= mem[i+1]; end end end always @(posedge clk, posedge reset) begin if (reset) begin mem[DEPTH-1] <= 0; end else begin if (DEPTH == 1) begin if (write) mem[DEPTH-1] <= in_payload; end else if (!mem_used[DEPTH-1]) mem[DEPTH-1] <= in_payload; end end end endgenerate assign read = internal_out_ready && internal_out_valid && ok_to_forward; assign write = in_ready && in_valid; // -------------------------------------------------- // Pointer Management // -------------------------------------------------- generate if (USE_MEMORY_BLOCKS == 1) begin : gen_blk11 assign incremented_wr_ptr = wr_ptr + 1'b1; assign incremented_rd_ptr = rd_ptr + 1'b1; assign next_wr_ptr = drop_on_error ? curr_sop_ptr : write ? incremented_wr_ptr : wr_ptr; assign next_rd_ptr = (read) ? incremented_rd_ptr : rd_ptr; always @(posedge clk or posedge reset) begin if (reset) begin wr_ptr <= 0; rd_ptr <= 0; end else begin wr_ptr <= next_wr_ptr; rd_ptr <= next_rd_ptr; end end end else begin : gen_blk11_else // -------------------------------------------------- // Shift Register Occupancy Bits // // Consider a 4-deep FIFO with 2 entries: 0011 // On a read and write, do not modify the bits. // On a write, left-shift the bits to get 0111. // On a read, right-shift the bits to get 0001. // // Also, on a write we set bit0 (the head), while // clearing the tail on a read. // -------------------------------------------------- always @(posedge clk or posedge reset) begin if (reset) begin mem_used[0] <= 0; end else begin if (write ^ read) begin if (write) mem_used[0] <= 1; else if (read) begin if (DEPTH > 1) mem_used[0] <= mem_used[1]; else mem_used[0] <= 0; end end end end if (DEPTH > 1) begin : gen_blk12 always @(posedge clk or posedge reset) begin if (reset) begin mem_used[DEPTH-1] <= 0; end else begin if (write ^ read) begin mem_used[DEPTH-1] <= 0; if (write) mem_used[DEPTH-1] <= mem_used[DEPTH-2]; end end end end for (i = 1; i < DEPTH-1; i = i + 1) begin : storage_logic always @(posedge clk, posedge reset) begin if (reset) begin mem_used[i] <= 0; end else begin if (write ^ read) begin if (write) mem_used[i] <= mem_used[i-1]; else if (read) mem_used[i] <= mem_used[i+1]; end end end end end endgenerate // -------------------------------------------------- // Memory FIFO Status Management // // Generates the full and empty signals from the // pointers. The FIFO is full when the next write // pointer will be equal to the read pointer after // a write. Reading from a FIFO clears full. // // The FIFO is empty when the next read pointer will // be equal to the write pointer after a read. Writing // to a FIFO clears empty. // // A simultaneous read and write must not change any of // the empty or full flags unless there is a drop on error event. // -------------------------------------------------- generate if (USE_MEMORY_BLOCKS == 1) begin : gen_blk13 always @* begin next_full = full; next_empty = empty; if (read && !write) begin next_full = 1'b0; if (incremented_rd_ptr == wr_ptr) next_empty = 1'b1; end if (write && !read) begin if (!drop_on_error) next_empty = 1'b0; else if (curr_sop_ptr == rd_ptr) // drop on error and only 1 pkt in fifo next_empty = 1'b1; if (incremented_wr_ptr == rd_ptr && !drop_on_error) next_full = 1'b1; end if (write && read && drop_on_error) begin if (curr_sop_ptr == next_rd_ptr) next_empty = 1'b1; end end always @(posedge clk or posedge reset) begin if (reset) begin empty <= 1; full <= 0; end else begin empty <= next_empty; full <= next_full; end end end else begin : gen_blk13_else // -------------------------------------------------- // Register FIFO Status Management // // Full when the tail occupancy bit is 1. Empty when // the head occupancy bit is 0. // -------------------------------------------------- always @* begin full = mem_used[DEPTH-1]; empty = !mem_used[0]; // ------------------------------------------ // For a single slot FIFO, reading clears the // full status immediately. // ------------------------------------------ if (DEPTH == 1) full = mem_used[0] && !read; internal_out_payload = mem[0]; // ------------------------------------------ // Writes clear empty immediately for lookahead modes. // Note that we use in_valid instead of write to avoid // combinational loops (in lookahead mode, qualifying // with in_ready is meaningless). // // In a 1-deep FIFO, a possible combinational loop runs // from write -> out_valid -> out_ready -> write // ------------------------------------------ if (EMPTY_LATENCY == 0) begin empty = !mem_used[0] && !in_valid; if (!mem_used[0] && in_valid) internal_out_payload = in_payload; end end end endgenerate // -------------------------------------------------- // Avalon-ST Signals // // The in_ready signal is straightforward. // // To match memory latency when empty latency > 1, // out_valid assertions must be delayed by one clock // cycle. // // Note: out_valid deassertions must not be delayed or // the FIFO will underflow. // -------------------------------------------------- assign in_ready = !full; assign internal_out_ready = out_ready || !out_valid; generate if (EMPTY_LATENCY > 1) begin : gen_blk14 always @(posedge clk or posedge reset) begin if (reset) internal_out_valid <= 0; else begin internal_out_valid <= !empty & ok_to_forward & ~drop_on_error; if (read) begin if (incremented_rd_ptr == wr_ptr) internal_out_valid <= 1'b0; end end end end else begin : gen_blk14_else always @* begin internal_out_valid = !empty & ok_to_forward; end end endgenerate // -------------------------------------------------- // Single Output Pipeline Stage // // This output pipeline stage is enabled if the FIFO's // empty latency is set to 3 (default). It is disabled // for all other allowed latencies. // // Reason: The memory outputs are unregistered, so we have to // register the output or fmax will drop if combinatorial // logic is present on the output datapath. // // Q: The Avalon-ST spec says that I have to register my outputs // But isn't the memory counted as a register? // A: The path from the address lookup to the memory output is // slow. Registering the memory outputs is a good idea. // // The registers get packed into the memory by the fitter // which means minimal resources are consumed (the result // is a altsyncram with registered outputs, available on // all modern Altera devices). // // This output stage acts as an extra slot in the FIFO, // and complicates the fill level. // -------------------------------------------------- generate if (EMPTY_LATENCY == 3) begin : gen_blk15 always @(posedge clk or posedge reset) begin if (reset) begin out_valid <= 0; out_payload <= 0; end else begin if (internal_out_ready) begin out_valid <= internal_out_valid & ok_to_forward; out_payload <= internal_out_payload; end end end end else begin : gen_blk15_else always @* begin out_valid = internal_out_valid; out_payload = internal_out_payload; end end endgenerate // -------------------------------------------------- // Fill Level // // The fill level is calculated from the next write // and read pointers to avoid unnecessary latency // and logic. // // However, if the store-and-forward mode of the FIFO // is enabled, the fill level is an up-down counter // for fmax optimization reasons. // // If the output pipeline is enabled, the fill level // must account for it, or we'll always be off by one. // This may, or may not be important depending on the // application. // // For now, we'll always calculate the exact fill level // at the cost of an extra adder when the output stage // is enabled. // -------------------------------------------------- generate if (USE_FILL_LEVEL) begin : gen_blk16 wire [31:0] depth32; assign depth32 = DEPTH; if (USE_STORE_FORWARD) begin reg [ADDR_WIDTH : 0] curr_packet_len_less_one; // -------------------------------------------------- // We only drop on endofpacket. As long as we don't add to the fill // level on the dropped endofpacket cycle, we can simply subtract // (packet length - 1) from the fill level for dropped packets. // -------------------------------------------------- always @(posedge clk or posedge reset) begin if (reset) begin curr_packet_len_less_one <= 0; end else begin if (write) begin curr_packet_len_less_one <= curr_packet_len_less_one + 1'b1; if (in_endofpacket) curr_packet_len_less_one <= 0; end end end always @(posedge clk or posedge reset) begin if (reset) begin fifo_fill_level <= 0; end else if (drop_on_error) begin fifo_fill_level <= fifo_fill_level - curr_packet_len_less_one; if (read) fifo_fill_level <= fifo_fill_level - curr_packet_len_less_one - 1'b1; end else if (write && !read) begin fifo_fill_level <= fifo_fill_level + 1'b1; end else if (read && !write) begin fifo_fill_level <= fifo_fill_level - 1'b1; end end end else begin always @(posedge clk or posedge reset) begin if (reset) fifo_fill_level <= 0; else if (next_full & !drop_on_error) fifo_fill_level <= depth32[ADDR_WIDTH:0]; else begin fifo_fill_level[ADDR_WIDTH] <= 1'b0; fifo_fill_level[ADDR_WIDTH-1 : 0] <= next_wr_ptr - next_rd_ptr; end end end always @* begin fill_level = fifo_fill_level; if (EMPTY_LATENCY == 3) fill_level = fifo_fill_level + {{ADDR_WIDTH{1'b0}}, out_valid}; end end else begin : gen_blk16_else always @* begin fill_level = 0; end end endgenerate generate if (USE_ALMOST_FULL_IF) begin : gen_blk17 assign almost_full_data = (fill_level >= almost_full_threshold); end else assign almost_full_data = 0; endgenerate generate if (USE_ALMOST_EMPTY_IF) begin : gen_blk18 assign almost_empty_data = (fill_level <= almost_empty_threshold); end else assign almost_empty_data = 0; endgenerate // -------------------------------------------------- // Avalon-MM Status & Control Connection Point // // Register map: // // | Addr | RW | 31 - 0 | // | 0 | R | Fill level | // // The registering of this connection point means // that there is a cycle of latency between // reads/writes and the updating of the fill level. // -------------------------------------------------- generate if (USE_STORE_FORWARD) begin : gen_blk19 assign max_fifo_size = FIFO_DEPTH - 1; always @(posedge clk or posedge reset) begin if (reset) begin almost_full_threshold <= max_fifo_size[23 : 0]; almost_empty_threshold <= 0; cut_through_threshold <= 0; drop_on_error_en <= 0; csr_readdata <= 0; pkt_mode <= 1'b1; end else begin if (csr_read) begin csr_readdata <= 32'b0; if (csr_address == 5) csr_readdata <= {31'b0, drop_on_error_en}; else if (csr_address == 4) csr_readdata <= {8'b0, cut_through_threshold}; else if (csr_address == 3) csr_readdata <= {8'b0, almost_empty_threshold}; else if (csr_address == 2) csr_readdata <= {8'b0, almost_full_threshold}; else if (csr_address == 0) csr_readdata <= {{(31 - ADDR_WIDTH){1'b0}}, fill_level}; end else if (csr_write) begin if(csr_address == 3'b101) drop_on_error_en <= csr_writedata[0]; else if(csr_address == 3'b100) begin cut_through_threshold <= csr_writedata[23:0]; pkt_mode <= (csr_writedata[23:0] == 0); end else if(csr_address == 3'b011) almost_empty_threshold <= csr_writedata[23:0]; else if(csr_address == 3'b010) almost_full_threshold <= csr_writedata[23:0]; end end end end else if (USE_ALMOST_FULL_IF || USE_ALMOST_EMPTY_IF) begin : gen_blk19_else1 assign max_fifo_size = FIFO_DEPTH - 1; always @(posedge clk or posedge reset) begin if (reset) begin almost_full_threshold <= max_fifo_size[23 : 0]; almost_empty_threshold <= 0; csr_readdata <= 0; end else begin if (csr_read) begin csr_readdata <= 32'b0; if (csr_address == 3) csr_readdata <= {8'b0, almost_empty_threshold}; else if (csr_address == 2) csr_readdata <= {8'b0, almost_full_threshold}; else if (csr_address == 0) csr_readdata <= {{(31 - ADDR_WIDTH){1'b0}}, fill_level}; end else if (csr_write) begin if(csr_address == 3'b011) almost_empty_threshold <= csr_writedata[23:0]; else if(csr_address == 3'b010) almost_full_threshold <= csr_writedata[23:0]; end end end end else begin : gen_blk19_else2 always @(posedge clk or posedge reset) begin if (reset) begin csr_readdata <= 0; end else if (csr_read) begin csr_readdata <= 0; if (csr_address == 0) csr_readdata <= {{(31 - ADDR_WIDTH){1'b0}}, fill_level}; end end end endgenerate // -------------------------------------------------- // Store and forward logic // -------------------------------------------------- // if the fifo gets full before the entire packet or the // cut-threshold condition is met then start sending out // data in order to avoid dead-lock situation generate if (USE_STORE_FORWARD) begin : gen_blk20 assign wait_for_threshold = (fifo_fill_level_lt_cut_through_threshold) & wait_for_pkt ; assign wait_for_pkt = pkt_cnt_eq_zero | (pkt_cnt_eq_one & out_pkt_leave); assign ok_to_forward = (pkt_mode ? (~wait_for_pkt | ~pkt_has_started) : ~wait_for_threshold) | fifo_too_small_r; assign in_pkt_eop_arrive = in_valid & in_ready & in_endofpacket; assign in_pkt_start = in_valid & in_ready & in_startofpacket; assign in_pkt_error = in_valid & in_ready & |in_error; assign out_pkt_sop_leave = out_valid & out_ready & out_startofpacket; assign out_pkt_leave = out_valid & out_ready & out_endofpacket; assign fifo_too_small = (pkt_mode ? wait_for_pkt : wait_for_threshold) & full & out_ready; // count packets coming and going into the fifo always @(posedge clk or posedge reset) begin if (reset) begin pkt_cnt <= 0; pkt_has_started <= 0; sop_has_left_fifo <= 0; fifo_too_small_r <= 0; pkt_cnt_eq_zero <= 1'b1; pkt_cnt_eq_one <= 1'b0; fifo_fill_level_lt_cut_through_threshold <= 1'b1; end else begin fifo_fill_level_lt_cut_through_threshold <= fifo_fill_level < cut_through_threshold; fifo_too_small_r <= fifo_too_small; if( in_pkt_eop_arrive ) sop_has_left_fifo <= 1'b0; else if (out_pkt_sop_leave & pkt_cnt_eq_zero ) sop_has_left_fifo <= 1'b1; if (in_pkt_eop_arrive & ~out_pkt_leave & ~drop_on_error ) begin pkt_cnt <= pkt_cnt + 1'b1; pkt_cnt_eq_zero <= 0; if (pkt_cnt == 0) pkt_cnt_eq_one <= 1'b1; else pkt_cnt_eq_one <= 1'b0; end else if((~in_pkt_eop_arrive | drop_on_error) & out_pkt_leave) begin pkt_cnt <= pkt_cnt - 1'b1; if (pkt_cnt == 1) pkt_cnt_eq_zero <= 1'b1; else pkt_cnt_eq_zero <= 1'b0; if (pkt_cnt == 2) pkt_cnt_eq_one <= 1'b1; else pkt_cnt_eq_one <= 1'b0; end if (in_pkt_start) pkt_has_started <= 1'b1; else if (in_pkt_eop_arrive) pkt_has_started <= 1'b0; end end // drop on error logic always @(posedge clk or posedge reset) begin if (reset) begin sop_ptr <= 0; error_in_pkt <= 0; end else begin // save the location of the SOP if ( in_pkt_start ) sop_ptr <= wr_ptr; // remember if error in pkt // log error only if packet has already started if (in_pkt_eop_arrive) error_in_pkt <= 1'b0; else if ( in_pkt_error & (pkt_has_started | in_pkt_start)) error_in_pkt <= 1'b1; end end assign drop_on_error = drop_on_error_en & (error_in_pkt | in_pkt_error) & in_pkt_eop_arrive & ~sop_has_left_fifo & ~(out_pkt_sop_leave & pkt_cnt_eq_zero); assign curr_sop_ptr = (write && in_startofpacket && in_endofpacket) ? wr_ptr : sop_ptr; end else begin : gen_blk20_else assign ok_to_forward = 1'b1; assign drop_on_error = 1'b0; if (ADDR_WIDTH <= 1) assign curr_sop_ptr = 1'b0; else assign curr_sop_ptr = {ADDR_WIDTH - 1 { 1'b0 }}; end endgenerate // -------------------------------------------------- // Calculates the log2ceil of the input value // -------------------------------------------------- function integer log2ceil; input integer val; reg[31:0] i; begin i = 1; log2ceil = 0; while (i < val) begin log2ceil = log2ceil + 1; i = i[30:0] << 1; end end endfunction endmodule
module altera_avalon_sc_fifo #( // -------------------------------------------------- // Parameters // -------------------------------------------------- parameter SYMBOLS_PER_BEAT = 1, parameter BITS_PER_SYMBOL = 8, parameter FIFO_DEPTH = 16, parameter CHANNEL_WIDTH = 0, parameter ERROR_WIDTH = 0, parameter USE_PACKETS = 0, parameter USE_FILL_LEVEL = 0, parameter USE_STORE_FORWARD = 0, parameter USE_ALMOST_FULL_IF = 0, parameter USE_ALMOST_EMPTY_IF = 0, // -------------------------------------------------- // Empty latency is defined as the number of cycles // required for a write to deassert the empty flag. // For example, a latency of 1 means that the empty // flag is deasserted on the cycle after a write. // // Another way to think of it is the latency for a // write to propagate to the output. // // An empty latency of 0 implies lookahead, which is // only implemented for the register-based FIFO. // -------------------------------------------------- parameter EMPTY_LATENCY = 3, parameter USE_MEMORY_BLOCKS = 1, // -------------------------------------------------- // Internal Parameters // -------------------------------------------------- parameter DATA_WIDTH = SYMBOLS_PER_BEAT * BITS_PER_SYMBOL, parameter EMPTY_WIDTH = log2ceil(SYMBOLS_PER_BEAT) ) ( // -------------------------------------------------- // Ports // -------------------------------------------------- input clk, input reset, input [DATA_WIDTH-1: 0] in_data, input in_valid, input in_startofpacket, input in_endofpacket, input [((EMPTY_WIDTH>0) ? (EMPTY_WIDTH-1):0) : 0] in_empty, input [((ERROR_WIDTH>0) ? (ERROR_WIDTH-1):0) : 0] in_error, input [((CHANNEL_WIDTH>0) ? (CHANNEL_WIDTH-1):0): 0] in_channel, output in_ready, output [DATA_WIDTH-1 : 0] out_data, output reg out_valid, output out_startofpacket, output out_endofpacket, output [((EMPTY_WIDTH>0) ? (EMPTY_WIDTH-1):0) : 0] out_empty, output [((ERROR_WIDTH>0) ? (ERROR_WIDTH-1):0) : 0] out_error, output [((CHANNEL_WIDTH>0) ? (CHANNEL_WIDTH-1):0): 0] out_channel, input out_ready, input [(USE_STORE_FORWARD ? 2 : 1) : 0] csr_address, input csr_write, input csr_read, input [31 : 0] csr_writedata, output reg [31 : 0] csr_readdata, output wire almost_full_data, output wire almost_empty_data ); // -------------------------------------------------- // Local Parameters // -------------------------------------------------- localparam ADDR_WIDTH = log2ceil(FIFO_DEPTH); localparam DEPTH = FIFO_DEPTH; localparam PKT_SIGNALS_WIDTH = 2 + EMPTY_WIDTH; localparam PAYLOAD_WIDTH = (USE_PACKETS == 1) ? 2 + EMPTY_WIDTH + DATA_WIDTH + ERROR_WIDTH + CHANNEL_WIDTH: DATA_WIDTH + ERROR_WIDTH + CHANNEL_WIDTH; // -------------------------------------------------- // Internal Signals // -------------------------------------------------- genvar i; reg [PAYLOAD_WIDTH-1 : 0] mem [DEPTH-1 : 0]; reg [ADDR_WIDTH-1 : 0] wr_ptr; reg [ADDR_WIDTH-1 : 0] rd_ptr; reg [DEPTH-1 : 0] mem_used; wire [ADDR_WIDTH-1 : 0] next_wr_ptr; wire [ADDR_WIDTH-1 : 0] next_rd_ptr; wire [ADDR_WIDTH-1 : 0] incremented_wr_ptr; wire [ADDR_WIDTH-1 : 0] incremented_rd_ptr; wire [ADDR_WIDTH-1 : 0] mem_rd_ptr; wire read; wire write; reg empty; reg next_empty; reg full; reg next_full; wire [PKT_SIGNALS_WIDTH-1 : 0] in_packet_signals; wire [PKT_SIGNALS_WIDTH-1 : 0] out_packet_signals; wire [PAYLOAD_WIDTH-1 : 0] in_payload; reg [PAYLOAD_WIDTH-1 : 0] internal_out_payload; reg [PAYLOAD_WIDTH-1 : 0] out_payload; reg internal_out_valid; wire internal_out_ready; reg [ADDR_WIDTH : 0] fifo_fill_level; reg [ADDR_WIDTH : 0] fill_level; reg [ADDR_WIDTH-1 : 0] sop_ptr = 0; wire [ADDR_WIDTH-1 : 0] curr_sop_ptr; reg [23:0] almost_full_threshold; reg [23:0] almost_empty_threshold; reg [23:0] cut_through_threshold; reg [15:0] pkt_cnt; reg drop_on_error_en; reg error_in_pkt; reg pkt_has_started; reg sop_has_left_fifo; reg fifo_too_small_r; reg pkt_cnt_eq_zero; reg pkt_cnt_eq_one; wire wait_for_threshold; reg pkt_mode; wire wait_for_pkt; wire ok_to_forward; wire in_pkt_eop_arrive; wire out_pkt_leave; wire in_pkt_start; wire in_pkt_error; wire drop_on_error; wire fifo_too_small; wire out_pkt_sop_leave; wire [31:0] max_fifo_size; reg fifo_fill_level_lt_cut_through_threshold; // -------------------------------------------------- // Define Payload // // Icky part where we decide which signals form the // payload to the FIFO with generate blocks. // -------------------------------------------------- generate if (EMPTY_WIDTH > 0) begin : gen_blk1 assign in_packet_signals = {in_startofpacket, in_endofpacket, in_empty}; assign {out_startofpacket, out_endofpacket, out_empty} = out_packet_signals; end else begin : gen_blk1_else assign out_empty = in_error; assign in_packet_signals = {in_startofpacket, in_endofpacket}; assign {out_startofpacket, out_endofpacket} = out_packet_signals; end endgenerate generate if (USE_PACKETS) begin : gen_blk2 if (ERROR_WIDTH > 0) begin : gen_blk3 if (CHANNEL_WIDTH > 0) begin : gen_blk4 assign in_payload = {in_packet_signals, in_data, in_error, in_channel}; assign {out_packet_signals, out_data, out_error, out_channel} = out_payload; end else begin : gen_blk4_else assign out_channel = in_channel; assign in_payload = {in_packet_signals, in_data, in_error}; assign {out_packet_signals, out_data, out_error} = out_payload; end end else begin : gen_blk3_else assign out_error = in_error; if (CHANNEL_WIDTH > 0) begin : gen_blk5 assign in_payload = {in_packet_signals, in_data, in_channel}; assign {out_packet_signals, out_data, out_channel} = out_payload; end else begin : gen_blk5_else assign out_channel = in_channel; assign in_payload = {in_packet_signals, in_data}; assign {out_packet_signals, out_data} = out_payload; end end end else begin : gen_blk2_else assign out_packet_signals = 0; if (ERROR_WIDTH > 0) begin : gen_blk6 if (CHANNEL_WIDTH > 0) begin : gen_blk7 assign in_payload = {in_data, in_error, in_channel}; assign {out_data, out_error, out_channel} = out_payload; end else begin : gen_blk7_else assign out_channel = in_channel; assign in_payload = {in_data, in_error}; assign {out_data, out_error} = out_payload; end end else begin : gen_blk6_else assign out_error = in_error; if (CHANNEL_WIDTH > 0) begin : gen_blk8 assign in_payload = {in_data, in_channel}; assign {out_data, out_channel} = out_payload; end else begin : gen_blk8_else assign out_channel = in_channel; assign in_payload = in_data; assign out_data = out_payload; end end end endgenerate // -------------------------------------------------- // Memory-based FIFO storage // // To allow a ready latency of 0, the read index is // obtained from the next read pointer and memory // outputs are unregistered. // // If the empty latency is 1, we infer bypass logic // around the memory so writes propagate to the // outputs on the next cycle. // // Do not change the way this is coded: Quartus needs // a perfect match to the template, and any attempt to // refactor the two always blocks into one will break // memory inference. // -------------------------------------------------- generate if (USE_MEMORY_BLOCKS == 1) begin : gen_blk9 if (EMPTY_LATENCY == 1) begin : gen_blk10 always @(posedge clk) begin if (in_valid && in_ready) mem[wr_ptr] = in_payload; internal_out_payload = mem[mem_rd_ptr]; end end else begin : gen_blk10_else always @(posedge clk) begin if (in_valid && in_ready) mem[wr_ptr] <= in_payload; internal_out_payload <= mem[mem_rd_ptr]; end end assign mem_rd_ptr = next_rd_ptr; end else begin : gen_blk9_else // -------------------------------------------------- // Register-based FIFO storage // // Uses a shift register as the storage element. Each // shift register slot has a bit which indicates if // the slot is occupied (credit to Sam H for the idea). // The occupancy bits are contiguous and start from the // lsb, so 0000, 0001, 0011, 0111, 1111 for a 4-deep // FIFO. // // Each slot is enabled during a read or when it // is unoccupied. New data is always written to every // going-to-be-empty slot (we keep track of which ones // are actually useful with the occupancy bits). On a // read we shift occupied slots. // // The exception is the last slot, which always gets // new data when it is unoccupied. // -------------------------------------------------- for (i = 0; i < DEPTH-1; i = i + 1) begin : shift_reg always @(posedge clk or posedge reset) begin if (reset) begin mem[i] <= 0; end else if (read || !mem_used[i]) begin if (!mem_used[i+1]) mem[i] <= in_payload; else mem[i] <= mem[i+1]; end end end always @(posedge clk, posedge reset) begin if (reset) begin mem[DEPTH-1] <= 0; end else begin if (DEPTH == 1) begin if (write) mem[DEPTH-1] <= in_payload; end else if (!mem_used[DEPTH-1]) mem[DEPTH-1] <= in_payload; end end end endgenerate assign read = internal_out_ready && internal_out_valid && ok_to_forward; assign write = in_ready && in_valid; // -------------------------------------------------- // Pointer Management // -------------------------------------------------- generate if (USE_MEMORY_BLOCKS == 1) begin : gen_blk11 assign incremented_wr_ptr = wr_ptr + 1'b1; assign incremented_rd_ptr = rd_ptr + 1'b1; assign next_wr_ptr = drop_on_error ? curr_sop_ptr : write ? incremented_wr_ptr : wr_ptr; assign next_rd_ptr = (read) ? incremented_rd_ptr : rd_ptr; always @(posedge clk or posedge reset) begin if (reset) begin wr_ptr <= 0; rd_ptr <= 0; end else begin wr_ptr <= next_wr_ptr; rd_ptr <= next_rd_ptr; end end end else begin : gen_blk11_else // -------------------------------------------------- // Shift Register Occupancy Bits // // Consider a 4-deep FIFO with 2 entries: 0011 // On a read and write, do not modify the bits. // On a write, left-shift the bits to get 0111. // On a read, right-shift the bits to get 0001. // // Also, on a write we set bit0 (the head), while // clearing the tail on a read. // -------------------------------------------------- always @(posedge clk or posedge reset) begin if (reset) begin mem_used[0] <= 0; end else begin if (write ^ read) begin if (write) mem_used[0] <= 1; else if (read) begin if (DEPTH > 1) mem_used[0] <= mem_used[1]; else mem_used[0] <= 0; end end end end if (DEPTH > 1) begin : gen_blk12 always @(posedge clk or posedge reset) begin if (reset) begin mem_used[DEPTH-1] <= 0; end else begin if (write ^ read) begin mem_used[DEPTH-1] <= 0; if (write) mem_used[DEPTH-1] <= mem_used[DEPTH-2]; end end end end for (i = 1; i < DEPTH-1; i = i + 1) begin : storage_logic always @(posedge clk, posedge reset) begin if (reset) begin mem_used[i] <= 0; end else begin if (write ^ read) begin if (write) mem_used[i] <= mem_used[i-1]; else if (read) mem_used[i] <= mem_used[i+1]; end end end end end endgenerate // -------------------------------------------------- // Memory FIFO Status Management // // Generates the full and empty signals from the // pointers. The FIFO is full when the next write // pointer will be equal to the read pointer after // a write. Reading from a FIFO clears full. // // The FIFO is empty when the next read pointer will // be equal to the write pointer after a read. Writing // to a FIFO clears empty. // // A simultaneous read and write must not change any of // the empty or full flags unless there is a drop on error event. // -------------------------------------------------- generate if (USE_MEMORY_BLOCKS == 1) begin : gen_blk13 always @* begin next_full = full; next_empty = empty; if (read && !write) begin next_full = 1'b0; if (incremented_rd_ptr == wr_ptr) next_empty = 1'b1; end if (write && !read) begin if (!drop_on_error) next_empty = 1'b0; else if (curr_sop_ptr == rd_ptr) // drop on error and only 1 pkt in fifo next_empty = 1'b1; if (incremented_wr_ptr == rd_ptr && !drop_on_error) next_full = 1'b1; end if (write && read && drop_on_error) begin if (curr_sop_ptr == next_rd_ptr) next_empty = 1'b1; end end always @(posedge clk or posedge reset) begin if (reset) begin empty <= 1; full <= 0; end else begin empty <= next_empty; full <= next_full; end end end else begin : gen_blk13_else // -------------------------------------------------- // Register FIFO Status Management // // Full when the tail occupancy bit is 1. Empty when // the head occupancy bit is 0. // -------------------------------------------------- always @* begin full = mem_used[DEPTH-1]; empty = !mem_used[0]; // ------------------------------------------ // For a single slot FIFO, reading clears the // full status immediately. // ------------------------------------------ if (DEPTH == 1) full = mem_used[0] && !read; internal_out_payload = mem[0]; // ------------------------------------------ // Writes clear empty immediately for lookahead modes. // Note that we use in_valid instead of write to avoid // combinational loops (in lookahead mode, qualifying // with in_ready is meaningless). // // In a 1-deep FIFO, a possible combinational loop runs // from write -> out_valid -> out_ready -> write // ------------------------------------------ if (EMPTY_LATENCY == 0) begin empty = !mem_used[0] && !in_valid; if (!mem_used[0] && in_valid) internal_out_payload = in_payload; end end end endgenerate // -------------------------------------------------- // Avalon-ST Signals // // The in_ready signal is straightforward. // // To match memory latency when empty latency > 1, // out_valid assertions must be delayed by one clock // cycle. // // Note: out_valid deassertions must not be delayed or // the FIFO will underflow. // -------------------------------------------------- assign in_ready = !full; assign internal_out_ready = out_ready || !out_valid; generate if (EMPTY_LATENCY > 1) begin : gen_blk14 always @(posedge clk or posedge reset) begin if (reset) internal_out_valid <= 0; else begin internal_out_valid <= !empty & ok_to_forward & ~drop_on_error; if (read) begin if (incremented_rd_ptr == wr_ptr) internal_out_valid <= 1'b0; end end end end else begin : gen_blk14_else always @* begin internal_out_valid = !empty & ok_to_forward; end end endgenerate // -------------------------------------------------- // Single Output Pipeline Stage // // This output pipeline stage is enabled if the FIFO's // empty latency is set to 3 (default). It is disabled // for all other allowed latencies. // // Reason: The memory outputs are unregistered, so we have to // register the output or fmax will drop if combinatorial // logic is present on the output datapath. // // Q: The Avalon-ST spec says that I have to register my outputs // But isn't the memory counted as a register? // A: The path from the address lookup to the memory output is // slow. Registering the memory outputs is a good idea. // // The registers get packed into the memory by the fitter // which means minimal resources are consumed (the result // is a altsyncram with registered outputs, available on // all modern Altera devices). // // This output stage acts as an extra slot in the FIFO, // and complicates the fill level. // -------------------------------------------------- generate if (EMPTY_LATENCY == 3) begin : gen_blk15 always @(posedge clk or posedge reset) begin if (reset) begin out_valid <= 0; out_payload <= 0; end else begin if (internal_out_ready) begin out_valid <= internal_out_valid & ok_to_forward; out_payload <= internal_out_payload; end end end end else begin : gen_blk15_else always @* begin out_valid = internal_out_valid; out_payload = internal_out_payload; end end endgenerate // -------------------------------------------------- // Fill Level // // The fill level is calculated from the next write // and read pointers to avoid unnecessary latency // and logic. // // However, if the store-and-forward mode of the FIFO // is enabled, the fill level is an up-down counter // for fmax optimization reasons. // // If the output pipeline is enabled, the fill level // must account for it, or we'll always be off by one. // This may, or may not be important depending on the // application. // // For now, we'll always calculate the exact fill level // at the cost of an extra adder when the output stage // is enabled. // -------------------------------------------------- generate if (USE_FILL_LEVEL) begin : gen_blk16 wire [31:0] depth32; assign depth32 = DEPTH; if (USE_STORE_FORWARD) begin reg [ADDR_WIDTH : 0] curr_packet_len_less_one; // -------------------------------------------------- // We only drop on endofpacket. As long as we don't add to the fill // level on the dropped endofpacket cycle, we can simply subtract // (packet length - 1) from the fill level for dropped packets. // -------------------------------------------------- always @(posedge clk or posedge reset) begin if (reset) begin curr_packet_len_less_one <= 0; end else begin if (write) begin curr_packet_len_less_one <= curr_packet_len_less_one + 1'b1; if (in_endofpacket) curr_packet_len_less_one <= 0; end end end always @(posedge clk or posedge reset) begin if (reset) begin fifo_fill_level <= 0; end else if (drop_on_error) begin fifo_fill_level <= fifo_fill_level - curr_packet_len_less_one; if (read) fifo_fill_level <= fifo_fill_level - curr_packet_len_less_one - 1'b1; end else if (write && !read) begin fifo_fill_level <= fifo_fill_level + 1'b1; end else if (read && !write) begin fifo_fill_level <= fifo_fill_level - 1'b1; end end end else begin always @(posedge clk or posedge reset) begin if (reset) fifo_fill_level <= 0; else if (next_full & !drop_on_error) fifo_fill_level <= depth32[ADDR_WIDTH:0]; else begin fifo_fill_level[ADDR_WIDTH] <= 1'b0; fifo_fill_level[ADDR_WIDTH-1 : 0] <= next_wr_ptr - next_rd_ptr; end end end always @* begin fill_level = fifo_fill_level; if (EMPTY_LATENCY == 3) fill_level = fifo_fill_level + {{ADDR_WIDTH{1'b0}}, out_valid}; end end else begin : gen_blk16_else always @* begin fill_level = 0; end end endgenerate generate if (USE_ALMOST_FULL_IF) begin : gen_blk17 assign almost_full_data = (fill_level >= almost_full_threshold); end else assign almost_full_data = 0; endgenerate generate if (USE_ALMOST_EMPTY_IF) begin : gen_blk18 assign almost_empty_data = (fill_level <= almost_empty_threshold); end else assign almost_empty_data = 0; endgenerate // -------------------------------------------------- // Avalon-MM Status & Control Connection Point // // Register map: // // | Addr | RW | 31 - 0 | // | 0 | R | Fill level | // // The registering of this connection point means // that there is a cycle of latency between // reads/writes and the updating of the fill level. // -------------------------------------------------- generate if (USE_STORE_FORWARD) begin : gen_blk19 assign max_fifo_size = FIFO_DEPTH - 1; always @(posedge clk or posedge reset) begin if (reset) begin almost_full_threshold <= max_fifo_size[23 : 0]; almost_empty_threshold <= 0; cut_through_threshold <= 0; drop_on_error_en <= 0; csr_readdata <= 0; pkt_mode <= 1'b1; end else begin if (csr_read) begin csr_readdata <= 32'b0; if (csr_address == 5) csr_readdata <= {31'b0, drop_on_error_en}; else if (csr_address == 4) csr_readdata <= {8'b0, cut_through_threshold}; else if (csr_address == 3) csr_readdata <= {8'b0, almost_empty_threshold}; else if (csr_address == 2) csr_readdata <= {8'b0, almost_full_threshold}; else if (csr_address == 0) csr_readdata <= {{(31 - ADDR_WIDTH){1'b0}}, fill_level}; end else if (csr_write) begin if(csr_address == 3'b101) drop_on_error_en <= csr_writedata[0]; else if(csr_address == 3'b100) begin cut_through_threshold <= csr_writedata[23:0]; pkt_mode <= (csr_writedata[23:0] == 0); end else if(csr_address == 3'b011) almost_empty_threshold <= csr_writedata[23:0]; else if(csr_address == 3'b010) almost_full_threshold <= csr_writedata[23:0]; end end end end else if (USE_ALMOST_FULL_IF || USE_ALMOST_EMPTY_IF) begin : gen_blk19_else1 assign max_fifo_size = FIFO_DEPTH - 1; always @(posedge clk or posedge reset) begin if (reset) begin almost_full_threshold <= max_fifo_size[23 : 0]; almost_empty_threshold <= 0; csr_readdata <= 0; end else begin if (csr_read) begin csr_readdata <= 32'b0; if (csr_address == 3) csr_readdata <= {8'b0, almost_empty_threshold}; else if (csr_address == 2) csr_readdata <= {8'b0, almost_full_threshold}; else if (csr_address == 0) csr_readdata <= {{(31 - ADDR_WIDTH){1'b0}}, fill_level}; end else if (csr_write) begin if(csr_address == 3'b011) almost_empty_threshold <= csr_writedata[23:0]; else if(csr_address == 3'b010) almost_full_threshold <= csr_writedata[23:0]; end end end end else begin : gen_blk19_else2 always @(posedge clk or posedge reset) begin if (reset) begin csr_readdata <= 0; end else if (csr_read) begin csr_readdata <= 0; if (csr_address == 0) csr_readdata <= {{(31 - ADDR_WIDTH){1'b0}}, fill_level}; end end end endgenerate // -------------------------------------------------- // Store and forward logic // -------------------------------------------------- // if the fifo gets full before the entire packet or the // cut-threshold condition is met then start sending out // data in order to avoid dead-lock situation generate if (USE_STORE_FORWARD) begin : gen_blk20 assign wait_for_threshold = (fifo_fill_level_lt_cut_through_threshold) & wait_for_pkt ; assign wait_for_pkt = pkt_cnt_eq_zero | (pkt_cnt_eq_one & out_pkt_leave); assign ok_to_forward = (pkt_mode ? (~wait_for_pkt | ~pkt_has_started) : ~wait_for_threshold) | fifo_too_small_r; assign in_pkt_eop_arrive = in_valid & in_ready & in_endofpacket; assign in_pkt_start = in_valid & in_ready & in_startofpacket; assign in_pkt_error = in_valid & in_ready & |in_error; assign out_pkt_sop_leave = out_valid & out_ready & out_startofpacket; assign out_pkt_leave = out_valid & out_ready & out_endofpacket; assign fifo_too_small = (pkt_mode ? wait_for_pkt : wait_for_threshold) & full & out_ready; // count packets coming and going into the fifo always @(posedge clk or posedge reset) begin if (reset) begin pkt_cnt <= 0; pkt_has_started <= 0; sop_has_left_fifo <= 0; fifo_too_small_r <= 0; pkt_cnt_eq_zero <= 1'b1; pkt_cnt_eq_one <= 1'b0; fifo_fill_level_lt_cut_through_threshold <= 1'b1; end else begin fifo_fill_level_lt_cut_through_threshold <= fifo_fill_level < cut_through_threshold; fifo_too_small_r <= fifo_too_small; if( in_pkt_eop_arrive ) sop_has_left_fifo <= 1'b0; else if (out_pkt_sop_leave & pkt_cnt_eq_zero ) sop_has_left_fifo <= 1'b1; if (in_pkt_eop_arrive & ~out_pkt_leave & ~drop_on_error ) begin pkt_cnt <= pkt_cnt + 1'b1; pkt_cnt_eq_zero <= 0; if (pkt_cnt == 0) pkt_cnt_eq_one <= 1'b1; else pkt_cnt_eq_one <= 1'b0; end else if((~in_pkt_eop_arrive | drop_on_error) & out_pkt_leave) begin pkt_cnt <= pkt_cnt - 1'b1; if (pkt_cnt == 1) pkt_cnt_eq_zero <= 1'b1; else pkt_cnt_eq_zero <= 1'b0; if (pkt_cnt == 2) pkt_cnt_eq_one <= 1'b1; else pkt_cnt_eq_one <= 1'b0; end if (in_pkt_start) pkt_has_started <= 1'b1; else if (in_pkt_eop_arrive) pkt_has_started <= 1'b0; end end // drop on error logic always @(posedge clk or posedge reset) begin if (reset) begin sop_ptr <= 0; error_in_pkt <= 0; end else begin // save the location of the SOP if ( in_pkt_start ) sop_ptr <= wr_ptr; // remember if error in pkt // log error only if packet has already started if (in_pkt_eop_arrive) error_in_pkt <= 1'b0; else if ( in_pkt_error & (pkt_has_started | in_pkt_start)) error_in_pkt <= 1'b1; end end assign drop_on_error = drop_on_error_en & (error_in_pkt | in_pkt_error) & in_pkt_eop_arrive & ~sop_has_left_fifo & ~(out_pkt_sop_leave & pkt_cnt_eq_zero); assign curr_sop_ptr = (write && in_startofpacket && in_endofpacket) ? wr_ptr : sop_ptr; end else begin : gen_blk20_else assign ok_to_forward = 1'b1; assign drop_on_error = 1'b0; if (ADDR_WIDTH <= 1) assign curr_sop_ptr = 1'b0; else assign curr_sop_ptr = {ADDR_WIDTH - 1 { 1'b0 }}; end endgenerate // -------------------------------------------------- // Calculates the log2ceil of the input value // -------------------------------------------------- function integer log2ceil; input integer val; reg[31:0] i; begin i = 1; log2ceil = 0; while (i < val) begin log2ceil = log2ceil + 1; i = i[30:0] << 1; end end endfunction endmodule
module e0 (x, y); input [31:0] x; output [31:0] y; assign y = {x[1:0],x[31:2]} ^ {x[12:0],x[31:13]} ^ {x[21:0],x[31:22]}; endmodule
module e1 (x, y); input [31:0] x; output [31:0] y; assign y = {x[5:0],x[31:6]} ^ {x[10:0],x[31:11]} ^ {x[24:0],x[31:25]}; endmodule
module ch (x, y, z, o); input [31:0] x, y, z; output [31:0] o; assign o = z ^ (x & (y ^ z)); endmodule
module maj (x, y, z, o); input [31:0] x, y, z; output [31:0] o; assign o = (x & y) | (z & (x | y)); endmodule
module s0 (x, y); input [31:0] x; output [31:0] y; assign y[31:29] = x[6:4] ^ x[17:15]; assign y[28:0] = {x[3:0], x[31:7]} ^ {x[14:0],x[31:18]} ^ x[31:3]; endmodule
module s1 (x, y); input [31:0] x; output [31:0] y; assign y[31:22] = x[16:7] ^ x[18:9]; assign y[21:0] = {x[6:0],x[31:17]} ^ {x[8:0],x[31:19]} ^ x[31:10]; endmodule
module e0 (x, y); input [31:0] x; output [31:0] y; assign y = {x[1:0],x[31:2]} ^ {x[12:0],x[31:13]} ^ {x[21:0],x[31:22]}; endmodule
module e1 (x, y); input [31:0] x; output [31:0] y; assign y = {x[5:0],x[31:6]} ^ {x[10:0],x[31:11]} ^ {x[24:0],x[31:25]}; endmodule
module ch (x, y, z, o); input [31:0] x, y, z; output [31:0] o; assign o = z ^ (x & (y ^ z)); endmodule
module maj (x, y, z, o); input [31:0] x, y, z; output [31:0] o; assign o = (x & y) | (z & (x | y)); endmodule
module s0 (x, y); input [31:0] x; output [31:0] y; assign y[31:29] = x[6:4] ^ x[17:15]; assign y[28:0] = {x[3:0], x[31:7]} ^ {x[14:0],x[31:18]} ^ x[31:3]; endmodule
module s1 (x, y); input [31:0] x; output [31:0] y; assign y[31:22] = x[16:7] ^ x[18:9]; assign y[21:0] = {x[6:0],x[31:17]} ^ {x[8:0],x[31:19]} ^ x[31:10]; endmodule
module e0 (x, y); input [31:0] x; output [31:0] y; assign y = {x[1:0],x[31:2]} ^ {x[12:0],x[31:13]} ^ {x[21:0],x[31:22]}; endmodule